repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/prcfg/qpsk/Raised_Cosine_Receive_Filter.v
1,850
module MODULE1 ( clk, reset, VAR12, VAR11, VAR5, VAR7, VAR8 ); input clk; input reset; input VAR12; input signed [15:0] VAR11; input signed [15:0] VAR5; output signed [15:0] VAR7; output signed [15:0] VAR8; wire signed [15:0] VAR3; wire signed [15:0] VAR1; VAR10 VAR9 (.clk(clk), .VAR12(VAR12), .reset(reset), .VAR4(VAR11), .VAR2(VAR5), .VAR6(VAR3), .VAR13(VAR1) ); assign VAR7 = VAR3; assign VAR8 = VAR1; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlymetal6s4s/sky130_fd_sc_lp__dlymetal6s4s.blackbox.v
1,324
module MODULE1 ( VAR5, VAR3 ); output VAR5; input VAR3; supply1 VAR6; supply0 VAR2; supply1 VAR1 ; supply0 VAR4 ; endmodule
apache-2.0
mamijaz/RISC-V
src/riscv_instruction_cache/VICTIM_CACHE.v
7,723
module MODULE1 #( parameter VAR15 = 512 , parameter VAR9 = 26 , parameter VAR22 = "VAR8" , localparam VAR14 = 4 , localparam VAR5 = VAR19(VAR14-1) ) ( input VAR6 , input [VAR9 - 1 : 0] VAR12 , input [VAR15 - 1 : 0] VAR4 , input VAR13 , input [VAR9 - 1 : 0] VAR21 , input VAR20 , output VAR16 , output [VAR15 - 1 : 0] VAR10 ); reg [VAR9 - 1 : 0] VAR7 [VAR14 - 1 : 0] ; reg [VAR15 - 1 : 0] memory [VAR14 - 1 : 0] ; reg valid [VAR14 - 1 : 0] ; reg VAR11 ; reg [VAR15 - 1 : 0] VAR1 ; reg [VAR5 - 1 : 0] VAR17 ; wire VAR2 ; wire VAR3 ; assign VAR2 = ( VAR17 == (VAR14 - 1) ) ; assign VAR3 = ( VAR17 == 0 ) ; integer VAR18; begin begin begin begin begin begin begin begin end begin begin end begin begin begin begin begin begin begin begin begin begin begin
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkinv/sky130_fd_sc_hd__clkinv_16.v
2,042
module MODULE1 ( VAR4 , VAR8 , VAR1, VAR3, VAR7 , VAR6 ); output VAR4 ; input VAR8 ; input VAR1; input VAR3; input VAR7 ; input VAR6 ; VAR2 VAR5 ( .VAR4(VAR4), .VAR8(VAR8), .VAR1(VAR1), .VAR3(VAR3), .VAR7(VAR7), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR4, VAR8 ); output VAR4; input VAR8; supply1 VAR1; supply0 VAR3; supply1 VAR7 ; supply0 VAR6 ; VAR2 VAR5 ( .VAR4(VAR4), .VAR8(VAR8) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfxbp/sky130_fd_sc_lp__dfxbp.pp.blackbox.v
1,314
module MODULE1 ( VAR6 , VAR7 , VAR3 , VAR5 , VAR4, VAR1, VAR2 , VAR8 ); output VAR6 ; output VAR7 ; input VAR3 ; input VAR5 ; input VAR4; input VAR1; input VAR2 ; input VAR8 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand4bb/sky130_fd_sc_hdll__nand4bb.symbol.v
1,347
module MODULE1 ( input VAR7, input VAR2, input VAR1 , input VAR6 , output VAR5 ); supply1 VAR9; supply0 VAR4; supply1 VAR8 ; supply0 VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfxtp/sky130_fd_sc_ms__sdfxtp_2.v
2,345
module MODULE2 ( VAR7 , VAR9 , VAR8 , VAR3 , VAR4 , VAR1, VAR10, VAR6 , VAR5 ); output VAR7 ; input VAR9 ; input VAR8 ; input VAR3 ; input VAR4 ; input VAR1; input VAR10; input VAR6 ; input VAR5 ; VAR2 VAR11 ( .VAR7(VAR7), .VAR9(VAR9), .VAR8(VAR8), .VAR3(VAR3), .VAR4(VAR4), .VAR1(VAR1), .VAR10(VAR10), .VAR6(VAR6), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR7 , VAR9, VAR8 , VAR3, VAR4 ); output VAR7 ; input VAR9; input VAR8 ; input VAR3; input VAR4; supply1 VAR1; supply0 VAR10; supply1 VAR6 ; supply0 VAR5 ; VAR2 VAR11 ( .VAR7(VAR7), .VAR9(VAR9), .VAR8(VAR8), .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nor2/sky130_fd_sc_hvl__nor2.functional.v
1,258
module MODULE1 ( VAR5, VAR4, VAR6 ); output VAR5; input VAR4; input VAR6; wire VAR2; nor VAR1 (VAR2, VAR4, VAR6 ); buf VAR3 (VAR5 , VAR2 ); endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pcie_pipe_misc.v
10,197
module MODULE1 # ( parameter VAR18 = 100, parameter VAR39 = 0 ) ( input wire VAR37 , input wire VAR27 , input wire [1:0] VAR15 , input wire VAR22 , input wire [2:0] VAR35 , input wire VAR26 , input wire [5:0] VAR11 , input wire [5:0] VAR17 , output wire VAR38 , output wire VAR36 , output wire [1:0] VAR33 , output wire VAR31 , output wire [2:0] VAR14 , output wire VAR23 , output wire [5:0] VAR24 , output wire [5:0] VAR4 , input wire VAR30 , input wire VAR20 ); reg VAR25 ; reg VAR28 ; reg [1:0] VAR16 ; reg VAR1 ; reg [2:0] VAR29 ; reg VAR7 ; reg VAR10 ; reg VAR9 ; reg VAR13 ; reg VAR8 ; reg [1:0] VAR2 ; reg VAR3 ; reg [2:0] VAR32 ; reg VAR19 ; reg VAR34 ; reg VAR21 ; generate if (VAR39 == 0) begin : VAR6 assign VAR38 = VAR37; assign VAR36 = VAR27; assign VAR33 = VAR15; assign VAR31 = VAR22; assign VAR14 = VAR35; assign VAR23 = VAR26; assign VAR24 = VAR11; assign VAR4 = VAR17; end else if (VAR39 == 1) begin : VAR12 always @(posedge VAR30) begin if (!VAR20) begin end else begin end end assign VAR38 = VAR25; assign VAR36 = VAR28; assign VAR33 = VAR16; assign VAR31 = VAR1; assign VAR14 = VAR29; assign VAR23 = VAR7; assign VAR24 = VAR10; assign VAR4 = VAR9; end else if (VAR39 == 2) begin : VAR5 always @(posedge VAR30) begin if (!VAR20) begin end else begin end end assign VAR38 = VAR13; assign VAR36 = VAR8; assign VAR33 = VAR2; assign VAR31 = VAR3; assign VAR14 = VAR32; assign VAR23 = VAR19; assign VAR24 = VAR34; assign VAR4 = VAR21; end else begin assign VAR38 = VAR37; assign VAR36 = VAR27; assign VAR33 = VAR15; assign VAR31 = VAR22; assign VAR14 = VAR35; assign VAR23 = VAR26; assign VAR24 = VAR11; assign VAR4 = VAR17; end endgenerate endmodule
gpl-3.0
vipinkmenon/fpgadriver
src/hw/fpga/source/userlogic/mapreducer/user_logic.v
5,779
module MODULE1( input VAR7, input VAR27, input VAR42, input VAR83, input [31:0] VAR70, input [19:0] VAR11, input VAR49, output [31:0] VAR53, output reg VAR66, input VAR5, output [255:0] VAR16, output [31:0] VAR15, output VAR67, output [26:0] VAR1, output VAR30, input [255:0] VAR8, input VAR23, input VAR4, input VAR14, input VAR29, output VAR48, input [63:0]VAR50, output VAR18, input VAR68, output [63:0]VAR36, input VAR10, output VAR26, input [63:0]VAR64, output VAR17, input VAR54, output [63:0]VAR38, input VAR25, output VAR28, input [63:0]VAR84, output VAR56, input VAR71, output [63:0]VAR61, input VAR79, output VAR77, input [63:0]VAR58, output VAR80, input VAR6, output [63:0]VAR76, input VAR69, output VAR21, input [63:0] VAR65, output VAR52, input VAR73, output [63:0] VAR81, input VAR31, output VAR75, input [63:0] VAR63, output VAR57, input VAR2, output [63:0] VAR74, input VAR19, output VAR41, input [63:0] VAR62, output VAR12, input VAR51, output [63:0] VAR37, input VAR13, output VAR24, input [63:0] VAR78, output VAR34, input VAR45, output [63:0] VAR60, output VAR22, input VAR35 ); assign VAR22 = 1'b0; assign VAR52 = 1'b1; assign VAR57 = 1'b1; assign VAR12 = 1'b1; assign VAR34 = 1'b1; assign VAR18 = 1'b1; assign VAR17 = 1'b1; assign VAR56 = 1'b1; assign VAR80 = 1'b1; always @(posedge VAR42) begin VAR66 <= VAR5; end VAR43 #( .VAR20(64) ) VAR3( .VAR9(VAR7), .VAR83(VAR83), .VAR32(VAR65), .VAR82(VAR69), .VAR33(VAR21), .VAR44(VAR81) ); VAR43 #( .VAR20(64) )VAR39 ( .VAR9(VAR7), .VAR83(VAR83), .VAR32(VAR63), .VAR82(VAR31), .VAR33(VAR75), .VAR44(VAR74) ); VAR43 #( .VAR20(64) )VAR59 ( .VAR9(VAR7), .VAR83(VAR83), .VAR32(VAR62), .VAR82(VAR19), .VAR33(VAR41), .VAR44(VAR37) ); VAR43 #( .VAR20(64) )VAR40 ( .VAR9(VAR7), .VAR83(VAR83), .VAR32(VAR78), .VAR82(VAR13), .VAR33(VAR24), .VAR44(VAR60) ); VAR43 #( .VAR20(64) )VAR55 ( .VAR9(VAR27), .VAR83(VAR83), .VAR32(VAR50), .VAR82(VAR29), .VAR33(VAR48), .VAR44(VAR36) ); VAR43 #( .VAR20(64) )VAR46 ( .VAR9(VAR27), .VAR83(VAR83), .VAR32(VAR64), .VAR82(VAR10), .VAR33(VAR26), .VAR44(VAR38) ); VAR43 #( .VAR20(64) )VAR47 ( .VAR9(VAR27), .VAR83(VAR83), .VAR32(VAR84), .VAR82(VAR25), .VAR33(VAR28), .VAR44(VAR61) ); VAR43 #( .VAR20(64) )VAR72 ( .VAR9(VAR27), .VAR83(VAR83), .VAR32(VAR58), .VAR82(VAR79), .VAR33(VAR77), .VAR44(VAR76) ); assign VAR53 = VAR81; endmodule
mit
olofk/wb_streamer
rtl/verilog/wb_stream_reader_cfg.v
2,056
module MODULE1 parameter VAR10 = 32) ( input VAR21, input VAR2, input [4:0] VAR3, input [VAR10-1:0] VAR16, input [VAR10/8-1:0] VAR12, input VAR15 , input VAR17, input VAR20, input [2:0] VAR5, input [1:0] VAR6, output [VAR10-1:0] VAR1, output reg VAR19, output VAR14, output reg irq, input VAR8, output reg enable, input [VAR10-1:0] VAR13, output reg [VAR9-1:0] VAR7, output reg [VAR9-1:0] VAR18, output reg [VAR9-1:0] VAR11); reg VAR4; always @(posedge VAR21) if (VAR2) VAR4 <= 0; else VAR4 <= VAR8; assign VAR1 = VAR3[4:2] == 0 ? {{(VAR10-2){1'b0}}, irq, VAR8} : VAR3[4:2] == 1 ? VAR7 : VAR3[4:2] == 2 ? VAR18 : VAR3[4:2] == 3 ? VAR11 : VAR3[4:2] == 4 ? VAR13*4 : 0; always @(posedge VAR21) begin if (VAR19) VAR19 <= 0; end else if (VAR17 & VAR20 & !VAR19) VAR19 <= 1; enable <= 0; if (VAR20 & VAR17 & VAR15 & VAR19) begin case (VAR3[4:2]) 0 : begin if (VAR16[0]) enable <= 1; if (VAR16[1]) irq <= 0; end 1 : VAR7 <= VAR16; 2 : VAR18 <= VAR16; 3 : VAR11 <= VAR16; default : ; endcase end if (!VAR8 & VAR4) irq <= 1; if (VAR2) begin VAR19 <= 0; enable <= 1'b0; VAR7 <= 0; VAR18 <= 0; VAR11 <= 0; irq <= 0; end end assign VAR14 = 0; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o22a/sky130_fd_sc_lp__o22a_4.v
2,339
module MODULE2 ( VAR8 , VAR3 , VAR10 , VAR9 , VAR7 , VAR4, VAR11, VAR6 , VAR5 ); output VAR8 ; input VAR3 ; input VAR10 ; input VAR9 ; input VAR7 ; input VAR4; input VAR11; input VAR6 ; input VAR5 ; VAR2 VAR1 ( .VAR8(VAR8), .VAR3(VAR3), .VAR10(VAR10), .VAR9(VAR9), .VAR7(VAR7), .VAR4(VAR4), .VAR11(VAR11), .VAR6(VAR6), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR8 , VAR3, VAR10, VAR9, VAR7 ); output VAR8 ; input VAR3; input VAR10; input VAR9; input VAR7; supply1 VAR4; supply0 VAR11; supply1 VAR6 ; supply0 VAR5 ; VAR2 VAR1 ( .VAR8(VAR8), .VAR3(VAR3), .VAR10(VAR10), .VAR9(VAR9), .VAR7(VAR7) ); endmodule
apache-2.0
monotone-RK/FACE
IEICE-Trans/4-way_2-tree/src/riffa/rx_engine_ultrascale.v
10,579
module MODULE1 ( input VAR42, input VAR10, input VAR62, output VAR46, output VAR19, input VAR66, input VAR73, input [VAR22-1:0] VAR48, input [(VAR22/32)-1:0] VAR13, input [VAR59-1:0] VAR25, output VAR29, input VAR61, input VAR37, input [VAR22-1:0] VAR27, input [(VAR22/32)-1:0] VAR30, input [VAR31-1:0] VAR50, output VAR11, output [VAR22-1:0] VAR7, output VAR45, output [(VAR22/32)-1:0] VAR21, output VAR53, output [VAR56(VAR22/32)-1:0] VAR35, output VAR55, output [VAR56(VAR22/32)-1:0] VAR43, output [VAR33-1:0] VAR15, output [VAR71-1:0] VAR18, output [VAR68-1:0] VAR49, output [VAR67-1:0] VAR41, output [VAR63-1:0] VAR39, output [VAR54-1:0] VAR3, output [VAR12-1:0] VAR2, output [VAR44-1:0] VAR5, output VAR4, output [VAR22-1:0] VAR34, output VAR20, output [(VAR22/32)-1:0] VAR9, output VAR16, output [VAR56(VAR22/32)-1:0] VAR36, output VAR28, output [VAR56(VAR22/32)-1:0] VAR1, output [VAR71-1:0] VAR70, output [VAR33-1:0] VAR57, output [VAR60-1:0] VAR69, output [VAR52-1:0] VAR6, output [VAR68-1:0] VAR51, output [VAR63-1:0] VAR23, output [VAR47-1:0] VAR26, output [VAR14-1:0] VAR38, output [VAR58-1:0] VAR72, output [VAR54-1:0] VAR32, output VAR64 ); localparam VAR17 = 3; VAR65 .VAR17 (VAR17)) VAR40 ( .VAR19 (VAR19), .VAR11 (VAR11), .VAR7 (VAR7[VAR22-1:0]), .VAR45 (VAR45), .VAR21 (VAR21[(VAR22/32)-1:0]), .VAR53 (VAR53), .VAR35 (VAR35[VAR56(VAR22/32)-1:0]), .VAR55 (VAR55), .VAR43 (VAR43[VAR56(VAR22/32)-1:0]), .VAR15 (VAR15[VAR33-1:0]), .VAR18 (VAR18[VAR71-1:0]), .VAR49 (VAR49[VAR68-1:0]), .VAR41 (VAR41[VAR67-1:0]), .VAR39 (VAR39[VAR63-1:0]), .VAR3 (VAR3[VAR54-1:0]), .VAR2 (VAR2[VAR12-1:0]), .VAR5 (VAR5[VAR44-1:0]), .VAR4 (VAR4), .VAR42 (VAR42), .VAR10 (VAR10), .VAR62 (VAR62), .VAR61 (VAR61), .VAR37 (VAR37), .VAR27 (VAR27[VAR22-1:0]), .VAR30 (VAR30[(VAR22/32)-1:0]), .VAR50 (VAR50[VAR31-1:0])); VAR24 .VAR22 (VAR22), .VAR17 (VAR17)) VAR8 ( .VAR46 (VAR46), .VAR29 (VAR29), .VAR34 (VAR34[VAR22-1:0]), .VAR20 (VAR20), .VAR9 (VAR9[(VAR22/32)-1:0]), .VAR16 (VAR16), .VAR36 (VAR36[VAR56(VAR22/32)-1:0]), .VAR28 (VAR28), .VAR1 (VAR1[VAR56(VAR22/32)-1:0]), .VAR70 (VAR70[VAR71-1:0]), .VAR57 (VAR57[VAR33-1:0]), .VAR69 (VAR69[VAR60-1:0]), .VAR6 (VAR6[VAR52-1:0]), .VAR51 (VAR51[VAR68-1:0]), .VAR23 (VAR23[VAR63-1:0]), .VAR26 (VAR26[VAR47-1:0]), .VAR38 (VAR38[VAR14-1:0]), .VAR72 (VAR72[VAR58-1:0]), .VAR32 (VAR32[VAR54-1:0]), .VAR64 (VAR64), .VAR42 (VAR42), .VAR10 (VAR10), .VAR62 (VAR62), .VAR66 (VAR66), .VAR73 (VAR73), .VAR48 (VAR48[VAR22-1:0]), .VAR13 (VAR13[(VAR22/32)-1:0]), .VAR25 (VAR25[VAR59-1:0])); endmodule
mit
chriz2600/DreamcastHDMI
Core/source/ram/text_ram.v
9,504
module MODULE1 ( VAR7, VAR44, VAR25, VAR13, VAR59, VAR60, VAR21); input [7:0] VAR7; input [9:0] VAR44; input VAR25; input [9:0] VAR13; input VAR59; input VAR60; output [7:0] VAR21; tri1 VAR59; tri0 VAR60; wire [7:0] VAR30; wire [7:0] VAR21 = VAR30[7:0]; VAR54 VAR23 ( .VAR2 (VAR13), .VAR15 (VAR44), .VAR52 (VAR59), .VAR18 (VAR25), .VAR58 (VAR7), .VAR12 (VAR60), .VAR10 (VAR30), .VAR14 (1'b0), .VAR19 (1'b0), .VAR37 (1'b0), .VAR9 (1'b0), .VAR50 (1'b1), .VAR48 (1'b1), .VAR17 (1'b1), .VAR38 (1'b1), .VAR56 (1'b1), .VAR51 (1'b1), .VAR22 ({8{1'b1}}), .VAR3 (), .VAR47 (), .VAR35 (1'b1), .VAR28 (1'b1), .VAR24 (1'b0)); VAR23.VAR31 = "VAR32", VAR23.VAR4 = "VAR29", VAR23.VAR34 = "VAR49", VAR23.VAR45 = "VAR49", VAR23.VAR53 = "VAR49", VAR23.VAR11 = "VAR1/MODULE1.VAR27", VAR23.VAR41 = "VAR16 10 VAR42", VAR23.VAR43 = "VAR54", VAR23.VAR36 = 1024, VAR23.VAR33 = 1024, VAR23.VAR40 = "VAR26", VAR23.VAR5 = "VAR32", VAR23.VAR20 = "VAR29", VAR23.VAR61 = "VAR6", VAR23.VAR55 = 10, VAR23.VAR46 = 10, VAR23.VAR57 = 8, VAR23.VAR39 = 8, VAR23.VAR8 = 1; endmodule
mit
hoglet67/CoPro6502
src/Tube/ph_fifo_core_spartan3.v
13,728
module MODULE1( rst, VAR308, VAR267, din, VAR43, VAR128, dout, VAR393, VAR99 ); input rst; input VAR308; input VAR267; input [7 : 0] din; input VAR43; input VAR128; output [7 : 0] dout; output VAR393; output VAR99; VAR242 #( .VAR154(0), .VAR323(0), .VAR342(0), .VAR56(0), .VAR149(0), .VAR137(0), .VAR277(0), .VAR273(32), .VAR369(1), .VAR324(1), .VAR182(1), .VAR33(64), .VAR49(4), .VAR394(1), .VAR212(0), .VAR4(1), .VAR202(64), .VAR402(4), .VAR382(8), .VAR183(4), .VAR205(4), .VAR131(4), .VAR151(0), .VAR404(0), .VAR283(0), .VAR177(5), .VAR143("VAR163"), .VAR120(8), .VAR373(1), .VAR36(32), .VAR181(64), .VAR155(32), .VAR265(64), .VAR130(2), .VAR203("VAR426"), .VAR234(8), .VAR188(0), .VAR160(1), .VAR164(0), .VAR77(0), .VAR97(0), .VAR305(0), .VAR252(0), .VAR329(0), .VAR60(0), .VAR216("VAR360"), .VAR422(0), .VAR30(0), .VAR336(0), .VAR222(0), .VAR29(0), .VAR372(0), .VAR302(0), .VAR73(0), .VAR313(0), .VAR9(0), .VAR363(0), .VAR50(0), .VAR383(0), .VAR291(0), .VAR23(0), .VAR210(1), .VAR35(0), .VAR420(0), .VAR110(0), .VAR398(0), .VAR341(0), .VAR321(0), .VAR362(0), .VAR389(0), .VAR378(0), .VAR84(0), .VAR390(0), .VAR271(0), .VAR415(0), .VAR325(0), .VAR314(0), .VAR46(0), .VAR145(0), .VAR61(0), .VAR113(0), .VAR322(0), .VAR28(0), .VAR161(0), .VAR10(1), .VAR169(0), .VAR301(0), .VAR190(0), .VAR92(0), .VAR201(0), .VAR351(0), .VAR47(0), .VAR327(2), .VAR403(1), .VAR58(1), .VAR241(1), .VAR207(1), .VAR406(1), .VAR223(1), .VAR250(0), .VAR357(0), .VAR237(2), .VAR63("VAR163"), .VAR220(1), .VAR347(0), .VAR86(0), .VAR121(0), .VAR158(1), .VAR387("512x36"), .VAR157(4), .VAR26(1022), .VAR381(1022), .VAR139(1022), .VAR258(1022), .VAR186(1022), .VAR334(1022), .VAR41(5), .VAR295(0), .VAR213(0), .VAR335(0), .VAR40(0), .VAR167(0), .VAR122(0), .VAR147(0), .VAR75(31), .VAR88(1023), .VAR127(1023), .VAR134(1023), .VAR337(1023), .VAR95(1023), .VAR101(1023), .VAR259(30), .VAR285(0), .VAR276(0), .VAR364(0), .VAR109(0), .VAR18(0), .VAR311(0), .VAR48(0), .VAR358(0), .VAR287(5), .VAR204(32), .VAR247(1), .VAR8(5), .VAR269(0), .VAR136(0), .VAR172(0), .VAR377(0), .VAR385(0), .VAR199(0), .VAR140(0), .VAR180(2), .VAR292(0), .VAR221(0), .VAR66(0), .VAR192(0), .VAR326(1), .VAR168(0), .VAR141(0), .VAR119(0), .VAR419(0), .VAR76(0), .VAR118(0), .VAR248(0), .VAR194(0), .VAR427(0), .VAR296(0), .VAR179(0), .VAR281(0), .VAR196(0), .VAR25(0), .VAR142(5), .VAR102(32), .VAR82(1024), .VAR83(16), .VAR22(1024), .VAR229(16), .VAR356(1024), .VAR64(16), .VAR3(1), .VAR65(5), .VAR421(10), .VAR80(4), .VAR2(10), .VAR417(4), .VAR233(10), .VAR90(4), .VAR353(1), .VAR408(0) ) VAR350 ( .VAR20(rst), .VAR208(VAR308), .VAR300(VAR267), .VAR173(din), .VAR57(VAR43), .VAR318(VAR128), .VAR275(dout), .VAR62(VAR393), .VAR218(VAR99), .VAR15(), .VAR236(), .VAR126(), .VAR67(), .VAR206(), .VAR225(), .VAR104(), .VAR159(), .VAR340(), .VAR197(), .VAR244(), .VAR124(), .VAR14(), .VAR312(), .VAR174(), .VAR123(), .VAR278(), .VAR45(), .VAR215(), .VAR413(), .VAR54(), .VAR193(), .VAR346(), .VAR165(), .VAR19(), .VAR108(), .VAR290(), .VAR184(), .VAR106(), .VAR379(), .VAR175(), .VAR412(), .VAR239(), .VAR366(), .VAR339(), .VAR386(), .VAR315(), .VAR425(), .VAR260(), .VAR367(), .VAR156(), .VAR400(), .VAR303(), .VAR52(), .VAR71(), .VAR1(), .VAR235(), .VAR93(), .VAR171(), .VAR414(), .VAR100(), .VAR24(), .VAR228(), .VAR264(), .VAR34(), .VAR178(), .VAR376(), .VAR96(), .VAR39(), .VAR280(), .VAR138(), .VAR211(), .VAR395(), .VAR162(), .VAR152(), .VAR70(), .VAR397(), .VAR55(), .VAR284(), .VAR176(), .VAR135(), .VAR32(), .VAR166(), .VAR354(), .VAR238(), .VAR7(), .VAR409(), .VAR380(), .VAR330(), .VAR226(), .VAR410(), .VAR209(), .VAR219(), .VAR114(), .VAR224(), .VAR399(), .VAR16(), .VAR111(), .VAR418(), .VAR257(), .VAR44(), .VAR27(), .VAR286(), .VAR298(), .VAR185(), .VAR316(), .VAR195(), .VAR232(), .VAR391(), .VAR396(), .VAR79(), .VAR21(), .VAR146(), .VAR262(), .VAR270(), .VAR13(), .VAR345(), .VAR81(), .VAR361(), .VAR231(), .VAR200(), .VAR297(), .VAR254(), .VAR352(), .VAR72(), .VAR343(), .VAR125(), .VAR309(), .VAR133(), .VAR230(), .VAR272(), .VAR428(), .VAR299(), .VAR129(), .VAR246(), .VAR245(), .VAR150(), .VAR359(), .VAR249(), .VAR384(), .VAR289(), .VAR423(), .VAR105(), .VAR331(), .VAR85(), .VAR405(), .VAR411(), .VAR89(), .VAR293(), .VAR98(), .VAR306(), .VAR148(), .VAR253(), .VAR338(), .VAR375(), .VAR304(), .VAR294(), .VAR274(), .VAR317(), .VAR251(), .VAR38(), .VAR69(), .VAR59(), .VAR191(), .VAR74(), .VAR344(), .VAR368(), .VAR328(), .VAR17(), .VAR310(), .VAR355(), .VAR170(), .VAR116(), .VAR348(), .VAR94(), .VAR365(), .VAR319(), .VAR349(), .VAR37(), .VAR12(), .VAR261(), .VAR217(), .VAR144(), .VAR268(), .VAR189(), .VAR132(), .VAR320(), .VAR401(), .VAR68(), .VAR282(), .VAR115(), .VAR374(), .VAR307(), .VAR263(), .VAR91(), .VAR333(), .VAR370(), .VAR53(), .VAR103(), .VAR279(), .VAR153(), .VAR187(), .VAR371(), .VAR288(), .VAR6(), .VAR266(), .VAR198(), .VAR5(), .VAR78(), .VAR87(), .VAR42(), .VAR388(), .VAR255(), .VAR112(), .VAR31(), .VAR416(), .VAR332(), .VAR214(), .VAR107(), .VAR240(), .VAR407(), .VAR51(), .VAR227(), .VAR117(), .VAR256(), .VAR11(), .VAR243(), .VAR424(), .VAR392() ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/or4b/sky130_fd_sc_ms__or4b.pp.blackbox.v
1,335
module MODULE1 ( VAR7 , VAR4 , VAR9 , VAR8 , VAR5 , VAR3, VAR2, VAR1 , VAR6 ); output VAR7 ; input VAR4 ; input VAR9 ; input VAR8 ; input VAR5 ; input VAR3; input VAR2; input VAR1 ; input VAR6 ; endmodule
apache-2.0
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ip/dma_loopback_xlconcat_0_0/synth/dma_loopback_xlconcat_0_0.v
4,515
module MODULE1 ( VAR15, VAR39, dout ); input wire [0 : 0] VAR15; input wire [0 : 0] VAR39; output wire [1 : 0] dout; VAR6 #( .VAR13(1), .VAR69(1), .VAR54(1), .VAR32(1), .VAR44(1), .VAR10(1), .VAR59(1), .VAR27(1), .VAR36(1), .VAR20(1), .VAR19(1), .VAR49(1), .VAR28(1), .VAR38(1), .VAR62(1), .VAR35(1), .VAR24(1), .VAR34(1), .VAR2(1), .VAR63(1), .VAR67(1), .VAR3(1), .VAR5(1), .VAR37(1), .VAR8(1), .VAR21(1), .VAR46(1), .VAR58(1), .VAR4(1), .VAR1(1), .VAR31(1), .VAR55(1), .VAR48(2), .VAR7(2) ) VAR14 ( .VAR15(VAR15), .VAR39(VAR39), .VAR45(1'VAR57), .VAR56(1'VAR57), .VAR52(1'VAR57), .VAR30(1'VAR57), .VAR18(1'VAR57), .VAR16(1'VAR57), .VAR33(1'VAR57), .VAR40(1'VAR57), .VAR9(1'VAR57), .VAR23(1'VAR57), .VAR17(1'VAR57), .VAR53(1'VAR57), .VAR47(1'VAR57), .VAR26(1'VAR57), .VAR51(1'VAR57), .VAR12(1'VAR57), .VAR50(1'VAR57), .VAR22(1'VAR57), .VAR65(1'VAR57), .VAR42(1'VAR57), .VAR64(1'VAR57), .VAR11(1'VAR57), .VAR61(1'VAR57), .VAR66(1'VAR57), .VAR41(1'VAR57), .VAR60(1'VAR57), .VAR43(1'VAR57), .VAR68(1'VAR57), .VAR25(1'VAR57), .VAR29(1'VAR57), .dout(dout) ); endmodule
mit
bunnie/novena-gpbb-fpga
novena-gpbb.srcs/sources_1/ip/bclk_dll/bclk_dll.v
5,699
module MODULE1 ( input VAR44, output VAR37, input VAR15, output VAR17 ); assign VAR40 = VAR44; wire VAR39; wire VAR41; wire [7:0] VAR30; wire VAR11; wire VAR5; VAR20 .VAR22 (1), .VAR27 (4), .VAR46 ("VAR42"), .VAR10 (7.518), .VAR14 ("VAR31"), .VAR29 ("1X"), .VAR21 ("VAR26"), .VAR2 (0), .VAR36 ("VAR42")) VAR43 (.VAR16 (VAR40), .VAR35 (VAR11), .VAR45 (VAR5), .VAR13 (), .VAR38 (), .VAR1 (), .VAR28 (), .VAR25 (), .VAR9 (), .VAR32 (), .VAR18 (), .VAR33 (1'b0), .VAR3 (1'b0), .VAR8 (1'b0), .VAR34 (), .VAR17 (VAR41), .VAR24 (VAR30), .VAR4 (VAR15), .VAR6 (1'b0)); assign VAR17 = VAR41; assign VAR11 = VAR37; VAR23 VAR12 (.VAR19 (VAR37), .VAR7 (VAR5)); endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/ug871-design-files/Interface_Synthesis/lab1/adders_prj/solution1/syn/verilog/adders.v
1,943
module MODULE1 ( VAR4, VAR12, VAR8, VAR10, VAR5, VAR14 ); parameter VAR13 = 2'd1; parameter VAR11 = 2'd2; input VAR4; input VAR12; input [31:0] VAR8; input [31:0] VAR10; input [31:0] VAR5; output [31:0] VAR14; wire [31:0] VAR3; reg [31:0] VAR7; reg [1:0] VAR6; wire VAR2; wire VAR1; reg [1:0] VAR9;
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/tapmet1/sky130_fd_sc_ls__tapmet1.pp.symbol.v
1,232
module MODULE1 ( input VAR1 , input VAR3, input VAR2, input VAR4 ); endmodule
apache-2.0
fpgaminer/fpgaminer-vanitygen
cores/sha256/sha-256-functions.v
1,640
module MODULE1 (VAR1, VAR3); input [31:0] VAR1; output [31:0] VAR3; assign VAR3 = {VAR1[1:0],VAR1[31:2]} ^ {VAR1[12:0],VAR1[31:13]} ^ {VAR1[21:0],VAR1[31:22]}; endmodule module MODULE4 (VAR1, VAR3); input [31:0] VAR1; output [31:0] VAR3; assign VAR3 = {VAR1[5:0],VAR1[31:6]} ^ {VAR1[10:0],VAR1[31:11]} ^ {VAR1[24:0],VAR1[31:25]}; endmodule module MODULE2 (VAR1, VAR3, VAR2, VAR4); input [31:0] VAR1, VAR3, VAR2; output [31:0] VAR4; assign VAR4 = VAR2 ^ (VAR1 & (VAR3 ^ VAR2)); endmodule module MODULE5 (VAR1, VAR3, VAR2, VAR4); input [31:0] VAR1, VAR3, VAR2; output [31:0] VAR4; assign VAR4 = (VAR1 & VAR3) | (VAR2 & (VAR1 | VAR3)); endmodule module MODULE6 (VAR1, VAR3); input [31:0] VAR1; output [31:0] VAR3; assign VAR3[31:29] = VAR1[6:4] ^ VAR1[17:15]; assign VAR3[28:0] = {VAR1[3:0], VAR1[31:7]} ^ {VAR1[14:0],VAR1[31:18]} ^ VAR1[31:3]; endmodule module MODULE3 (VAR1, VAR3); input [31:0] VAR1; output [31:0] VAR3; assign VAR3[31:22] = VAR1[16:7] ^ VAR1[18:9]; assign VAR3[21:0] = {VAR1[6:0],VAR1[31:17]} ^ {VAR1[8:0],VAR1[31:19]} ^ VAR1[31:10]; endmodule
gpl-3.0
CospanDesign/nysa-verilog
verilog/generic/dpb.v
1,560
module MODULE1 #( parameter VAR13 = 32, parameter VAR8 = 10, parameter VAR3 = "VAR14", parameter VAR5 = 0, parameter VAR4 = 0 ) ( input VAR12, input VAR17, input wire [VAR8 - 1: 0] VAR11, input wire [VAR13 - 1: 0] VAR7, output reg [VAR13 - 1: 0] VAR18 = 0, input VAR6, input VAR1, input wire [VAR8 - 1: 0] VAR10, input wire [VAR13 - 1: 0] VAR2, output reg [VAR13 - 1: 0] VAR16 = 0 ); reg [VAR13 - 1: 0] VAR15 [(1 << VAR8) - 1: 0]; integer VAR9; generate if (VAR3 != "VAR14") begin
mit
takeshineshiro/fpga_linear_128
DynamicDelay_Start_bb.v
5,195
module MODULE1 ( address, VAR2, VAR1); input [0:0] address; input VAR2; output [127:0] VAR1; endmodule
mit
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/h265core.v
26,234
module MODULE1( clk , VAR42 , VAR95 , VAR87 , VAR176 , VAR128 , VAR29 , VAR161 , VAR100 , VAR94 , VAR135 , VAR120 , VAR168 , VAR130 , VAR64 , VAR10 , VAR127 , VAR164 , VAR20 , VAR147 , VAR92 , VAR50 , VAR167 , VAR66 ); parameter VAR115 = 0 , VAR61 = 1 ; parameter VAR49 = 0 , VAR65 = 1 , VAR16 = 2 , VAR144 = 3 , VAR83 = 4 , VAR79 = 5 , VAR15 = 6 , VAR8 = 7 , VAR36 = 8 , VAR156 = 9 ; input clk ; input VAR42 ; input VAR95 ; output reg VAR87 ; input [VAR138-1 : 0] VAR176 ; input [VAR171-1 : 0] VAR128 ; input VAR29 ; input [5 : 0] VAR161 ; input VAR100 ; input VAR94 ; output [1-1 : 0] VAR135 ; input [1-1 : 0] VAR120 ; output [5-1 : 0] VAR168 ; output [6+VAR138-1 : 0] VAR130 ; output [6+VAR171-1 : 0] VAR64 ; output [8-1 : 0] VAR10 ; output [8-1 : 0] VAR127 ; input VAR164 ; input VAR20 ; input [8-1 : 0] VAR147 ; input [16*VAR33-1 : 0] VAR92 ; output [16*VAR33-1 : 0] VAR50 ; output VAR167 ; output [7 : 0] VAR66 ; reg [3 : 0] VAR4 ; reg [3 : 0] VAR51 ; wire VAR137 ; wire VAR122 ; wire VAR22 ; reg [VAR107-1 : 0] VAR158 ; wire VAR142 ; wire VAR175 ; wire VAR34 ; wire VAR117 ; wire VAR89 ; wire VAR170 ; wire VAR48 ; wire VAR114 ; wire VAR160 ; wire VAR150 ; reg VAR17 ; wire VAR56 ; reg VAR63 ; reg VAR139 ; wire VAR68 ; reg VAR37 ; reg VAR172 ; wire VAR153 ; reg VAR43 ; wire [3 : 0] VAR32 ; wire [3 : 0] VAR38 ; wire [4 : 0] VAR5 ; wire VAR6 ; wire [1 : 0] VAR76 ; wire VAR35 ; wire [VAR33*32-1 : 0] VAR71 ; wire VAR121 ; wire [6 : 0] VAR133 ; wire [5 : 0] VAR136 ; wire [3 : 0] VAR53 ; wire [3 : 0] VAR90 ; wire [4 : 0] VAR44 ; wire VAR52 ; wire [1 : 0] VAR169 ; wire VAR113 ; wire [VAR33*32-1 : 0] VAR31 ; wire VAR162 ; wire [9 : 0] VAR173 ; wire [5 : 0] VAR23 ; wire [4-1 : 0] VAR67 ; wire [4-1 : 0] VAR104 ; wire [5-1 : 0] VAR155 ; wire VAR77 ; wire [2-1 : 0] VAR105 ; wire VAR112 ; wire [64*VAR33-1 : 0] VAR102 ; wire [5-1 : 0] VAR101 ; wire [7-1 : 0] VAR132 ; wire VAR140 ; wire [64*VAR33-1 : 0] VAR57 ; wire [4-1 : 0] VAR165 ; wire [4-1 : 0] VAR124 ; wire [5-1 : 0] VAR80 ; wire VAR154 ; wire [2-1 : 0] VAR11 ; wire VAR141 ; wire [32*VAR33-1 : 0] VAR14 ; wire [7-1 : 0] VAR119 ; wire [7-1 : 0] VAR19 ; wire VAR116 ; wire [64*VAR33-1 : 0] VAR129 ; wire [6-1 : 0] VAR97 ; wire [6-1 : 0] VAR91 ; wire VAR2 ; wire VAR96 ; wire [8*VAR33-1 : 0] VAR30 ; wire [1-1 : 0] VAR84 ; wire [5-1 : 0] VAR108 ; wire [5-1 : 0] VAR73 ; wire [1-1 : 0] VAR25 ; wire [1-1 : 0] VAR72 ; wire [2-1 : 0] VAR27 ; wire [16*VAR33-1 : 0] VAR152 ; wire [1-1 : 0] VAR1 ; wire [5-1 : 0] VAR88 ; wire [2-1 : 0] VAR70 ; wire [4*VAR33-1 : 0] VAR62 ; always @(posedge clk or negedge VAR42) begin if( !VAR42 ) VAR87 <= 0 ; end else begin VAR87 <= (VAR4==VAR49) ; end end always @(posedge clk or negedge VAR42) begin if( !VAR42 ) VAR4 <= VAR49 ; end else begin VAR4 <= VAR51 ; end end always @ begin case( VAR70 ) 1 : begin VAR177 = 128'b0 ; VAR177[16*VAR33-1:12*VAR33] = VAR62 ; end 2 : begin VAR177 = 128'b0 ; VAR177[12*VAR33-1:08*VAR33] = VAR62 ; end 3 : begin VAR177 = 128'b0 ; VAR177[08*VAR33-1:04*VAR33] = VAR62 ; end 0 : begin VAR177 = 128'b0 ; VAR177[04*VAR33-1:00*VAR33] = VAR62 ; end endcase end VAR143 VAR60 ( .clk ( clk ), .VAR42 ( VAR42 ), .VAR151 ( VAR53 ), .VAR45 ( VAR90 ), .VAR146 ( VAR44 ), .VAR178 ( VAR52 ), .VAR86 ( VAR169 ), .VAR179 ( VAR113 ), .VAR159 ( VAR31 ), .VAR3 ( VAR162 ), .VAR28 ( VAR173 ), .VAR78 ( VAR23 ), .VAR54 ( 9'b0 ), .VAR13 ( 9'b0 ), .VAR24 ( VAR67 ), .VAR134 ( VAR104 ), .VAR82 ( VAR155 ), .VAR123 ( VAR77 ), .VAR9 ( VAR105 ), .VAR26 ( VAR112 ), .VAR12 ( VAR102 ), .VAR85 ( VAR101 ), .VAR46 ( VAR132 ), .VAR109 ( VAR140 ), .VAR58 ( VAR57 ), .VAR59 ( VAR165 ), .VAR18 ( VAR124 ), .VAR166 ( VAR80 ), .VAR69 ( VAR154 ), .VAR39 ( VAR11 ), .VAR174 ( VAR141 ), .VAR47 ( VAR14 ), .VAR145 ( VAR119 ), .VAR99 ( VAR19 ), .VAR103 ( VAR116 ), .VAR40 ( VAR129 ), .VAR74 ( VAR97 ), .VAR149 ( VAR91 ), .VAR41 ( VAR2 ), .VAR98 ( VAR96 ), .VAR93 ( VAR30 ), .VAR110 ( VAR84 ), .VAR148 ( VAR108 ), .VAR126 ( VAR73 ), .VAR131 ( VAR25 ), .VAR111 ( VAR72 ), .VAR21 ( VAR27 ), .VAR106 ( VAR152 ), .VAR157 ( VAR1 ), .VAR81 ( VAR88 ), .VAR55 ( VAR70 ), .VAR7 ( VAR177 ), .VAR176 ( VAR176 ), .VAR128 ( VAR128 ), .VAR94 ( VAR94 ), .VAR29 ( VAR29 ), .VAR100 ( VAR100 ), .VAR161 ( VAR161 ), .VAR95 ( VAR17 ), .VAR87 ( VAR56 ), .VAR125 ( ), .VAR163 ( ), .VAR118 ( ), .VAR167 ( VAR167 ), .VAR66 ( VAR66 ), .VAR75 ( ) ); endmodule
gpl-3.0
rkrajnc/minimig-mist
rtl/soc/minimig_avnet_top.v
18,905
module MODULE1 ( input wire VAR285, input wire VAR240, input wire [ 2-1:0] VAR44, input wire [ 4-1:0] VAR278, output wire [ 8-1:0] VAR158, output wire [ 8-1:0] VAR155, output wire [ 8-1:0] VAR91, output wire VAR215, input wire VAR5, inout wire VAR286, output wire VAR101, inout wire VAR287, inout wire VAR95, inout wire VAR80, inout wire VAR270, output wire VAR265, output wire VAR35, output wire VAR208, output wire VAR159, output wire VAR196, output wire [ 8-1:0] VAR311, output wire [ 8-1:0] VAR99, output wire [ 8-1:0] VAR127, input wire VAR106, output wire VAR128, output wire VAR54, output wire VAR38, inout wire [ 32-1:0] VAR227, output wire [ 18-1:0] VAR242, output wire [ 4-1:0] VAR9, output wire VAR8, output wire VAR72, output wire VAR81, inout wire [ 32-1:0] VAR79, output wire [ 18-1:0] VAR142, output wire [ 4-1:0] VAR157, output wire VAR134, output wire VAR302, output wire VAR188, output wire VAR292, output wire VAR205, output wire VAR248, output wire VAR102, output wire VAR307, output wire VAR168, output wire VAR71, output wire VAR256, input wire [ 6-1:0] VAR262, input wire [ 6-1:0] VAR64, output wire VAR216, output wire VAR145 ); wire VAR123; wire VAR19; wire VAR61; wire VAR2; wire VAR6; wire VAR294; wire VAR203; wire VAR175; wire VAR241; wire [ 10-1:0] VAR83; wire VAR86; wire VAR58; wire VAR169; wire VAR273; wire VAR234; wire VAR151; wire VAR276; wire VAR236; wire VAR204; wire [ 16-1:0] VAR261; wire [ 16-1:0] VAR218; wire [ 32-1:0] VAR170; wire [ 3-1:0] VAR70; wire VAR164; wire VAR226; wire VAR3; wire VAR108; wire VAR229; wire VAR160; wire VAR187; wire VAR31; wire [ 16-1:0] VAR174; wire VAR62; wire [ 2-1:0] VAR148; wire [ 6-1:0] VAR180; wire [ 32-1:0] VAR223; wire [ 6-1:0] VAR263; wire VAR272; wire VAR289; wire VAR147; wire [ 16-1:0] VAR254; wire [ 16-1:0] VAR310; wire [ 22-1:1] VAR27; wire VAR308; wire VAR26; wire VAR165; wire VAR301; wire 15khz; wire VAR112; wire VAR137; wire [ 15-1:0] VAR173; wire [ 15-1:0] VAR36; wire VAR1; wire VAR17; wire VAR103; wire VAR190; wire VAR231; wire VAR201; wire VAR200; wire [ 4-1:0] VAR284; wire [ 2-1:0] VAR12; wire [ 2-1:0] VAR82; wire VAR77; wire VAR14; wire [ 16-1:0] VAR191; wire [ 16-1:0] VAR181; wire [ 8-1:0] VAR296; wire [ 8-1:0] VAR243; wire [ 4-1:0] VAR105; wire VAR295; wire VAR167; wire VAR47; wire [ 4-1:0] VAR198; wire [ 4-1:0] VAR107; wire [ 8-1:0] VAR268; wire VAR281; VAR152 #(.VAR177(1)) VAR146 ( .clk (VAR61), .VAR239 ({VAR278[1]}), .VAR33 ({VAR281}) ); assign VAR227[16-1:0] = VAR81 ? VAR191 : 16'VAR163; assign VAR181 = VAR227[16-1:0]; assign VAR256 = 1'b1; assign VAR71 = VAR2; assign VAR205 = VAR284[0]; assign VAR154 = VAR12[0]; assign VAR23 = VAR12[1]; assign VAR248 = VAR82[0]; assign VAR102 = VAR82[1]; assign VAR216 = VAR1; assign VAR145 = VAR17; assign VAR295 = !VAR105[0] ? VAR106 : VAR137; assign VAR167 = !VAR44[0]; assign VAR47 = 1'b0; assign VAR198 = 4'b0; VAR149 VAR55(.VAR124(VAR285), .VAR172(VAR123)); assign VAR58 = !VAR278[0]; assign VAR169 = VAR6 & VAR278[0]; assign VAR77 = 1'b0; assign VAR14 = 1'b1; assign 15khz = VAR281; assign VAR112 = 1'b0; VAR184 VAR184 ( .VAR171 (VAR123 ), .VAR167 (VAR167 ), .VAR41 (VAR86 ), .VAR141 (VAR273 ), .VAR234 (VAR234 ), .VAR47 (VAR47 ), .VAR198 (VAR198 ), .VAR151 (VAR151 ), .VAR276 (VAR276 ), .VAR236 (VAR236 ), .VAR107 (VAR107 ), .VAR98 (VAR242 ), .VAR209 (VAR72 ), .VAR18 (VAR8 ), .VAR115 (VAR303 ), .VAR28 (VAR109 ), .VAR182 (VAR81 ), .VAR238 (VAR191 ), .VAR257 (VAR181 ), .VAR247 (VAR312 ), .VAR279 (VAR110 ), .VAR211 (VAR202 ), .VAR24 (VAR214 ), .VAR140 (VAR156 ), .VAR253 (VAR296 ), .VAR66 (VAR243 ), .VAR162 (VAR215 ), .VAR25 (VAR105 ), .VAR85 (VAR38 ), .VAR136 (VAR54 ), .VAR304 (VAR295 ) ); VAR219 VAR219( .clk (VAR294 ), .rst (~VAR6 ), .VAR268 (VAR268 ), .VAR297 (VAR103 ), .VAR266 (VAR190 ), .VAR133 (VAR231 ), .VAR50 (VAR201 ), .VAR220 ({VAR151, VAR276, VAR236}), .VAR107 (VAR107 ), .VAR300 (VAR158[6:0] ), .VAR277 (VAR155[6:0] ), .VAR75 ( ), .VAR97 ( ), .VAR59 ( ), .VAR271 (VAR91 ) ); VAR193 VAR193 ( .rst (VAR58 ), .VAR171 (VAR123 ), .VAR19 (VAR19 ), .VAR2 (VAR2 ), .VAR61 (VAR61 ), .VAR294 (VAR294 ), .VAR203 (VAR203 ), .VAR175 (VAR175 ), .VAR241 (VAR241 ), .VAR83 (VAR83 ), .VAR15 (VAR6 ) ); VAR139 VAR139 ( .clk (VAR61 ), .VAR250 (VAR200 ), .VAR258 (VAR77 ), .VAR212 (VAR14 ), .VAR36 (VAR36 ), .VAR173 (VAR173 ), .VAR274 (VAR221 ), .VAR306 (VAR252 ), .VAR230 (VAR135 ), .VAR129 (VAR21 ), .VAR130 (VAR245 ), .VAR237 (VAR189 ) ); VAR213 VAR10 ( .clk (VAR19 ), .reset (VAR204 ), .VAR179 (1'b1 ), .VAR288 (VAR70 ), .VAR88 (VAR164 ), .VAR68 (1'b1 ), .VAR13 (1'b1 ), .addr (VAR170 ), .VAR199 (VAR261 ), .VAR267 (VAR218 ), .VAR104 (VAR226 ), .VAR78 (VAR3 ), .VAR293 (VAR108 ), .VAR16 (VAR229 ), .VAR94 ( ), .VAR37 ( ), .VAR45 ( ), .VAR116 (VAR160 ), .VAR34 (VAR187 ), .VAR244 (VAR31 ), .VAR57 (VAR174 ), .VAR4 (VAR62 ), .VAR143 (VAR148 ), .VAR180 (VAR180 ), .VAR217 (VAR223 ), .VAR232 (VAR263 ), .VAR46 ( ), .VAR113 ( ), .VAR251 (VAR272 ), .VAR52 (VAR289 ), .VAR290 (VAR147 ) ); VAR51 VAR40 ( .VAR90 (VAR79[16-1:0] ), .VAR228 (VAR142[12-1:0]), .VAR299 (VAR12 ), .VAR29 (VAR284 ), .VAR249 (VAR82 ), .VAR111 (VAR134 ), .VAR11 (VAR292 ), .VAR195 (VAR188 ), .VAR121 (VAR19 ), .VAR119 (VAR169 ), .VAR96 (16'h0 ), .VAR122 (24'h0 ), .VAR176 ({1'b0, 2'b01} ), .VAR309 (1'b1 ), .VAR259 (1'b1 ), .VAR114 (VAR218 ), .VAR150 (VAR223[24:1] ), .VAR49 (VAR147 ), .VAR56 (VAR289 ), .VAR232 (VAR263 ), .VAR224 (VAR272 ), .VAR76 (VAR254 ), .VAR32 ({2'b00, VAR27[21:1]}), .VAR125 (VAR308 ), .VAR264 (VAR26 ), .VAR132 (VAR165 ), .VAR305 (VAR301 ), .VAR192 (VAR294 ), .VAR87 ( ), .VAR131 ( ), .VAR53 (VAR174 ), .VAR74 (VAR62 ), .VAR255 (VAR310 ), .VAR200 (VAR200 ), .VAR244 (VAR31 ), .VAR116 (VAR160 ), .VAR34 (VAR187 ) ); VAR117 VAR282 ( .VAR246 (VAR170[23:1] ), .VAR93 (VAR261 ), .VAR186 (VAR218 ), .VAR30 (VAR70 ), .VAR222 (VAR226 ), .VAR22 (VAR3 ), .VAR178 (VAR108 ), .VAR92 (VAR229 ), .VAR291 (VAR164 ), .VAR197 (VAR204 ), .VAR185 (VAR294 ), .VAR254 (VAR254 ), .VAR310 (VAR310 ), .VAR27 (VAR27[21:1]), .VAR43 ( ), .VAR308 (VAR308 ), .VAR26 (VAR26 ), .VAR165 (VAR165 ), .VAR301 (VAR301 ), .VAR283 (VAR61 ), .clk (VAR294 ), .VAR203 (VAR203 ), .VAR175 (VAR175 ), .VAR241 (VAR241 ), .VAR83 (VAR83 ), .VAR73 (1'b0 ), .VAR206 ( ), .VAR144 (1'b0 ), .VAR138 ( ), .VAR118 (VAR262 ), .VAR210 (VAR64 ), .VAR20 (1'b1 ), .VAR60 (1'b1 ), .VAR112 (VAR112 ), .15khz (15khz ), .VAR42 ( ), .VAR100 (VAR67 ), .VAR84 (VAR65 ), .VAR269 (VAR207 ), .VAR183 (VAR225 ), .VAR153 (VAR105[3:1] ), .VAR166 (VAR106 ), .VAR275 (VAR54 ), .VAR137 (VAR137 ), .VAR63 (VAR38 ), .VAR161 (VAR89 ), .VAR298 (VAR260 ), .VAR126 (VAR311[8-1:4] ), .VAR120 (VAR99[8-1:4] ), .VAR39 (VAR127[8-1:4] ), .VAR280 (VAR1 ), .VAR69 (VAR17 ), .VAR173 (VAR173 ), .VAR36 (VAR36 ), .VAR233 ( ), .VAR148 (VAR148 ), .VAR180 (VAR180 ), .VAR7 ( ), .VAR194 ( ), .VAR235 (VAR268 ), .VAR48 ( ), .VAR103 (VAR103 ), .VAR190 (VAR190 ), .VAR231 (VAR231 ), .VAR201 (VAR201 ) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o22ai/sky130_fd_sc_lp__o22ai.symbol.v
1,371
module MODULE1 ( input VAR6, input VAR3, input VAR8, input VAR1, output VAR4 ); supply1 VAR2; supply0 VAR5; supply1 VAR9 ; supply0 VAR7 ; endmodule
apache-2.0
bluespec/Flute
builds/Flute_RV64GC_MSU_WB_L1_L2_verilator_tohost/Verilog_RTL/mkNear_Mem_IO_AXI4.v
97,895
module MODULE1(VAR108, VAR125, VAR264, VAR314, VAR105, VAR308, VAR19, VAR172, VAR35, VAR336, VAR103, VAR162, VAR122, VAR262, VAR263, VAR341, VAR293, VAR261, VAR46, VAR27, VAR72, VAR309, VAR284, VAR113, VAR33, VAR167, VAR18, VAR64, VAR332, VAR185, VAR333, VAR62, VAR21, VAR49, VAR320, VAR151, VAR74, VAR120, VAR70, VAR56, VAR48, VAR269, VAR98, VAR52, VAR11, VAR180, VAR219, VAR193, VAR168, VAR230, VAR202, VAR289, VAR176, VAR124, VAR110, VAR318); input VAR108; input VAR125; input VAR264; output VAR314; input VAR105; output VAR308; input [63 : 0] VAR19; input [63 : 0] VAR172; input VAR35; output VAR336; input VAR103; input [15 : 0] VAR162; input [63 : 0] VAR122; input [7 : 0] VAR262; input [2 : 0] VAR263; input [1 : 0] VAR341; input VAR293; input [3 : 0] VAR261; input [2 : 0] VAR46; input [3 : 0] VAR27; input [3 : 0] VAR72; output VAR309; input VAR284; input [63 : 0] VAR113; input [7 : 0] VAR33; input VAR167; output VAR18; output VAR64; output [15 : 0] VAR332; output [1 : 0] VAR185; input VAR333; input VAR62; input [15 : 0] VAR21; input [63 : 0] VAR49; input [7 : 0] VAR320; input [2 : 0] VAR151; input [1 : 0] VAR74; input VAR120; input [3 : 0] VAR70; input [2 : 0] VAR56; input [3 : 0] VAR48; input [3 : 0] VAR269; output VAR98; output VAR52; output [15 : 0] VAR11; output [63 : 0] VAR180; output [1 : 0] VAR219; output VAR193; input VAR168; output [63 : 0] VAR230; input VAR202; output VAR289; output VAR176; input VAR124; output VAR110; output VAR318; wire [63 : 0] VAR180, VAR230; wire [15 : 0] VAR332, VAR11; wire [1 : 0] VAR185, VAR219; wire VAR318, VAR176, VAR314, VAR308, VAR336, VAR98, VAR309, VAR64, VAR193, VAR52, VAR18, VAR110, VAR289; wire [63 : 0] VAR237, VAR100, VAR78, VAR92, VAR28; wire VAR145, VAR217; reg [3 : 0] VAR294; wire [3 : 0] VAR147; wire VAR274; reg [63 : 0] VAR127; wire [63 : 0] VAR342; wire VAR187; reg [63 : 0] VAR47; wire [63 : 0] VAR334; wire VAR69; reg [63 : 0] VAR1; wire [63 : 0] VAR135; wire VAR245; reg [63 : 0] VAR236; wire [63 : 0] VAR298; wire VAR137; reg VAR23; wire VAR215, VAR148; reg VAR144; reg VAR84; wire VAR328; reg VAR206; wire VAR247, VAR164; reg VAR36; wire VAR276, VAR96; wire VAR55, VAR232, VAR285, VAR123, VAR163; wire VAR41, VAR278, VAR290, VAR192, VAR128; wire VAR133, VAR142, VAR198, VAR238, VAR111, VAR119, VAR85; wire VAR244, VAR273, VAR51, VAR299, VAR179, VAR322, VAR102; wire [108 : 0] VAR313, VAR155; wire VAR325, VAR121, VAR4, VAR67, VAR131; wire [82 : 0] VAR8, VAR302; wire VAR257, VAR54, VAR211, VAR223, VAR183; wire [108 : 0] VAR197, VAR235; wire VAR181, VAR267, VAR5, VAR104, VAR326; wire [72 : 0] VAR283, VAR14; wire VAR266, VAR6, VAR39, VAR295, VAR268; wire [17 : 0] VAR158, VAR65; wire VAR182, VAR42, VAR287, VAR184, VAR286; wire VAR300, VAR7, VAR156, VAR207, VAR26, VAR157, VAR254, VAR208, VAR281, VAR143, VAR32, VAR50, VAR114, VAR2, VAR216, VAR229, VAR282, VAR196, VAR130, VAR66, VAR329, VAR77, VAR15, VAR63, VAR337, VAR231, VAR218, VAR109, VAR275, VAR132, VAR222, VAR225, VAR242, VAR209; wire VAR327, VAR177, VAR25, VAR139, VAR292, VAR220; reg [31 : 0] VAR38; reg [31 : 0] VAR116; reg [31 : 0] VAR88; reg [31 : 0] VAR82; reg [31 : 0] VAR43; reg [31 : 0] VAR95; reg [31 : 0] VAR239; reg [31 : 0] VAR226; reg [31 : 0] VAR153; reg [31 : 0] VAR31; reg [31 : 0] VAR117; reg [31 : 0] VAR317; reg [31 : 0] VAR272; reg [31 : 0] VAR311; reg [31 : 0] VAR134; reg [31 : 0] VAR91; reg [31 : 0] VAR288; reg [31 : 0] VAR30; reg [31 : 0] VAR270; reg [31 : 0] VAR136; reg [31 : 0] VAR315; reg [31 : 0] VAR12; reg [31 : 0] VAR60; reg [31 : 0] VAR44; reg [31 : 0] VAR296; reg [31 : 0] VAR9; reg [31 : 0] VAR310; reg [31 : 0] VAR319; reg [63 : 0] VAR297; reg [1 : 0] VAR316, VAR277; wire [63 : 0] VAR303, VAR34, VAR174, VAR189, VAR224, VAR76, VAR338, VAR246, VAR221, VAR271, VAR22, VAR227, VAR339; wire [7 : 0] VAR3, VAR94, VAR150, VAR190, VAR138, VAR80, VAR210, VAR340; wire [1 : 0] VAR71, VAR112; wire VAR16, VAR178, VAR10, VAR20, VAR251, VAR107, VAR213, VAR335; assign VAR314 = VAR163 ; assign VAR216 = VAR163 ; assign VAR225 = VAR264 ; assign VAR308 = VAR290 ; assign VAR229 = VAR290 ; assign VAR242 = VAR105 ; assign VAR336 = VAR36 ; assign VAR282 = VAR36 ; assign VAR209 = VAR35 ; assign VAR281 = 1'd1 ; assign VAR231 = 1'd1 ; assign VAR309 = VAR326 ; assign VAR50 = 1'd1 ; assign VAR275 = 1'd1 ; assign VAR18 = VAR268 ; assign VAR64 = VAR287 ; assign VAR332 = VAR65[17:2] ; assign VAR185 = VAR65[1:0] ; assign VAR143 = 1'd1 ; assign VAR218 = 1'd1 ; assign VAR208 = 1'd1 ; assign VAR337 = 1'd1 ; assign VAR98 = VAR131 ; assign VAR52 = VAR211 ; assign VAR11 = VAR302[82:67] ; assign VAR180 = VAR302[66:3] ; assign VAR219 = VAR302[2:1] ; assign VAR193 = VAR302[0] ; assign VAR32 = 1'd1 ; assign VAR109 = 1'd1 ; assign VAR230 = VAR127 ; assign VAR289 = VAR299 ; assign VAR176 = VAR179 ; assign VAR2 = VAR179 ; assign VAR222 = VAR202 ; assign VAR110 = VAR238 ; assign VAR318 = VAR111 ; assign VAR114 = VAR111 ; assign VAR132 = VAR124 ; VAR118 #(.VAR173(1'd1)) VAR99(.VAR306(VAR125), .VAR108(VAR108), .VAR212(VAR123), .VAR24(VAR232), .VAR201(VAR55), .VAR252(VAR163), .VAR200(VAR285)); VAR118 #(.VAR173(1'd1)) VAR321(.VAR306(VAR125), .VAR108(VAR108), .VAR212(VAR192), .VAR24(VAR278), .VAR201(VAR41), .VAR252(VAR128), .VAR200(VAR290)); VAR140 #(.VAR68(32'd1), .VAR173(1'd1)) VAR203(.VAR306(VAR125), .VAR108(VAR108), .VAR171(VAR198), .VAR212(VAR119), .VAR24(VAR142), .VAR201(VAR133), .VAR191(VAR238), .VAR252(VAR85), .VAR200(VAR111)); VAR140 #(.VAR68(32'd1), .VAR173(1'd1)) VAR280(.VAR306(VAR125), .VAR108(VAR108), .VAR171(VAR51), .VAR212(VAR322), .VAR24(VAR273), .VAR201(VAR244), .VAR191(VAR299), .VAR252(VAR102), .VAR200(VAR179)); VAR140 #(.VAR68(32'd109), .VAR173(1'd1)) VAR324(.VAR306(VAR125), .VAR108(VAR108), .VAR171(VAR313), .VAR212(VAR67), .VAR24(VAR121), .VAR201(VAR325), .VAR191(VAR155), .VAR252(VAR131), .VAR200(VAR4)); VAR140 #(.VAR68(32'd83), .VAR173(1'd1)) VAR331(.VAR306(VAR125), .VAR108(VAR108), .VAR171(VAR8), .VAR212(VAR223), .VAR24(VAR54), .VAR201(VAR257), .VAR191(VAR302), .VAR252(VAR183), .VAR200(VAR211)); VAR140 #(.VAR68(32'd109), .VAR173(1'd1)) VAR73(.VAR306(VAR125), .VAR108(VAR108), .VAR171(VAR197), .VAR212(VAR104), .VAR24(VAR267), .VAR201(VAR181), .VAR191(VAR235), .VAR252(VAR326), .VAR200(VAR5)); VAR140 #(.VAR68(32'd73), .VAR173(1'd1)) VAR13(.VAR306(VAR125), .VAR108(VAR108), .VAR171(VAR283), .VAR212(VAR295), .VAR24(VAR6), .VAR201(VAR266), .VAR191(VAR14), .VAR252(VAR268), .VAR200(VAR39)); VAR140 #(.VAR68(32'd18), .VAR173(1'd1)) VAR146(.VAR306(VAR125), .VAR108(VAR108), .VAR171(VAR158), .VAR212(VAR184), .VAR24(VAR42), .VAR201(VAR182), .VAR191(VAR65), .VAR252(VAR286), .VAR200(VAR287)); assign VAR7 = VAR20 || VAR102 ; assign VAR130 = VAR7 ; assign VAR26 = VAR220 ; assign VAR77 = VAR220 ; assign VAR300 = VAR36 && !VAR285 ; assign VAR196 = VAR300 ; assign VAR156 = VAR4 && VAR183 && VAR36 && !VAR285 ; assign VAR66 = VAR156 ; assign VAR254 = VAR36 && VAR127 != 64'hFFFFFFFFFFFFFFFF && !VAR285 ; assign VAR63 = VAR254 ; assign VAR207 = VAR335 && VAR36 && !VAR285 ; assign VAR329 = VAR207 ; assign VAR157 = VAR285 ; assign VAR15 = VAR292 ; assign VAR327 = VAR329 && !VAR107 && (VAR34 == 64'h000000000000BFF8 || VAR34 == 64'h000000000000BFFC) ; assign VAR177 = VAR329 && !VAR107 && (VAR34 == 64'h0000000000004000 || VAR34 == 64'h0000000000004004) ; assign VAR25 = VAR329 && !VAR107 && VAR34 == 64'h0 && !VAR10 ; assign VAR292 = VAR285 && !VAR77 ; assign VAR220 = VAR285 && VAR128 && !VAR36 ; assign VAR139 = VAR127 >= VAR47 ; assign VAR237 = VAR127 + 64'd1 ; assign VAR145 = VAR329 && !VAR107 && (VAR34 == 64'h000000000000BFF8 || VAR34 == 64'h000000000000BFFC) || VAR77 ; assign VAR100 = VAR327 ? VAR189 : 64'd0 ; assign VAR78 = VAR145 ? VAR100 : VAR76 ; assign VAR217 = VAR329 && !VAR107 && (VAR34 == 64'h0000000000004000 || VAR34 == 64'h0000000000004004) || VAR77 ; assign VAR92 = VAR177 ? VAR224 : 64'hFFFFFFFFFFFFFFFF ; assign VAR28 = VAR217 ? VAR92 : VAR47 ; assign VAR147 = 4'h0 ; assign VAR274 = 1'b0 ; assign VAR342 = VAR78 ; assign VAR187 = 1'b1 ; assign VAR334 = VAR28 ; assign VAR69 = 1'b1 ; assign VAR135 = VAR19 ; assign VAR245 = VAR35 ; assign VAR298 = VAR172 ; assign VAR137 = VAR35 ; assign VAR215 = VAR25 && VAR14[9] ; assign VAR148 = VAR329 && !VAR107 && VAR34 == 64'h0 && !VAR10 || VAR77 ; always@(VAR177 or VAR196 or VAR139 or VAR77) case (1'b1) VAR177: VAR84 = 1'd0; VAR196: VAR84 = VAR139; VAR77: VAR84 = 1'd0; default: VAR84 = 1'b0 ; endcase assign VAR328 = VAR329 && !VAR107 && (VAR34 == 64'h0000000000004000 || VAR34 == 64'h0000000000004004) || VAR196 || VAR77 ; assign VAR247 = !VAR77 && VAR144 ; assign VAR164 = VAR130 || VAR77 ; assign VAR276 = !VAR15 ; assign VAR96 = VAR15 || VAR77 ; assign VAR123 = VAR264 ; assign VAR232 = VAR220 ; assign VAR55 = 1'b0 ; assign VAR192 = VAR220 ; assign VAR278 = VAR105 ; assign VAR41 = 1'b0 ; assign VAR198 = VAR14[9] ; assign VAR119 = VAR25 ; assign VAR142 = VAR124 ; assign VAR133 = VAR220 ; assign VAR51 = VAR144 ; assign VAR322 = VAR130 && !VAR20 ; assign VAR273 = VAR202 ; assign VAR244 = VAR220 ; assign VAR313 = { VAR21, VAR49, VAR320, VAR151, VAR74, VAR120, VAR70, VAR56, VAR48, VAR269 } ; assign VAR67 = VAR62 && VAR131 ; assign VAR121 = VAR156 ; assign VAR325 = VAR220 ; assign VAR8 = { VAR155[108:93], VAR246, VAR71, 1'd1 } ; assign VAR223 = VAR156 ; assign VAR54 = VAR168 && VAR211 ; assign VAR257 = VAR220 ; assign VAR197 = { VAR162, VAR122, VAR262, VAR263, VAR341, VAR293, VAR261, VAR46, VAR27, VAR72 } ; assign VAR104 = VAR103 && VAR326 ; assign VAR267 = VAR207 ; assign VAR181 = VAR220 ; assign VAR283 = { VAR113, VAR33, VAR167 } ; assign VAR295 = VAR284 && VAR268 ; assign VAR6 = VAR207 ; assign VAR266 = VAR220 ; assign VAR158 = { VAR235[108:93], VAR112 } ; assign VAR184 = VAR207 ; assign VAR42 = VAR333 && VAR287 ; assign VAR182 = VAR220 ; assign VAR16 = VAR294 > 4'd1 ; assign VAR178 = !VAR107 && (VAR34 == 64'h0000000000004000 || VAR34 == 64'h0000000000004004) && VAR16 ; assign VAR3 = {8{VAR14[1]}} ; assign VAR94 = {8{VAR14[2]}} ; assign VAR150 = {8{VAR14[3]}} ; assign VAR190 = {8{VAR14[4]}} ; assign VAR138 = {8{VAR14[5]}} ; assign VAR80 = {8{VAR14[6]}} ; assign VAR210 = {8{VAR14[7]}} ; assign VAR340 = {8{VAR14[8]}} ; assign VAR303 = VAR155[92:29] - VAR1 ; assign VAR34 = VAR235[92:29] - VAR1 ; assign VAR174 = { VAR340, VAR210, VAR80, VAR138, VAR190, VAR150, VAR94, VAR3 } ; assign VAR189 = VAR22 | VAR227 ; assign VAR224 = VAR221 | VAR227 ; assign VAR76 = VAR254 ? VAR237 : VAR127 ; assign VAR338 = { 63'd0, VAR23 } ; assign VAR10 = VAR23 == VAR14[9] ; assign VAR20 = VAR144 == VAR206 ; assign VAR71 = VAR251 ? 2'b11 : VAR316 ; assign VAR251 = VAR155[92:29] < VAR1 ; assign VAR107 = VAR235[92:29] < VAR1 ; assign VAR213 = (VAR34 == 64'h0000000000004000 || VAR34 == 64'h0000000000004004) && VAR224 > VAR76 && VAR271 > 64'h0000000100000000 ; assign VAR335 = VAR5 && VAR39 && VAR286 && (VAR107 || VAR34 != 64'h0 || VAR10 || VAR85) ; assign VAR112 = VAR107 ? 2'b11 : VAR277 ; assign VAR246 = VAR251 ? 64'd0 : VAR297 ; assign VAR221 = VAR47 & VAR339 ; assign VAR271 = VAR224 - VAR76 ; assign VAR22 = VAR76 & VAR339 ; assign VAR227 = VAR14[72:9] & VAR174 ; assign VAR339 = { ~VAR340, ~VAR210, ~VAR80, ~VAR138, ~VAR190, ~VAR150, ~VAR94, ~VAR3 } ; always@(VAR303) begin case (VAR303) 64'h0, 64'h0000000000000004, 64'h0000000000004000, 64'h0000000000004004, 64'h000000000000BFF8, 64'h000000000000BFFC: VAR316 = 2'b0; default: VAR316 = 2'b11; endcase end always@(VAR303 or VAR338 or VAR47 or VAR127) begin case (VAR303) 64'h0: VAR297 = VAR338; 64'h0000000000000004: VAR297 = 64'd0; 64'h0000000000004000, 64'h0000000000004004: VAR297 = VAR47; 64'h000000000000BFF8, 64'h000000000000BFFC: VAR297 = VAR127; default: VAR297 = 64'd0; endcase end always@(VAR34) begin case (VAR34) 64'h0, 64'h0000000000000004, 64'h0000000000004000, 64'h0000000000004004, 64'h000000000000BFF8, 64'h000000000000BFFC: VAR277 = 2'b0; default: VAR277 = 2'b11; endcase end always@(posedge VAR108) begin if (VAR125 == VAR45) begin VAR294 <= VAR194 4'd0; VAR127 <= VAR194 64'd0; VAR47 <= VAR194 64'hFFFFFFFFFFFFFFFF; VAR144 <= VAR194 1'd0; VAR206 <= VAR194 1'd0; VAR36 <= VAR194 1'd0; end else begin if (VAR274) VAR294 <= VAR194 VAR147; if (VAR187) VAR127 <= VAR194 VAR342; if (VAR69) VAR47 <= VAR194 VAR334; if (VAR328) VAR144 <= VAR194 VAR84; if (VAR164) VAR206 <= VAR194 VAR247; if (VAR96) VAR36 <= VAR194 VAR276; end if (VAR245) VAR1 <= VAR194 VAR135; if (VAR137) VAR236 <= VAR194 VAR298; if (VAR148) VAR23 <= VAR194 VAR215; end begin VAR294 = 4'hA; VAR127 = 64'hAAAAAAAAAAAAAAAA; VAR47 = 64'hAAAAAAAAAAAAAAAA; VAR1 = 64'hAAAAAAAAAAAAAAAA; VAR236 = 64'hAAAAAAAAAAAAAAAA; VAR23 = 1'h0; VAR144 = 1'h0; VAR206 = 1'h0; VAR36 = 1'h0; end always@(negedge VAR108) begin if (VAR125 != VAR45) if (VAR202 && VAR16) begin VAR38 = VAR141; end VAR310 = VAR38 / 32'd10; if (VAR125 != VAR45) if (VAR202 && VAR16) VAR259("%0d: VAR175: VAR241: %VAR234", VAR310, VAR299); if (VAR125 != VAR45) if (VAR124 && VAR16) begin VAR116 = VAR141; end VAR319 = VAR116 / 32'd10; if (VAR125 != VAR45) if (VAR124 && VAR16) VAR259("%0d: VAR175: VAR301: %VAR234", VAR319, VAR238); if (VAR125 != VAR45) if (VAR130 && !VAR20 && VAR16) begin VAR88 = VAR141; end VAR91 = VAR88 / 32'd10; if (VAR125 != VAR45) if (VAR130 && !VAR20 && VAR16) VAR259("%0d: VAR175.VAR240: VAR144 VAR195 VAR279 %0d", VAR91, VAR144); if (VAR125 != VAR45) if (VAR77 && VAR294 != 4'd0) begin VAR82 = VAR141; end VAR134 = VAR82 / 32'd10; if (VAR125 != VAR45) if (VAR77 && VAR294 != 4'd0) if (VAR125 != VAR45) if (VAR66 && VAR16) begin VAR43 = VAR141; end VAR288 = VAR43 / 32'd10; if (VAR125 != VAR45) if (VAR66 && VAR16) if (VAR125 != VAR45) if (VAR66 && VAR16) (" "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("VAR169 { ", "VAR304: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[108:93]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR59: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[92:29]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR97: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[28:21]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR58: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[20:18]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR57: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[17:16]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR165: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[15]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR305: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[14:11]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR75: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[10:8]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR330: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[7:4]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR256: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[3:0]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR126: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR66 && VAR16) ("\VAR166"); if (VAR125 != VAR45) if (VAR66 && VAR251) begin VAR95 = VAR141; end VAR30 = VAR95 / 32'd10; if (VAR125 != VAR45) if (VAR66 && VAR251) VAR259("%0d: VAR170: VAR175.VAR149: VAR205 addr", VAR30); if (VAR125 != VAR45) if (VAR66 && VAR251) (" "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("VAR169 { ", "VAR304: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[108:93]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR59: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[92:29]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR97: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[28:21]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR58: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[20:18]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR57: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[17:16]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR165: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[15]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR305: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[14:11]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR75: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[10:8]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR330: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[7:4]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR256: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", VAR155[3:0]); if (VAR125 != VAR45) if (VAR66 && VAR251) (", ", "VAR126: "); if (VAR125 != VAR45) if (VAR66 && VAR251) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR66 && VAR251) ("\VAR166"); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) begin VAR239 = VAR141; end VAR270 = VAR239 / 32'd10; if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) VAR259("%0d: VAR170: VAR175.VAR149: VAR205 addr", VAR270); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (" "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("VAR169 { ", "VAR304: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[108:93]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR59: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[92:29]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR97: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[28:21]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR58: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[20:18]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR57: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[17:16]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR165: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[15]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR305: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[14:11]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR75: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[10:8]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR330: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[7:4]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR256: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR155[3:0]); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) (", ", "VAR126: "); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR66 && (VAR251 || VAR303 != 64'h0 && VAR303 != 64'h0000000000004000 && VAR303 != 64'h000000000000BFF8 && VAR303 != 64'h0000000000000004 && VAR303 != 64'h0000000000004004 && VAR303 != 64'h000000000000BFFC)) ("\VAR166"); if (VAR125 != VAR45) if (VAR66 && VAR16) (" "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("VAR159 { ", "VAR101: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR155[108:93]); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR115: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR246); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR253: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", VAR71); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR323: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("VAR81"); if (VAR125 != VAR45) if (VAR66 && VAR16) (", ", "VAR29: "); if (VAR125 != VAR45) if (VAR66 && VAR16) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR66 && VAR16) ("\VAR166"); if (VAR125 != VAR45) if (VAR329 && VAR16) begin VAR226 = VAR141; end VAR136 = VAR226 / 32'd10; if (VAR125 != VAR45) if (VAR329 && VAR16) if (VAR125 != VAR45) if (VAR329 && VAR16) (" "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("VAR161 { ", "VAR160: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[108:93]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR79: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[92:29]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR129: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[28:21]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR89: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[20:18]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR233: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[17:16]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR106: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[15]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR186: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[14:11]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR87: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[10:8]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR40: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[7:4]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR291: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[3:0]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR152: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR329 && VAR16) ("\VAR166"); if (VAR125 != VAR45) if (VAR329 && VAR16) (" "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("VAR61 { ", "VAR258: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR14[72:9]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR188: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR14[8:1]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR260: "); if (VAR125 != VAR45) if (VAR329 && VAR16 && VAR14[0]) ("VAR81"); if (VAR125 != VAR45) if (VAR329 && VAR16 && !VAR14[0]) ("VAR83"); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR86: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR329 && VAR16) ("\VAR166"); if (VAR125 != VAR45) if (VAR329 && VAR107) begin VAR153 = VAR141; end VAR315 = VAR153 / 32'd10; if (VAR125 != VAR45) if (VAR329 && VAR107) VAR259("%0d: VAR170: VAR175.VAR243: VAR205 addr", VAR315); if (VAR125 != VAR45) if (VAR329 && VAR107) (" "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("VAR161 { ", "VAR160: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[108:93]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR79: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[92:29]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR129: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[28:21]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR89: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[20:18]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR233: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[17:16]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR106: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[15]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR186: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[14:11]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR87: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[10:8]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR40: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[7:4]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR291: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR235[3:0]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR152: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR329 && VAR107) ("\VAR166"); if (VAR125 != VAR45) if (VAR329 && VAR107) (" "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("VAR61 { ", "VAR258: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR14[72:9]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR188: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", VAR14[8:1]); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR260: "); if (VAR125 != VAR45) if (VAR329 && VAR107 && VAR14[0]) ("VAR81"); if (VAR125 != VAR45) if (VAR329 && VAR107 && !VAR14[0]) ("VAR83"); if (VAR125 != VAR45) if (VAR329 && VAR107) (", ", "VAR86: "); if (VAR125 != VAR45) if (VAR329 && VAR107) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR329 && VAR107) ("\VAR166"); if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 == 64'h0 && !VAR10 && VAR16) if (VAR125 != VAR45) if (VAR329 && VAR178) if (VAR125 != VAR45) if (VAR329 && VAR178) if (VAR125 != VAR45) if (VAR329 && VAR178) if (VAR125 != VAR45) if (VAR329 && VAR178) if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR213) begin VAR31 = VAR141; end VAR12 = VAR31 / 32'd10; if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR213) VAR259("%0d: VAR90: VAR307 large VAR17 VAR204: VAR37 %0d VAR249 %0d", VAR12, VAR76, VAR224); if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 == 64'h000000000000BFF8 && VAR16) if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 == 64'h000000000000BFF8 && VAR16) if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 == 64'h000000000000BFF8 && VAR16) if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 == 64'h000000000000BFFC && VAR16) if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 == 64'h000000000000BFFC && VAR16) if (VAR125 != VAR45) if (VAR329 && !VAR107 && VAR34 == 64'h000000000000BFFC && VAR16) if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) begin VAR117 = VAR141; end VAR60 = VAR117 / 32'd10; if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) VAR259("%0d: VAR170: VAR175.VAR243: VAR205 addr", VAR60); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (" "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("VAR161 { ", "VAR160: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[108:93]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR79: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[92:29]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR129: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[28:21]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR89: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[20:18]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR233: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[17:16]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR106: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[15]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR186: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[14:11]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR87: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[10:8]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR40: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[7:4]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR291: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR235[3:0]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR152: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("\VAR166"); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (" "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("VAR61 { ", "VAR258: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR14[72:9]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR188: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", VAR14[8:1]); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR260: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC) && VAR14[0]) ("VAR81"); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC) && !VAR14[0]) ("VAR83"); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) (", ", "VAR86: "); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR329 && (VAR107 || VAR34 != 64'h0 && VAR34 != 64'h0000000000000004 && VAR34 != 64'h0000000000004000 && VAR34 != 64'h0000000000004004 && VAR34 != 64'h000000000000BFF8 && VAR34 != 64'h000000000000BFFC)) ("\VAR166"); if (VAR125 != VAR45) if (VAR329 && VAR16) (" "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("VAR250 { ", "VAR214: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR235[108:93]); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR93: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", VAR112); if (VAR125 != VAR45) if (VAR329 && VAR16) (", ", "VAR248: "); if (VAR125 != VAR45) if (VAR329 && VAR16) ("'VAR228%VAR228", 1'd0, " }"); if (VAR125 != VAR45) if (VAR329 && VAR16) ("\VAR166"); if (VAR125 != VAR45) if (VAR35 && VAR19[1:0] != 2'd0) begin VAR317 = VAR141; end VAR44 = VAR317 / 32'd10; if (VAR125 != VAR45) if (VAR35 && VAR19[1:0] != 2'd0) VAR259("%0d: VAR90: VAR175.VAR265: VAR199 0x%0h VAR255 not 4-VAR53-VAR154", VAR44, VAR19); if (VAR125 != VAR45) if (VAR35 && VAR172[1:0] != 2'd0) begin VAR272 = VAR141; end VAR296 = VAR272 / 32'd10; if (VAR125 != VAR45) if (VAR35 && VAR172[1:0] != 2'd0) VAR259("%0d: VAR90: VAR175.VAR265: VAR312 0x%0h VAR255 not 4-VAR53-VAR154", VAR296, VAR172); if (VAR125 != VAR45) if (VAR35) begin VAR311 = VAR141; end VAR9 = VAR311 / 32'd10; if (VAR125 != VAR45) if (VAR35) VAR259("%0d: VAR175.VAR265: VAR199 0x%0h VAR312 0x%0h", VAR9, VAR19, VAR172); end endmodule
apache-2.0
natsutan/NPU
fpga_implement/npu8/npu8.srcs/sources_1/ip/mult_17x16/mult_17x16_stub.v
1,266
module MODULE1(VAR3, VAR4, VAR1, VAR2) ; input VAR3; input [16:0]VAR4; input [15:0]VAR1; output [24:0]VAR2; endmodule
bsd-3-clause
alexforencich/verilog-axis
rtl/axis_srl_register.v
5,085
module MODULE1 # ( parameter VAR23 = 8, parameter VAR24 = (VAR23>8), parameter VAR16 = ((VAR23+7)/8), parameter VAR5 = 1, parameter VAR36 = 0, parameter VAR1 = 8, parameter VAR28 = 0, parameter VAR22 = 8, parameter VAR35 = 1, parameter VAR32 = 1 ) ( input wire clk, input wire rst, input wire [VAR23-1:0] VAR18, input wire [VAR16-1:0] VAR14, input wire VAR39, output wire VAR25, input wire VAR6, input wire [VAR1-1:0] VAR8, input wire [VAR22-1:0] VAR19, input wire [VAR32-1:0] VAR21, output wire [VAR23-1:0] VAR7, output wire [VAR16-1:0] VAR34, output wire VAR15, input wire VAR10, output wire VAR37, output wire [VAR1-1:0] VAR26, output wire [VAR22-1:0] VAR3, output wire [VAR32-1:0] VAR4 ); localparam VAR17 = VAR23; localparam VAR20 = VAR17 + (VAR24 ? VAR16 : 0); localparam VAR9 = VAR20 + (VAR5 ? 1 : 0); localparam VAR2 = VAR9 + (VAR36 ? VAR1 : 0); localparam VAR29 = VAR2 + (VAR28 ? VAR22 : 0); localparam VAR12 = VAR29 + (VAR35 ? VAR32 : 0); reg [VAR12-1:0] VAR13[1:0]; reg VAR33[1:0]; reg VAR27 = 0; reg VAR11 = 0; wire [VAR12-1:0] VAR38; wire [VAR12-1:0] VAR30 = VAR13[VAR27]; assign VAR25 = !VAR11; generate assign VAR38[VAR23-1:0] = VAR18; if (VAR24) assign VAR38[VAR17 +: VAR16] = VAR14; if (VAR5) assign VAR38[VAR20] = VAR6; if (VAR36) assign VAR38[VAR9 +: VAR1] = VAR8; if (VAR28) assign VAR38[VAR2 +: VAR22] = VAR19; if (VAR35) assign VAR38[VAR29 +: VAR32] = VAR21; endgenerate assign VAR15 = VAR33[VAR27]; assign VAR7 = VAR30[VAR23-1:0]; assign VAR34 = VAR24 ? VAR30[VAR17 +: VAR16] : {VAR16{1'b1}}; assign VAR37 = VAR5 ? VAR30[VAR20] : 1'b1; assign VAR26 = VAR36 ? VAR30[VAR9 +: VAR1] : {VAR1{1'b0}}; assign VAR3 = VAR28 ? VAR30[VAR2 +: VAR22] : {VAR22{1'b0}}; assign VAR4 = VAR35 ? VAR30[VAR29 +: VAR32] : {VAR32{1'b0}}; integer VAR31;
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o32a/sky130_fd_sc_ms__o32a.pp.blackbox.v
1,417
module MODULE1 ( VAR4 , VAR2 , VAR6 , VAR5 , VAR10 , VAR1 , VAR8, VAR7, VAR9 , VAR3 ); output VAR4 ; input VAR2 ; input VAR6 ; input VAR5 ; input VAR10 ; input VAR1 ; input VAR8; input VAR7; input VAR9 ; input VAR3 ; endmodule
apache-2.0
olgirard/openmsp430
core/synthesis/altera/src/megawizard/cyclone3_pmem.v
7,637
module MODULE1 ( address, VAR23, VAR24, VAR19, VAR1, VAR55, VAR38); input [11:0] address; input [1:0] VAR23; input VAR24; input VAR19; input [15:0] VAR1; input VAR55; output [15:0] VAR38; tri1 [1:0] VAR23; tri1 VAR24; tri1 VAR19; wire [15:0] VAR35; wire [15:0] VAR38 = VAR35[15:0]; VAR4 VAR25 ( .VAR13 (VAR24), .VAR42 (VAR55), .VAR3 (VAR19), .VAR48 (VAR23), .VAR36 (address), .VAR15 (VAR1), .VAR33 (VAR35), .VAR43 (1'b0), .VAR26 (1'b0), .VAR52 (1'b1), .VAR37 (1'b0), .VAR41 (1'b0), .VAR5 (1'b1), .VAR50 (1'b1), .VAR18 (1'b1), .VAR47 (1'b1), .VAR54 (1'b1), .VAR44 (1'b1), .VAR17 (), .VAR53 (), .VAR56 (1'b1), .VAR49 (1'b1), .VAR30 (1'b0)); VAR25.VAR34 = 8, VAR25.VAR51 = "VAR28", VAR25.VAR7 = "VAR14", VAR25.VAR22 = "VAR27 VAR29", VAR25.VAR32 = "VAR21=VAR45", VAR25.VAR2 = "VAR4", VAR25.VAR6 = 4096, VAR25.VAR57 = "VAR11", VAR25.VAR40 = "VAR9", VAR25.VAR58 = "VAR46", VAR25.VAR20 = "VAR39", VAR25.VAR16 = "VAR12", VAR25.VAR10 = 12, VAR25.VAR8 = 16, VAR25.VAR31 = 2; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sedfxbp/sky130_fd_sc_hs__sedfxbp.pp.blackbox.v
1,402
module MODULE1 ( VAR8 , VAR9 , VAR5 , VAR1 , VAR3 , VAR2 , VAR7 , VAR6, VAR4 ); output VAR8 ; output VAR9 ; input VAR5 ; input VAR1 ; input VAR3 ; input VAR2 ; input VAR7 ; input VAR6; input VAR4; endmodule
apache-2.0
kernelpanics/Grad
CORDIC-Natural-Logarithm/Verilog/UART/Mux_8x1.v
1,226
module MODULE1( input wire [2:0] select, input wire [7:0] VAR9, input wire [7:0] VAR8, input wire [7:0] VAR3, input wire [7:0] VAR4, input wire [7:0] VAR6, input wire [7:0] VAR1, input wire [7:0] VAR7, input wire [7:0] VAR2, output reg [7:0] VAR5 ); always @* begin case(select) 3'b111: VAR5 = VAR9; 3'b110: VAR5 = VAR8; 3'b101: VAR5 = VAR3; 3'b100: VAR5 = VAR4; 3'b011: VAR5 = VAR6; 3'b010: VAR5 = VAR1; 3'b001: VAR5 = VAR7; 3'b000: VAR5 = VAR2; default : VAR5 = VAR9; endcase end endmodule
gpl-3.0
rkrajnc/minimig-de1
rtl/or1200/or1200_spram_64x22.v
8,917
module MODULE1( VAR4, VAR2, VAR24, clk, rst, VAR34, VAR7, VAR43, addr, VAR21, VAR9 ); parameter VAR36 = 6; parameter VAR48 = 22; input VAR4; input [VAR35 - 1:0] VAR24; output VAR2; input clk; input rst; input VAR34; input VAR7; input VAR43; input [VAR36-1:0] addr; input [VAR48-1:0] VAR21; output [VAR48-1:0] VAR9; wire [9:0] VAR25; assign VAR2 = VAR4; VAR33 #(VAR48, 1<<VAR36, VAR36) VAR5( VAR39 VAR5( VAR33 VAR5( .VAR4(VAR4), .VAR2(VAR2), .VAR24(VAR24), .VAR42(clk), .VAR29(~VAR34), .VAR1(~VAR7), .VAR20(addr), .VAR38(VAR21), .VAR40(~VAR43), .VAR27(VAR9) ); VAR41 VAR41( .VAR13(~VAR7), .VAR10(), .VAR37(~VAR43), .VAR19(), .VAR44(), .VAR18(addr), .VAR32(addr), .VAR21(VAR21), .VAR9(VAR9) ); VAR17 VAR17( .clk(clk), .VAR28(addr), .VAR16(VAR21), .VAR7(VAR7), .VAR43(VAR43), .VAR49(VAR34), .VAR15(VAR9) ); VAR45 #(1<<VAR36, VAR36-1, VAR48-1) VAR3( VAR46 VAR3( VAR45 VAR3( .VAR4(VAR4), .VAR2(VAR2), .VAR24(VAR24), .VAR12(clk), .VAR47(addr), .VAR26(VAR21), .VAR1(~VAR7), .VAR29(~VAR34), .VAR40(~VAR43), .VAR6(VAR9) ); VAR22 VAR14( .VAR42(clk), .VAR50(rst), .VAR31({2'b00, addr}), .VAR26(VAR21[15:0]), .VAR30(VAR34), .VAR11(VAR7), .VAR23(VAR9[15:0]) ); VAR22 VAR8( .VAR42(clk), .VAR50(rst), .VAR31({2'b00, addr}), .VAR26({VAR25, VAR21[21:16]}), .VAR30(VAR34), .VAR11(VAR7), .VAR23({VAR25, VAR9[21:16]}) ); wire wr; assign wr = VAR34 & VAR7;
gpl-3.0
ChrisPVille/RL02
FPGA/top_sch.v
8,762
module MODULE1(VAR66, VAR12, VAR29, VAR128, VAR135, VAR75, VAR51, VAR17, VAR42, VAR101, VAR27, VAR77, VAR111, VAR107, VAR134, VAR65, VAR62, VAR33, VAR124, VAR103, VAR126, VAR10, VAR97, VAR40, VAR52, VAR73); input VAR66; input VAR12; input VAR29; input VAR128; input VAR135; input VAR75; input VAR51; input VAR126; output VAR42; output VAR101; output VAR27; output VAR77; output VAR111; output VAR107; output VAR124; output VAR103; output VAR62; output VAR33; output VAR10; output VAR17; output VAR97; output VAR40; output VAR52; output VAR73; output VAR134; output VAR65; wire VAR88; wire VAR21; wire VAR8; wire VAR67; wire [2:0] VAR109; wire VAR99; wire VAR127; wire VAR117; wire VAR18; wire VAR5; wire VAR20; wire VAR6; wire VAR23; wire [8:0] VAR68; wire [5:0] VAR61; wire VAR50; wire VAR74; wire VAR13; wire VAR85; wire VAR58; wire VAR106; wire [16:0] VAR59; wire VAR48; wire VAR96; wire VAR54; wire VAR70; wire VAR128; wire VAR41; wire VAR37; wire [15:0] VAR86; wire [16:0] VAR69; wire [15:0] VAR94; wire VAR45; assign VAR17 = VAR69[16]; VAR15 VAR119 (.din(VAR86), .clk(VAR66), .VAR32(VAR58), .VAR34(VAR128), .VAR39(VAR37), .dout(VAR94), .VAR125(VAR10), .VAR110(), .VAR64(VAR73)); VAR129 VAR14 (.din(VAR59), .VAR32(VAR37), .VAR34(VAR54), .clk(VAR66), .VAR39(VAR48), .dout(VAR69), .VAR125(VAR97), .VAR110(), .VAR123(VAR41)); VAR113 VAR114 (.clk(VAR66), .rst(VAR128), .VAR36(VAR75), .VAR30(VAR51), .VAR104(VAR40), .VAR3(VAR45), .VAR122(VAR37), .din(VAR69[15:0]), .dout(VAR86)); VAR57 VAR78 (.VAR2(VAR128), .VAR24(VAR96), .VAR92(VAR54)); VAR83 VAR43 (.clk(VAR66), .VAR109(VAR109[2:0]), .VAR99(VAR99), .VAR127(VAR127), .rst(VAR54), .VAR52(VAR52), .VAR68(VAR68[8:0]), .VAR13(VAR13), .VAR74(VAR74), .VAR50(VAR50), .VAR61(VAR61[5:0]), .VAR85(VAR85)); VAR81 VAR76 (.clk(VAR66), .VAR88(VAR88), .VAR21(VAR21), .VAR123(VAR41), .rst(VAR54), .VAR71(VAR71), .VAR91(VAR59), .VAR136(VAR48), .VAR109(VAR109[2:0]), .VAR95(VAR99), .VAR79(VAR127), .VAR8(VAR8), .VAR70(VAR70)); VAR44 VAR84 (.clk(VAR66), .VAR7(VAR67), .VAR16(VAR23), .rst(VAR128), .VAR8(VAR8), .VAR88(VAR88), .VAR21(VAR21)); VAR22 VAR63 (.clk(VAR66), .VAR53(VAR23), .rst(VAR128), .VAR49(VAR67)); VAR90 VAR112 (.VAR19(VAR12), .clk(VAR66), .rst(VAR128), .VAR28(VAR23)); VAR90 VAR9 (.VAR19(VAR29), .clk(VAR66), .rst(VAR128), .VAR28(VAR71)); VAR90 VAR31 (.VAR19(VAR126), .clk(VAR66), .rst(VAR128), .VAR28(VAR115)); VAR90 VAR25 (.VAR19(VAR135), .clk(VAR66), .rst(VAR128), .VAR28(VAR45)); VAR87 VAR118 (.clk(VAR66), .VAR131(VAR68[8:0]), .VAR133(VAR13), .VAR89(VAR74), .VAR130(VAR50), .rst(VAR128), .VAR1(VAR61[5:0]), .VAR38(VAR85), .VAR47(VAR71), .VAR94(VAR94), .VAR108(VAR10), .VAR106(VAR106), .VAR58(VAR58), .VAR96(VAR96), .VAR5(VAR5), .VAR6(VAR6), .VAR126(VAR115), .VAR20(VAR20), .VAR70(VAR70), .VAR72(VAR73)); VAR100 VAR80 (.VAR4(VAR117)); VAR100 VAR132 (.VAR4(VAR18)); VAR102 #(.VAR35(16)) VAR46 (.VAR82(VAR117), .VAR92(VAR77)); VAR102 #(.VAR35(16)) VAR93 (.VAR82(~VAR117), .VAR92(VAR27)); VAR102 #(.VAR35(16)) VAR26 (.VAR82(VAR18), .VAR92(VAR107)); VAR102 #(.VAR35(16)) VAR120 (.VAR82(~VAR18), .VAR92(VAR111)); VAR102 #(.VAR35(16)) VAR11 (.VAR82(VAR5), .VAR92(VAR124)); VAR102 #(.VAR35(16)) VAR98 (.VAR82(~VAR5), .VAR92(VAR103)); VAR102 #(.VAR35(16)) VAR60 (.VAR82(VAR6), .VAR92(VAR62)); VAR102 #(.VAR35(16)) VAR105 (.VAR82(~VAR6), .VAR92(VAR33)); VAR102 #(.VAR35(16)) VAR121 (.VAR82(VAR20), .VAR92(VAR134)); VAR102 #(.VAR35(16)) VAR116 (.VAR82(~VAR20), .VAR92(VAR65)); VAR102 #(.VAR35(16)) VAR55 (.VAR82(VAR106), .VAR92(VAR101)); VAR102 #(.VAR35(16)) VAR56 (.VAR82(~VAR106), .VAR92(VAR42)); endmodule
gpl-3.0
google/myelin-acorn-electron-hardware
master_updateable_megarom/cpld/master_updateable_megarom.v
6,316
module MODULE1( inout wire [7:0] VAR13, input wire [16:0] VAR6, output wire [18:0] VAR17, output wire VAR21, output wire VAR5, input wire VAR10, input wire VAR24, input wire VAR11, output reg VAR19, input wire [1:0] VAR8 ); assign VAR1 = VAR10; reg VAR2 = 1'b0; reg [1:0] VAR23 = 2'b0; wire VAR16; wire VAR22; reg [18:0] VAR20 = 19'b0; reg [7:0] VAR4 = 8'b0; reg VAR9 = 1'b1; wire VAR25; reg VAR18 = 1'b0; wire VAR12; wire VAR7; reg VAR15 = 1'b0; reg VAR3 = 1'b0; reg [4:0] VAR14 = 5'b0; assign VAR25 = VAR9; assign VAR17 = (VAR25 == 1'b1) ? (VAR2 ? {VAR23, VAR6} : {VAR23, VAR16, VAR6[15:0]}) : VAR20; assign VAR16 = VAR8[0]; assign VAR22 = VAR2 ? 1'b0 : (VAR8[0] && VAR8[1]); assign VAR12 = VAR18 && VAR15; assign VAR21 = !((VAR25 && !VAR22 && !VAR6[16]) || VAR12); assign VAR7 = VAR18 && !VAR15; assign VAR5 = !(!VAR25 && VAR7); assign VAR13 = (VAR25 == 1'b0 && (VAR3 == 1'b1 && VAR15 == 1'b0)) ? VAR4 : 8'VAR26; always @(posedge VAR1 or posedge VAR11) begin if (VAR11 == 1'b1) begin VAR18 <= 1'b0; VAR3 <= 1'b0; VAR14 <= 6'b000000; end else begin if (VAR14 < 19) begin VAR20 <= {VAR20[17:0], VAR24}; end else if (VAR14 == 19) begin VAR15 <= VAR24; VAR9 <= 1'b0; end else if (VAR15 == 1'b1) begin if (VAR14 == 20) begin VAR18 <= 1'b1; end else if (VAR14 == 23) begin VAR18 <= 1'b0; VAR4 <= VAR13; end else if (VAR14 >= 24) begin VAR4 <= {VAR4[6:0], 1'b0}; end end else if (VAR15 == 1'b0) begin if (VAR14 < 28) begin VAR4 <= {VAR4[6:0], VAR24}; VAR3 <= 1'b1; end if (VAR14 == 28) begin VAR18 <= 1'b1; end if (VAR14 == 30) begin VAR18 <= 1'b0; end end if (VAR14 == 31) begin VAR3 <= 1'b0; VAR9 <= VAR24; end VAR14 <= VAR14 + 1; end end always @(negedge VAR1) begin if (VAR14 < 19) begin VAR19 <= VAR14[0]; end else begin VAR19 <= VAR4[7]; end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkdlybuf4s18/sky130_fd_sc_hd__clkdlybuf4s18.pp.blackbox.v
1,343
module MODULE1 ( VAR2 , VAR3 , VAR5, VAR4, VAR6 , VAR1 ); output VAR2 ; input VAR3 ; input VAR5; input VAR4; input VAR6 ; input VAR1 ; endmodule
apache-2.0
lvd2/ngs
fpga/obsolete/fpgaF_dma2/dma/dma_sequencer2.v
6,367
module MODULE1( input wire clk, input wire VAR21, input wire VAR24, input wire VAR18, input wire VAR14, input wire VAR53, input wire [20:0] VAR61, input wire [20:0] VAR29, input wire [20:0] VAR50, input wire [20:0] VAR31, input wire VAR6, input wire VAR11, input wire VAR1, input wire VAR49, input wire [7:0] VAR4, input wire [7:0] VAR19, input wire [7:0] VAR9, input wire [7:0] VAR40, output wire VAR46, output wire VAR60, output wire VAR13, output wire VAR30, output wire VAR38, output wire VAR2, output wire VAR23, output wire VAR25, output wire [7:0] rd, output wire VAR55, output wire [20:0] VAR33, output wire VAR57, output wire [7:0] VAR56, input wire [7:0] VAR43, input wire VAR15, input wire VAR32 ); localparam VAR44 = 4; wire [VAR44-1:0] VAR26; wire [20:0] VAR5 [0:VAR44-1]; wire [VAR44-1:0] VAR36; wire [7:0] VAR34 [0:VAR44-1]; wire [VAR44-1:0] VAR17; wire [VAR44-1:0] VAR7; assign VAR26[VAR44-1:0] = {VAR53,VAR14,VAR18,VAR24}; assign VAR5[0] = VAR61; assign VAR5[1] = VAR29; assign VAR5[2] = VAR50; assign VAR5[3] = VAR31; assign VAR36[VAR44-1:0] = {VAR49,VAR1,VAR11,VAR6}; assign VAR34[0] = VAR4; assign VAR34[1] = VAR19; assign VAR34[2] = VAR9; assign VAR34[3] = VAR40; assign {VAR30,VAR13,VAR60,VAR46} = VAR17[VAR44-1:0]; assign {VAR25,VAR23,VAR2,VAR38} = VAR7[VAR44-1:0]; reg [VAR44-1:0] VAR3; reg [VAR44-1:0] VAR45; wire [VAR44-1:0] VAR42; reg VAR28; always @(posedge clk, negedge VAR21) begin if( !VAR21 ) VAR28 = 1'b0; end else begin if( !VAR28 ) VAR28 <= |VAR26; end else VAR28 <= VAR55; end end always @(posedge clk, negedge VAR21) begin if( !VAR21 ) begin VAR3 = {VAR44{1'b0}}; end else begin if( (!VAR28) || VAR15 ) begin VAR3 <= VAR42; end end end MODULE3 #( .VAR44(VAR44) ) MODULE3( .VAR26(VAR26), .VAR37( VAR3), .VAR41(VAR42) ); always @(posedge clk, negedge VAR21) begin if( !VAR21 ) begin VAR45 = {VAR44{1'b0}}; end else begin if( VAR15 ) VAR45 <= VAR3; end end wor VAR39; wor [20:0] VAR35; wand VAR8; wor [7:0] VAR16; genvar VAR48; generate for(VAR48=0;VAR48<VAR44;VAR48=VAR48+1) begin : VAR12 assign VAR39 = VAR3[VAR48] & VAR26[VAR48]; assign VAR35 = {21{VAR3[VAR48]}} & VAR5[VAR48]; assign VAR8 = (~VAR3[VAR48]) | VAR36[VAR48]; assign VAR16 = {8{VAR3[VAR48]}} & VAR34[VAR48]; end endgenerate assign VAR55 = VAR39; assign VAR33 = VAR35; assign VAR57 = VAR8; assign VAR56 = VAR16; assign VAR17 = VAR3 & {VAR44{VAR15}}; assign VAR7 = VAR45 & {VAR44{VAR32}}; assign rd = VAR43; endmodule module MODULE3( VAR26, VAR37, VAR41 ); parameter VAR44=4; input wire [VAR44-1:0] VAR26; input wire [VAR44-1:0] VAR37; output wire [VAR44-1:0] VAR41; genvar VAR48; wire [VAR44-1:0] VAR27; wire [VAR44-1:0] VAR20; generate for(VAR48=0;VAR48<VAR44;VAR48=VAR48+1) begin : VAR22 if( VAR48==0 ) MODULE2 MODULE1( .VAR37(VAR37[VAR48]), .req(VAR26[VAR48]), .VAR41(VAR20[VAR48]), .VAR52(VAR27[VAR44-1]), .VAR47(VAR27[VAR48]) ); end else MODULE2 MODULE1( .VAR37(VAR37[VAR48]), .req(VAR26[VAR48]), .VAR41(VAR20[VAR48]), .VAR52(VAR27[VAR48-1]), .VAR47(VAR27[VAR48]) ); end endgenerate wire [VAR44-1:0] VAR54; generate for(VAR48=0;VAR48<VAR44;VAR48=VAR48+1) begin : VAR59 if( VAR48==0 ) begin : VAR58 assign VAR54[0] = VAR26[0]; end else begin : VAR51 assign VAR54[VAR48] = VAR26[VAR48] & ( ~|VAR26[VAR48-1:0] ); end end endgenerate assign VAR41 = ( VAR37 ) ? VAR20 : VAR54; endmodule module MODULE2( input wire VAR37, input wire req, output reg VAR41, input wire VAR52, output reg VAR47 ); always @* begin if( VAR37 ) begin VAR47 = 1'b1; end else begin VAR47 = req ? 1'b0 : VAR52; end end always @* begin VAR41 = req ? VAR52 : 1'b0; end endmodule
gpl-3.0
alexforencich/verilog-ethernet
rtl/eth_mac_mii.v
4,683
module MODULE1 # ( parameter VAR58 = "VAR54", parameter VAR28 = "VAR6", parameter VAR38 = 1, parameter VAR49 = 64 ) ( input wire rst, output wire VAR42, output wire VAR32, output wire VAR35, output wire VAR21, input wire [7:0] VAR36, input wire VAR48, output wire VAR23, input wire VAR10, input wire VAR62, output wire [7:0] VAR46, output wire VAR43, output wire VAR5, output wire VAR56, input wire VAR63, input wire [3:0] VAR19, input wire VAR3, input wire VAR45, input wire VAR25, output wire [3:0] VAR11, output wire VAR55, output wire VAR30, output wire VAR15, output wire VAR47, output wire VAR40, output wire VAR39, output wire VAR24, input wire [7:0] VAR29 ); wire [3:0] VAR53; wire VAR41; wire VAR64; wire [3:0] VAR51; wire VAR4; wire VAR59; VAR57 #( .VAR58(VAR58), .VAR28(VAR28) ) VAR2 ( .rst(rst), .VAR8(VAR42), .VAR9(VAR32), .VAR53(VAR53), .VAR41(VAR41), .VAR64(VAR64), .VAR22(VAR35), .VAR18(VAR21), .VAR51(VAR51), .VAR4(VAR4), .VAR59(VAR59), .VAR7(VAR63), .VAR44(VAR19), .VAR1(VAR3), .VAR52(VAR45), .VAR50(VAR25), .VAR60(VAR11), .VAR61(VAR55), .VAR31(VAR30) ); VAR13 #( .VAR38(VAR38), .VAR49(VAR49) ) VAR34 ( .VAR35(VAR35), .VAR21(VAR21), .VAR42(VAR42), .VAR32(VAR32), .VAR36(VAR36), .VAR48(VAR48), .VAR23(VAR23), .VAR10(VAR10), .VAR62(VAR62), .VAR46(VAR46), .VAR43(VAR43), .VAR5(VAR5), .VAR56(VAR56), .VAR12(VAR53), .VAR33(VAR41), .VAR65(VAR64), .VAR20(VAR51), .VAR27(VAR4), .VAR16(VAR59), .VAR17(1'b1), .VAR26(1'b1), .VAR37(1'b1), .VAR14(1'b1), .VAR15(VAR15), .VAR47(VAR47), .VAR40(VAR40), .VAR39(VAR39), .VAR24(VAR24), .VAR29(VAR29) ); endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/util_adcfifo/util_adcfifo.v
8,219
module MODULE1 ( VAR23, VAR21, VAR32, VAR35, VAR4, VAR26, VAR52, VAR49, VAR22, VAR60, VAR55); parameter VAR58 = 256; parameter VAR59 = 64; parameter VAR14 = 1; parameter VAR31 = 10; localparam VAR51 = VAR58/VAR59; localparam VAR39 = (VAR51 == 2) ? (VAR31 - 1) : ((VAR51 == 4) ? (VAR31 - 2) : (VAR31 - 3)); localparam VAR15 = (2**VAR39)-1; input VAR23; input VAR21; input VAR32; input [VAR58-1:0] VAR35; output VAR4; input VAR26; output VAR52; output [VAR59-1:0] VAR49; input VAR22; input VAR60; output [ 3:0] VAR55; reg [ 2:0] VAR3 = 'd0; reg VAR47 = 'd0; reg VAR36 = 'd0; reg VAR50 = 'd0; reg [VAR58-1:0] VAR38 = 'd0; reg [VAR39-1:0] VAR28 = 'd0; reg VAR20 = 'd0; reg [VAR39-1:0] VAR54 = 'd0; reg VAR46 = 'd0; reg [ 2:0] VAR45 = 'd0; reg [VAR39-1:0] VAR40 = 'd0; reg VAR53 = 'd0; reg VAR44 = 'd0; reg [VAR59-1:0] VAR12 = 'd0; reg [VAR31-1:0] VAR13 = 'd0; wire VAR41; wire [VAR31-1:0] VAR25; wire VAR33; wire VAR18; wire [VAR59-1:0] VAR43; assign VAR4 = 1'd0; always @(posedge VAR21 or posedge VAR23) begin if (VAR23 == 1'b1) begin VAR3 <= 'd0; VAR47 <= 'd0; VAR36 <= 'd0; end else begin VAR3 <= {VAR3[1:0], VAR60}; VAR47 <= VAR3[1] & ~VAR3[2]; if (VAR47 == 1'b1) begin VAR36 <= 1'b1; end else if ((VAR28 >= VAR15) || (VAR3[2] == 1'b0)) begin VAR36 <= 1'b0; end end end always @(posedge VAR21 or posedge VAR23) begin if (VAR23 == 1'b1) begin VAR50 <= 'd0; VAR38 <= 'd0; VAR28 <= 'd0; end else begin if (VAR47 == 1'b1) begin VAR50 <= 'd0; VAR38 <= 'd0; VAR28 <= 'd0; end else begin VAR50 <= VAR32 & VAR36; VAR38 <= VAR35; if (VAR50 == 1'b1) begin VAR28 <= VAR28 + 1'b1; end end end end always @(posedge VAR21 or posedge VAR23) begin if (VAR23 == 1'b1) begin VAR20 <= 'd0; VAR54 <= 'd0; end else begin if ((VAR50 == 1'b1) && (VAR28[2:0] == 3'd7)) begin VAR20 <= ~VAR20; VAR54 <= VAR28; end end end assign VAR55 = 4'd0; assign VAR41 = VAR45[2] ^ VAR45[1]; assign VAR25 = (VAR51 == 2) ? {VAR40, 1'd0} : ((VAR51 == 4) ? {VAR40, 2'd0} : {VAR40, 3'd0}); always @(posedge VAR26) begin if (VAR60 == 1'b0) begin VAR46 <= 1'b1; VAR45 <= 'd0; VAR40 <= 'd0; end else begin VAR46 <= 1'b0; VAR45 <= {VAR45[1:0], VAR20}; if (VAR41 == 1'b1) begin VAR40 <= VAR54; end end end assign VAR33 = (VAR14 == 0) ? 1'b1 : VAR22; assign VAR18 = (VAR13 >= VAR25) ? 1'b0 : VAR33; always @(posedge VAR26) begin if (VAR60 == 1'b0) begin VAR53 <= 'd0; VAR44 <= 'd0; VAR12 <= 'd0; VAR13 <= 'd0; end else begin VAR53 <= VAR18; VAR44 <= VAR53; VAR12 <= VAR43; if (VAR18 == 1'b1) begin VAR13 <= VAR13 + 1'b1; end end end VAR11 #( .VAR8 (VAR39), .VAR37 (VAR58), .VAR30 (VAR31), .VAR42 (VAR59)) VAR6 ( .VAR19 (VAR21), .VAR56 (VAR50), .VAR9 (VAR28), .VAR24 (VAR38), .VAR34 (VAR26), .VAR1 (VAR13), .VAR29 (VAR43)); VAR5 #(.VAR27(VAR59)) VAR16 ( .clk (VAR26), .rst (VAR46), .valid (VAR44), .VAR7 (1'd0), .VAR2 (VAR12), .VAR17 (VAR52), .VAR57 (), .VAR48 (VAR49), .VAR10 (VAR22)); endmodule
gpl-3.0
sergev/vak-opensource
hardware/s3esk-openrisc/or1200/or1200_spram_256x21.v
11,081
module MODULE1( VAR48, VAR18, VAR27, clk, rst, VAR43, VAR53, VAR51, addr, VAR11, VAR19 ); parameter VAR12 = 8; parameter VAR15 = 21; input VAR48; input [VAR33 - 1:0] VAR27; output VAR18; input clk; input rst; input VAR43; input VAR53; input VAR51; input [VAR12-1:0] addr; input [VAR15-1:0] VAR11; output [VAR15-1:0] VAR19; wire [10:0] VAR13; wire [10:0] VAR13; assign VAR18 = VAR48; VAR32 #(VAR15, 1<<VAR12, VAR12) VAR5( VAR45 VAR5( VAR32 VAR5( .VAR48(VAR48), .VAR18(VAR18), .VAR27(VAR27), .VAR1(clk), .VAR55(~VAR43), .VAR16(~VAR53), .VAR30(addr), .VAR23(VAR11), .VAR8(~VAR51), .VAR28(VAR19) ); VAR36 VAR36( .VAR40(~VAR53), .VAR50(), .VAR49(~VAR51), .VAR41(), .VAR52(), .VAR21(addr), .VAR42(addr), .VAR11(VAR11), .VAR19(VAR19) ); VAR37 VAR37( .clk(clk), .VAR7(addr), .VAR54(VAR11), .VAR53(VAR53), .VAR51(VAR51), .VAR2(VAR43), .VAR9(VAR19) ); VAR14 #(1<<VAR12, VAR12-1, VAR15-1) VAR6( VAR38 VAR6( VAR14 VAR6( .VAR48(VAR48), .VAR18(VAR18), .VAR27(VAR27), .VAR17(clk), .VAR47(addr), .VAR31(VAR11), .VAR16(~VAR53), .VAR55(~VAR43), .VAR8(~VAR51), .VAR20(VAR19) ); VAR44 VAR26( .VAR1(clk), .VAR46(rst), .VAR39(addr), .VAR31(VAR11[15:0]), .VAR10(VAR43), .VAR25(VAR53), .VAR22(VAR19[15:0]) ); VAR44 VAR3( .VAR1(clk), .VAR46(rst), .VAR39(addr), .VAR31({11'b00000000000, VAR11[20:16]}), .VAR10(VAR43), .VAR25(VAR53), .VAR22({VAR13, VAR19[20:16]}) ); VAR4 VAR29( .VAR1(clk), .VAR24(rst), .VAR39({1'b0,addr}), .VAR31({11'b00000000000,VAR11[20:0]}), .VAR34(4'h0), .VAR10(VAR43), .VAR25(VAR53), .VAR22({VAR13,VAR19[20:0]}), .VAR35() ); wire wr; assign wr = VAR43 & VAR53;
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sedfxbp/sky130_fd_sc_ms__sedfxbp.behavioral.v
2,734
module MODULE1 ( VAR11 , VAR27, VAR8, VAR31 , VAR12 , VAR22, VAR23 ); output VAR11 ; output VAR27; input VAR8; input VAR31 ; input VAR12 ; input VAR22; input VAR23; supply1 VAR1; supply0 VAR16; supply1 VAR4 ; supply0 VAR20 ; wire VAR13 ; reg VAR14 ; wire VAR21 ; wire VAR29 ; wire VAR19; wire VAR5; wire VAR30; wire VAR28 ; wire VAR18 ; wire VAR3 ; wire VAR26 ; wire VAR2 ; wire VAR9 ; VAR25 VAR24 (VAR28, VAR18, VAR19, VAR5 ); VAR25 VAR6 (VAR18 , VAR13, VAR21, VAR29 ); VAR7 VAR17 (VAR13 , VAR28, VAR30, VAR14, VAR1, VAR16); assign VAR3 = ( VAR1 === 1'b1 ); assign VAR26 = ( VAR3 && ( VAR5 === 1'b0 ) && ( VAR29 === 1'b1 ) ); assign VAR2 = ( VAR3 && ( VAR5 === 1'b1 ) ); assign VAR9 = ( VAR3 && ( VAR29 === 1'b1 ) && ( VAR21 !== VAR19 ) ); buf VAR10 (VAR11 , VAR13 ); not VAR15 (VAR27 , VAR13 ); endmodule
apache-2.0
tdene/synth_opt_adders
src/pptrees/mappings/sky130_fd_sc_ls_map.v
4,263
module MODULE1 ( VAR35, VAR43 ); output VAR35; input VAR43; VAR23 MODULE1(.VAR35(VAR35), .VAR43(VAR43)); endmodule module MODULE25 ( VAR35, VAR43 ); output VAR35; input VAR43; VAR31 MODULE25(.VAR26(VAR35), .VAR43(VAR43)); endmodule module MODULE15 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR32 MODULE15(.VAR35(VAR35), .VAR43(VAR43), .VAR8(VAR8)); endmodule module MODULE21 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR18 MODULE21(.VAR35(VAR35), .VAR43(VAR43), .VAR8(VAR8)); endmodule module MODULE2 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR16 MODULE2(.VAR26(VAR35), .VAR43(VAR43), .VAR8(VAR8)); endmodule module MODULE16 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR27 MODULE16(.VAR26(VAR35), .VAR43(VAR43), .VAR8(VAR8)); endmodule module MODULE26 ( VAR35, VAR43, VAR8, VAR9 ); output VAR35; input VAR43, VAR8, VAR9; VAR1 MODULE26(.VAR35(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9)); endmodule module MODULE11 ( VAR35, VAR43, VAR8, VAR9 ); output VAR35; input VAR43, VAR8, VAR9; VAR12 MODULE11(.VAR35(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9)); endmodule module MODULE23 ( VAR35, VAR43, VAR8, VAR9 ); output VAR35; input VAR43, VAR8, VAR9; VAR41 MODULE23(.VAR26(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9)); endmodule module MODULE10 ( VAR35, VAR43, VAR8, VAR9 ); output VAR35; input VAR43, VAR8, VAR9; VAR6 MODULE10(.VAR26(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9)); endmodule module MODULE17 ( VAR35, VAR43, VAR8, VAR9, VAR19 ); output VAR35; input VAR43, VAR8, VAR9, VAR19; VAR33 MODULE17(.VAR35(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9), .VAR19(VAR19)); endmodule module MODULE13 ( VAR35, VAR43, VAR8, VAR9, VAR19 ); output VAR35; input VAR43, VAR8, VAR9, VAR19; VAR37 MODULE13(.VAR35(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9), .VAR19(VAR19)); endmodule module MODULE7 ( VAR35, VAR43, VAR8, VAR9, VAR19 ); output VAR35; input VAR43, VAR8, VAR9, VAR19; VAR29 MODULE7(.VAR26(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9), .VAR19(VAR19)); endmodule module MODULE19 ( VAR35, VAR43, VAR8, VAR9, VAR19 ); output VAR35; input VAR43, VAR8, VAR9, VAR19; VAR21 MODULE19(.VAR26(VAR35), .VAR43(VAR43), .VAR8(VAR8), .VAR9(VAR9), .VAR19(VAR19)); endmodule module MODULE8 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR2 MODULE8(.VAR35(VAR35), .VAR42(VAR43), .VAR8(VAR8)); endmodule module MODULE27 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR30 MODULE27(.VAR35(VAR35), .VAR43(VAR8), .VAR34(VAR43)); endmodule module MODULE20 ( VAR35, VAR17, VAR38, VAR20 ); output VAR35; input VAR17, VAR38, VAR20; VAR10 MODULE20(.VAR26(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20)); endmodule module MODULE28 ( VAR35, VAR17, VAR38, VAR20 ); output VAR35; input VAR17, VAR38, VAR20; VAR25 MODULE28(.VAR26(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20)); endmodule module MODULE9 ( VAR35, VAR17, VAR38, VAR20 ); output VAR35; input VAR17, VAR38, VAR20; VAR3 MODULE9(.VAR35(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20)); endmodule module MODULE18 ( VAR35, VAR17, VAR38, VAR20 ); output VAR35; input VAR17, VAR38, VAR20; VAR15 MODULE18(.VAR35(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20)); endmodule module MODULE5 ( VAR35, VAR17, VAR38, VAR20, VAR39 ); output VAR35; input VAR17, VAR38, VAR20, VAR39; VAR22 MODULE5(.VAR26(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20), .VAR5(VAR39)); endmodule module MODULE22 ( VAR35, VAR17, VAR38, VAR20, VAR39 ); output VAR35; input VAR17, VAR38, VAR20, VAR39; VAR4 MODULE22(.VAR26(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20), .VAR5(VAR39)); endmodule module MODULE24 ( VAR35, VAR17, VAR38, VAR20, VAR39 ); output VAR35; input VAR17, VAR38, VAR20, VAR39; VAR28 MODULE24(.VAR35(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20), .VAR5(VAR39)); endmodule module MODULE12 ( VAR35, VAR17, VAR38, VAR20, VAR39 ); output VAR35; input VAR17, VAR38, VAR20, VAR39; VAR40 MODULE12(.VAR35(VAR35), .VAR38(VAR17), .VAR36(VAR38), .VAR39(VAR20), .VAR5(VAR39)); endmodule module MODULE6 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR24 MODULE6(.VAR26(VAR35), .VAR43(VAR43), .VAR8(VAR8)); endmodule module MODULE14 ( VAR35, VAR43, VAR8 ); output VAR35; input VAR43, VAR8; VAR14 MODULE14(.VAR35(VAR35), .VAR43(VAR43), .VAR8(VAR8)); endmodule module MODULE3 ( VAR35, VAR7, VAR43, VAR8 ); output VAR35; input VAR7, VAR43, VAR8; VAR13 MODULE3(.VAR26(VAR35), .VAR7(VAR7), .VAR17(VAR43), .VAR38(VAR8)); endmodule module MODULE4 ( VAR35, VAR7, VAR43, VAR8 ); output VAR35; input VAR7, VAR43, VAR8; VAR11 MODULE4(.VAR35(VAR35), .VAR7(VAR7), .VAR17(VAR43), .VAR38(VAR8)); endmodule
apache-2.0
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_dipsw_pio/synth/ghrd_10as066n2_dipsw_pio.v
1,517
module MODULE1 ( input wire clk, input wire [3:0] VAR1, output wire irq, input wire VAR8, input wire [1:0] address, input wire VAR2, input wire [31:0] VAR6, input wire VAR7, output wire [31:0] VAR4 ); VAR3 VAR5 ( .clk (clk), .VAR8 (VAR8), .address (address), .VAR2 (VAR2), .VAR6 (VAR6), .VAR7 (VAR7), .VAR4 (VAR4), .VAR1 (VAR1), .irq (irq) ); endmodule
mit
sam-falvo/kestrel
cores/KCP53K/bottleneck/rtl/verilog/BottleneckSequencer.v
4,528
module MODULE1( input VAR10, input VAR28, input VAR16, input VAR19, input VAR9, input VAR23, input VAR20, input VAR30, input VAR7, input VAR8, input VAR13, input VAR14, output VAR3, output VAR4, output VAR36, output VAR25, output VAR29, output VAR2, output VAR21, output VAR31, output VAR34, output VAR17, output VAR35, output VAR15, output VAR22, output VAR6, output VAR5, output VAR24, output VAR18, output VAR33, output VAR27, output VAR12, output VAR32, output VAR1, output VAR26, output VAR11 ); reg VAR3; reg VAR4; reg VAR36; reg VAR25; reg VAR29; reg VAR2; reg VAR21; reg VAR31; reg VAR34; reg VAR17; reg VAR35; reg VAR15; reg VAR22; reg VAR6; reg VAR5; reg VAR24; reg VAR18; reg VAR33; reg VAR27; reg VAR12; reg VAR32; reg VAR1; reg VAR26; reg VAR11; always @(*) begin VAR3 = 0; VAR4 = 0; VAR36 = 0; VAR25 = 0; VAR29 = 0; VAR2 = 0; VAR21 = 0; VAR31 = 0; VAR34 = 0; VAR17 = 0; VAR35 = 0; VAR15 = 0; VAR22 = 0; VAR6 = 0; VAR5 = 1; VAR24 = 0; VAR18 = 0; VAR33 = 0; VAR27 = 0; VAR12 = 0; VAR32 = 0; VAR1 = 0; VAR26 = 0; VAR11 = 0; if (~VAR14 && VAR13 && VAR8 && ~VAR7 && ~VAR30) begin VAR6 = 1; VAR17 = 1; VAR2 = 1; VAR25 = 1; VAR4 = 1; VAR3 = 1; VAR18 = 1; VAR24 = 1; VAR5 = 0; end if (~VAR14 && VAR13 && VAR8 && ~VAR7 && VAR30 && ~VAR20) begin VAR6 = 1; VAR17 = 1; VAR2 = 1; VAR25 = 1; VAR4 = 1; VAR3 = 1; VAR18 = 1; VAR24 = 1; VAR5 = 0; end if (~VAR14 && VAR13 && VAR8 && VAR7 && ~VAR30 && ~VAR23 && ~VAR20) begin VAR6 = 1; VAR2 = 1; VAR29 = 1; VAR36 = 1; VAR3 = 1; VAR5 = 0; if (~VAR19) begin VAR22 = 1; VAR34 = 1; VAR32 = 1; if (VAR10) begin VAR11 = 1; end end else begin VAR17 = 1; VAR24 = 1; if (VAR10) begin VAR33 = 1; end else begin VAR11 = 1; end end end if (~VAR14 && VAR13 && VAR8 && VAR7 && VAR30 && ~VAR9 && ~VAR23 && ~VAR20 && ~VAR19 && ~VAR16 && ~VAR28) begin VAR6 = 1; VAR35 = 1; VAR21 = 1; VAR2 = 1; VAR29 = 1; VAR36 = 1; VAR3 = 1; VAR27 = 1; VAR5 = 0; if (VAR10) begin VAR1 = 1; end end if (~VAR14 && VAR13 && VAR8 && VAR7 && VAR30 && ~VAR9 && ~VAR23 && ~VAR20 && ~VAR19 && ~VAR16 && VAR28) begin VAR6 = 1; VAR15 = 1; VAR31 = 1; VAR2 = 1; VAR29 = 1; VAR36 = 1; VAR3 = 1; VAR12 = 1; VAR5 = 0; VAR1 = 1; if (VAR10) begin VAR26 = 1; end end if (~VAR14 && VAR13 && VAR8 && VAR7 && VAR30 && ~VAR9 && ~VAR23 && ~VAR20 && ~VAR19 && VAR16 && VAR28) begin VAR6 = 1; VAR22 = 1; VAR34 = 1; VAR2 = 1; VAR29 = 1; VAR36 = 1; VAR3 = 1; VAR32 = 1; VAR5 = 0; VAR26 = 1; VAR1 = 1; if (VAR10) begin VAR11 = 1; end end if (~VAR14 && VAR13 && VAR8 && VAR7 && VAR30 && ~VAR9 && ~VAR23 && ~VAR20 && VAR19 && VAR16 && VAR28) begin VAR6 = 1; VAR17 = 1; VAR2 = 1; VAR29 = 1; VAR36 = 1; VAR3 = 1; VAR24 = 1; VAR5 = 0; if (VAR10) begin VAR33 = 1; end else begin VAR11 = 1; VAR26 = 1; VAR1 = 1; end end end endmodule
mpl-2.0
tmeissner/cryptocores
cbcdes/rtl/verilog/cbcdes.v
3,714
module MODULE1 ( input VAR16, input VAR25, input VAR1, input VAR19, input [0:63] VAR5, input [0:63] VAR24, input [0:63] VAR8, input VAR2, output reg VAR21, output reg [0:63] VAR14, output VAR13 ); reg VAR18; wire VAR22; reg VAR10; reg [0:63] VAR23; wire [0:63] VAR6; reg [0:63] VAR3; reg [0:63] VAR12; reg [0:63] VAR20; reg [0:63] VAR9; wire VAR11; wire [0:63] VAR17; reg reset; reg [0:63] VAR4; assign VAR6 = (VAR1) ? VAR5 : VAR23; assign VAR22 = (VAR1) ? VAR19 : VAR18; assign VAR11 = VAR2 & VAR21; always @ begin if (VAR18 && VAR10) begin VAR14 = VAR3 ^ VAR17; end else if (VAR18 && ~VAR10) begin VAR14 = VAR20 ^ VAR17; end else begin VAR14 = VAR17; end end always @(posedge VAR25, negedge VAR16) begin if (~VAR16) begin reset <= 0; VAR18 <= 0; VAR10 <= 0; VAR23 <= 0; VAR3 <= 0; VAR12 <= 0; VAR20 <= 0; end else begin reset <= VAR16; if (VAR2 && VAR21) begin VAR10 <= VAR1; VAR12 <= VAR8; VAR20 <= VAR12; end else if (VAR2 && VAR21 && VAR1) begin VAR18 <= VAR19; VAR23 <= VAR5; VAR3 <= VAR24; end end end always @(posedge VAR25, negedge VAR16) begin if (~VAR16) begin VAR21 <= 0; VAR4 <= 0; end else begin if (VAR2 && VAR21) begin VAR21 <= 0; end else if (VAR13 || (VAR16 && ~reset)) begin VAR21 <= 1; VAR4 <= VAR17; end end end VAR7 VAR15 ( .VAR16(reset), .VAR25(VAR25), .VAR19(VAR22), .VAR5(VAR6), .VAR8(VAR9), .VAR2(VAR11), .VAR14(VAR17), .VAR13(VAR13) ); endmodule
gpl-2.0
marmolejo/zet
cores/flash/bootrom.v
1,593
module MODULE1 ( input clk, input rst, input [15:0] VAR1, output [15:0] VAR3, input [19:1] VAR9, input VAR11, input VAR10, input VAR8, input VAR4, input [ 1:0] VAR5, output VAR7 ); reg [15:0] VAR12[0:127]; wire [ 6:0] VAR6; wire VAR2; assign VAR6 = VAR9[7:1]; assign VAR2 = VAR8 & VAR4; assign VAR7 = VAR2; assign VAR3 = VAR12[VAR6];
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/clkinv/gf180mcu_fd_sc_mcu9t5v0__clkinv_4.behavioral.pp.v
1,182
module MODULE1( VAR4, VAR6, VAR2, VAR5 ); input VAR4; inout VAR2, VAR5; output VAR6; VAR7 VAR3(.VAR4(VAR4),.VAR6(VAR6),.VAR2(VAR2),.VAR5(VAR5)); VAR7 VAR1(.VAR4(VAR4),.VAR6(VAR6),.VAR2(VAR2),.VAR5(VAR5));
apache-2.0
efabless/openlane
designs/usb_cdc_core/src/usbf_crc16.v
3,731
module MODULE1 ( input [ 15:0] VAR3 ,input [ 7:0] VAR2 ,output [ 15:0] VAR1 ); assign VAR1[15] = VAR2[0] ^ VAR2[1] ^ VAR2[2] ^ VAR2[3] ^ VAR2[4] ^ VAR2[5] ^ VAR2[6] ^ VAR2[7] ^ VAR3[7] ^ VAR3[6] ^ VAR3[5] ^ VAR3[4] ^ VAR3[3] ^ VAR3[2] ^ VAR3[1] ^ VAR3[0]; assign VAR1[14] = VAR2[0] ^ VAR2[1] ^ VAR2[2] ^ VAR2[3] ^ VAR2[4] ^ VAR2[5] ^ VAR2[6] ^ VAR3[6] ^ VAR3[5] ^ VAR3[4] ^ VAR3[3] ^ VAR3[2] ^ VAR3[1] ^ VAR3[0]; assign VAR1[13] = VAR2[6] ^ VAR2[7] ^ VAR3[7] ^ VAR3[6]; assign VAR1[12] = VAR2[5] ^ VAR2[6] ^ VAR3[6] ^ VAR3[5]; assign VAR1[11] = VAR2[4] ^ VAR2[5] ^ VAR3[5] ^ VAR3[4]; assign VAR1[10] = VAR2[3] ^ VAR2[4] ^ VAR3[4] ^ VAR3[3]; assign VAR1[9] = VAR2[2] ^ VAR2[3] ^ VAR3[3] ^ VAR3[2]; assign VAR1[8] = VAR2[1] ^ VAR2[2] ^ VAR3[2] ^ VAR3[1]; assign VAR1[7] = VAR2[0] ^ VAR2[1] ^ VAR3[15] ^ VAR3[1] ^ VAR3[0]; assign VAR1[6] = VAR2[0] ^ VAR3[14] ^ VAR3[0]; assign VAR1[5] = VAR3[13]; assign VAR1[4] = VAR3[12]; assign VAR1[3] = VAR3[11]; assign VAR1[2] = VAR3[10]; assign VAR1[1] = VAR3[9]; assign VAR1[0] = VAR2[0] ^ VAR2[1] ^ VAR2[2] ^ VAR2[3] ^ VAR2[4] ^ VAR2[5] ^ VAR2[6] ^ VAR2[7] ^ VAR3[8] ^ VAR3[7] ^ VAR3[6] ^ VAR3[5] ^ VAR3[4] ^ VAR3[3] ^ VAR3[2] ^ VAR3[1] ^ VAR3[0]; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o211a/sky130_fd_sc_hs__o211a_2.v
2,221
module MODULE1 ( VAR6 , VAR5 , VAR3 , VAR9 , VAR7 , VAR2, VAR8 ); output VAR6 ; input VAR5 ; input VAR3 ; input VAR9 ; input VAR7 ; input VAR2; input VAR8; VAR1 VAR4 ( .VAR6(VAR6), .VAR5(VAR5), .VAR3(VAR3), .VAR9(VAR9), .VAR7(VAR7), .VAR2(VAR2), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR6 , VAR5, VAR3, VAR9, VAR7 ); output VAR6 ; input VAR5; input VAR3; input VAR9; input VAR7; supply1 VAR2; supply0 VAR8; VAR1 VAR4 ( .VAR6(VAR6), .VAR5(VAR5), .VAR3(VAR3), .VAR9(VAR9), .VAR7(VAR7) ); endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/triple_speed_ethernet-library/altera_tse_pma_lvds_rx.v
12,598
module MODULE1 ( VAR42, VAR7, VAR66, VAR58, VAR89, VAR75, VAR52, VAR62, VAR61, VAR25); input VAR42; input [0:0] VAR7; input [0:0] VAR66; input [0:0] VAR58; input VAR89; input [0:0] VAR75; output [0:0] VAR52; output VAR62; output [9:0] VAR61; output VAR25; wire [0:0] VAR23; wire VAR92; wire [9:0] VAR91; wire VAR16; wire [0:0] VAR52 = VAR23[0:0]; wire VAR62 = VAR92; wire [9:0] VAR61 = VAR91[9:0]; wire VAR25 = VAR16; VAR44 VAR84 ( .VAR58 (VAR58), .VAR89 (VAR89), .VAR75 (VAR75), .VAR42 (VAR42), .VAR7 (VAR7), .VAR66 (VAR66), .VAR52 (VAR23), .VAR62 (VAR92), .VAR61 (VAR91), .VAR25 (VAR16), .VAR86 (), .VAR10 (1'b0), .VAR39 (), .VAR78 (1'b1), .VAR95 (), .VAR87 (), .VAR43 (), .VAR69 (), .VAR67 (1'b1), .VAR1 (1'b0), .VAR81 (1'b0), .VAR77 (1'b0), .VAR63 (1'b0), .VAR64 (1'b0), .VAR68 (), .VAR74 (1'b1), .VAR76 (1'b0), .VAR47 (1'b0), .VAR21 (1'b1), .VAR8 (1'b0), .VAR50 (1'b1), .VAR26 (1'b0), .VAR57 (1'b0)); VAR84.VAR9 = "VAR24", VAR84.VAR31 = "VAR12", VAR84.VAR35 = "VAR60", VAR84.VAR11 = 10, VAR84.VAR48 = "1250.0 VAR34", VAR84.VAR73 = 10, VAR84.VAR96 = 0, VAR84.VAR97 = 0, VAR84.VAR88 = 0, VAR84.VAR17 = "VAR28", VAR84.VAR14 = "VAR60", VAR84.VAR94 = "VAR12", VAR84.VAR80 = "VAR28", VAR84.VAR51 = "VAR60", VAR84.VAR45 = "VAR28", VAR84.VAR83 = "VAR60", VAR84.VAR22 = "VAR28", VAR84.VAR15 = 0, VAR84.VAR72 = "VAR6", VAR84.VAR32 = 8000, VAR84.VAR53 = 0, VAR84.VAR5 = 1250, VAR84.VAR29 = "VAR40 VAR30", VAR84.VAR70 = "VAR12", VAR84.VAR33 = "VAR12", VAR84.VAR37 = "VAR44", VAR84.VAR27 = 1, VAR84.VAR98 = "VAR54", VAR84.VAR46 = "VAR12", VAR84.VAR38 = "VAR12", VAR84.VAR93 = "VAR79", VAR84.VAR82 = "VAR65", VAR84.VAR55 = "125.00 VAR2", VAR84.VAR18 = "VAR12", VAR84.VAR56 = "VAR60", VAR84.VAR90 = "VAR12", VAR84.VAR19 = "VAR12", VAR84.VAR20 = "VAR28", VAR84.VAR85 = 0, VAR84.VAR3 = 0, VAR84.VAR13 = "VAR28", VAR84.VAR4 = "VAR28", VAR84.VAR49 = "VAR28", VAR84.VAR59 = "VAR60", VAR84.VAR41 = "VAR28", VAR84.VAR36 = "VAR71"; endmodule
mit
TalentlessAlpaca/Automated_Vacuum_Cleaner
j1_soc/hdl/Direccion/peripheral_direccion.v
1,453
module MODULE1 ( input clk, input rst, input [15:0] din, input VAR8, input [3:0] addr, input rd, input wr, output reg [15:0] dout, output [1:0] VAR7 , output [1:0] VAR4 ); reg [1:0] VAR6; reg [1:0] VAR3; always @(*) begin case(addr) 4'h0: VAR6 = (VAR8 && wr) ? 2'b01 : 2'b00 ; 4'h2: VAR6 = (VAR8 && wr) ? 2'b10 : 2'b00 ; default: VAR6 = 2'b00 ; endcase end always @(negedge clk) begin case (VAR6) 2'b01: VAR3[0] = din[0] ; 2'b10: VAR3[1] = din[0] ; default: begin VAR3[0] = 0 ; VAR3[1] = 0 ; end endcase end VAR1 VAR2 ( .VAR3(VAR3[0]) , .VAR7(VAR7[0]) , .VAR4(VAR4[0]) ) ; VAR1 VAR5 ( .VAR3(VAR3[1]) , .VAR7(VAR7[1]) , .VAR4(VAR4[1]) ) ; endmodule
mit
cafe-alpha/wasca
fpga_firmware/wasca/synthesis/submodules/wasca_altpll_1.v
10,656
module MODULE1 ( VAR9, VAR8, VAR3, VAR5) ; input VAR9; input VAR8; input [0:0] VAR3; output [0:0] VAR5; tri0 VAR9; tri1 VAR8; reg [0:0] VAR10; reg [0:0] VAR2; reg [0:0] VAR7; wire VAR4; wire VAR6; wire VAR1;
gpl-2.0
Jafet95/I-Proyecto-Laboratorio-de-Dise-o-Sistemas-Digitales
Modificacion_Ciclo_Trabajo.v
1,674
module MODULE1( input wire VAR8, input wire VAR18, input wire rst, input wire VAR5, input wire VAR9, input wire VAR4, output wire VAR13, output wire [3:0]VAR6 ); wire [3:0] ref; wire VAR11,VAR17; wire [3:0]VAR12; VAR1 VAR19 ( .in(VAR12), .out(VAR13), .rst(rst), .ref(ref), .clk(VAR18) ); VAR21 VAR23 ( .VAR7(VAR5), .VAR10(VAR9), .clk(VAR8), .reset(rst), .VAR4(VAR4), .VAR11(VAR11), .VAR17(VAR17) ); VAR20 VAR2 ( .clk(VAR8), .reset(rst), .VAR16(VAR11), .VAR3(VAR17), .VAR14(VAR12) ); VAR15 VAR22 ( .clk(VAR18), .reset(rst), .VAR14(ref) ); assign VAR6 = VAR12; endmodule
apache-2.0
Fabeltranm/FPGA-Game-D1
HW/RTL/06PCM-AUDIO-MICROFONO/Version_02/02 verilog/ProyectoDigital1/Microfono/Microfono.v
1,514
module MODULE1(clk, reset, VAR2, VAR28, VAR6, VAR3, VAR23, VAR22, VAR10, rd, wr, VAR8, VAR18); input wire clk; input wire reset; input wire VAR2; input wire VAR28; output wire VAR6; output wire VAR3; input wire VAR23; output wire VAR22; output wire VAR10; output wire rd; output wire wr; output wire VAR8; output wire VAR18; wire VAR27; wire VAR24; wire VAR9; wire VAR25; wire VAR12; wire VAR14; wire VAR1; reg [5:0] VAR11 = 0; reg VAR4 = 1'b0; assign VAR25 = 1'b1; assign VAR8 = ~VAR27; assign VAR18 = ~VAR24; always @(posedge VAR6) begin if (reset) begin VAR11 = 0; VAR4 = 1'b1; end else if (VAR11 == 2) begin VAR11 = 1; VAR4 = ~VAR4; end else begin VAR11 = VAR11 + 1; VAR4 = VAR4; end end assign VAR3 = 1'b0; assign VAR10 = 1'b1; VAR17 VAR17( .reset(reset), .clk(clk), .VAR6(VAR6) ); VAR20 VAR20( .VAR5(VAR6), .VAR9(~reset), .VAR19(VAR23), .VAR25(VAR25), .VAR15(~wr), .VAR13(~rd), .VAR16(VAR22), .VAR26(VAR24), .VAR12(VAR12), .VAR14(VAR14), .VAR1(VAR1), .VAR21(VAR27) ); VAR7 VAR7( .reset(reset), .clk(clk), .VAR24(~VAR24), .VAR27(~VAR27), .VAR2(VAR2), .VAR28(VAR28), .wr(wr), .rd(rd) ); endmodule
gpl-3.0
secworks/sha3
src/rtl/sha3.v
9,192
module MODULE1( input wire clk, input wire VAR44, input wire VAR10, input wire VAR34, input wire [7 : 0] address, input wire [31 : 0] VAR6, output wire [31 : 0] VAR30 ); localparam VAR49 = 8'h00; localparam VAR58 = 8'h01; localparam VAR59 = 8'h02; localparam VAR1 = 8'h08; localparam VAR17 = 0; localparam VAR36 = 1; localparam VAR16 = 8'h09; localparam VAR45 = 0; localparam VAR40 = 1; localparam VAR35 = 2; localparam VAR52 = 8'h10; localparam VAR2 = 8'h2f; localparam VAR13 = 8'h40; localparam VAR26 = 8'h4f; localparam VAR21 = 32'h7368612d; localparam VAR24 = 32'h33202020; localparam VAR7 = 32'h302e3130; localparam VAR39 = 2'h0; localparam VAR46 = 2'h1; localparam VAR48 = 2'h2; localparam VAR12 = 2'h3; reg VAR56; reg VAR51; reg VAR28; reg VAR14; reg [1 : 0] VAR5; reg [1 : 0] VAR54; reg VAR31; reg VAR38; reg [31 : 0] VAR29 [0 : 31]; reg [4 : 0] VAR50; reg VAR37; reg [511 : 0] VAR19; reg [7 : 0] VAR3; reg VAR57; wire VAR32; wire VAR25; wire [1 : 0] VAR15; wire VAR42; wire [31 : 0] VAR61; wire VAR11; wire [1023 : 0] VAR43; wire [511 : 0] VAR33; wire VAR53; reg [31 : 0] VAR18; assign VAR32 = VAR56; assign VAR25 = VAR28; assign VAR15 = VAR5; assign VAR43 = {VAR29[00], VAR29[01], VAR29[02], VAR29[03], VAR29[04], VAR29[04], VAR29[05], VAR29[07], VAR29[08], VAR29[09], VAR29[10], VAR29[11], VAR29[12], VAR29[13], VAR29[14], VAR29[15], VAR29[16], VAR29[17], VAR29[18], VAR29[19], VAR29[20], VAR29[21], VAR29[22], VAR29[23], VAR29[24], VAR29[24], VAR29[25], VAR29[27], VAR29[28], VAR29[29], VAR29[30], VAR29[31]}; assign VAR30 = VAR18; VAR23 VAR41( .clk(clk), .VAR44(VAR44), .VAR47(VAR32), .VAR27(VAR25), .VAR20(VAR15), .VAR4(VAR43), .ready(VAR11), .VAR8(VAR33), .VAR22(VAR53) ); always @ (posedge clk) begin : VAR60 integer VAR55; if (!VAR44) begin VAR56 <= 0; VAR28 <= 0; VAR5 <= VAR46; VAR38 <= 0; VAR19 <= 512'h0; VAR57 <= 0; for (VAR55 = 0 ; VAR55 < 32 ; VAR55 = VAR55 + 1) VAR29[VAR55] = 32'h0; end else begin VAR38 <= VAR11; VAR57 <= VAR53; VAR56 <= VAR51; VAR28 <= VAR14; if (VAR31) VAR5 <= VAR6[3 : 2]; if (VAR53) VAR19 <= VAR33; if (VAR37) VAR29[VAR50] <= VAR6; end end always @* begin : VAR9 VAR51 = 0; VAR14 = 0; VAR31 = 0; VAR37 = 0; VAR18 = 32'h0; VAR50 = address - VAR52; VAR3 = 8'h10 - (address - VAR13); if (VAR10) begin if (VAR34) begin if ((address >= VAR52) && (address <= VAR2)) VAR37 = 1; case (address) VAR1: begin VAR51 = VAR6[VAR17]; VAR14 = VAR6[VAR36]; VAR31 = 1; end default: begin end endcase end else begin if ((address >= VAR52) && (address <= VAR2)) VAR18 = VAR29[VAR50]; if ((address >= VAR13) && (address <= VAR26)) VAR18 = VAR19[32 * VAR3 -: 32]; case (address) VAR49: VAR18 = VAR21; VAR58: VAR18 = VAR24; VAR59: VAR18 = VAR7; VAR1: VAR18 = {28'h0, VAR5, VAR28, VAR56}; VAR16: VAR18 = {30'h0, VAR57, VAR38}; default: begin end endcase end end end endmodule
bsd-2-clause
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/NVMeHostController4L/src/pcie_rx_dma.v
6,096
module MODULE1 # ( parameter VAR17 = 128, parameter VAR49 = 36, parameter VAR16 = 64 ) ( input VAR55, input VAR2, input [2:0] VAR23, input VAR66, input [33:0] VAR60, output VAR61, output VAR5, output [7:0] VAR46, output [11:2] VAR27, output [VAR49-1:2] VAR64, input VAR24, input [7:0] VAR68, input [VAR17-1:0] VAR22, input VAR34, input VAR74, input VAR56, input VAR62, input VAR4, output [VAR16-1:0] VAR38, input VAR54, input [9:4] VAR44, output VAR9 ); wire VAR39; wire [33:0] VAR28; wire VAR41; wire VAR69; wire [7:0] VAR12; wire [9:4] VAR71; wire VAR29; wire VAR70; wire VAR1; wire [8:0] VAR48; wire [127:0] VAR33; wire [9:0] VAR13; wire [9:0] VAR47; VAR72 VAR77 ( .clk (VAR55), .VAR10 (VAR2), .VAR63 (VAR66), .VAR45 (VAR60), .VAR3 (VAR61), .VAR6 (VAR39), .VAR73 (VAR28), .VAR52 (VAR41) ); VAR65 VAR57 ( .VAR31 (VAR55), .VAR51 (VAR2), .VAR63 (VAR1), .VAR30 (VAR48), .VAR45 (VAR33), .VAR67 (VAR13), .VAR75 (VAR47), .VAR36 (VAR71), .VAR3 (VAR70), .VAR21 (VAR56), .VAR40 (VAR2), .VAR6 (VAR4), .VAR73 (VAR38), .VAR50 (VAR54), .VAR25 (VAR44), .VAR52 (VAR9) ); VAR11 VAR76 ( .VAR55 (VAR55), .VAR2 (VAR2), .VAR78 (VAR69), .VAR7 (VAR12), .VAR35 (VAR71), .VAR32 (VAR29), .VAR26 (VAR68), .VAR42 (VAR22), .VAR43 (VAR34), .VAR15 (VAR74), .VAR37 (VAR1), .VAR19 (VAR48), .VAR8 (VAR33), .VAR67 (VAR13), .VAR75 (VAR47) ); VAR59 # ( .VAR17 (VAR17), .VAR49 (VAR49) ) VAR20( .VAR55 (VAR55), .VAR2 (VAR2), .VAR23 (VAR23), .VAR53 (VAR39), .VAR18 (VAR28), .VAR58 (VAR41), .VAR78 (VAR69), .VAR7 (VAR12), .VAR35 (VAR71), .VAR32 (VAR29), .VAR14 (VAR70), .VAR5 (VAR5), .VAR46 (VAR46), .VAR27 (VAR27), .VAR64 (VAR64), .VAR24 (VAR24) ); endmodule
gpl-3.0
dries007/Basys3
FPGA-Z/FPGA-Z.ip_user_files/ip/FrameBuffer/FrameBuffer_stub.v
1,488
module MODULE1(VAR10, VAR1, VAR3, VAR4, VAR9, VAR2, VAR11, VAR6, VAR8, VAR7, VAR5) ; input VAR10; input VAR1; input [0:0]VAR3; input [13:0]VAR4; input [7:0]VAR9; output [7:0]VAR2; input VAR11; input [0:0]VAR6; input [13:0]VAR8; input [7:0]VAR7; output [7:0]VAR5; endmodule
mit
GSejas/Karatsuba_FPU
FPGA_FLOW/Karat/Karat_ASIC_Synp/integracion_fisica/front_end/source/FPU_Multiplication_Function_v2.v
8,385
module MODULE1 wire VAR80; wire VAR50; wire VAR64; wire VAR126; wire VAR40; wire VAR107; wire [VAR78-1:0] VAR93; wire [VAR78-1:0] VAR88; wire VAR119; wire [VAR83:0] VAR100; wire [1:0] VAR104; wire [VAR83-1:0] VAR69; wire VAR53; wire [VAR83:0] VAR131; wire [2*VAR120+1:0] VAR38; wire[VAR120:0] VAR25; wire[VAR120:0] VAR97; wire VAR29; wire [VAR120:0] VAR85; wire [VAR120:0] VAR9; wire VAR46; wire [VAR120:0] VAR132; wire VAR52; wire VAR70; wire [1:0] VAR15; wire VAR21; wire VAR82; VAR58 VAR86 ( .clk(clk), .rst(rst), .VAR34(VAR34), .VAR113(VAR113), .VAR62(VAR107), .VAR2(VAR38[2*VAR120+1]), .VAR63(VAR52), .VAR102(VAR46), .VAR79(VAR19), .VAR8(VAR73), .VAR59(VAR80), .VAR28(VAR50), .VAR95(VAR126), .VAR37(VAR40), .VAR125(VAR64), .VAR56(VAR70), .VAR128(VAR21), .VAR12(VAR15), .VAR43(VAR82), .VAR48(VAR53), .VAR24(VAR22), .VAR49(VAR49), .ready(ready) ); VAR121 #(.VAR78(1)) VAR14 ( .clk(clk), .rst(VAR49), .VAR67(VAR70), .VAR7(1'b1), .VAR89(VAR119) ); VAR121 #(.VAR78(1)) VAR26 ( .clk(clk), .rst(VAR49), .VAR67(VAR82), .VAR7(1'b1), .VAR89(VAR36) ); VAR121 #(.VAR78(2)) VAR115 ( .clk(clk), .rst(VAR49), .VAR67(VAR21), .VAR7(VAR15), .VAR89(VAR104) ); VAR127 #(.VAR78(VAR78)) VAR17 ( .clk(clk), .rst(VAR49), .VAR67(VAR19), .VAR33(VAR33), .VAR112(VAR112), .VAR93(VAR93), .VAR88(VAR88) ); VAR75 #(.VAR78(VAR78)) VAR39 ( .clk(clk), .rst(VAR49), .VAR67(VAR73), .VAR65(VAR93 [VAR78-2:0]), .VAR27(VAR88 [VAR78-2:0]), .VAR68(VAR107) ); VAR92 #(.VAR78(VAR83+1)) VAR23( .VAR1(VAR119), .VAR90 ({1'b0,VAR93[VAR78-2:VAR78-VAR83-1]}), .VAR35 (VAR131), .VAR130 (VAR100) ); wire [VAR83-1:0] VAR47, VAR110; VAR54 #(.VAR78(VAR83)) VAR106( .VAR1(VAR104), .VAR90 (VAR88[VAR78-2:VAR78-VAR83-1]), .VAR35 (VAR47), .VAR118 (VAR110), .VAR130(VAR69) ); generate case(VAR83) 8:begin assign VAR47 = 8'd127; assign VAR110 = 8'd1; end default:begin assign VAR47 = 11'd1023; assign VAR110 = 11'd1; end endcase endgenerate VAR32 #(.VAR83(VAR83)) VAR71 ( .clk(clk), .rst(VAR49), .VAR124(VAR73), .VAR123(VAR50), .VAR41(VAR80), .VAR77(VAR100), .VAR3({1'b0,VAR69}), .VAR99(VAR53), .VAR16(VAR131), .VAR72(VAR60), .VAR20(VAR13) ); VAR98 VAR101 ( .VAR117(VAR93[VAR78-1]), .VAR5(VAR88[VAR78-1]), .VAR84(VAR29) ); VAR76 #(.VAR120(VAR120+1), .VAR45(1)) VAR51 ( .clk(clk), .rst(rst), .VAR123(VAR50), .VAR77({1'b1,VAR93[VAR120-1:0]}), .VAR3({1'b1,VAR88[VAR120-1:0]}), .VAR11(VAR38) ); assign VAR25 = VAR38 [2*VAR120:VAR120]; assign VAR97 = VAR38 [VAR120-1:0]; VAR92 #(.VAR78(VAR120+1)) VAR87( .VAR1(VAR36), .VAR90 (VAR25), .VAR35 (VAR132), .VAR130 (VAR85) ); VAR74 #(.VAR120(VAR120+1)) VAR66 ( .clk(clk), .rst(VAR49), .VAR55(VAR64), .VAR91(VAR22), .VAR18(VAR85), .VAR96(VAR9) ); VAR30 #(.VAR120(VAR120)) VAR57 ( .VAR4(VAR97), .VAR6(VAR31), .VAR108(VAR29), .VAR116(VAR52) ); wire [VAR120:0] VAR81; assign VAR81 = (VAR120)*1'b1; VAR103 #(.VAR120(VAR120+1)) VAR109 ( .clk(clk), .rst(VAR49), .VAR55(VAR126), .VAR77(VAR9), .VAR3(VAR81), .VAR16(VAR132), .VAR122(VAR46) ); VAR42 #(.VAR78(VAR78),.VAR83(VAR83),.VAR120(VAR120)) VAR94( .clk(clk), .rst(VAR49), .VAR55(VAR40), .VAR129(VAR60), .VAR44(VAR13), .VAR105(VAR29), .VAR114(VAR131[VAR83-1:0]), .VAR10(VAR9[VAR120-1:0]), .VAR61(VAR111) ); endmodule
gpl-3.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/db/db_sao_compare_cost.v
4,481
module MODULE1( VAR18 , VAR15 , VAR11 , VAR8 , VAR16 , VAR13 , VAR12 ); parameter VAR19 = 25 ; input signed [VAR19+2:0 ] VAR18 ; input signed [VAR19+2:0 ] VAR15 ; input signed [VAR19+2:0 ] VAR11 ; input signed [VAR19+2:0 ] VAR8 ; input signed [VAR19+2:0 ] VAR16 ; output signed [VAR19+2:0 ] VAR13 ; output [ 2:0 ] VAR12 ; reg signed [VAR19+2:0 ] VAR9 ; reg [ 2:0 ] VAR4 ; wire VAR1 ; wire VAR10 ; wire VAR17 ; wire VAR14 ; wire VAR5 ; wire VAR2 ; wire VAR6 ; wire VAR3 ; wire VAR20 ; wire VAR7 ; assign VAR1 = VAR18 <= VAR15 ; assign VAR10 = VAR18 <= VAR11 ; assign VAR17 = VAR18 <= VAR8 ; assign VAR14 = VAR18 <= VAR16 ; assign VAR5 = VAR15 <= VAR11 ; assign VAR2 = VAR15 <= VAR8 ; assign VAR6 = VAR15 <= VAR16 ; assign VAR3 = VAR11 <= VAR8 ; assign VAR20 = VAR11 <= VAR16 ; assign VAR7 = VAR8 <= VAR16 ; always @* begin if(VAR1 && VAR10 && VAR17 && VAR14) begin VAR9 = VAR18 ; VAR4 = 3'd0 ; end else if(VAR5 && VAR2 && VAR6 ) begin VAR9 = VAR15 ; VAR4 = 3'd1 ; end else if(VAR3 && VAR20 ) begin VAR9 = VAR11 ; VAR4 = 3'd2 ; end else if(VAR7) begin VAR9 = VAR8 ; VAR4 = 3'd3 ; end else begin VAR9 = VAR16 ; VAR4 = 3'd4 ; end end assign VAR13 = VAR9 ; assign VAR12 = VAR4 ; endmodule
gpl-3.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_ad9122_v6_00_a/hdl/verilog/axi_ad9122_core.v
10,446
module MODULE1 ( VAR85, VAR25, VAR54, VAR7, VAR86, VAR60, VAR4, VAR55, VAR46, VAR77, VAR1, VAR36, VAR51, VAR72, VAR78, VAR16, VAR33, VAR93, VAR29, VAR18, VAR42, VAR13, VAR83, VAR14, VAR34, VAR48, VAR91, VAR89, VAR9, VAR63, VAR17, VAR30, VAR45, VAR3, VAR35, VAR21, VAR62, VAR87, VAR73, VAR41, VAR66); parameter VAR71 = 0; input VAR85; output VAR25; output VAR54; output [15:0] VAR7; output VAR86; output [15:0] VAR60; output VAR4; output [15:0] VAR55; output VAR46; output [15:0] VAR77; output VAR1; output [15:0] VAR36; output VAR51; output [15:0] VAR72; output VAR78; output [15:0] VAR16; output VAR33; output [15:0] VAR93; input VAR29; output VAR18; input VAR42; output VAR13; input VAR83; input [63:0] VAR14; input VAR34; output VAR48; output VAR91; output VAR89; output VAR9; output [11:0] VAR63; output [15:0] VAR17; input [15:0] VAR30; input VAR45; input VAR3; input VAR35; input VAR21; input VAR62; input [13:0] VAR87; input [31:0] VAR73; output [31:0] VAR41; output VAR66; reg VAR37 = 'd0; reg [15:0] VAR7 = 'd0; reg [15:0] VAR60 = 'd0; reg [15:0] VAR55 = 'd0; reg [15:0] VAR77 = 'd0; reg [15:0] VAR36 = 'd0; reg [15:0] VAR72 = 'd0; reg [15:0] VAR16 = 'd0; reg [15:0] VAR93 = 'd0; reg VAR54 = 'd0; reg VAR86 = 'd0; reg VAR4 = 'd0; reg VAR46 = 'd0; reg VAR1 = 'd0; reg VAR51 = 'd0; reg VAR78 = 'd0; reg VAR33 = 'd0; reg [31:0] VAR41 = 'd0; reg VAR66 = 'd0; wire VAR20; wire VAR84; wire VAR5; wire [ 3:0] VAR47; wire [15:0] VAR12; wire [15:0] VAR59; wire [15:0] VAR56; wire [15:0] VAR31; wire [15:0] VAR40; wire [15:0] VAR88; wire [15:0] VAR65; wire [15:0] VAR90; wire [31:0] VAR38; wire VAR43; wire [31:0] VAR57; wire VAR26; wire [31:0] VAR50; wire VAR75; wire [31:0] VAR11; wire VAR82; wire [31:0] VAR80; wire VAR28; assign VAR20 = (VAR71 == 0) ? VAR18 : VAR42; always @(posedge VAR85) begin VAR37 <= VAR20; end assign VAR13 = VAR37; always @(posedge VAR85) begin if (VAR47[3:1] == 3'd1) begin if (VAR83) begin VAR7 <= VAR14[15: 0]; VAR60 <= VAR14[15: 0]; VAR55 <= VAR14[47:32]; VAR77 <= VAR14[47:32]; VAR36 <= VAR14[31:16]; VAR72 <= VAR14[31:16]; VAR16 <= VAR14[63:48]; VAR93 <= VAR14[63:48]; end end else begin VAR7 <= VAR12; VAR60 <= VAR59; VAR55 <= VAR56; VAR77 <= VAR31; VAR36 <= VAR40; VAR72 <= VAR88; VAR16 <= VAR65; VAR93 <= VAR90; end if (VAR47[0] == 3'd1) begin VAR54 <= 1'b1; VAR86 <= 1'b0; VAR4 <= 1'b1; VAR46 <= 1'b0; VAR1 <= 1'b1; VAR51 <= 1'b0; VAR78 <= 1'b1; VAR33 <= 1'b0; end else begin VAR54 <= VAR84; VAR86 <= 1'b0; VAR4 <= 1'b0; VAR46 <= 1'b0; VAR1 <= VAR84; VAR51 <= 1'b0; VAR78 <= 1'b0; VAR33 <= 1'b0; end end always @(negedge VAR3 or posedge VAR35) begin if (VAR3 == 0) begin VAR41 <= 'd0; VAR66 <= 'd0; end else begin VAR41 <= VAR80 | VAR38 | VAR57; VAR66 <= VAR28 | VAR43 | VAR26; end end VAR44 #(.VAR61(0)) VAR67 ( .VAR85 (VAR85), .VAR25 (VAR25), .VAR94 (VAR12), .VAR23 (VAR59), .VAR70 (VAR56), .VAR22 (VAR31), .VAR68 (VAR37), .VAR69 (VAR5), .VAR39 (VAR47[0]), .VAR3 (VAR3), .VAR35 (VAR35), .VAR21 (VAR21), .VAR62 (VAR62), .VAR87 (VAR87), .VAR73 (VAR73), .VAR41 (VAR38), .VAR66 (VAR43)); VAR44 #(.VAR61(1)) VAR49 ( .VAR85 (VAR85), .VAR25 (VAR25), .VAR94 (VAR40), .VAR23 (VAR88), .VAR70 (VAR65), .VAR22 (VAR90), .VAR68 (VAR37), .VAR69 (VAR5), .VAR39 (VAR47[0]), .VAR3 (VAR3), .VAR35 (VAR35), .VAR21 (VAR21), .VAR62 (VAR62), .VAR87 (VAR87), .VAR73 (VAR73), .VAR41 (VAR57), .VAR66 (VAR26)); VAR52 #( .VAR71(VAR71), .VAR58(32'h00060061) ) VAR79 ( .VAR48 (VAR48), .VAR2 (VAR85), .VAR25 (VAR25), .VAR37 (VAR18), .VAR74 (VAR84), .VAR76 (), .VAR92 (), .VAR15 (), .VAR32 (VAR5), .VAR8 (VAR47), .VAR27 (), .VAR53 (32'd4), .VAR29 (VAR29), .VAR6 (VAR35), .VAR91 (VAR91), .VAR89 (VAR89), .VAR9 (VAR9), .VAR63 (VAR63), .VAR17 (VAR17), .VAR30 (VAR30), .VAR45 (VAR45), .VAR19 (VAR85), .VAR24 (1'b0), .VAR10 (VAR34), .VAR64 (), .VAR81 (8'd3), .VAR3 (VAR3), .VAR35 (VAR35), .VAR21 (VAR21), .VAR62 (VAR62), .VAR87 (VAR87), .VAR73 (VAR73), .VAR41 (VAR80), .VAR66 (VAR28)); endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/projects/daq2/a10gx/system_top.v
8,784
module MODULE1 ( VAR46, VAR40, VAR15, VAR121, VAR27, VAR60, VAR32, VAR34, VAR77, VAR29, VAR57, VAR18, VAR83, VAR106, VAR98, VAR16, VAR64, VAR20, VAR52, VAR74, VAR88, VAR61, VAR1, VAR6, VAR107, VAR80, VAR111, VAR37, VAR24, VAR113, VAR65, VAR31, VAR50, VAR25, VAR63, VAR104, VAR102, VAR14, VAR17, VAR3, VAR19, VAR100, VAR103, VAR85, VAR75, VAR72, VAR11, VAR91, VAR41, VAR30); input VAR46; input VAR40; output VAR15; output VAR121; output [ 14:0] VAR27; output [ 2:0] VAR60; output VAR32; output VAR34; output VAR77; output VAR29; output VAR57; output VAR18; output VAR83; inout [ 7:0] VAR106; inout [ 7:0] VAR98; inout [ 63:0] VAR16; output [ 7:0] VAR64; input VAR20; input VAR52; input VAR74; input VAR88; output VAR61; output VAR1; inout VAR6; output VAR107; input VAR80; inout [ 26:0] VAR111; input VAR37; input VAR24; output VAR113; input [ 3:0] VAR65; input VAR31; input VAR50; input VAR25; output [ 3:0] VAR63; input VAR104; inout VAR102; inout VAR14; inout VAR17; inout [ 1:0] VAR3; inout VAR19; inout VAR100; inout VAR103; inout VAR85; output VAR75; output VAR72; output VAR11; output VAR91; inout VAR41; output VAR30; wire VAR10; wire VAR54; wire VAR69; wire [ 63:0] VAR94; wire [ 63:0] VAR48; wire VAR43; wire VAR42; wire [ 7:0] VAR116; wire VAR36; wire [ 3:0] VAR53; wire [ 3:0] VAR26; assign VAR11 = VAR116[2]; assign VAR72 = VAR116[1]; assign VAR75 = VAR116[0]; VAR7 VAR114 ( .VAR47 (VAR116[2:0]), .VAR91 (VAR91), .VAR66 (VAR42), .VAR12 (VAR43), .VAR41 (VAR41), .VAR30 (VAR30)); assign VAR94[63:60] = VAR26; assign VAR94[59:56] = VAR53; assign VAR94[55:55] = VAR36; assign VAR94[54:44] = 11'd0; assign VAR94[43:43] = VAR104; assign VAR94[39:39] = 1'd0; assign VAR94[37:37] = 1'd0; VAR76 #(.VAR101(9)) VAR78 ( .VAR118 ({3'h0, 1'h0, 5'h1f}), .VAR81 ({VAR48[42:40], VAR48[38], VAR48[36:32]}), .VAR49 ({VAR94[42:40], VAR94[38], VAR94[36:32]}), .VAR70 ({ VAR19, VAR100, VAR103, VAR85, VAR102, VAR14, VAR17, VAR3})); assign VAR107 = 1'b1; assign VAR10 = VAR6; assign VAR6 = (VAR69 == 1'b1) ? 1'VAR55 : VAR54; assign VAR94[31] = 1'd0; assign VAR94[30] = 1'd0; assign VAR94[29] = 1'd0; assign VAR94[28] = 1'd0; assign VAR94[27] = 1'd0; VAR76 #(.VAR101(27)) VAR56 ( .VAR118 ({11'h7ff, 16'h0}), .VAR81 (VAR48[26:0]), .VAR49 (VAR94[26:0]), .VAR70 (VAR111)); VAR5 VAR23 ( .VAR71 (VAR46), .VAR68 (VAR15), .VAR35 (VAR121), .VAR109 (VAR27), .VAR89 (VAR60), .VAR21 (VAR32), .VAR28 (VAR34), .VAR9 (VAR77), .VAR115 (VAR29), .VAR86 (VAR57), .VAR22 (VAR18), .VAR82 (VAR83), .VAR45 (VAR106[7:0]), .VAR119 (VAR98[7:0]), .VAR92 (VAR16[63:0]), .VAR87 (VAR64[7:0]), .VAR96 (VAR20), .VAR120 (VAR52), .VAR44 (VAR1), .VAR2 (VAR10), .VAR79 (VAR54), .VAR38 (VAR69), .VAR67 (VAR74), .VAR58 (VAR88), .VAR99 (VAR61), .VAR73 (VAR94[63:32]), .VAR122 (VAR48[63:32]), .VAR8 (VAR94[31:0]), .VAR108 (VAR48[31:0]), .VAR117 (VAR40), .VAR110 (VAR43), .VAR4 (VAR42), .VAR105 (VAR91), .VAR90 (VAR116), .VAR51 (VAR36), .VAR112 (VAR53), .VAR97 (VAR26), .VAR62 (VAR37), .VAR95 (VAR113), .VAR33 (VAR24), .VAR84 (VAR65), .VAR39 (VAR31), .VAR13 (VAR25), .VAR93 (VAR50), .VAR59 (VAR63)); endmodule
gpl-3.0
PerezFederico/UART_Arquitectura
Interface.v
5,854
module MODULE1 parameter VAR39 = 'b000000000010, parameter VAR45 = 'b000000000100, parameter VAR11 = 'b000000001000, parameter VAR25 = 'b000000010000, parameter VAR27 = 'b000000100000, parameter VAR23 = 'b000001000000, parameter VAR30 = 'b000010000000, parameter VAR14 = 'b000100000000, parameter VAR40 = 'b001000000000, parameter VAR24 = 'b010000000000, parameter VAR42 = 'b100000000000) (input clk, input reset, input [7:0] din, input VAR1, input VAR6, input [31:0] VAR3, output wire [7:0] dout, output wire VAR12, output reg [31:0] VAR9, output reg [31:0] VAR19, output reg [7:0] VAR7); reg [11:0] state = VAR10; reg [11:0] VAR8 = VAR10; reg [7:0] VAR33; wire [7:0] VAR16; wire [31:0] VAR18; reg VAR35; reg VAR4; wire VAR17; reg VAR43; reg VAR2; reg VAR20; reg VAR37; reg VAR34 = 0; reg VAR15 = 0; reg VAR36; VAR26 VAR31 ( .VAR21(VAR33), .VAR7(VAR16)); VAR22 VAR13(.clk(clk),.reset(reset),.VAR44(VAR16), .VAR35(VAR35),.VAR4(VAR4),.VAR38(VAR18), .VAR41(VAR17)); VAR32 VAR5 ( .VAR29(VAR3), .VAR36(VAR36), .VAR6(VAR6), .clk(clk), .VAR28(dout), .VAR12(VAR12)); always@(posedge clk or negedge reset) begin if (reset == 0) state = VAR10; end else state = VAR8; end always@(posedge clk) begin if(VAR34 == 0) begin if(VAR1 == 1) begin VAR15 = 1; VAR34 = 1; end end else begin VAR15 = 0; if(VAR1 == 0) VAR34 = 0; end end always@(posedge clk) begin case(state) VAR10: begin if(VAR15 == 1) VAR8 = VAR39; end else VAR8 = VAR10; end VAR39: VAR8 = VAR45; VAR45: begin if(VAR43 == 1) VAR8 = VAR11; end else VAR8 = VAR10; end VAR11: begin if(VAR20) VAR8 = VAR25; end else VAR8 = VAR11; end VAR25: begin if(VAR15 == 1) VAR8 = VAR27; end else VAR8 = VAR25; end VAR27: begin VAR8 = VAR23; end VAR23: begin VAR8 = VAR30; end VAR30: begin if(VAR15 == 1) VAR8 = VAR14; end else VAR8 = VAR30; end VAR14: VAR8 = VAR40; VAR40: begin if(VAR2 == 1) VAR8 = VAR24; end else VAR8 = VAR30; end VAR24: begin if(VAR37) VAR8 = VAR42; end else VAR8 = VAR24; end VAR42: begin VAR8 = VAR10; end endcase end always@(posedge clk) begin case(state) VAR10: begin VAR43 = 0; VAR35 = 0; VAR4 = 0; VAR36 = 0; VAR20 = 0; end VAR39: if(din == 'b00100000) VAR43 = 1; end else begin VAR43 = 0; VAR33 = din; end VAR45: begin if(VAR43 == 0) begin VAR35 = 1; end else VAR4 = 1; end VAR11: begin if(VAR17) begin VAR9 = VAR18; VAR20 = 1; VAR4 = 0; end VAR35 = 0; end VAR25:; VAR27: begin VAR33 = din; end VAR23: begin VAR7 = VAR16[5:0]; end VAR30: begin VAR2 = 0; VAR35 = 0; VAR4 = 0; VAR37 = 0; end VAR14: begin if(din == 'b00100000) VAR2 = 1; end else begin VAR2 = 0; VAR33 = din; end end VAR40: begin if(VAR2 == 0) begin VAR35 = 1; end else VAR4 = 1; end VAR24: begin if(VAR17) begin VAR19 = VAR18; VAR37 = 1; VAR4 = 0; end VAR35 = 0; end VAR42: begin VAR36 = 1; end endcase end endmodule
gpl-3.0
secworks/ChaCha20-Poly1305
src/rtl/chacha20_poly1305.v
9,979
module MODULE1( input wire clk, input wire VAR60, input wire VAR66, input wire VAR54, input wire [7 : 0] address, input wire [31 : 0] VAR27, output wire [31 : 0] VAR36 ); localparam VAR61 = 8'h00; localparam VAR58 = 8'h01; localparam VAR46 = 8'h02; localparam VAR16 = 8'h08; localparam VAR55 = 0; localparam VAR51 = 1; localparam VAR57 = 2; localparam VAR22 = 8'h09; localparam VAR2 = 0; localparam VAR33 = 1; localparam VAR11 = 2; localparam VAR50 = 8'h0a; localparam VAR48 = 0; localparam VAR69 = 8'h10; localparam VAR68 = 8'h17; localparam VAR59 = 8'h20; localparam VAR32 = 8'h22; localparam VAR6 = 8'h30; localparam VAR13 = 8'h3f; localparam VAR18 = 8'h40; localparam VAR26 = 8'h43; localparam VAR47 = 32'h63323070; localparam VAR19 = 32'h31333035; localparam VAR9 = 32'h302e3031; reg VAR31; reg VAR25; reg VAR20; reg VAR40; reg VAR35; reg VAR71; reg VAR65; reg VAR28; reg [31 : 0] VAR49[0 : 1]; reg VAR43; reg [31 : 0] VAR52 [0 : 7]; reg VAR15; reg [31 : 0] VAR10 [0 : 2]; reg VAR30; reg [31 : 0] VAR12 [0 : 15]; reg VAR63; reg [4 : 0] VAR45; reg VAR23; reg [31 : 0] VAR7; wire VAR4; wire VAR70; wire VAR56; wire [255 : 0] VAR24; wire [095 : 0] VAR64; wire [511 : 0] VAR38; wire [511 : 0] VAR44; wire [127 : 0] VAR62; assign VAR24 = {VAR52[0], VAR52[1], VAR52[2], VAR52[3], VAR52[4], VAR52[5], VAR52[6], VAR52[7]}; assign VAR64 = {VAR10[0], VAR10[1]}; assign VAR38 = {VAR12[00], VAR12[01], VAR12[02], VAR12[03], VAR12[04], VAR12[05], VAR12[06], VAR12[07], VAR12[08], VAR12[09], VAR12[10], VAR12[11], VAR12[12], VAR12[13], VAR12[14], VAR12[15]}; VAR42 VAR8( .clk(clk), .VAR60(VAR60), .VAR39(VAR31), .VAR3(VAR20), .VAR1(VAR35), .VAR53(VAR65), .VAR21(VAR24), .VAR14(VAR64), .VAR67(VAR38), .ready(VAR4), .valid(VAR70), .VAR41(VAR56), .VAR17(VAR44), .VAR37(VAR62) ); always @ (posedge clk) begin : VAR34 integer VAR5; if (!VAR60) begin VAR31 <= 0; VAR20 <= 0; VAR35 <= 0; VAR65 <= 0; VAR49[0] <= 32'h0; VAR49[1] <= 32'h0; VAR10[0] <= 32'h0; VAR10[1] <= 32'h0; VAR10[2] <= 32'h0; for (VAR5 = 0 ; VAR5 < 8 ; VAR5 = VAR5 + 1) begin VAR52[VAR5] <= 32'h0; VAR12[VAR5] <= 32'h0; VAR12[(VAR5 + 8)] <= 32'h0; end end else begin VAR31 <= VAR25; VAR20 <= VAR40; VAR35 <= VAR71; if (VAR28) VAR65 <= VAR27[0]; if (VAR43) VAR49[address[0]] <= VAR27; if (VAR15) VAR52[address[2 : 0]] <= VAR27; if (VAR30) VAR10[address[1 : 0]] <= VAR27; if (VAR63) VAR12[address[3 : 0]] <= VAR27; end end always @* begin : VAR29 VAR25 = 0; VAR40 = 0; VAR71 = 0; VAR28 = 0; VAR15 = 0; VAR30 = 0; VAR63 = 0; VAR7 = 32'h0; if (VAR66) begin if (VAR54) begin if (address == VAR16) begin VAR25 = VAR27[VAR55]; VAR40 = VAR27[VAR51]; VAR71 = VAR27[VAR57]; end if (address == VAR50) begin VAR28 = 1; end if ((address >= VAR69) && (address <= VAR68)) VAR15 = 1; if ((address >= VAR59) && (address <= VAR32)) VAR30 = 1; if ((address >= VAR6) && (address <= VAR13)) VAR63 = 1; end else begin if (address == VAR61) VAR7 = VAR47; if (address == VAR58) VAR7 = VAR19; if (address == VAR46) VAR7 = VAR9; if (address == VAR22) VAR7 = {29'h0, VAR56, VAR70, VAR4}; if (address == VAR50) VAR7 = {31'h0, VAR65}; if ((address >= VAR69) && (address <= VAR68)) VAR7 = VAR52[address[2 : 0]]; if ((address >= VAR59) && (address <= VAR32)) VAR7 = VAR10[address[2 : 0]]; if ((address >= VAR6) && (address <= VAR13)) VAR7 = VAR44[(15 - (address - VAR6)) * 32 +: 32]; if ((address >= VAR18) && (address <= VAR26)) VAR7 = VAR62[(3 - (address - VAR18)) * 32 +: 32]; end end end endmodule
bsd-2-clause
impedimentToProgress/ProbableCause
ddr2/cores/bench/cy7c1354.v
11,073
module MODULE1 ( VAR1, clk, VAR28, VAR30, VAR11, VAR12, VAR10, VAR48, VAR44, VAR41, VAR21, VAR6); inout [VAR55:0] VAR1; input clk, VAR11, VAR12, VAR10, VAR48, VAR44, VAR41, VAR21, VAR6; input [3:0] VAR30; input [18:0] VAR28; reg VAR23; reg VAR25; reg VAR15; reg VAR26; reg VAR5; reg VAR37; reg VAR20; reg VAR7; reg VAR40; wire VAR33; reg VAR38; reg VAR9; wire VAR22; reg VAR24; reg VAR17; wire VAR27; wire VAR43; wire [3:0] VAR30; wire [3:0] VAR36; reg [3:0] VAR8; reg [3:0] VAR45; wire [2:0] VAR34; reg [2:0] VAR29; reg [2:0] VAR13; wire [17:0] VAR28; reg [17:0] VAR49; reg [17:0] VAR19; reg [VAR55:0] do; reg [VAR55:0] VAR39; reg [VAR55:0] VAR46; wire VAR50; reg VAR52; reg VAR51; reg enable; reg [17:0] VAR35; reg [VAR55:0] VAR16; reg [VAR55:0] VAR32 [0:VAR18]; reg [VAR55:0] VAR2; reg VAR31; reg [18:0] VAR3; reg VAR42; reg VAR54, VAR14; reg VAR4; wire [VAR55:0] VAR1 = !VAR50 ? do[VAR55:0] : 36'VAR53 ; assign VAR33 = (VAR12 == 1 ) ? VAR38 : ~VAR10 & VAR48 & ~VAR44 ; assign VAR22 = ~& VAR36; assign VAR34 = {VAR33, VAR12, VAR22}; assign VAR36[3:0] = ( VAR11 ==0 & VAR12 ==0) ? VAR30[3:0]: ( VAR11 ==1 & VAR12 ==0) ? 4'b1111 : ( VAR4 ==0 & VAR12 ==1) ? VAR30[3:0]: ( VAR4 ==1 & VAR12 ==1) ? 4'b1111 : 4'VAR47 ; assign VAR27 = VAR33 & !VAR21; assign VAR43 = VAR24 & enable & ~VAR21 & VAR33; assign VAR50 = VAR52 | VAR42 | VAR51; pullup (VAR6); begin begin end begin begin begin begin begin begin begin begin begin end begin begin begin begin begin begin begin begin begin begin begin begin end begin
mit
glennchid/font5-firmware
src/verilog/synthesis/reset_ctrl.v
2,359
module MODULE1( input VAR3, input VAR6, input VAR1, output reg VAR2 = 1'b0, output reg VAR7 = 1'b0 ); reg VAR5 = 1'b0; reg [23:0] VAR4 = 24'd0; always @(posedge VAR3) begin if (VAR5) begin VAR4 <= VAR4 + 1'd1; case (VAR4) 24'd0: begin VAR2 <= 1'b1; VAR7 <= VAR7; VAR5 <= VAR5; end 24'd8500000: begin VAR2 <= 1'b0; VAR7 <= VAR7; VAR5 <= VAR5; end 24'd8500010: begin VAR7 <= 1'b1; VAR2 <= VAR2; VAR5 <= VAR5; end 24'd8500020: begin VAR7 <= 1'b0; VAR2 <= VAR2; VAR5 <= 1'b0; end endcase end else begin if (VAR6) begin VAR5 <= 1'b1; VAR4 <= 24'd8500010; VAR7 <= VAR7; VAR2 <= VAR2; end else begin if (VAR1) begin VAR5 <= 1'b1; VAR4 <= 24'd0; VAR7 <= VAR7; VAR2 <= VAR2; end end end end endmodule
gpl-3.0
rkrajnc/minimig-de1
rtl/or1200/or1200_dpram_32x32.v
11,370
module MODULE1( VAR137, VAR159, VAR80, VAR53, VAR37, VAR160, VAR5, VAR39, VAR13, VAR68, VAR11, VAR55 ); parameter VAR45 = 5; parameter VAR124 = 32; input VAR137; input VAR159; input VAR80; input VAR53; input [VAR45-1:0] VAR37; output [VAR124-1:0] VAR160; input VAR5; input VAR39; input VAR13; input VAR68; input [VAR45-1:0] VAR11; input [VAR124-1:0] VAR55; VAR112 #(VAR124, 1<<VAR45, VAR45) VAR30( VAR112 VAR30( .VAR129(VAR160), .VAR137(VAR137), .VAR150(~VAR80), .VAR93(1'b1), .VAR4(VAR37), .VAR108(32'h00000000), .VAR148(~VAR53), .VAR21(), .VAR5(VAR5), .VAR29(~VAR13), .VAR81(~VAR68), .VAR33(VAR11), .VAR111(VAR55), .VAR135(1'b1) ); VAR97 VAR97( .VAR68(~VAR86), .VAR98(), .VAR158(~VAR69), .VAR125(), .VAR104(), .VAR140(addr), .VAR60(addr), .VAR99(VAR99), .VAR70(VAR70) ); VAR144 VAR144( .VAR134(VAR160), .VAR27(), .VAR6(VAR37), .VAR32(32'h00000000), .VAR62(1'b0), .VAR154(VAR53), .VAR31(VAR80), .VAR73(VAR137), .VAR77(VAR11), .VAR50(VAR55), .VAR155(VAR68), .VAR14(1'b1), .VAR7(VAR13), .VAR35(VAR5) ); VAR26 #(1<<VAR45, VAR45-1, VAR124-1) VAR1( VAR26 VAR1( .VAR84(VAR137), .VAR63(~VAR80), .VAR100(1'b1), .VAR28(~VAR53), .VAR65({1'b0, VAR37}), .VAR153(32'h00000000), .VAR48(VAR160), .VAR16(VAR5), .VAR75(~VAR13), .VAR131(~VAR13), .VAR52(1'b1), .VAR156({1'b0, VAR11}), .VAR118(VAR55), .VAR89() ); VAR122 #(1<<VAR45, VAR45-1, VAR124-1) VAR1( VAR122 VAR1( .VAR123(VAR137), .VAR138(~VAR80), .VAR146(~VAR53), .VAR102(VAR37), .VAR113(VAR160), .VAR23(VAR5), .VAR2(~VAR13), .VAR22(VAR11), .VAR103(VAR55) ); reg [4:0] VAR88; always @(posedge VAR137 or posedge VAR159) if (VAR159) VAR88 <= 5'b00000; else if (VAR80) VAR88 <= VAR37; VAR149 VAR92 ( .VAR106(VAR160[7:0]), .VAR9(), .VAR90(VAR11), .VAR114(VAR55[7:0]), .VAR66(VAR88), .VAR25(VAR5), .VAR157(VAR68) ); VAR149 VAR12 ( .VAR106(VAR160[15:8]), .VAR9(), .VAR90(VAR11), .VAR114(VAR55[15:8]), .VAR66(VAR88), .VAR25(VAR5), .VAR157(VAR68) ); VAR149 VAR116 ( .VAR106(VAR160[23:16]), .VAR9(), .VAR90(VAR11), .VAR114(VAR55[23:16]), .VAR66(VAR88), .VAR25(VAR5), .VAR157(VAR68) ); VAR149 VAR79 ( .VAR106(VAR160[31:24]), .VAR9(), .VAR90(VAR11), .VAR114(VAR55[31:24]), .VAR66(VAR88), .VAR25(VAR5), .VAR157(VAR68) ); VAR96 VAR110( .VAR73(VAR137), .VAR36(VAR159), .VAR132({3'b000, VAR37}), .VAR117(16'h0000), .VAR128(VAR80), .VAR62(1'b0), .VAR46(VAR160[15:0]), .VAR35(VAR5), .VAR17(VAR39), .VAR130({3'b000, VAR11}), .VAR85(VAR55[15:0]), .VAR40(VAR13), .VAR155(VAR68), .VAR61() ); VAR96 VAR24( .VAR73(VAR137), .VAR36(VAR159), .VAR132({3'b000, VAR37}), .VAR117(16'h0000), .VAR128(VAR80), .VAR62(1'b0), .VAR46(VAR160[31:16]), .VAR35(VAR5), .VAR17(VAR39), .VAR130({3'b000, VAR11}), .VAR85(VAR55[31:16]), .VAR40(VAR13), .VAR155(VAR68), .VAR61() ); VAR152 VAR127 ( .VAR19 (VAR37), .VAR139 (VAR80), .VAR20 (VAR11), .VAR74 (VAR107), .VAR120 (VAR13), .VAR145 (VAR68), .VAR64 (VAR159), .VAR51 (VAR39), .VAR91 (VAR137), .VAR43 (VAR5), .VAR94 (VAR3), .VAR95 (VAR55), .VAR129 (VAR160), .VAR21 (VAR42) ); VAR127.VAR83 = VAR124, VAR127.VAR141 = VAR45, VAR127.VAR34 = VAR124, VAR127.VAR161 = VAR124, VAR127.VAR56 = VAR45, VAR127.VAR10 = VAR124, VAR127.VAR105 = VAR124, VAR127.VAR87 = VAR45, VAR127.VAR82 = VAR124, VAR127.VAR72 = VAR124, VAR127.VAR57 = VAR45, VAR127.VAR143 = VAR124, VAR127.VAR76 = "VAR71", VAR127.VAR121 = "VAR71", VAR127.VAR126 = "VAR71", VAR127.VAR119 = "VAR115", VAR127.VAR49 = "VAR115", VAR127.VAR47 = "VAR115", VAR127.VAR101 = "VAR67", VAR127.VAR136 = "VAR67", VAR127.VAR109 = "VAR67", VAR127.VAR59 = "VAR67", VAR127.VAR54 = "VAR8", VAR127.VAR142 = "VAR8", VAR127.VAR41 = "VAR8", VAR127.VAR18 = "VAR15", VAR127.VAR147 = "VAR78=VAR133"; reg [VAR124-1:0] VAR44 [(1<<VAR45)-1:0]; reg [VAR45-1:0] VAR58; assign VAR160 = (VAR53) ? VAR44[VAR58] : {VAR124{1'b0}}; always @(posedge VAR137 or posedge VAR159) if (VAR159) VAR58 <= {VAR45{1'b0}}; else if (VAR80) VAR58 <= VAR37; always @(posedge VAR5) if (VAR13 && VAR68) VAR44[VAR11] <= VAR55; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/clkbuf/sky130_fd_sc_hdll__clkbuf.functional.pp.v
1,790
module MODULE1 ( VAR4 , VAR8 , VAR5, VAR2, VAR7 , VAR11 ); output VAR4 ; input VAR8 ; input VAR5; input VAR2; input VAR7 ; input VAR11 ; wire VAR9 ; wire VAR1; buf VAR6 (VAR9 , VAR8 ); VAR10 VAR3 (VAR1, VAR9, VAR5, VAR2); buf VAR12 (VAR4 , VAR1 ); endmodule
apache-2.0
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
bin_Dilation_Operation/ip/Dilation/vfabric_lsu_pipelined.v
6,341
module MODULE1(VAR24, VAR47, VAR7, VAR41, VAR17, VAR60, VAR44, VAR27, VAR48, VAR9, VAR13, VAR8, VAR14, VAR12, VAR1, VAR50, VAR54, VAR59); parameter VAR2 = 32; parameter VAR40 = 4; parameter VAR34 = 32; parameter VAR31 = 64; input VAR24, VAR47; input [VAR2-1:0] VAR7; input VAR60; input VAR41, VAR44; output VAR17, VAR27; input VAR48; output [31:0] VAR9; output VAR13; input VAR12; input [255:0] VAR8; output [31:0] VAR14; output [5:0] VAR1; output [31:0] VAR50; input VAR59; output VAR54; wire [VAR2-1:0] VAR15; wire VAR52; wire VAR11; wire VAR6; wire VAR49; wire VAR18; wire VAR22; VAR45 VAR57 ( .VAR24(VAR24), .VAR47(VAR47), .VAR10(VAR7), .VAR58(VAR15), .VAR23(VAR41), .VAR39( VAR11 ), .VAR4(VAR18), .VAR20(VAR17) ); VAR45 VAR32 ( .VAR24(VAR24), .VAR47(VAR47), .VAR10(VAR60), .VAR58(VAR52), .VAR23(VAR44), .VAR39( VAR6 ), .VAR4(VAR18), .VAR20(VAR27) ); assign VAR49 = VAR11 & VAR6; assign VAR18 = ~(VAR11 & VAR6 & ~VAR22); VAR28 VAR35 (.clk(VAR24), .reset(~VAR47), .VAR25(VAR15), .VAR46(VAR49), .VAR36(VAR22), .VAR16(VAR50), .VAR26(VAR54), .VAR55(VAR59), .VAR30(), .VAR13(VAR13), .VAR8(VAR8), .VAR12(VAR12), .VAR14(VAR14), .VAR48(VAR48), .VAR9(VAR9), .VAR1(VAR1)); endmodule module MODULE2(VAR24, VAR47, VAR7, VAR41, VAR17, VAR43, VAR56, VAR51, VAR60, VAR44, VAR27, VAR48, VAR9, VAR29, VAR3, VAR53, VAR14, VAR54, VAR59); parameter VAR2 = 32; parameter VAR40 = 4; parameter VAR34 = 32; parameter VAR31 = 64; input VAR24, VAR47; input [VAR2-1:0] VAR7; input [VAR34-1:0] VAR43; input VAR60; input VAR41, VAR56, VAR44; output VAR17, VAR51, VAR27; input VAR48; output [31:0] VAR9; output VAR29; input VAR3; output [255:0] VAR53; output [31:0] VAR14; input VAR59; output VAR54; wire [VAR2-1:0] VAR15; wire [VAR34-1:0] VAR10; wire VAR52; wire VAR11; wire VAR33; wire VAR6; wire VAR49; wire VAR18; wire VAR22; VAR45 VAR57 ( .VAR24(VAR24), .VAR47(VAR47), .VAR10(VAR7), .VAR58(VAR15), .VAR23(VAR41), .VAR39( VAR11 ), .VAR4(VAR18), .VAR20(VAR17) ); VAR45 VAR21 ( .VAR24(VAR24), .VAR47(VAR47), .VAR10(VAR43), .VAR58(VAR10), .VAR23(VAR56), .VAR39( VAR33 ), .VAR4(VAR18), .VAR20(VAR51) ); VAR45 VAR32 ( .VAR24(VAR24), .VAR47(VAR47), .VAR10(VAR60), .VAR58(VAR52), .VAR23(VAR44), .VAR39( VAR6 ), .VAR4(VAR18), .VAR20(VAR27) ); assign VAR49 = VAR11 & VAR33 & VAR6; assign VAR18 = ~(VAR11 & VAR33 & VAR6 & ~VAR22); VAR42 VAR35 (.clk(VAR24), .reset(~VAR47), .VAR25(VAR15), .VAR37(VAR10), .VAR46(VAR49), .VAR36(VAR22), .VAR26(VAR54), .VAR55(VAR59), .VAR29(VAR29), .VAR3(VAR3), .VAR53(VAR53), .VAR14(VAR14), .VAR48(VAR48), .VAR9(VAR9)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2111oi/sky130_fd_sc_hd__a2111oi.behavioral.pp.v
2,082
module MODULE1 ( VAR1 , VAR8 , VAR6 , VAR11 , VAR18 , VAR5 , VAR17, VAR10, VAR3 , VAR15 ); output VAR1 ; input VAR8 ; input VAR6 ; input VAR11 ; input VAR18 ; input VAR5 ; input VAR17; input VAR10; input VAR3 ; input VAR15 ; wire VAR2 ; wire VAR9 ; wire VAR7; and VAR14 (VAR2 , VAR8, VAR6 ); nor VAR4 (VAR9 , VAR11, VAR18, VAR5, VAR2 ); VAR13 VAR12 (VAR7, VAR9, VAR17, VAR10); buf VAR16 (VAR1 , VAR7 ); endmodule
apache-2.0
mballance/oc_wb_ip
rtl/wb_uart/uart_top.v
13,066
module MODULE1 #( parameter reg VAR39=1, parameter reg VAR33=0, parameter reg VAR5=1 ) ( VAR52, VAR45, VAR37, VAR35, VAR43, VAR16, VAR13, VAR51, VAR9, VAR28, VAR12, VAR32, VAR21, VAR17, VAR4, VAR26, VAR50, VAR1, VAR46, VAR18, VAR8 ); parameter VAR6 = (VAR33==1)?8:32; parameter VAR29 = (VAR33==1)?3:5; input VAR52; input VAR45; input [VAR29-1:0] VAR37; input [VAR6-1:0] VAR35; output [VAR6-1:0] VAR43; input VAR16; input VAR13; input VAR51; input [3:0] VAR28; output VAR9; output VAR12; input VAR21; output VAR32; output VAR17; input VAR4; output VAR26; input VAR50; input VAR1; input VAR46; output VAR18; output VAR8; wire VAR32; wire VAR17; wire VAR26; wire [VAR29-1:0] VAR37; wire [VAR6-1:0] VAR35; wire [VAR6-1:0] VAR43; wire [7:0] VAR53; wire [7:0] VAR14; wire [31:0] VAR2; wire [3:0] VAR28; wire [VAR29-1:0] VAR25; wire VAR54; wire VAR41; generate if (VAR33 == 0) begin wire [3:0] VAR30; wire [3:0] VAR42; wire [1:0] VAR38; wire [4:0] VAR44; wire [7:0] VAR36; wire [7:0] VAR40; wire [7:0] VAR22; wire [VAR20-1:0] VAR23; wire [VAR20-1:0] VAR31; wire [2:0] VAR7; wire [3:0] VAR3; VAR19 VAR11( .VAR2 (VAR2[31:0]), .VAR37 (VAR25[VAR15-1:0]), .VAR30 (VAR30[3:0]), .VAR42 (VAR42[3:0]), .VAR38 (VAR38[1:0]), .VAR44 (VAR44[4:0]), .VAR36 (VAR36[7:0]), .VAR40 (VAR40[7:0]), .VAR22 (VAR22[7:0]), .VAR23 (VAR23[VAR20-1:0]), .VAR31 (VAR31[VAR20-1:0]), .VAR7 (VAR7[2:0]), .VAR3 (VAR3[3:0])); VAR34 #( .VAR39(VAR39), .VAR33(VAR33), .VAR5(VAR5) ) VAR49 ( .clk( VAR52 ), .VAR45( VAR45 ), .VAR35(VAR35), .VAR43(VAR43), .VAR53(VAR53), .VAR14(VAR14), .VAR28(VAR28), .VAR2(VAR2), .VAR16( VAR16 ), .VAR13( VAR13 ), .VAR51( VAR51 ), .VAR9( VAR9 ), .VAR37(VAR37), .VAR25(VAR25), .VAR54( VAR54 ), .VAR41(VAR41) ); end else begin VAR34 #( .VAR39(VAR39), .VAR33(VAR33), .VAR5(VAR5) ) VAR49 ( .clk( VAR52 ), .VAR45( VAR45 ), .VAR35(VAR35), .VAR43(VAR43), .VAR53(VAR53), .VAR14(VAR14), .VAR2(32'b0), .VAR28(4'b0), .VAR16( VAR16 ), .VAR13( VAR13 ), .VAR51( VAR51 ), .VAR9( VAR9 ), .VAR37(VAR37), .VAR25(VAR25), .VAR54( VAR54 ), .VAR41(VAR41) ); end endgenerate generate if (VAR33 == 1) begin VAR27 VAR24( .clk( VAR52 ), .VAR45( VAR45 ), .VAR48( VAR25 ), .VAR35( VAR53 ), .VAR43( VAR14 ), .VAR16( VAR54 ), .VAR47(VAR41), .VAR10( {VAR4, VAR50, VAR1, VAR46} ), .VAR32( VAR32 ), .VAR21( VAR21 ), .VAR17( VAR17 ), .VAR26( VAR26 ), .VAR12( VAR12 ), .VAR8(VAR8), .VAR18(VAR18) ); end else begin VAR27 VAR24( .clk( VAR52 ), .VAR45( VAR45 ), .VAR48( VAR25 ), .VAR35( VAR53 ), .VAR43( VAR14 ), .VAR16( VAR54 ), .VAR47(VAR41), .VAR10( {VAR4, VAR50, VAR1, VAR46} ), .VAR32( VAR32 ), .VAR21( VAR21 ), .VAR30(VAR30), .VAR42(VAR42), .VAR38(VAR38), .VAR44(VAR44), .VAR36(VAR36), .VAR40(VAR40), .VAR22(VAR22), .VAR23(VAR23), .VAR31(VAR31), .VAR7(VAR7), .VAR3(VAR3), .VAR17( VAR17 ), .VAR26( VAR26 ), .VAR12( VAR12 ), .VAR8(VAR8), .VAR18(VAR18) ); end endgenerate generate if (VAR33 == 1) begin
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/cordic_Arch3/Mux_3x1_b_v2.v
1,035
module MODULE1 #(parameter VAR1=32) ( input wire [1:0] select, input wire [VAR1-1:0] VAR5, input wire [VAR1-1:0] VAR2, input wire [VAR1-1:0] VAR3, output reg [VAR1-1:0] VAR4 ); always @* begin case(select) 2'b00: VAR4 <= {VAR1{1'b0}}; 2'b01: VAR4 <= VAR5; 2'b10: VAR4 <= VAR2; 2'b11: VAR4 <= VAR3; endcase end endmodule
gpl-3.0
VerticalResearchGroup/miaow
src/verilog/rtl/memory/memory.v
2,085
module MODULE1( VAR2, VAR12, VAR14, VAR4, VAR7, VAR11, VAR6, VAR13, ack, clk, rst ); input clk; input rst; input VAR2; input VAR12, VAR14; input [31:0] VAR4; input [31:0] VAR7; input [6:0] VAR11; output [6:0] VAR13; output ack; output [31:0] VAR6; reg[7:0] VAR15[50000:0]; reg[7:0] VAR10[65535:0]; reg VAR9; reg [6:0] VAR3; assign ack = VAR9; assign VAR13 = VAR3; integer VAR5; always@(posedge clk, posedge rst) begin if(VAR14) begin if(VAR2) begin VAR10 [VAR4] <= VAR7[7:0]; VAR10 [VAR4+1] <= VAR7[15:7]; VAR10 [VAR4+2] <= VAR7[23:16]; VAR10 [VAR4+3] <= VAR7[31:24]; end else begin VAR15 [VAR4] <= VAR7[7:0]; VAR15 [VAR4+1] <= VAR7[15:7]; VAR15 [VAR4+2] <= VAR7[23:16]; VAR15 [VAR4+3] <= VAR7[31:24]; end end end always@(posedge clk) begin if(rst) begin VAR9 <= 1'b0; VAR3 <= 7'd0; end else begin VAR9 <= 1'b0; VAR3 <= 7'd0; if(VAR12 | VAR14) begin VAR9 <= 1'b1; VAR3 <= VAR11; end end end wire [31:0] VAR1; wire [31:0] VAR8; assign VAR1 = {VAR10[VAR4+3],VAR10[VAR4+2],VAR10[VAR4+1],VAR10[VAR4]}; assign VAR8 = {VAR15[VAR4+3],VAR15[VAR4+2],VAR15[VAR4+1],VAR15[VAR4]}; assign VAR6 = (VAR2) ? VAR1:VAR8; endmodule
bsd-3-clause
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/ddr3_s4_uniphy_example_if0_p0_flop_mem.v
2,654
module MODULE1( VAR17, VAR5, VAR13, VAR24, VAR7, VAR29, VAR23, VAR14, VAR19 ); parameter VAR31 = ""; parameter VAR6 = ""; parameter VAR25 = ""; parameter VAR12 = ""; parameter VAR26 = ""; parameter VAR1 = ""; input VAR17; input VAR5; input [VAR6-1:0] VAR13; input [VAR25-1:0] VAR24; input VAR7; input VAR29; input VAR23; input [VAR26-1:0] VAR14; output [VAR1-1:0] VAR19; wire [VAR31-1:0] VAR10; wire [VAR25*VAR31-1:0] VAR21; wire [VAR1-1:0] VAR11; reg [VAR25-1:0] VAR28 [0:VAR31-1] ; reg [VAR1-1:0] VAR19; always @(posedge VAR17) begin if(VAR5) VAR28[VAR13] <= VAR24; end generate genvar VAR18; for (VAR18=0; VAR18 < VAR31; VAR18=VAR18+1) begin: VAR27 assign VAR21[(VAR25*(VAR18+1)-1) : (VAR25*VAR18)] = VAR28[VAR18]; end endgenerate VAR16 VAR22( .sel (VAR14), .VAR9 (VAR21), .VAR30 (VAR11) , .VAR15 (), .VAR33 (), .VAR32 () ); always @(posedge VAR29) begin VAR19 <= VAR11; end endmodule
lgpl-3.0
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/button_pio.v
4,321
module MODULE1 ( address, VAR7, clk, VAR2, VAR14, VAR12, VAR8, irq, VAR13 ) ; output irq; output [ 31: 0] VAR13; input [ 1: 0] address; input VAR7; input clk; input [ 3: 0] VAR2; input VAR14; input VAR12; input [ 31: 0] VAR8; wire VAR4; reg [ 3: 0] VAR6; reg [ 3: 0] VAR1; wire [ 3: 0] VAR11; reg [ 3: 0] VAR15; wire VAR9; wire [ 3: 0] VAR5; wire irq; reg [ 3: 0] VAR10; wire [ 3: 0] VAR3; reg [ 31: 0] VAR13; assign VAR4 = 1; assign VAR3 = ({4 {(address == 0)}} & VAR11) | ({4 {(address == 2)}} & VAR10) | ({4 {(address == 3)}} & VAR15); always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR13 <= 0; end else if (VAR4) VAR13 <= {{{32 - 4}{1'b0}},VAR3}; end assign VAR11 = VAR2; always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR10 <= 0; end else if (VAR7 && ~VAR12 && (address == 2)) VAR10 <= VAR8[3 : 0]; end assign irq = |(VAR15 & VAR10); assign VAR9 = VAR7 && ~VAR12 && (address == 3); always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR15[0] <= 0; end else if (VAR4) if (VAR9) VAR15[0] <= 0; else if (VAR5[0]) VAR15[0] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR15[1] <= 0; end else if (VAR4) if (VAR9) VAR15[1] <= 0; else if (VAR5[1]) VAR15[1] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR15[2] <= 0; end else if (VAR4) if (VAR9) VAR15[2] <= 0; else if (VAR5[2]) VAR15[2] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR15[3] <= 0; end else if (VAR4) if (VAR9) VAR15[3] <= 0; else if (VAR5[3]) VAR15[3] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) begin VAR6 <= 0; VAR1 <= 0; end else if (VAR4) begin VAR6 <= VAR11; VAR1 <= VAR6; end end assign VAR5 = ~VAR6 & VAR1; endmodule
gpl-3.0
alexforencich/verilog-ethernet
lib/axis/rtl/arbiter.v
4,993
module MODULE1 # ( parameter VAR14 = 4, parameter VAR13 = 0, parameter VAR21 = 0, parameter VAR20 = 1, parameter VAR15 = 0 ) ( input wire clk, input wire rst, input wire [VAR14-1:0] request, input wire [VAR14-1:0] acknowledge, output wire [VAR14-1:0] VAR24, output wire VAR11, output wire [VAR12(VAR14)-1:0] VAR17 ); reg [VAR14-1:0] VAR9 = 0, VAR7; reg VAR23 = 0, VAR29; reg [VAR12(VAR14)-1:0] VAR32 = 0, VAR4; assign VAR11 = VAR23; assign VAR24 = VAR9; assign VAR17 = VAR32; wire VAR31; wire [VAR12(VAR14)-1:0] VAR19; wire [VAR14-1:0] VAR16; VAR6 #( .VAR26(VAR14), .VAR3(VAR15) ) VAR2 ( .VAR30(request), .VAR22(VAR31), .VAR27(VAR19), .VAR1(VAR16) ); reg [VAR14-1:0] VAR8 = 0, VAR18; wire VAR28; wire [VAR12(VAR14)-1:0] VAR10; wire [VAR14-1:0] VAR25; VAR6 #( .VAR26(VAR14), .VAR3(VAR15) ) VAR5 ( .VAR30(request & VAR8), .VAR22(VAR28), .VAR27(VAR10), .VAR1(VAR25) ); always @* begin VAR7 = 0; VAR29 = 0; VAR4 = 0; VAR18 = VAR8; if (VAR21 && !VAR20 && VAR9 & request) begin VAR29 = VAR23; VAR7 = VAR9; VAR4 = VAR32; end else if (VAR21 && VAR20 && VAR11 && !(VAR9 & acknowledge)) begin VAR29 = VAR23; VAR7 = VAR9; VAR4 = VAR32; end else if (VAR31) begin if (VAR13) begin if (VAR28) begin VAR29 = 1; VAR7 = VAR25; VAR4 = VAR10; if (VAR15) begin VAR18 = {VAR14{1'b1}} << (VAR10 + 1); end else begin VAR18 = {VAR14{1'b1}} >> (VAR14 - VAR10); end end else begin VAR29 = 1; VAR7 = VAR16; VAR4 = VAR19; if (VAR15) begin VAR18 = {VAR14{1'b1}} << (VAR19 + 1); end else begin VAR18 = {VAR14{1'b1}} >> (VAR14 - VAR19); end end end else begin VAR29 = 1; VAR7 = VAR16; VAR4 = VAR19; end end end always @(posedge clk) begin VAR9 <= VAR7; VAR23 <= VAR29; VAR32 <= VAR4; VAR8 <= VAR18; if (rst) begin VAR9 <= 0; VAR23 <= 0; VAR32 <= 0; VAR8 <= 0; end end endmodule
mit
Bjay1435/capstone
Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ip/dma_loopback_xbar_1/synth/dma_loopback_xbar_1.v
19,730
module MODULE1 ( VAR100, VAR30, VAR84, VAR114, VAR110, VAR108, VAR49, VAR79, VAR16, VAR85, VAR101, VAR51, VAR61, VAR82, VAR77, VAR32, VAR36, VAR130, VAR9, VAR99, VAR5, VAR74, VAR125, VAR42, VAR72, VAR3, VAR116, VAR81, VAR117, VAR47, VAR25, VAR62, VAR39, VAR29, VAR120, VAR1, VAR95, VAR50, VAR127, VAR57, VAR27, VAR106, VAR40, VAR8, VAR26, VAR14, VAR6, VAR96, VAR63, VAR87, VAR104, VAR65, VAR34, VAR119, VAR18, VAR60, VAR28, VAR22, VAR19, VAR112, VAR91, VAR76, VAR15, VAR102, VAR55, VAR128, VAR59, VAR10, VAR83, VAR78, VAR44, VAR73, VAR92, VAR86, VAR71, VAR56, VAR88, VAR31 ); input wire VAR100; input wire VAR30; input wire [11 : 0] VAR84; input wire [31 : 0] VAR114; input wire [7 : 0] VAR110; input wire [2 : 0] VAR108; input wire [1 : 0] VAR49; input wire [0 : 0] VAR79; input wire [3 : 0] VAR16; input wire [2 : 0] VAR85; input wire [3 : 0] VAR101; input wire [0 : 0] VAR51; output wire [0 : 0] VAR61; input wire [31 : 0] VAR82; input wire [3 : 0] VAR77; input wire [0 : 0] VAR32; input wire [0 : 0] VAR36; output wire [0 : 0] VAR130; output wire [11 : 0] VAR9; output wire [1 : 0] VAR99; output wire [0 : 0] VAR5; input wire [0 : 0] VAR74; input wire [11 : 0] VAR125; input wire [31 : 0] VAR42; input wire [7 : 0] VAR72; input wire [2 : 0] VAR3; input wire [1 : 0] VAR116; input wire [0 : 0] VAR81; input wire [3 : 0] VAR117; input wire [2 : 0] VAR47; input wire [3 : 0] VAR25; input wire [0 : 0] VAR62; output wire [0 : 0] VAR39; output wire [11 : 0] VAR29; output wire [31 : 0] VAR120; output wire [1 : 0] VAR1; output wire [0 : 0] VAR95; output wire [0 : 0] VAR50; input wire [0 : 0] VAR127; output wire [23 : 0] VAR57; output wire [63 : 0] VAR27; output wire [15 : 0] VAR106; output wire [5 : 0] VAR40; output wire [3 : 0] VAR8; output wire [1 : 0] VAR26; output wire [7 : 0] VAR14; output wire [5 : 0] VAR6; output wire [7 : 0] VAR96; output wire [7 : 0] VAR63; output wire [1 : 0] VAR87; input wire [1 : 0] VAR104; output wire [63 : 0] VAR65; output wire [7 : 0] VAR34; output wire [1 : 0] VAR119; output wire [1 : 0] VAR18; input wire [1 : 0] VAR60; input wire [23 : 0] VAR28; input wire [3 : 0] VAR22; input wire [1 : 0] VAR19; output wire [1 : 0] VAR112; output wire [23 : 0] VAR91; output wire [63 : 0] VAR76; output wire [15 : 0] VAR15; output wire [5 : 0] VAR102; output wire [3 : 0] VAR55; output wire [1 : 0] VAR128; output wire [7 : 0] VAR59; output wire [5 : 0] VAR10; output wire [7 : 0] VAR83; output wire [7 : 0] VAR78; output wire [1 : 0] VAR44; input wire [1 : 0] VAR73; input wire [23 : 0] VAR92; input wire [63 : 0] VAR86; input wire [3 : 0] VAR71; input wire [1 : 0] VAR56; input wire [1 : 0] VAR88; output wire [1 : 0] VAR31; VAR35 #( .VAR89("VAR24"), .VAR46(1), .VAR75(2), .VAR11(12), .VAR80(32), .VAR111(32), .VAR107(0), .VAR21(1), .VAR94(128'VAR37), .VAR20(64'VAR53), .VAR122(32'VAR69), .VAR2(32'VAR121), .VAR38(0), .VAR118(1), .VAR113(1), .VAR58(1), .VAR41(1), .VAR17(1), .VAR124(64'VAR66), .VAR97(64'VAR66), .VAR54(0), .VAR109(32'VAR69), .VAR90(32'VAR48), .VAR67(32'VAR48), .VAR105(64'VAR103), .VAR123(64'VAR103), .VAR33(32'VAR69), .VAR93(32'VAR69), .VAR23(1) ) VAR64 ( .VAR100(VAR100), .VAR30(VAR30), .VAR84(VAR84), .VAR114(VAR114), .VAR110(VAR110), .VAR108(VAR108), .VAR49(VAR49), .VAR79(VAR79), .VAR16(VAR16), .VAR85(VAR85), .VAR101(VAR101), .VAR98(1'VAR126), .VAR51(VAR51), .VAR61(VAR61), .VAR52(12'VAR13), .VAR82(VAR82), .VAR77(VAR77), .VAR32(VAR32), .VAR115(1'VAR126), .VAR36(VAR36), .VAR130(VAR130), .VAR9(VAR9), .VAR99(VAR99), .VAR7(), .VAR5(VAR5), .VAR74(VAR74), .VAR125(VAR125), .VAR42(VAR42), .VAR72(VAR72), .VAR3(VAR3), .VAR116(VAR116), .VAR81(VAR81), .VAR117(VAR117), .VAR47(VAR47), .VAR25(VAR25), .VAR12(1'VAR126), .VAR62(VAR62), .VAR39(VAR39), .VAR29(VAR29), .VAR120(VAR120), .VAR1(VAR1), .VAR95(VAR95), .VAR45(), .VAR50(VAR50), .VAR127(VAR127), .VAR57(VAR57), .VAR27(VAR27), .VAR106(VAR106), .VAR40(VAR40), .VAR8(VAR8), .VAR26(VAR26), .VAR14(VAR14), .VAR6(VAR6), .VAR96(VAR96), .VAR63(VAR63), .VAR131(), .VAR87(VAR87), .VAR104(VAR104), .VAR70(), .VAR65(VAR65), .VAR34(VAR34), .VAR119(VAR119), .VAR129(), .VAR18(VAR18), .VAR60(VAR60), .VAR28(VAR28), .VAR22(VAR22), .VAR4(2'VAR126), .VAR19(VAR19), .VAR112(VAR112), .VAR91(VAR91), .VAR76(VAR76), .VAR15(VAR15), .VAR102(VAR102), .VAR55(VAR55), .VAR128(VAR128), .VAR59(VAR59), .VAR10(VAR10), .VAR83(VAR83), .VAR78(VAR78), .VAR43(), .VAR44(VAR44), .VAR73(VAR73), .VAR92(VAR92), .VAR86(VAR86), .VAR71(VAR71), .VAR56(VAR56), .VAR68(2'VAR126), .VAR88(VAR88), .VAR31(VAR31) ); endmodule
mit
HarmonInstruments/verilog
sincos/cosrom_RAMB36E1.v
12,632
module MODULE1 ( input VAR149, input [9:0] VAR160, VAR2, output [34:0] d0, VAR85); wire [35:0] o0, o1; assign d0 = o0[34:0]; assign VAR85 = o1[34:0]; VAR176 #( .VAR67(1),.VAR76(1), .VAR62(36'h000000000), .VAR34(36'h000000000), .VAR121("VAR21"), .VAR40(36), .VAR30(36), .VAR100(36), .VAR144(36), .VAR61(256'hFFE1A04AFFE9A040FFF08037FFF6002CFFFA6023FFFD6018FFFF400FFFFFE005), .VAR171(256'hFF752099FF87008FFF97A085FFA7007BFFB54072FFC24068FFCDE05DFFD86054), .VAR111(256'hFEB9A0E8FED560DEFEEFE0D4FF0920CAFF2140C1FF3800B6FF4DA0ADFF6200A3), .VAR172(256'hFDAF4137FDD4C12CFDF94124FE1C6119FE3E410FFE5F0106FE7E60FBFE9CA0F2), .VAR101(256'hFC55E185FC85617CFCB3A172FCE0A168FD0C615EFD370155FD60414AFD886141), .VAR3(256'hFAADE1D5FAE721CAFB1F41C1FB5621B7FB8BC1ADFBC021A3FBF34199FC254190), .VAR75(256'hF8B70223F8FA2219F93C2210F97CC205F9BC41FCF9FA81F2FA3781E8FA7341DE), .VAR137(256'hF6718272F6BE8268F70A425EF754C254F79E224BF7E62240F82D0237F872A22D), .VAR6(256'hF3DD62C0F43442B7F489C2ACF4DE22A3F5314299F583228FF5D3E286F623427B), .VAR108(256'hF0FAE30FF15B6304F1BAE2FCF21902F1F275E2E7F2D1A2DEF32C22D4F38562CA), .VAR175(256'hEDC9E35DEE344353EE9D834AEF05633FEF6C2336EFD1A32CF035E322F0990319), .VAR157(256'hEA4AA3ABEABEE3A2EB31C397EBA3838EEC140384EC83637BECF16370ED5E4367), .VAR81(256'hE67D63FAE6FB43EFE77803E6E7F383DCE86DC3D2E8E6C3C8E95EA3BFE9D543B5), .VAR106(256'hE2620447E2E9A43DE3702434E3F5642AE4796420E4FC4417E57DC40CE5FE2403), .VAR47(256'hDDF8E495DE8A448BDF1A8482DFA96477E037246EE0C3C465E14F045AE1D92451), .VAR132(256'hD94224E2D9DD44D9DA7724CFDB0FC4C5DBA744BCDC3D84B2DCD284A8DD66449E), .VAR23(256'hD43DE530D4E2A526D586451DD6288512D6C9A509D769A500D80864F6D8A5E4EC), .VAR114(256'hCEEC657DCF9AC573D048056AD0F40560D19EC556D248654DD2F0C543D397E539), .VAR27(256'hC94DE5CACA05E5C0CABCC5B7CB7265ADCC26C5A3CCDA059ACD8C0590CE3CC586), .VAR136(256'hC3628617C424260DC4E48603C5A3C5FAC661C5F0C71EA5E7C7DA45DDC894A5D3), .VAR174(256'hBD2A6663BDF5A65ABEBFA650BF886646C050063DC1166633C1DBA62AC29FA620), .VAR138(256'hB6A606AFB77AC6A6B84E469CB920A693B9F1C689BAC1C680BB908676BC5E066C), .VAR11(256'hAFD586FBB0B3C6F2B190C6E8B26CA6DFB34746D5B420C6CCB4F906C2B5D026B9), .VAR117(256'hA8B92747A9A0C73DAA874734AB6CA72BAC50C721AD33C718AE15870EAEF62705), .VAR131(256'hA1512793A2424789A332277FA420E776A50E876DA5FAE763A6E6275AA7D04751), .VAR151(256'h999DC7DD9A9867D59B91A7CA9C89E7C29D80E7B89E76A7AE9F6B67A6A05EC79B), .VAR139(256'h919F882892A3681F93A6281694A7A80C95A8080396A747FA97A547F098A227E7), .VAR22(256'h895688738A63C86A8B6FC8608C7AA8578D84684E8E8CE8448F94483B909A8832), .VAR96(256'h80C328BD81D9A8B482EEE8AA840328A2851628988627E88E8738A8868848287C), .VAR165(256'h77E5A907790568FE7A2408F57B4168EB7C5DA8E27D78C8D97E92A8CF7FAB88C7), .VAR41(256'h6EBE89506FE78948710F493E7235E935735B492B747FA92375A2C91976C4C910), .VAR52(256'h654E09996680299167B1098768E0C97E6A0F69756B3CE96C6C6949636D94895A), .VAR184(256'h5B9489E25CCFC9DA5E09C9D05F42A9C7607A69BE61B109B562E689AC641AE9A3), .VAR158(256'h51928A2B52D6AA215419CA19555BCA10569C8A0657DC49FE591AE9F55A5849EB), .VAR143(256'h47482A7348956A6A49E16A604B2C6A584C764A4F4DBF0A464F06AA3D504D2A34), .VAR177(256'h3CB5EABA3E0C2AB23F612AA840B52AA042080A974359AA8D44AA4A8545F9CA7C), .VAR170(256'h31DC6B02333B6AF834996AF035F64AE737520ADE38ACAAD53A064ACD3B5EAAC3), .VAR128(256'h26BBCB492823AB3F298A8B372AF04B2E2C54EB252DB86B1C2F1AEB14307C2B0A), .VAR191(256'h1B548B8E1CC54B861E34EB7D1FA38B752110EB6B227D4B6323E88B5A2552AB51), .VAR19(256'h0FA74BD51120CBCC12992BC314106BBA1586ABB216FBCBA9186FCBA019E2CB98), .VAR105(256'h03B42C1A05366C1206B78C0908378C0009B66BF70B344BEF0CB10BE60E2CABDD), .VAR39(256'hF77BEC5FF906CC57FA908C4EFC192C45FDA0AC3CFF272C3400ACAC2C0230EC22), .VAR51(256'hEAFEECA3EC924C9BEE24AC93EFB5EC8AF1460C81F2D52C79F4632C70F5F00C67), .VAR129(256'hDE3DACE7DFD98CDFE1746CD7E30E2CCEE4A6CCC5E63E6CBDE7D50CB5E96A8CAC), .VAR135(256'hD138AD2BD2DCED22D4802D1AD6226D12D7C38D09D963AD01DB02CCF9DCA0CCF0), .VAR77(256'hC3F04D6EC59CED65C748AD5EC8F34D55CA9CCD4CCC454D44CDECCD3CCF934D34), .VAR102(256'hB6652DB1B81A2DA8B9CE2DA0BB812D98BD330D8FBEE3ED87C093CD7FC2428D76), .VAR73(256'hA897CDF3AA550DEAAC114DE2ADCC8DDAAF86ADD1B13FEDCAB2F80DC1B4AF0DB8), .VAR13(256'h9A88AE349C4E2E2C9E128E239FD60E1CA1986E13A359CE0BA51A2E03A6D96DFA), .VAR169(256'h8C386E758E060E6D8FD28E64919E2E5D9368AE5495322E4C96FAAE4498C22E3C), .VAR115(256'h7DA78EB57F7D2EAD8151CEA583256E9D84F80E9586C9AE8D889A4E858A69CE7C), .VAR89(256'h6ED6AEF570B42EEC7290CEE5746C6EDD76470ED57820AECD79F94EC57BD0EEBD), .VAR69(256'h5FC62F3461ABAF2C63902F246573CF1D67564F146937CF0C6B186F056CF80EFD), .VAR130(256'h5076CF7252642F6B54508F63563BEF5B58264F535A0FCF4C5BF82F435DDFAF3C), .VAR95(256'h40E92FB042DE4FA944D26FA146C58F9948B7CF924AA8EF894C994F834E888F7A), .VAR64(256'h311DCFEE331A8FE635166FDF37114FD7390B2FCF3B040FC73CFC0FC03EF32FB9), .VAR49(256'h2115502B2319B023251D301C271F90132921300D2B21B0042D216FFE2F200FF5), .VAR36(256'h10D0506712DC305F14E7305816F1305018FA50491B0270411D09B03A1F0FF032), .VAR78(256'h004F70A20262D09B047550940686D08C089750840AA6F07D0CB5B0760EC3706E), .VAR163(256'hEF9370DDF1AE10D5F3C7F0CFF5E0D0C7F7F8D0C0FA0FD0B8FC25F0B1FE3B30AA), .VAR79(256'hDE9CB117E0BEB110E2DFD109E5001102E71F50FAE93DB0F3EB5B30ECED77D0E5), .VAR155(256'hCD6C3151CF955149D1BDB143D3E5113BD60BB135D831512DDA55F125DC79D11F), .VAR71(256'hBC02518ABE329182C062117CC290B175C4BE516DC6EB1166C9171160CB421158), .VAR109(256'hAA5FD1C2AC9731BBAECDB1B4B10351ADB33811A6B56BF19FB79EF198B9D11191), .VAR87(256'h988571F99AC3D1F39D0151EC9F3DD1E4A17991DEA3B471D7A5EE71D0A82791C9), .VAR35(256'h8673D23088B9122A8AFD72238D40F21C8F83921591C5520E9406320796465201), .VAR18(256'h742BB2667677B26078C2D2597B0D12527D56924C7F9F324581E6F23E842DD237), .VAR167(256'h61ADD29C640072956652528F68A352886AF372816D42D27B6F91527471DEF26D), .VAR86(256'h4EFAB2D15153F2CA53AC72C4560412BD585AD2B65AB0D2B05D05F2A95F5A52A3), .VAR44(256'h3C1333043E7312FF40D1F2F7433032F2458D72EA47EA12E54A45B2DD4CA092D7), .VAR193(256'h28F813372B5E53322DC3B32B30285325328C131E34EF13183751531239B2B30B), .VAR82(256'h15AA136A181693641A82535E1CED53581F57735121C0D34B242953442691333F), .VAR99(256'h0229D39C049C9396070E9390097FD38A0BF033830E5FD37D10CEB377133CD371), .VAR159(256'hEE7833CDF0F113C7F36933C1F5E093BBF85733B5FACCF3AEFD4213A9FFB653A2), .VAR183(256'hDA95D3FDDD14D3F8DF92F3F1E21053EBE48D13E6E708F3DFE98413D9EBFE93D4), .VAR141(256'hC683942DC9087427CB8C9421CE10141CD092B415D314940FD595B409D8163404), .VAR65(256'hB242345BB4CCF456B756F450B9E0344ABC68D445BEF0943EC177B439C3FDF432), .VAR15(256'h9DD2948AA062F483A2F2B47EA581D479A8101472AA9DB46DAD2A9467AFB6D462), .VAR123(256'h893534B68BCB54B18E60D4AC90F574A5938994A1961CD49A98AF74959B41548F), .VAR14(256'h746B34E37706D4DD79A1D4D87C3C34D37ED5B4CC816EB4C88406D4C1869E74BD), .VAR133(256'h5F75350F6216550964B6B503675694FF69F594F86C9414F46F31B4ED71CED4E9), .VAR88(256'h4A53F5394CFA75344FA0552F5245752954E9F524578DB51E5A30D5195CD35514), .VAR189(256'h3508756337B4355E3A5F55593D09B5533FB3754E425C95494505154447ACD53E), .VAR192(256'h1F93758C2244558724F4958227A4157C2A5315782D0175732FAF156D325C1568), .VAR42(256'h09F5B5B40CAB95AF0F60D5AA121595A614C995A0177CF59B1A2FD5971CE1F591), .VAR145(256'hF43015DCF6EAF5D7F9A535D2FC5ED5CDFF17D5C801D035C30487F5BE073F35BA), .VAR126(256'hDE437602E10335FEE3C235F8E680B5F4E93E95EFEBFBD5EAEEB895E6F17495E0), .VAR25(256'hC830B628CAF51623CDB8F61FD07C1619D33ED616D600D610D8C2560CDB833607), .VAR70(256'hB1F8964DB4C19648B78A1644BA51F63FBD19363ABFDFF636C2A61631C56BB62D), .VAR140(256'h9B9BF6719E69766CA1367668A402D663A6CEB65FA99A165BAC64D656AF2EF651), .VAR50(256'h851BB69487EDB6908ABF168B8D8FF68790603682932FF67E95FF367A98CDD675), .VAR24(256'h6E78D6B6714F16B27424B6AD76F9F6AA79CE96A57CA2B6A17F76369C82493698), .VAR98(256'h57B3F6D75A8E76D45D6856CF6041B6CB631A96C765F2F6C368CAB6BE6BA216BB), .VAR182(256'h40CE36F843ACB6F4468AB6F0496836EC4C4536E84F2196E351FD96E054D916DC), .VAR83(256'h29C857182CAAB7132F8CB710326E370C354F3708382FB7043B0FB7003DEF36FC), .VAR148(256'h12A3373715897732186F572F1B54B72B1E399727211DF7232401D71F26E5571C), .VAR178(256'hFB5FB754FE49B7500133574D041C77490705374609ED57410CD5173E0FBC573A), .VAR161(256'hE3FED771E6EC776DE9D9B76AECC69767EFB2D762F29ED760F58A375BF8753758), .VAR80(256'hCC81778DCF729789D2635786D553B783D843977FDB33177CDE221778E110B775), .VAR142(256'hB4E857A8B7DCF7A5BAD137A2BDC4F79EC0B8579BC3AB3797C69DB794C98FD791), .VAR37(256'h9D3497C2A02C97C0A323F7BBA61B17B9A911D7B6AC0817B2AEFDF7AFB1F357AB), .VAR153(256'h856717DC886237D98B5CD7D58E5717D29150F7CF944A77CC974397C99A3C57C6), .VAR45(256'h6D80B7F5707ED7F1737C97EE7679F7EB7976F7E87C7397E57F6FB7E1826B97DF), .VAR118(256'h5582380B588358095B8418065E847803618457FF6483F7FD678337FA6A8217F7), .VAR93(256'h3D6CD8224070B81F4374581D46777819497A58174C7CD8144F7F18125280D80E), .VAR54(256'h254158382847F8352B4E38322E5438303159B82C345F182B3763F8273A689825), .VAR187(256'h0D00984C1009D84A1312B847161B5845192398421C2B783F1F33183D223A583A), .VAR168(256'hF4AB9860F7B7585EFAC2B85BFDCDD85900D8985603E3185406ED385109F7184F), .VAR112(256'hDC435872DF517871E25F386EE56CB86CE879D869EB86B867EE935865F19F9862), .VAR185(256'hC3C8D885C6D91882C9E91880CCF8D87ED008587CD3177879D6267878D9351875), .VAR120(256'hAB3CB895AE4F3894B1615891B473388FB784D88DBA96388BBDA75889C0B83887), .VAR164(256'h92A038A595B4B8A498C8D8A19BDCD8A09EF0789DA203F89CA5171899A82A1898), .VAR28(256'h79F438B47D0A98B3802098B0833678AF864C18AD896178AB8C76B8AA8F8B98A7), .VAR190(256'h613998C26451B8C1676998BF6A8138BD6D98B8BC70AFF8BA73C6F8B876DDB8B6), .VAR55(256'h487178D04B8B38CE4EA4B8CC51BE18CB54D738C957F018C75B08D8C65E2158C4), .VAR127(256'h2F9C98DC32B7D8DA35D2F8D938EDD8D73C0878D53F2318D5423D58D2455778D1), .VAR92(256'h16BBF8E719D898E51CF538E5201178E2232DB8E22649B8E0296578DE2C8118DD), .VAR104(256'hFDD098F100EE98F0040C78EF072A18ED0A4798EC0D64F8EB108218E9139F18E8), .VAR94(256'hE4DB98FBE7FAB8F9EB19B8F8EE3898F7F15738F5F475D8F5F79438F3FAB278F2), .VAR31(256'hCBDD9902CEFDD902D21DD900D53DD900D85D98FEDB7D58FEDE9CD8FCE1BC38FB), .VAR68(256'hB2D7D90AB5F8F909B919F908BC3AD907BF5B9906C27C5906C59CD904C8BD5904), .VAR181(256'h99CB19109CED1910A00ED90EA330990EA652390DA973D90DAC95390BAFB6990B), .VAR152(256'h80B8791583DB191586FDB9158A2019138D4279139064D9139386F91196A91911), .VAR116(256'h67A0F91A6AC419196DE73919710A5919742D3917775039187A7319177D95D916), .VAR33(256'h4E85591D51A8F91D54CC991D57F0191C5B13991C5E36F91B615A591B647DB91B), .VAR38(256'h3566B920388AB9203BAE991F3ED2791F41F6591F451A391F483DF91E4B61B91E), .VAR1(256'h1C4619211F6A3921228E592125B2792128D699212BFAB9212F1EB9203242B920), .VAR74(256'h0324592206489922096CD9220C9119220FB5592212D9792115FDB9221921F922), .VAR63(256'h7777777777777777777777777777777777777777777777777777777777777777), .VAR29(256'h7777777777777777777777777777777777777777777777777777777777777777), .VAR91(256'h7777777777777777777777777777777777777777777777777777777777777777), .VAR90(256'h7777777777777777777777777777777777777777777777777777777777777777), .VAR110(256'h7777777777777777777777777777777777777777777777777777777777777777), .VAR17(256'h6666666666666666666666666666666666666666666666666666667777777777), .VAR162(256'h6666666666666666666666666666666666666666666666666666666666666666), .VAR26(256'h5555555555555555555555555555555555555555666666666666666666666666), .VAR20(256'h5555555555555555555555555555555555555555555555555555555555555555), .VAR147(256'h4444444444444444444444444444444444444444444444444444444455555555), .VAR188(256'h3333333333333333333334444444444444444444444444444444444444444444), .VAR66(256'h3333333333333333333333333333333333333333333333333333333333333333), .VAR180(256'h2222222222222222222222222222222222222222222222222222222222333333), .VAR72(256'h1111111111111111111111111111111111112222222222222222222222222222), .VAR84(256'h0000000000000000011111111111111111111111111111111111111111111111), .VAR58(256'h0000000000000000000000000000000000000000000000000000000000000000), .VAR103("7SERIES")) VAR7 ( .VAR97(), .VAR134(), .VAR53(), .VAR60(), .VAR57(), .VAR156(), .VAR8(o0[31:0]), .VAR154(o0[35:32]), .VAR32(o1[31:0]), .VAR59(o1[35:32]), .VAR43(1'b0), .VAR113(1'b0), .VAR5(1'b0), .VAR166(1'b0), .VAR12({1'b0,VAR160,5'd0}), .VAR125(VAR149), .VAR46(1'b1), .VAR10(1'b1), .VAR119(1'b0), .VAR173(1'b0), .VAR56(4'b0), .VAR4(32'h0), .VAR179(4'h0), .VAR48({1'b0,VAR2,5'd0}), .VAR16(VAR149), .VAR146(1'b1), .VAR124(1'b1), .VAR186(1'b0), .VAR122(1'b0), .VAR9(8'b0), .VAR107(32'h0), .VAR150(4'h0)); endmodule
gpl-3.0
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeDE1SoC/CS_bak/synthesis/submodules/altera_up_avalon_video_dma_ctrl_addr_trans.v
8,010
module MODULE1 ( clk, reset, VAR11, VAR3, VAR1, VAR13, VAR6, VAR2, VAR15, VAR8, VAR7, VAR14, VAR5, VAR9, VAR4, VAR10 ); parameter VAR12 = 32'hC0000000; input clk; input reset; input [ 1: 0] VAR11; input [ 3: 0] VAR3; input VAR1; input VAR13; input [31: 0] VAR6; input [31: 0] VAR2; input VAR15; output [31: 0] VAR8; output VAR7; output [ 1: 0] VAR14; output [ 3: 0] VAR5; output VAR9; output VAR4; output [31: 0] VAR10; assign VAR8 = (VAR11[1] == 1'b0) ? VAR2 | VAR12 : VAR2; assign VAR7 = VAR15; assign VAR14 = VAR11; assign VAR5 = VAR3; assign VAR9 = VAR1; assign VAR4 = VAR13; assign VAR10 = (VAR11[1] == 1'b0) ? VAR6 & ~VAR12 : VAR6; endmodule
mit
cr88192/bgbtech_bjx1core
srvcore/DecOp_0.v
2,041
module MODULE1( clk, VAR6, VAR1, VAR7, VAR10, VAR11, VAR8, VAR12, VAR9, VAR2 ); input clk; input[31:0] VAR6; input[31:0] VAR1; output[6:0] VAR7; output[6:0] VAR10; output[6:0] VAR11; output[31:0] VAR8; output[1:0] VAR12; output[11:0] VAR9; output[31:0] VAR2; reg[11:0] VAR3[256]; reg[31:0] VAR4[4096]; reg[7:0] VAR5; begin begin begin begin begin begin begin
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlymetal6s4s/sky130_fd_sc_ms__dlymetal6s4s.blackbox.v
1,324
module MODULE1 ( VAR1, VAR4 ); output VAR1; input VAR4; supply1 VAR3; supply0 VAR5; supply1 VAR6 ; supply0 VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and4/sky130_fd_sc_lp__and4.pp.symbol.v
1,297
module MODULE1 ( input VAR8 , input VAR6 , input VAR3 , input VAR4 , output VAR5 , input VAR2 , input VAR7, input VAR1, input VAR9 ); endmodule
apache-2.0
andykarpov/radio-86rk-wxeda
src/video/hvsync_generator.v
1,932
module MODULE1(clk, VAR2, VAR12, VAR7, VAR8, VAR1); input clk; output VAR2, VAR12; output VAR7; output [10:0] VAR8; output [10:0] VAR1; integer VAR18 = 11'd800; integer VAR6 = 11'd600; integer VAR19 = 11'd1056; integer VAR13 = 11'd625; integer VAR14 = 11'd16; integer VAR3 = 11'd80; integer VAR17 = 11'd160; integer VAR10 = 11'd1; integer VAR4 = 11'd3; integer VAR5 = 11'd21; reg [10:0] VAR8; reg [10:0] VAR1; wire VAR11 = (VAR8==VAR19); wire VAR15 = (VAR1==VAR13); always @(posedge clk) if(VAR11) VAR8 <= 0; else VAR8 <= VAR8 + 1; always @(posedge clk) if(VAR11) begin if (VAR15) VAR1 <= 0; end else VAR1 <= VAR1 + 1; end reg VAR16, VAR9; always @(posedge clk) begin VAR16 <= (VAR8 >= (VAR18+VAR14) && VAR8 < (VAR18+VAR14+VAR3)); VAR9 <= (VAR1 >= (VAR6+VAR10) && VAR1 < (VAR6+VAR10+VAR4)); end assign VAR7 = (VAR8 < VAR18 && VAR1 < VAR6) ? 1'b1: 1'b0; assign VAR2 = VAR16; assign VAR12 = VAR9; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o21ai/sky130_fd_sc_lp__o21ai.pp.symbol.v
1,352
module MODULE1 ( input VAR5 , input VAR3 , input VAR6 , output VAR1 , input VAR4 , input VAR8, input VAR7, input VAR2 ); endmodule
apache-2.0
twlostow/dsi-shield
hdl/rtl/dsi_core/dsi_packet_assembler.v
7,089
module MODULE1 ( VAR39, VAR49, VAR52, VAR10, VAR38, VAR28, VAR13, VAR43, VAR34, VAR5, VAR63, VAR26, VAR16, VAR11, VAR37, VAR35 ); parameter VAR8 = 1; parameter VAR55 = 3; input VAR39; input VAR49; input VAR52; input VAR10; input [5:0] VAR38; input [15:0] VAR28; input [15:0] VAR13; input [VAR8 * 24-1:0] VAR43; input VAR63; output VAR34; output VAR5; output [VAR55*8-1:0] VAR26; output [VAR55-1:0] VAR16; output VAR11; input VAR37; input [2:0] VAR35; reg [3:0] state; reg [15:0] VAR36; wire [15:0] VAR7; reg [47:0] VAR48; wire VAR58; reg VAR59; reg [3:0] VAR31; reg VAR44; wire VAR32; reg VAR24; wire [VAR55-1:0] VAR64; VAR41 .VAR29(3*VAR8), .VAR3(VAR55) ) VAR17 ( .VAR39(VAR39), .VAR49(VAR49), .VAR40(VAR48), .VAR61(VAR31), .VAR4(VAR58), .VAR21(VAR44), .VAR27(VAR32), .VAR9(VAR26), .VAR14(VAR37), .VAR46(VAR64), .VAR54(VAR24), .VAR47(VAR35) ); reg VAR42,VAR2; wire [15:0] VAR53; reg [2:0] VAR19 = VAR8 * 3; VAR15 .VAR23(VAR8 * 3) ) VAR50 ( .VAR39(VAR39), .VAR22(VAR42), .VAR66(VAR2), .VAR62(VAR19), .VAR40(VAR43), .VAR30(VAR53) ); reg [23:0] VAR33; wire [23:0] VAR60; wire [7:0] VAR51; always @* if(VAR10) VAR33 <= { 2'b00, VAR38, VAR28[7:0], VAR28[15:8] }; else VAR33 <= { 2'b00, VAR38, VAR13[7:0], VAR13[15:8] }; assign VAR60 [7:0] = VAR33[23:16]; assign VAR60 [15:8] = VAR33[15:8]; assign VAR60 [23:16] = VAR33[7:0]; VAR57 VAR18 ( .VAR40(VAR60), .VAR6(VAR51) ); always @* begin VAR2 <= (state == VAR20 ? VAR59 : 0); VAR42 <= (state == VAR65 ? 1: 0 ); end assign VAR1 = (state == VAR65 || state == VAR12); always@(posedge VAR39) VAR59 <= VAR58; always@(posedge VAR39) if(!VAR49) begin state <= VAR12; end else begin case (state) if(VAR52 && VAR59 && VAR37) begin state <= VAR65; end if(VAR59) begin VAR36 <= VAR28; if(VAR10) state <= VAR20; end else begin if(VAR63) state <= VAR12; end else state <= VAR65; end end else if(!VAR52) state <= VAR12; end if(VAR44) begin VAR36 <= VAR36 - VAR8 * 3; if(VAR36 == VAR8 * 3) state <= VAR45; end else if(!VAR52) state <= VAR12; end if(VAR59) begin state <= VAR65; end endcase end always@* begin case (state) VAR48 <= ({VAR55{VAR56}}); VAR31 <= VAR35; VAR44 <= VAR59 && VAR52 && VAR37; VAR24 <= ~VAR52; end VAR48 <= ({VAR33, VAR51}); VAR31 <= 4; VAR44 <= VAR59; VAR24 <= 0; end VAR48 <= VAR43; VAR31 <= VAR8 * 3; VAR44 <= VAR59; VAR24 <= 0; end VAR48 <= {VAR53[7:0], VAR53[15:8]}; VAR31 <= 2; VAR44 <= VAR59; VAR24 <= ~VAR52; end default: begin VAR24 <= 0; VAR48 <= 0; VAR31 <= VAR35; VAR44 <= 0; end endcase end assign VAR11 = VAR52 || (state != VAR12) || !VAR32; assign VAR16 = VAR64; reg VAR25; assign VAR34 = VAR25; always @* case(state) if (VAR36 == 3 * VAR8 && VAR44) VAR25 <= 0; else VAR25 <= VAR58 & VAR52 & VAR37; VAR25 <= VAR58 & VAR52 & VAR37 & VAR44; default: VAR25 <= VAR58 & VAR52 & VAR37; endcase endmodule
lgpl-3.0
freecores/verilog_fixed_point_math_library
qmult.v
2,457
module MODULE1 #( parameter VAR7 = 15, parameter VAR3 = 32 ) ( input [VAR3-1:0] VAR6, input [VAR3-1:0] VAR4, output [VAR3-1:0] VAR1, output reg VAR2 ); reg [2*VAR3-1:0] VAR8; reg [VAR3-1:0] VAR5; assign VAR1 = VAR5; always @(VAR6, VAR4) begin VAR8 <= VAR6[VAR3-2:0] * VAR4[VAR3-2:0]; VAR2 <= 1'b0; end always @(VAR8) begin VAR5[VAR3-1] <= VAR6[VAR3-1] ^ VAR4[VAR3-1]; VAR5[VAR3-2:0] <= VAR8[VAR3-2+VAR7:VAR7]; if (VAR8[2*VAR3-2:VAR3-1+VAR7] > 0) VAR2 <= 1'b1; end endmodule
lgpl-2.1
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/lsbuflv2hv/sky130_fd_sc_hvl__lsbuflv2hv.pp.blackbox.v
1,409
module MODULE1 ( VAR1 , VAR3 , VAR7 , VAR6 , VAR5, VAR2 , VAR4 ); output VAR1 ; input VAR3 ; input VAR7 ; input VAR6 ; input VAR5; input VAR2 ; input VAR4 ; endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/txr_engine_ultrascale.v
24,004
module MODULE3 parameter VAR41 = 128, parameter VAR3 = 1, parameter VAR115 = 1, parameter VAR156 = 10, parameter VAR90 = 256 ) ( input VAR139, input VAR78, input [VAR168-1:0] VAR167, input VAR6, output VAR13, output VAR145, output [VAR41-1:0] VAR26, output [(VAR41/32)-1:0] VAR53, output [VAR92-1:0] VAR83, input VAR157, input [VAR41-1:0] VAR57, input VAR100, input [VAR119(VAR41/32)-1:0] VAR67, input VAR23, input [VAR119(VAR41/32)-1:0] VAR81, output VAR77, input VAR19, input [VAR131-1:0] VAR147, input [VAR34-1:0] VAR86, input [VAR164-1:0] VAR5, input [VAR134-1:0] VAR17, input [VAR64-1:0] VAR25, input [VAR72-1:0] VAR122, input [VAR27-1:0] VAR71, input [VAR37-1:0] VAR137, input VAR74, output VAR148 ); localparam VAR62 = "VAR155"; localparam VAR21 = VAR41; localparam VAR75 = VAR45; localparam VAR93 = VAR75/32; localparam VAR95 = 0; localparam VAR146 = VAR93 + VAR95 + 1; localparam VAR28 = VAR146 + VAR90; localparam VAR114 = VAR3; localparam VAR66 = VAR115; localparam VAR8 = VAR66 + VAR114; wire VAR150; wire VAR110; wire [VAR75-1:0] VAR129; wire [VAR162-1:0] VAR165; wire [VAR133-1:0] VAR142; wire [VAR134-1:0] VAR112; wire VAR97; wire VAR125; wire [VAR41-1:0] VAR12; wire [VAR119(VAR41/32)-1:0] VAR20; wire VAR158; wire [(VAR41/32)-1:0] VAR35; wire [(VAR41/32)-1:0] VAR61; wire [(VAR41/32)-1:0] VAR127; wire [VAR41-1:0] VAR43; wire VAR65; wire [VAR119(VAR41/32)-1:0] VAR111; wire VAR94; wire [VAR119(VAR41/32)-1:0] VAR16; wire VAR105; wire VAR140; wire VAR44; assign VAR77 = VAR44; MODULE2 .VAR115 (VAR66), .VAR3 (VAR114), .VAR41 (VAR41), .VAR75 (VAR75), .VAR146 (VAR146), .VAR28 (VAR28)) VAR113 ( .VAR42 (VAR110), .VAR10 (VAR129[VAR75-1:0]), .VAR88 (VAR97), .VAR106 (VAR112[VAR134-1:0]), .VAR161 (VAR165[VAR162-1:0]), .VAR121 (VAR142[VAR133-1:0]), .VAR102 (VAR150), .VAR148 (VAR148), .VAR139 (VAR139), .VAR78 (VAR78), .VAR167 (VAR167[VAR168-1:0]), .VAR19 (VAR19), .VAR147 (VAR147[VAR131-1:0]), .VAR86 (VAR86[VAR34-1:0]), .VAR5 (VAR5[VAR164-1:0]), .VAR17 (VAR17[VAR134-1:0]), .VAR25 (VAR25[VAR64-1:0]), .VAR122 (VAR122[VAR72-1:0]), .VAR71 (VAR71[VAR27-1:0]), .VAR137 (VAR137[VAR37-1:0]), .VAR74 (VAR74)); VAR32 .VAR21 (VAR41), .VAR156 (VAR156), .VAR3 (VAR3), .VAR115 (VAR115), .VAR8 (VAR8), .VAR75 (VAR75), .VAR90 (VAR90), .VAR62 (VAR62)) VAR54 ( .VAR102 (VAR150), .VAR22 (VAR44), .VAR153 (VAR43[VAR21-1:0]), .VAR132 (VAR94), .VAR123 (VAR16[VAR119(VAR21/32)-1:0]), .VAR29 (VAR65), .VAR108 (VAR111[VAR119(VAR21/32)-1:0]), .VAR63 (VAR105), .VAR42 (VAR110), .VAR10 (VAR129[VAR75-1:0]), .VAR88 (VAR97), .VAR106 (VAR112[VAR134-1:0]), .VAR161 (VAR165[VAR162-1:0]), .VAR121 (VAR142[VAR133-1:0]), .VAR126 (VAR157), .VAR89 (VAR57[VAR21-1:0]), .VAR4 (VAR100), .VAR7 (VAR67[VAR119(VAR21/32)-1:0]), .VAR124 (VAR23), .VAR1 (VAR81[VAR119(VAR21/32)-1:0]), .VAR128 (VAR140), .VAR139 (VAR139), .VAR78 (VAR78)); MODULE1 .VAR41 (VAR41), .VAR3 (VAR3), .VAR115 (VAR115) ) VAR154 ( .VAR144 (VAR140), .VAR13 (VAR13), .VAR145 (VAR145), .VAR26 (VAR26[VAR41-1:0]), .VAR53 (VAR53[(VAR41/32)-1:0]), .VAR83 (VAR83[VAR92-1:0]), .VAR151 (VAR43), .VAR160 (VAR105), .VAR101 (VAR94), .VAR11 (VAR16), .VAR31 (VAR65), .VAR30 (VAR111), .VAR6 (VAR6), .VAR139 (VAR139), .VAR78 (VAR78)); endmodule module MODULE2 parameter VAR41 = 128, parameter VAR3 = 1, parameter VAR115 = 1, parameter VAR75 = VAR45, parameter VAR146 = 5, parameter VAR28 = 10 ) ( input VAR139, input VAR78, input [VAR168-1:0] VAR167, input VAR19, input [VAR131-1:0] VAR147, input [VAR34-1:0] VAR86, input [VAR164-1:0] VAR5, input [VAR134-1:0] VAR17, input [VAR64-1:0] VAR25, input [VAR72-1:0] VAR122, input [VAR27-1:0] VAR71, input [VAR37-1:0] VAR137, input VAR74, output VAR148, output VAR42, output [VAR75-1:0] VAR10, output [VAR134-1:0] VAR106, output [VAR162-1:0] VAR161, output [VAR133-1:0] VAR121, output VAR88, input VAR102 ); wire VAR56; wire [VAR45-1:0] VAR48; wire VAR150; wire VAR110; wire [VAR45-1:0] VAR129; wire [VAR162-1:0] VAR165; wire [VAR133-1:0] VAR142; wire [VAR134-1:0] VAR112; wire VAR97; wire [VAR37-1:0] VAR68; assign VAR48[VAR76] = VAR36'd0; assign VAR48[VAR130] = VAR5[63:2]; assign VAR48[VAR159] = {1'b0,VAR17}; assign VAR48[VAR39] = VAR74; assign VAR48[VAR33] = VAR147; assign VAR48[VAR40] = VAR86; assign VAR48[VAR9] = 0; assign VAR48[VAR120] = VAR167; assign VAR48[VAR117] = VAR25; assign VAR48[VAR84] = VAR149'd0; assign VAR48[VAR46] = 0; assign VAR48[VAR15] = VAR122; assign VAR48[VAR85] = VAR71; assign VAR48[VAR103] = VAR79'd0; assign VAR129[VAR135] = VAR55(VAR68); assign VAR97 = ~VAR68[VAR38]; assign VAR165 = 4; assign VAR112 = VAR97 ? 0 : VAR129[VAR159]; assign VAR142 = VAR165 + VAR112; VAR143 .VAR58 (VAR3?1:0), .VAR52 (VAR45-1), .VAR18 (0) ) VAR2 ( .VAR152 (VAR148), .VAR59 ({VAR129[VAR45-1:(VAR141 + VAR138)], VAR129[VAR141-1:0], VAR68}), .VAR70 (VAR110), .VAR107 ({VAR48[VAR45-1:(VAR141 + VAR138)], VAR48[VAR141-1:0], VAR137}), .VAR166 (VAR19), .VAR118 (VAR150), .VAR139 (VAR139), .VAR78 (VAR78)); VAR143 .VAR58 (VAR115?1:0), .VAR52 (VAR45 + 1 + VAR133 + VAR134 + VAR162), .VAR18 (0) ) VAR49 ( .VAR152 (VAR150), .VAR59 ({VAR10,VAR88,VAR121,VAR106,VAR161}), .VAR70 (VAR42), .VAR107 ({VAR129,VAR97,VAR142,VAR112,VAR165}), .VAR166 (VAR110), .VAR118 (VAR102), .VAR139 (VAR139), .VAR78 (VAR78)); endmodule module MODULE1 parameter VAR41 = 10'd128, parameter VAR3 = 1, parameter VAR115 = 0 ) ( input VAR139, input VAR78, output VAR144, input [VAR41-1:0] VAR151, input VAR160, input VAR101, input [VAR119(VAR41/32)-1:0] VAR11, input VAR31, input [VAR119(VAR41/32)-1:0] VAR30, input VAR6, output VAR13, output VAR145, output [VAR41-1:0] VAR26, output [(VAR41/32)-1:0] VAR53, output [VAR92-1:0] VAR83 ); localparam VAR91 = VAR3 != 0? 1:0; localparam VAR99 = VAR115 != 0? 1:0; wire VAR140; wire [VAR41-1:0] VAR43; wire VAR105; wire VAR94; wire [VAR119(VAR41/32)-1:0] VAR16; wire VAR65; wire [VAR119(VAR41/32)-1:0] VAR111; wire VAR14; wire VAR116; wire VAR80; wire [VAR41-1:0] VAR51; wire [(VAR41/32)-1:0] VAR47; wire [VAR92-1:0] VAR73; wire VAR14; wire VAR116; wire VAR80; wire [VAR41-1:0] VAR51; wire [(VAR41/32)-1:0] VAR47; assign VAR140 = VAR14; assign VAR116 = VAR105; assign VAR80 = VAR65; assign VAR51 = VAR43; assign VAR73[3:0] = VAR43[(VAR69 % VAR41) +: VAR163]; assign VAR73[7:4] = VAR43[(VAR60 % VAR41) +: VAR87]; assign VAR73[VAR92-1:8] = 0; VAR143 .VAR58 (VAR91), .VAR52 (VAR41 + 2*(1+VAR119(VAR41/32))), .VAR18 (0) ) VAR2 ( .VAR152 (VAR144), .VAR59 ({VAR43,VAR94,VAR16,VAR65,VAR111}), .VAR70 (VAR105), .VAR107 ({VAR151,VAR101,VAR11, VAR31,VAR30}), .VAR166 (VAR160), .VAR118 (VAR140), .VAR139 (VAR139), .VAR78 (VAR78)); VAR24 .VAR50 (0), .VAR109 (VAR41/32) ) VAR98 ( .VAR104 (VAR47), .VAR136 (VAR65), .VAR96 (VAR111) ); VAR143 .VAR58 (64/VAR41), .VAR52 (VAR41 + 1 + (VAR41/32)), .VAR18 (0) ) VAR82 ( .VAR152 (VAR14), .VAR59 ({VAR51,VAR80,VAR47}), .VAR70 (VAR116), .VAR107 ({VAR51,VAR80,VAR47}), .VAR166 (VAR116), .VAR118 (VAR14), .VAR139 (VAR139), .VAR78 (VAR78)); VAR143 .VAR58 (VAR99), .VAR52 (VAR41 + 1 + (VAR41/32) + VAR92), .VAR18 (0) ) VAR49 ( .VAR152 (VAR14), .VAR59 ({VAR26,VAR145,VAR53,VAR83}), .VAR70 (VAR13), .VAR107 ({VAR51,VAR80,VAR47,VAR73}), .VAR166 (VAR116), .VAR118 (VAR6), .VAR139 (VAR139), .VAR78 (VAR78)); endmodule
gpl-3.0
CospanDesign/nysa-tx1-pcie-platform
tx1_pcie/slave/wb_tx1_pcie/rtl/xilinx/pcie_7x_v1_11_0_pipe_rate.v
46,234
module MODULE1 # ( parameter VAR101 = "VAR20", parameter VAR14 = "VAR135", parameter VAR41 = "3.0", parameter VAR115 = "VAR10", parameter VAR130 = "VAR53", parameter VAR81 = "VAR20", parameter VAR9 = "VAR20", parameter VAR3 = "VAR53", parameter VAR6 = 4'd15 ) ( input VAR64, input VAR23, input VAR75, input VAR31, input [ 1:0] VAR67, input VAR91, input VAR85, input VAR88, input VAR62, input VAR121, input VAR127, input VAR38, input VAR110, input VAR12, input VAR76, input VAR89, input VAR16, input VAR45, output VAR120, output VAR2, output VAR97, output VAR73, output VAR13, output VAR87, output VAR57, output [ 1:0] VAR124, output VAR50, output VAR111, output VAR104, output VAR26, output [ 2:0] VAR42, output VAR98, output VAR37, output VAR21, output VAR74, output VAR84, output VAR114, output [ 4:0] VAR35 ); reg VAR29; reg [ 1:0] VAR123; reg VAR106; reg VAR100; reg VAR78; reg VAR122; reg VAR60; reg VAR39; reg VAR119; reg VAR27; reg VAR113; reg VAR25; reg VAR36; reg VAR108; reg VAR49; reg VAR99; reg [ 1:0] VAR68; reg VAR117; reg VAR11; reg VAR22; reg VAR28; reg VAR103; reg VAR54; reg VAR105; reg VAR32; reg VAR15; reg VAR118; reg VAR131; reg VAR83; reg VAR63; wire VAR66; wire [ 2:0] VAR48; reg [ 3:0] VAR71 = 4'd0; reg VAR96 = 1'd0; reg VAR7 = 1'd0; reg VAR18 = 1'd0; reg VAR128 = 1'd0; reg VAR92 = 1'd0; reg VAR112 = 1'd0; reg VAR109 = 1'd0; reg VAR125 = 1'd0; reg VAR56 = 1'd0; reg VAR80 = 1'd0; reg VAR94 = 1'd0; reg [ 1:0] VAR126 = (VAR115 == "VAR8") ? 2'd1 : 2'd0; reg VAR90 = 1'd0; reg VAR44 = 1'd0; reg [ 2:0] VAR77 = 3'd0; reg VAR132 = 1'd0; reg VAR69 = 1'd0; reg VAR58 = 1'd0; reg [ 4:0] fsm = 0; localparam VAR95 = 0; localparam VAR51 = 1; localparam VAR34 = 2; localparam VAR4 = 3; localparam VAR134 = 4; localparam VAR61 = 5; localparam VAR107 = 6; localparam VAR129 = 7; localparam VAR133 = 8; localparam VAR5 = 9; localparam VAR1 = 10; localparam VAR55 = 11; localparam VAR17 = 12; localparam VAR47 = 13; localparam VAR52 = 14; localparam VAR46 = 15; localparam VAR33 = 16; localparam VAR43 = 17; localparam VAR86 = 18; localparam VAR70 = 19; localparam VAR93 = 20; localparam VAR72 = 21; localparam VAR82 = 22; localparam VAR79 = 23; localparam VAR116 = 24; localparam VAR30 = 25; localparam VAR19 = 26; localparam VAR102 = 27; localparam VAR40 = 28; localparam VAR65 = 29; localparam VAR59 = 30; always @ (posedge VAR64) begin if (!VAR23) begin VAR29 <= 1'd0; VAR123 <= 2'd0; VAR106 <= 1'd0; VAR100 <= 1'd0; VAR78 <= 1'd0; VAR122 <= 1'd0; VAR60 <= 1'd0; VAR39 <= 1'd0; VAR119 <= 1'd0; VAR27 <= 1'd0; VAR113 <= 1'd0; VAR25 <= 1'd0; VAR36 <= 1'd0; VAR108 <= 1'd0; VAR49 <= 1'd0; VAR99 <= 1'd0; VAR68 <= 2'd0; VAR117 <= 1'd0; VAR11 <= 1'd0; VAR22 <= 1'd0; VAR28 <= 1'd0; VAR103 <= 1'd0; VAR54 <= 1'd0; VAR105 <= 1'd0; VAR32 <= 1'd0; VAR15 <= 1'd0; VAR118 <= 1'd0; VAR131 <= 1'd0; VAR83 <= 1'd0; VAR63 <= 1'd0; end else begin VAR29 <= VAR75; VAR123 <= VAR67; VAR106 <= VAR91; VAR100 <= VAR85; VAR78 <= VAR88; VAR122 <= VAR62; VAR60 <= VAR121; VAR39 <= VAR127; VAR119 <= VAR38; VAR27 <= VAR110; VAR113 <= VAR12; VAR25 <= VAR76; VAR36 <= VAR89; VAR108 <= VAR16; VAR49 <= VAR45; VAR99 <= VAR29; VAR68 <= VAR123; VAR117 <= VAR106; VAR11 <= VAR100; VAR22 <= VAR78; VAR28 <= VAR122; VAR103 <= VAR60; VAR54 <= VAR39; VAR105 <= VAR119; VAR32 <= VAR27; VAR15 <= VAR113; VAR118 <= VAR25; VAR131 <= VAR36; VAR83 <= VAR108; VAR63 <= VAR49; end end assign VAR66 = (VAR68 == 2'd2) || (VAR115 == "VAR8") ? VAR11 : VAR117; assign VAR48 = (VAR68 == 2'd1) && (VAR115 == "VAR8") ? 3'd2 : (VAR68 == 2'd1) && (VAR115 == "VAR10") ? 3'd1 : 3'd0; always @ (posedge VAR64) begin if (!VAR23) VAR71 <= 4'd0; end else if ((fsm == VAR47) && (VAR71 < VAR6)) VAR71 <= VAR71 + 4'd1; else if ((fsm == VAR47) && (VAR71 == VAR6)) VAR71 <= VAR71; else VAR71 <= 4'd0; end always @ (posedge VAR64) begin if (!VAR23) begin VAR96 <= 1'd0; VAR7 <= 1'd0; VAR18 <= 1'd0; VAR128 <= 1'd0; end else begin if (fsm == VAR72) begin if (VAR32) VAR96 <= 1'd1; end else VAR96 <= VAR96; if (VAR15) VAR7 <= 1'd1; end else VAR7 <= VAR7; if (VAR118) VAR18 <= 1'd1; end else VAR18 <= VAR18; if (VAR7 && VAR96 && VAR18) VAR128 <= 1'd1; else VAR128 <= VAR128; end else begin VAR96 <= 1'd0; VAR7 <= 1'd0; VAR18 <= 1'd0; VAR128 <= 1'd0; end end end always @ (posedge VAR64) begin if (!VAR23) begin fsm <= VAR4; VAR92 <= 1'd0; VAR112 <= 1'd0; VAR109 <= 1'd0; VAR125 <= 1'd0; VAR56 <= 1'd0; VAR80 <= 1'd0; VAR94 <= 1'd0; VAR126 <= (VAR115 == "VAR8") ? 2'd1 : 2'd0; VAR44 <= 1'd0; VAR90 <= 1'd0; VAR77 <= 3'd0; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end else begin case (fsm) VAR95 : begin if (VAR68 != VAR123) begin fsm <= ((VAR68 == 2'd2) || (VAR123 == 2'd2)) ? VAR51 : VAR47; VAR92 <= (VAR68 == 2'd2); VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end else begin fsm <= VAR95; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end end VAR51 : begin fsm <= VAR34; VAR92 <= VAR92; VAR112 <= (VAR115 == "VAR8"); VAR109 <= 1'd0; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR34 : begin fsm <= VAR4; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= (VAR115 == "VAR8"); VAR56 <= 1'd0; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR4 : begin fsm <= (VAR66 ? ((!VAR99 || (VAR68 == 2'd1)) ? VAR107 : VAR134) : VAR4); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR134 : begin fsm <= (!VAR28) ? VAR61 : VAR134; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd1; VAR69 <= 1'd1; VAR58 <= 1'd1; end VAR61 : begin fsm <= VAR28 ? VAR107 : VAR61; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd1; VAR58 <= 1'd1; end VAR107 : begin fsm <= VAR129; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= ((VAR68 == 2'd2) || VAR92); VAR94 <= ((VAR68 == 2'd2) || VAR92); VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR129 : begin fsm <= VAR133; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= ((VAR68 == 2'd2) || (VAR115 == "VAR8")) ? 2'd1 : 2'd0; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR133 : begin fsm <= (VAR22 && !VAR103 ? VAR5 : VAR133); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR5: begin fsm <= (!VAR28 ? VAR1 : VAR5); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= ((VAR68 == 2'd1) || (VAR68 == 2'd2)); VAR90 <= (VAR68 == 2'd2); VAR77 <= (((VAR68 == 2'd2) || VAR92) ? VAR48 : VAR77); VAR132 <= 1'd1; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR1 : begin fsm <= ((VAR28 && VAR66) ? (VAR99 ? VAR55 : VAR95): VAR1); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR55 : begin fsm <= VAR17; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= 1'd0; VAR94 <= 1'd0; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR17 : begin fsm <= (((VAR105 && VAR54 && !VAR118) || !VAR31) ? VAR47 : VAR17); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR47 : begin fsm <= (VAR71 == VAR6) ? VAR52 : VAR47; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR52 : begin fsm <= ((VAR14 == "VAR24") && ((VAR68 == 2'd1) || ((!VAR92) && (VAR68 == 2'd0)))) ? VAR46 : VAR43; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= ((VAR68 == 2'd1) || (VAR68 == 2'd2)); VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR46 : begin fsm <= (!VAR28) ? VAR33 : VAR46; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd1; VAR69 <= 1'd1; VAR58 <= 1'd1; end VAR33 : begin fsm <= VAR28 ? VAR43 : VAR33; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd1; VAR58 <= 1'd1; end VAR43 : begin fsm <= ((VAR14 == "VAR24") && ((VAR68 == 2'd1) || ((!VAR92) && (VAR68 == 2'd0)))) ? VAR86 : VAR72; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR48; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR86 : begin fsm <= (!VAR103) ? VAR70 : VAR86; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR70 : begin fsm <= (!VAR28) ? VAR93 : VAR70; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd1; VAR69 <= 1'd1; VAR58 <= 1'd0; end VAR93 : begin fsm <= VAR28 ? VAR72 : VAR93; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd1; VAR58 <= 1'd0; end VAR72 : begin if (VAR128 || (VAR68 == 2'd2) || (VAR92) || !VAR31) if ((VAR41 == "1.0") && (VAR68 != 2'd2) && (!VAR92)) fsm <= VAR82; end else fsm <= VAR116; end else fsm <= VAR72; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR82: begin fsm <= (!VAR131 ? VAR79 : VAR82); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR79 : begin fsm <= (VAR131 ? VAR116 : VAR79); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR116 : begin fsm <= VAR30; VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= (VAR115 == "VAR8") ? 1'd1 : (VAR68 == 2'd2); VAR56 <= (VAR115 == "VAR8") ? 1'd0 : (VAR68 != 2'd2); VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR30 : begin fsm <= (((VAR68 == 2'd2) || (VAR9 == "VAR20")) ? VAR19 : VAR40); VAR92 <= VAR92; VAR112 <= (VAR115 == "VAR8") ? 1'd1 : (VAR68 == 2'd2); VAR109 <= (VAR115 == "VAR8") ? 1'd0 : (VAR68 != 2'd2); VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR19: begin fsm <= (!VAR83 ? VAR102 : VAR19); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR102: begin fsm <= (VAR83 ? VAR40 : VAR102); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR40 : begin fsm <= (((VAR68 == 2'd2) && (VAR3 == "VAR20") && (VAR81 == "VAR53")) ? VAR65 : VAR95); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR65: begin fsm <= (!VAR63 ? VAR59 : VAR65); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end VAR59: begin fsm <= (VAR63 ? VAR95 : VAR59); VAR92 <= VAR92; VAR112 <= VAR112; VAR109 <= VAR109; VAR125 <= VAR125; VAR56 <= VAR56; VAR80 <= VAR80; VAR94 <= VAR94; VAR126 <= VAR126; VAR44 <= VAR44; VAR90 <= VAR90; VAR77 <= VAR77; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end default : begin fsm <= VAR95; VAR92 <= 1'd0; VAR112 <= 1'd0; VAR109 <= 1'd0; VAR125 <= 1'd0; VAR56 <= 1'd0; VAR80 <= 1'd0; VAR94 <= 1'd0; VAR126 <= (VAR115 == "VAR8") ? 2'd1 : 2'd0; VAR44 <= 1'd0; VAR90 <= 1'd0; VAR77 <= 3'd0; VAR132 <= 1'd0; VAR69 <= 1'd0; VAR58 <= 1'd0; end endcase end end assign VAR120 = ((VAR130 == "VAR20") ? 1'd0 : VAR112); assign VAR2 = ((VAR130 == "VAR20") ? 1'd0 : VAR109); assign VAR97 = ((VAR130 == "VAR20") ? 1'd0 : VAR125); assign VAR73 = ((VAR130 == "VAR20") ? 1'd0 : VAR56); assign VAR13 = VAR80; assign VAR87 = VAR94; assign VAR124 = VAR126; assign VAR57 = VAR132; assign VAR104 = VAR69; assign VAR26 = VAR58; assign VAR50 = VAR44; assign VAR111 = VAR90; assign VAR42 = VAR77; assign VAR98 = (fsm == VAR82); assign VAR37 = (fsm == VAR19); assign VAR21 = (fsm == VAR40); assign VAR74 = (fsm == VAR65); assign VAR84 = ((fsm == VAR65) || (fsm == VAR59)); assign VAR114 = (fsm == VAR95); assign VAR35 = fsm; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o41ai/sky130_fd_sc_lp__o41ai.blackbox.v
1,375
module MODULE1 ( VAR3 , VAR5, VAR1, VAR4, VAR6, VAR2 ); output VAR3 ; input VAR5; input VAR1; input VAR4; input VAR6; input VAR2; supply1 VAR8; supply0 VAR10; supply1 VAR9 ; supply0 VAR7 ; endmodule
apache-2.0
vad-rulezz/megabot
minsoc/rtl/verilog/adv_debug_sys/Hardware/adv_dbg_if/rtl/verilog/adbg_jsp_biu.v
17,178
module MODULE1 ( VAR87, VAR28, VAR13, VAR98, VAR60, VAR71, VAR89, VAR12, VAR34, VAR39, VAR24, VAR23, VAR18, VAR92, VAR19, VAR57, VAR2, VAR100, VAR97, VAR36, VAR40, VAR8, VAR107 ); input VAR87; input VAR28; input [7:0] VAR13; output [7:0] VAR98; output [3:0] VAR71; output [3:0] VAR60; input VAR89; input VAR12; input VAR34; input VAR39; input [31:0] VAR24; output [31:0] VAR23; input [31:0] VAR18; input VAR92; input VAR19; input [3:0] VAR57; input VAR2; output VAR100; input VAR97; output VAR36; input [2:0] VAR40; input [1:0] VAR8; output VAR107; wire VAR100; wire [31:0] VAR23; wire VAR36; wire VAR107; wire [7:0] VAR98; wire [3:0] VAR71; wire [3:0] VAR60; reg [7:0] VAR6; reg [7:0] VAR43; reg VAR62; reg VAR91; wire VAR103; wire [3:0] VAR86; wire [3:0] VAR11; wire [3:0] VAR73; wire VAR59; wire VAR46; wire [7:0] VAR108; wire [7:0] VAR76; wire [7:0] VAR52; wire VAR30; wire VAR44; wire VAR14; reg VAR48; reg VAR25; reg VAR29; reg VAR7; reg VAR77; reg VAR72; reg VAR63; reg VAR99; reg VAR80; wire VAR50; wire VAR22; wire VAR17; wire VAR79; wire VAR31; assign VAR98 = VAR43; always @ (posedge VAR87 or posedge VAR28) begin if(VAR28) VAR62 <= 1'b0; end else if(VAR12) VAR62 <= ~VAR62; end always @ (posedge VAR87 or posedge VAR28) begin if(VAR28) VAR91 <= 1'b0; end else if(VAR89) VAR91 <= ~VAR91; end always @ (posedge VAR87 or posedge VAR28) begin if(VAR28) VAR6 <= 8'h0; end else if(VAR12) VAR6 <= VAR13; end assign VAR59 = !(VAR11 == 4'h0); assign VAR79 = VAR46 & VAR59; assign VAR31 = ~VAR59; assign VAR103 = VAR99 | VAR80; assign VAR30 = !(VAR73 == 4'h0); always @ (posedge VAR34 or posedge VAR28) begin if(VAR28) VAR43 <= 8'h0; end else if(VAR77) VAR43 <= VAR108; end VAR95 VAR85 ( .VAR51(VAR34), .VAR66(1'b0), .VAR26(VAR48), .VAR4(VAR28), .VAR32(VAR62), .VAR15(VAR50) ); VAR95 VAR83 ( .VAR51(VAR34), .VAR66(1'b0), .VAR26(VAR7), .VAR4(VAR28), .VAR32(VAR91), .VAR15(VAR46) ); VAR33 VAR53 ( .VAR35(VAR34), .VAR96(VAR87), .VAR65(VAR28), .VAR49(VAR86), .VAR67(VAR71) ); VAR33 VAR82 ( .VAR35(VAR34), .VAR96(VAR87), .VAR65(VAR28), .VAR49(VAR11), .VAR67(VAR60) ); VAR3 VAR105 ( .VAR102(VAR34), .VAR65(VAR28 | VAR44), .VAR49(VAR6), .VAR67(VAR76), .VAR55(VAR25), .VAR37(VAR29), .VAR78(VAR73), .VAR42(VAR86) ); VAR3 VAR81 ( .VAR102(VAR34), .VAR65(VAR28 | VAR14), .VAR49(VAR52), .VAR67(VAR108), .VAR55(VAR72), .VAR37(VAR63), .VAR78(VAR11), .VAR42() ); reg [1:0] VAR54; reg [1:0] VAR41; always @ (posedge VAR34 or posedge VAR28) begin if(VAR28) VAR54 <= VAR10; end else VAR54 <= VAR41; end always @ (VAR54 or VAR17 or VAR79 or VAR31) begin case (VAR54) begin if(VAR17) VAR41 <= VAR9; end else if (VAR79) VAR41 <= VAR1; end else VAR41 <= VAR10; end begin end if(VAR31) VAR41 <= VAR47; else if(VAR79) VAR41 <= VAR1; else VAR41 <= VAR10; end begin VAR41 <= VAR47; end begin if(VAR17) VAR41 <= VAR9; end else if(VAR79) VAR41 <= VAR1; else VAR41 <= VAR10; end default: begin VAR41 <= VAR10; end endcase end always @ (VAR54) begin VAR7 <= 1'b0; VAR72 <= 1'b0; VAR63 <= 1'b0; VAR77 <= 1'b0; VAR99 <= 1'b0; case (VAR54) begin VAR72 <= 1'b1; VAR63 <= 1'b1; VAR99 <= 1'b1; end begin VAR7 <= 1'b1; VAR63 <= 1'b1; end begin VAR77 <= 1'b1; end endcase end reg [1:0] VAR58; reg [1:0] VAR27; always @ (posedge VAR34 or posedge VAR28) begin if(VAR28) VAR58 <= VAR45; end else VAR58 <= VAR27; end always @ (VAR58 or VAR22 or VAR50) begin case (VAR58) begin if(VAR22) VAR27 <= VAR20; end else if (VAR50) VAR27 <= VAR64; end else VAR27 <= VAR45; end begin if(VAR22) VAR27 <= VAR20; end else VAR27 <= VAR45; end begin if(VAR50) VAR27 <= VAR64; end else VAR27 <= VAR45; end default: begin VAR27 <= VAR45; end endcase end always @ (VAR58) begin VAR48 <= 1'b0; VAR25 <= 1'b0; VAR29 <= 1'b0; VAR80 <= 1'b0; case (VAR58) begin VAR48 <= 1'b1; VAR25 <= 1'b1; VAR29 <= 1'b1; end begin VAR80 <= 1'b1; VAR29 <= 1'b1; end endcase end wire [31:0] VAR5; wire [31:0] VAR56; wire VAR16; wire VAR101; reg [2:0] VAR69; wire VAR74; reg VAR70; reg [3:0] VAR68; wire [2:0] VAR61; reg VAR104; wire [7:0] VAR84; wire VAR88; wire VAR21; wire VAR93; wire [7:0] VAR106; wire VAR75; wire [7:0] VAR38; wire [7:0] VAR90; wire [7:0] VAR94; assign VAR22 = VAR92 & VAR19 & (~VAR2) & VAR57[3] & (VAR24[1:0] == 2'b00) & (~VAR70); assign VAR17 = VAR92 & VAR19 & VAR2 & VAR57[3] & (VAR24[1:0] == 2'b00) & (~VAR70); assign VAR100 = VAR103 | VAR16; assign VAR36 = 1'b0; assign VAR38 = 8'h00; assign VAR90 = 8'hB0; assign VAR94 = 8'h00; assign VAR101 = !(VAR11 == 4'h8); assign VAR106 = {VAR70, 7'h03}; assign VAR84 = {1'b0, VAR101, VAR101, 4'b0000, VAR30}; assign VAR88 = VAR92 & VAR19 & VAR2 & VAR57[0] & (VAR24[2:0] == 3'b011); assign VAR21 = VAR92 & VAR19 & VAR2 & VAR57[2] & (VAR24[2:0] == 3'b001) & (~VAR70); assign VAR93 = VAR92 & VAR19 & (~VAR2) & VAR57[1] & (VAR24[2:0] == 3'b010); assign VAR16 = VAR92 & VAR19 & (|VAR57[3:0]) & (VAR70 | (VAR24[2:0] != 3'b000)); assign VAR75 = VAR92 & VAR19 & VAR2 & VAR57[1] & (VAR24[2:0] == 3'b010); assign VAR44 = VAR75 & VAR18[9]; assign VAR14 = VAR75 & VAR18[10]; always @ (posedge VAR34) begin if(VAR39) VAR70 <= 1'b0; end else if(VAR88) VAR70 <= VAR18[7]; end always @ (posedge VAR34) begin if(VAR39) VAR68 <= 4'h0; end else if(VAR21) VAR68 <= VAR18[19:16]; end assign VAR74 = VAR63 & (~VAR72) & (VAR11 == 4'h1); always @ (posedge VAR34) begin if(VAR39) VAR104 <= 1'b0; end else if(VAR17 | VAR74) VAR104 <= 1'b1; else if(VAR93 & (~VAR30)) VAR104 <= 1'b0; end always @ (VAR104 or VAR101 or VAR30) begin if(VAR30) VAR69 <= 3'b100; end else if(VAR104 & VAR101) VAR69 <= 3'b010; else VAR69 <= 3'b001; end assign VAR61 = VAR69; assign VAR5 = {VAR76, {4'b0000, VAR68}, {5'b00000, VAR61}, VAR106}; assign VAR56 = {VAR38, VAR84, VAR90, VAR94}; assign VAR23 = (VAR24[2]) ? VAR56 : VAR5; assign VAR52 = VAR18[31:24]; assign VAR107 = (VAR101 & VAR104 & VAR68[1]) | (VAR30 & VAR68[0]); endmodule
gpl-2.0
h-j-13/MyNote
Programming language/Verilog/sync_FIFO/Source_Code/FIFO_2.v
2,203
module MODULE1(clk,VAR1,din,VAR2,VAR9,dout,VAR4,VAR7); input clk; input VAR1; input[15:0]din; input VAR2; input VAR9; output[15:0]dout; output VAR4; output VAR7; parameter VAR3=2, VAR10=2'b11; reg[15:0]dout; reg VAR4; reg VAR7; reg[(VAR3-1):0] VAR5; reg[(VAR3-1):0] head; reg[(VAR3-1):0] VAR6; reg[15:0]VAR8[0:VAR10]; always@(posedge clk) if(VAR1 == 1) dout <= 0; else if(VAR2 == 1 && VAR4 == 0) dout <= VAR8[VAR5]; always@(posedge clk) if(VAR1 == 1 && VAR9 == 1 && VAR7 == 0) VAR8[head] <= din; always@(posedge clk) if(VAR1 == 1) head <= 0; else if(VAR9 == 1 && VAR7 == 0) head<=head+1; always@(posedge clk) if(VAR1 == 1) VAR5 <= 0; else if(VAR2 == 1 && VAR4 == 0) VAR5 <= VAR5+1; always@(posedge clk) if(VAR1 == 1) VAR6< = 0; else case({VAR2,VAR9}) 2'b00: VAR6 <= VAR6; 2'b01: if(VAR6 != VAR10) VAR6 <= VAR6+1; 2'b10: if(VAR6 != 0) VAR6 <= VAR6-1; 2'b11: VAR6 <= VAR6; endcase always@(VAR6) if(VAR6 == 0) VAR4 <= 1; else VAR4 <= 0; always@(VAR6) if(VAR6 == VAR10) VAR5 <= 1; else VAR5 <= 0; endmodule
gpl-3.0
P3Stor/P3Stor
pcie/IP core/ssd_command_fifo.v
13,435
module MODULE1( clk, rst, din, VAR35, VAR89, dout, VAR412, VAR24, VAR410 ); input clk; input rst; input [127 : 0] din; input VAR35; input VAR89; output [127 : 0] dout; output VAR412; output VAR24; output [6 : 0] VAR410; VAR297 #( .VAR246(0), .VAR405(0), .VAR154(0), .VAR302(0), .VAR144(0), .VAR94(0), .VAR397(0), .VAR21(32), .VAR85(1), .VAR350(1), .VAR312(1), .VAR185(64), .VAR188(4), .VAR413(1), .VAR347(0), .VAR11(1), .VAR45(64), .VAR321(4), .VAR221(8), .VAR177(4), .VAR326(4), .VAR272(4), .VAR110(0), .VAR227(1), .VAR215(0), .VAR269(7), .VAR187("VAR398"), .VAR184(128), .VAR300(1), .VAR375(32), .VAR140(64), .VAR249(32), .VAR223(64), .VAR372(2), .VAR219("0"), .VAR151(128), .VAR243(0), .VAR164(1), .VAR74(0), .VAR16(0), .VAR315(0), .VAR332(0), .VAR56(0), .VAR254(0), .VAR71(0), .VAR251("VAR259"), .VAR222(1), .VAR368(0), .VAR207(0), .VAR8(0), .VAR264(0), .VAR389(0), .VAR257(0), .VAR117(0), .VAR283(0), .VAR311(0), .VAR82(0), .VAR244(0), .VAR213(0), .VAR54(0), .VAR288(0), .VAR281(1), .VAR404(0), .VAR224(0), .VAR34(0), .VAR279(1), .VAR358(0), .VAR203(0), .VAR48(0), .VAR165(0), .VAR304(0), .VAR190(0), .VAR409(0), .VAR367(0), .VAR126(0), .VAR113(0), .VAR2(0), .VAR131(0), .VAR271(0), .VAR386(0), .VAR275(0), .VAR42(0), .VAR180(0), .VAR145(0), .VAR305(1), .VAR242(0), .VAR159(0), .VAR313(0), .VAR234(0), .VAR356(0), .VAR200(0), .VAR120(0), .VAR229(0), .VAR325(1), .VAR53(1), .VAR344(1), .VAR294(1), .VAR197(1), .VAR44(1), .VAR141(0), .VAR66(0), .VAR208(2), .VAR354("VAR398"), .VAR125(1), .VAR114(0), .VAR252(0), .VAR90(0), .VAR138(1), .VAR278("512x72"), .VAR121(4), .VAR299(1022), .VAR366(1022), .VAR400(1022), .VAR374(1022), .VAR158(1022), .VAR170(1022), .VAR396(5), .VAR253(0), .VAR388(5), .VAR38(5), .VAR314(5), .VAR93(5), .VAR27(5), .VAR139(5), .VAR289(63), .VAR122(1023), .VAR29(1023), .VAR166(1023), .VAR132(1023), .VAR100(1023), .VAR12(1023), .VAR80(62), .VAR172(0), .VAR195(5), .VAR230(5), .VAR291(5), .VAR258(5), .VAR348(5), .VAR352(5), .VAR161(0), .VAR206(7), .VAR118(64), .VAR381(1), .VAR322(6), .VAR385(0), .VAR101(0), .VAR218(0), .VAR241(0), .VAR342(0), .VAR178(0), .VAR341(0), .VAR270(2), .VAR68(0), .VAR92(0), .VAR135(0), .VAR276(0), .VAR193(1), .VAR104(0), .VAR391(0), .VAR142(0), .VAR134(0), .VAR168(0), .VAR309(0), .VAR220(0), .VAR99(0), .VAR390(0), .VAR186(1), .VAR78(0), .VAR15(0), .VAR19(0), .VAR67(0), .VAR102(7), .VAR378(64), .VAR148(1024), .VAR204(16), .VAR273(1024), .VAR147(16), .VAR379(1024), .VAR226(16), .VAR380(1), .VAR285(6), .VAR70(10), .VAR65(4), .VAR362(10), .VAR233(4), .VAR25(10), .VAR282(4), .VAR32(1), .VAR143(0) ) VAR41 ( .VAR162(clk), .VAR7(rst), .VAR336(din), .VAR262(VAR35), .VAR205(VAR89), .VAR119(dout), .VAR319(VAR412), .VAR4(VAR24), .VAR112(VAR410), .VAR255(), .VAR349(), .VAR109(), .VAR77(), .VAR209(), .VAR357(), .VAR194(), .VAR337(), .VAR214(), .VAR175(), .VAR33(), .VAR284(), .VAR295(), .VAR320(), .VAR75(), .VAR351(), .VAR286(), .VAR123(), .VAR363(), .VAR217(), .VAR49(), .VAR155(), .VAR160(), .VAR61(), .VAR383(), .VAR189(), .VAR201(), .VAR301(), .VAR191(), .VAR173(), .VAR238(), .VAR50(), .VAR323(), .VAR18(), .VAR133(), .VAR95(), .VAR401(), .VAR130(), .VAR360(), .VAR157(), .VAR5(), .VAR331(), .VAR136(), .VAR277(), .VAR210(), .VAR46(), .VAR355(), .VAR72(), .VAR52(), .VAR250(), .VAR86(), .VAR334(), .VAR39(), .VAR239(), .VAR393(), .VAR343(), .VAR84(), .VAR256(), .VAR51(), .VAR384(), .VAR240(), .VAR330(), .VAR3(), .VAR303(), .VAR182(), .VAR1(), .VAR345(), .VAR174(), .VAR267(), .VAR81(), .VAR124(), .VAR22(), .VAR98(), .VAR290(), .VAR298(), .VAR179(), .VAR96(), .VAR265(), .VAR377(), .VAR60(), .VAR23(), .VAR306(), .VAR127(), .VAR196(), .VAR137(), .VAR40(), .VAR88(), .VAR335(), .VAR407(), .VAR192(), .VAR87(), .VAR14(), .VAR216(), .VAR365(), .VAR163(), .VAR63(), .VAR228(), .VAR237(), .VAR308(), .VAR307(), .VAR406(), .VAR176(), .VAR183(), .VAR274(), .VAR202(), .VAR371(), .VAR105(), .VAR392(), .VAR37(), .VAR129(), .VAR231(), .VAR9(), .VAR20(), .VAR58(), .VAR338(), .VAR387(), .VAR83(), .VAR106(), .VAR402(), .VAR353(), .VAR59(), .VAR26(), .VAR235(), .VAR103(), .VAR28(), .VAR17(), .VAR317(), .VAR370(), .VAR245(), .VAR382(), .VAR266(), .VAR64(), .VAR199(), .VAR171(), .VAR31(), .VAR247(), .VAR268(), .VAR6(), .VAR212(), .VAR232(), .VAR364(), .VAR376(), .VAR115(), .VAR394(), .VAR30(), .VAR211(), .VAR73(), .VAR76(), .VAR316(), .VAR153(), .VAR10(), .VAR293(), .VAR62(), .VAR146(), .VAR167(), .VAR328(), .VAR156(), .VAR263(), .VAR91(), .VAR414(), .VAR116(), .VAR36(), .VAR340(), .VAR411(), .VAR149(), .VAR225(), .VAR339(), .VAR108(), .VAR327(), .VAR318(), .VAR47(), .VAR181(), .VAR198(), .VAR261(), .VAR280(), .VAR13(), .VAR292(), .VAR169(), .VAR55(), .VAR260(), .VAR346(), .VAR399(), .VAR79(), .VAR150(), .VAR248(), .VAR107(), .VAR287(), .VAR359(), .VAR111(), .VAR329(), .VAR324(), .VAR408(), .VAR152(), .VAR128(), .VAR373(), .VAR310(), .VAR57(), .VAR333(), .VAR296(), .VAR69(), .VAR369(), .VAR361(), .VAR97(), .VAR395(), .VAR43(), .VAR236(), .VAR403() ); endmodule
gpl-2.0
m-labs/milkymist
cores/ac97/rtl/ac97_dma.v
3,750
module MODULE1( input VAR27, input VAR9, output reg [31:0] VAR3, output [2:0] VAR31, output reg VAR32, output VAR22, output VAR26, input VAR12, input [31:0] VAR21, output [31:0] VAR37, output reg VAR30, input VAR1, output reg VAR23, output reg [19:0] VAR39, output reg VAR11, output reg [19:0] VAR42, output reg VAR13, input VAR15, input VAR34, input VAR36, input [19:0] VAR10, input VAR24, input [19:0] VAR17, input VAR43, input [29:0] VAR33, input [15:0] VAR8, output reg VAR7, input VAR6, input [29:0] VAR28, input [15:0] VAR18, output reg VAR4 ); assign VAR31 = 3'd0; reg VAR40; assign VAR22 = VAR40; assign VAR26 = VAR40; reg VAR41; reg VAR20; always @(posedge VAR9) begin if(VAR41) VAR3 <= {VAR33, 2'b00}; end else if(VAR20) VAR3 <= {VAR28, 2'b00}; end reg VAR16; always @(posedge VAR9) begin if(VAR16) begin VAR23 <= VAR43; VAR11 <= VAR43; VAR39 <= {20{VAR43}} & {{VAR21[31:16], VAR21[30:27]}}; VAR42 <= {20{VAR43}} & {{VAR21[15:0], VAR21[14:11]}}; end end assign VAR37 = {VAR10[19:4], VAR17[19:4]}; reg [2:0] state; reg [2:0] VAR35; parameter VAR14 = 3'd0; parameter VAR5 = 3'd1; parameter VAR19 = 3'd2; parameter VAR38 = 3'd3; parameter VAR2 = 3'd4; wire VAR25 = VAR8 == 16'd0; wire VAR29 = VAR18 == 16'd0; always @(posedge VAR9) begin if(VAR27) state <= VAR14; end else state <= VAR35; end always @(*) begin VAR35 = state; VAR40 = 1'b0; VAR41 = 1'b0; VAR20 = 1'b0; VAR32 = 1'b0; VAR30 = 1'b0; VAR13 = 1'b0; VAR7 = 1'b0; VAR4 = 1'b0; VAR16 = 1'b0; case(state) VAR14: begin VAR30 = 1'b1; VAR13 = 1'b1; if(VAR1) begin if(VAR43) VAR30 = 1'b0; end else VAR16 = 1'b1; end if(VAR15) begin if(VAR6) VAR13 = 1'b0; end if(VAR1 & VAR43 & ~VAR25) begin VAR41 = 1'b1; VAR35 = VAR5; end else if(VAR15 & VAR6 & ~VAR29) begin VAR20 = 1'b1; VAR35 = VAR19; end end VAR5: begin VAR40 = 1'b1; VAR16 = 1'b1; if(VAR12) begin VAR7 = 1'b1; VAR35 = VAR38; end end VAR19: begin VAR40 = 1'b1; VAR32 = 1'b1; if(VAR12) begin VAR4 = 1'b1; VAR35 = VAR2; end end VAR38: begin VAR30 = 1'b1; VAR35 = VAR14; end VAR2: begin VAR13 = 1'b1; VAR35 = VAR14; end endcase end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfxbp/sky130_fd_sc_hvl__sdfxbp.pp.blackbox.v
1,402
module MODULE1 ( VAR6 , VAR2 , VAR4 , VAR7 , VAR1 , VAR3 , VAR10, VAR8, VAR9 , VAR5 ); output VAR6 ; output VAR2 ; input VAR4 ; input VAR7 ; input VAR1 ; input VAR3 ; input VAR10; input VAR8; input VAR9 ; input VAR5 ; endmodule
apache-2.0
HSID/Sora
FPGA/SISO/rtl/pcie_userapp_wrapper/Sora_Fast_Radio_Link/RCB_FRL_count_to_16x.v
2,085
module MODULE1(clk, rst, VAR1, VAR2); input clk, rst, VAR1; output [3:0] VAR2; reg [3:0] VAR3; assign VAR2 = VAR3; always@(posedge clk or posedge rst) begin if(rst == 1'b1) begin VAR3 = 4'h0; end else if ( VAR1 == 1'b1 ) begin VAR3 = VAR3 + 1; end else begin VAR3 = VAR2; end end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfxtp/sky130_fd_sc_ms__sdfxtp.pp.blackbox.v
1,363
module MODULE1 ( VAR3 , VAR6 , VAR4 , VAR5 , VAR2 , VAR7, VAR1, VAR8 , VAR9 ); output VAR3 ; input VAR6 ; input VAR4 ; input VAR5 ; input VAR2 ; input VAR7; input VAR1; input VAR8 ; input VAR9 ; endmodule
apache-2.0
FAST-Switch/fast
lib/hardware/pipeline/IPE_PPS_OPENFLOW/IPE_PPS.v
4,390
module MODULE1( input clk, input reset, input [5:0] VAR24, input VAR2,input [31:0] VAR44,input VAR62, input [133:0] VAR41, input VAR6, input VAR4, output VAR47, output VAR53, output [133:0] VAR60, output VAR18, output VAR14, input VAR42, input VAR35, input [133:0] VAR5, input VAR12, input VAR15, output VAR57, output VAR10, output [133:0] VAR20, output VAR31, output VAR39, input VAR16 ); VAR19 VAR19( .clk(clk), .reset(reset), .VAR35(VAR35), .VAR5(VAR5), .VAR12(VAR12), .VAR15(VAR15), .VAR57(VAR57), .VAR10(VAR10), .VAR20(VAR20), .VAR31(VAR31), .VAR39(VAR39), .VAR16(VAR16) ); wire VAR32; wire [133:0] VAR56; wire VAR33; wire VAR38; wire VAR59; wire VAR64; wire [133:0] VAR1; wire VAR27; wire VAR21; wire VAR37; VAR43 VAR43( .clk(clk), .reset(reset), .VAR32(VAR32), .VAR56(VAR56), .VAR33(VAR33), .VAR38(VAR38), .VAR59(VAR59), .VAR64(VAR64), .VAR1(VAR1), .VAR27(VAR27), .VAR21(VAR21), .VAR37(VAR37) ); wire VAR34; wire [133:0] VAR49; wire VAR11; wire VAR55; wire VAR26; VAR3 VAR3( .clk(clk), .reset(reset), .VAR62(VAR62), .VAR41(VAR41), .VAR6(VAR6), .VAR4(VAR4), .VAR47(VAR47), .VAR61(VAR32), .VAR65(VAR56), .VAR58(VAR33), .VAR7(VAR59), .VAR46(VAR38), .VAR36(VAR64), .VAR52(VAR1), .VAR28(VAR21), .VAR17(VAR27), .VAR22(VAR37), .VAR34(VAR34), .VAR49(VAR49), .VAR11(VAR11), .VAR55(VAR55), .VAR26(VAR26) ); wire VAR29; wire [133:0] VAR23; wire VAR48; wire VAR45; wire VAR30; VAR51 VAR51( .clk(clk), .reset(reset), .VAR24(VAR24), .VAR2(VAR2), .VAR44(VAR44), .VAR63(VAR34), .VAR40(VAR49), .VAR38(VAR11), .VAR59(VAR55), .VAR9(VAR26), .VAR53(VAR53), .VAR60(VAR60), .VAR18(VAR18), .VAR14(VAR14), .VAR42(VAR42), .VAR29(VAR29), .VAR23(VAR23), .VAR48(VAR48), .VAR45(VAR45), .VAR30(VAR30), .VAR25(VAR29), .VAR50(VAR23), .VAR54(VAR48), .VAR8(VAR45), .VAR13(VAR30) ); endmodule
apache-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_022.v
1,522
module MODULE1 ( VAR7, VAR3 ); input [31:0] VAR7; output [31:0] VAR3; wire [31:0] VAR12, VAR1, VAR13, VAR6, VAR8, VAR14, VAR9, VAR11, VAR10; assign VAR12 = VAR7; assign VAR11 = VAR12 << 10; assign VAR10 = VAR9 - VAR11; assign VAR9 = VAR8 - VAR14; assign VAR8 = VAR6 - VAR12; assign VAR6 = VAR13 << 12; assign VAR14 = VAR13 << 3; assign VAR13 = VAR1 - VAR12; assign VAR1 = VAR12 << 3; assign VAR3 = VAR10; endmodule module MODULE2( VAR7, VAR3, clk ); input [31:0] VAR7; output [31:0] VAR3; reg [31:0] VAR3; input clk; reg [31:0] VAR5; wire [30:0] VAR2; always @(posedge clk) begin VAR5 <= VAR7; VAR3 <= VAR2; end MODULE1 MODULE1( .VAR7(VAR5), .VAR3(VAR2) ); endmodule
mit
mamijaz/RISC-V
src/riscv_pipeline/hazard_control_unit/HAZARD_CONTROL_UNIT.v
6,688
module MODULE1 #( parameter VAR21 = 5 , parameter VAR20 = 3 , parameter VAR9 = 3'b000 , parameter VAR14 = 1'b1 , parameter VAR8 = 1'b0 ) ( input VAR17 , input VAR7 , input VAR34 , input [VAR21 -1 : 0] VAR33 , input [VAR21 -1 : 0] VAR5 , input [VAR20 - 1 : 0] VAR16 , input [VAR21 -1 : 0] VAR31 , input [VAR20 - 1 : 0] VAR6 , input [VAR21 -1 : 0] VAR22 , input [VAR20 - 1 : 0] VAR11 , input [VAR21 -1 : 0] VAR27 , output VAR4 , output VAR29 , output VAR10 , output VAR26 , output VAR3 , output VAR25 , output VAR19 , output VAR1 , output VAR28 ); reg VAR23 ; reg VAR24 ; reg VAR15 ; reg VAR32 ; reg VAR12 ; reg VAR13 ; reg VAR2 ; reg VAR30 ; reg VAR18 ; begin begin begin end begin begin begin begin
bsd-2-clause