repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
nczempin/NICNAC16 | src/avr_interface.v | 3,556 | module MODULE1 #(
parameter VAR46 = 50000000,
parameter VAR43 = 500000
)(
input clk,
input rst,
input VAR26,
output VAR10,
input VAR21,
input VAR27,
input VAR31,
output [3:0] VAR16,
output VAR49,
input VAR25,
input [3:0] VAR1,
output VAR8,
output [9:0] VAR28,
output [3:0] VAR51,
input [7:0] VAR32,
input VAR6,
output VAR15,
input VAR5,
output [7:0] VAR14,
output VAR37
);
wire ready;
wire VAR39 = !ready;
wire VAR42;
wire [7:0] VAR23;
wire VAR24;
wire VAR4;
reg VAR40, VAR34;
reg [9:0] VAR19, VAR17;
reg VAR30, VAR41;
reg [3:0] VAR22, VAR29;
VAR11 #(.VAR46(VAR46)) VAR11 (
.clk(clk),
.rst(rst),
.VAR26(VAR26),
.ready(ready)
);
VAR50 VAR50 (
.clk(clk),
.rst(VAR39),
.VAR9(VAR31),
.VAR12(VAR21),
.VAR33(VAR4),
.VAR36(VAR27),
.VAR13(VAR42),
.din(8'hff),
.dout(VAR23)
);
parameter VAR3 = VAR7(VAR18(VAR46/VAR43));
VAR45 #(.VAR3(VAR3)) VAR45 (
.clk(clk),
.rst(VAR39),
.VAR25(VAR25),
.VAR2(VAR14),
.VAR47(VAR37)
);
VAR48 #(.VAR3(VAR3)) VAR48 (
.clk(clk),
.rst(VAR39),
.VAR49(VAR24),
.VAR38(VAR5),
.VAR20(VAR15),
.VAR2(VAR32),
.VAR47(VAR6)
);
assign VAR8 = VAR41;
assign VAR28 = VAR17;
assign VAR51 = VAR29;
assign VAR16 = ready ? VAR1 : 4'VAR44;
assign VAR10 = ready && !VAR31 ? VAR4 : 1'VAR35;
assign VAR49 = ready ? VAR24 : 1'VAR35;
always @(*) begin
VAR40 = VAR34;
VAR19 = VAR17;
VAR30 = 1'b0;
VAR22 = VAR29;
if (VAR31) begin VAR40 = 1'b0;
end
if (VAR42) begin if (VAR34 == 1'b0) begin
VAR19[7:0] = VAR23; VAR40 = 1'b1;
end else begin
VAR19[9:8] = VAR23[1:0]; VAR22 = VAR23[7:4]; VAR40 = 1'b1; VAR30 = 1'b1;
end
end
end
always @(posedge clk) begin
if (VAR39) begin
VAR34 <= 1'b0;
VAR17 <= 10'b0;
VAR41 <= 1'b0;
end else begin
VAR34 <= VAR40;
VAR17 <= VAR19;
VAR41 <= VAR30;
end
VAR29 <= VAR22;
end
endmodule | mit |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/mux2/gf180mcu_fd_sc_mcu9t5v0__mux2_2.functional.v | 1,043 | module MODULE1( VAR11, VAR2, VAR13, VAR5 );
input VAR5, VAR2, VAR13;
output VAR11;
wire VAR3;
and VAR12( VAR3, VAR5, VAR2 );
wire VAR8;
not VAR4( VAR8, VAR13 );
wire VAR1;
and VAR9( VAR1, VAR8, VAR5 );
wire VAR6;
and VAR10( VAR6, VAR2, VAR13 );
or VAR7( VAR11, VAR3, VAR1, VAR6 );
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/oai32/gf180mcu_fd_sc_mcu9t5v0__oai32_1.behavioral.pp.v | 3,497 | module MODULE1( VAR3, VAR8, VAR4, VAR7, VAR11, VAR6, VAR10, VAR5 );
input VAR4, VAR8, VAR3, VAR11, VAR6;
inout VAR10, VAR5;
output VAR7;
VAR1 VAR2(.VAR3(VAR3),.VAR8(VAR8),.VAR4(VAR4),.VAR7(VAR7),.VAR11(VAR11),.VAR6(VAR6),.VAR10(VAR10),.VAR5(VAR5));
VAR1 VAR9(.VAR3(VAR3),.VAR8(VAR8),.VAR4(VAR4),.VAR7(VAR7),.VAR11(VAR11),.VAR6(VAR6),.VAR10(VAR10),.VAR5(VAR5)); | apache-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig37/mig_37/user_design/rtl/ecc/ecc_gen.v | 7,892 | module MODULE1
parameter VAR18 = 72,
parameter VAR9 = 8,
parameter VAR1 = 64
)
(
VAR22
);
function integer VAR6 (input integer VAR10);
integer VAR19;
if (VAR10 == 1) VAR6 = 1;
else begin
VAR6 = 1;
for (VAR19=2; VAR19<=VAR10; VAR19=VAR19+1)
VAR6 = VAR6 * VAR19;
end
endfunction
function integer VAR3 (input integer VAR17, VAR16);
VAR3 = VAR6(VAR17)/(VAR6(VAR16)*VAR6(VAR17-VAR16));
endfunction
function [VAR9-1:0] VAR14 (input [VAR9-1:0] VAR10);
integer VAR19;
integer VAR12;
reg VAR4;
reg VAR15;
reg [VAR9-1:0] VAR21;
begin
VAR4 = 1'b0;
VAR15 = 1'b0;
VAR21 = 0;
for (VAR19=0; VAR19<VAR9; VAR19=VAR19+1)
begin
if ((&VAR10 == 1'VAR5) || VAR15) VAR14[VAR19] = VAR10[VAR19];
end
else begin
VAR14[VAR19] = 1'b0;
VAR21 = VAR21 + VAR10[VAR19];
if (VAR10[VAR19] && VAR4) begin
VAR15 = 1'b1;
for (VAR12=VAR19-1; VAR12>=0;VAR12=VAR12-1)
if (VAR12>=VAR19-VAR21) VAR14[VAR12] = 1'b1;
end
VAR4 = ~VAR10[VAR19];
end end
end endfunction
wire [VAR9-1:0] VAR7 [VAR18-1:0];
output wire [VAR18*VAR9-1:0] VAR22;
localparam VAR13 = VAR3(VAR9, 3);
localparam VAR8 = VAR3(VAR9, 5);
genvar VAR17;
genvar VAR11;
generate
for (VAR17=0; VAR17<VAR18; VAR17=VAR17+1) begin : VAR20
if (VAR17 == 0)
assign VAR7[VAR17] = {{3{1'b1}}, {VAR9-3{1'b0}}};
end
else if (VAR17 == VAR13 && VAR17 < VAR1)
assign VAR7[VAR17] = {{5{1'b1}}, {VAR9-5{1'b0}}};
else if ((VAR17 == VAR13+VAR8) && VAR17 < VAR1)
assign VAR7[VAR17] = {{7{1'b1}}, {VAR9-7{1'b0}}};
else if (VAR17 == VAR1)
assign VAR7[VAR17] = {{1{1'b1}}, {VAR9-1{1'b0}}};
else assign VAR7[VAR17] = VAR14(VAR7[VAR17-1]);
for (VAR11=0; VAR11<VAR9; VAR11=VAR11+1) begin : VAR2
assign VAR22[VAR11*VAR18+VAR17] = VAR7[VAR17][VAR11];
end
end
endgenerate
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dlrbn/sky130_fd_sc_hs__dlrbn.behavioral.pp.v | 2,513 | module MODULE1 (
VAR21 ,
VAR8 ,
VAR10 ,
VAR13 ,
VAR11,
VAR19 ,
VAR9
);
input VAR21 ;
input VAR8 ;
output VAR10 ;
output VAR13 ;
input VAR11;
input VAR19 ;
input VAR9 ;
wire VAR17 ;
wire VAR20 ;
reg VAR23 ;
wire VAR1 ;
wire VAR2 ;
wire VAR3 ;
wire VAR16;
wire VAR14 ;
wire VAR22 ;
wire VAR12 ;
wire VAR18 ;
not VAR24 (VAR17 , VAR16 );
not VAR15 (VAR20, VAR2 );
VAR4 VAR6 (VAR14 , VAR1, VAR20, VAR17, VAR23, VAR21, VAR8);
assign VAR22 = ( VAR21 === 1'b1 );
assign VAR12 = ( VAR22 && ( VAR16 === 1'b1 ) );
assign VAR18 = ( VAR22 && ( VAR11 === 1'b1 ) );
buf VAR7 (VAR10 , VAR14 );
not VAR5 (VAR13 , VAR14 );
endmodule | apache-2.0 |
ServerTech/neptune | code/mult_core.v | 1,608 | module MODULE1(sel, VAR4, VAR3, out);
parameter VAR2 = 'd16;
input wire sel;
input wire [VAR2-1:0] VAR4, VAR3;
output reg [VAR2-1:0] out;
always@(sel, VAR4, VAR3) begin
case(sel) 1'b0: out [VAR2-1:0] = VAR4 [VAR2-1:0];
1'b1: out [VAR2-1:0] = VAR3 [VAR2-1:0];
default: out [VAR2-1:0] = {VAR2{1'b0}};
endcase
end
endmodule
module MODULE2(sel, VAR4, VAR3, VAR1, din, out);
parameter VAR2 = 'd16;
input wire [1:0] sel;
input wire [VAR2-1:0] VAR4, VAR3, VAR1, din;
output reg [VAR2-1:0] out;
always@(sel, VAR4, VAR3, VAR1, din) begin
case(sel) 2'b00: out [VAR2-1:0] = VAR4 [VAR2-1:0];
2'b01: out [VAR2-1:0] = VAR3 [VAR2-1:0];
2'b10: out [VAR2-1:0] = VAR1 [VAR2-1:0];
2'b11: out [VAR2-1:0] = din [VAR2-1:0];
default: out [VAR2-1:0] = {VAR2{1'b0}};
endcase
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a2bb2oi/sky130_fd_sc_hdll__a2bb2oi_1.v | 2,493 | module MODULE1 (
VAR5 ,
VAR11,
VAR2,
VAR8 ,
VAR1 ,
VAR7,
VAR4,
VAR3 ,
VAR10
);
output VAR5 ;
input VAR11;
input VAR2;
input VAR8 ;
input VAR1 ;
input VAR7;
input VAR4;
input VAR3 ;
input VAR10 ;
VAR6 VAR9 (
.VAR5(VAR5),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR10(VAR10)
);
endmodule
module MODULE1 (
VAR5 ,
VAR11,
VAR2,
VAR8 ,
VAR1
);
output VAR5 ;
input VAR11;
input VAR2;
input VAR8 ;
input VAR1 ;
supply1 VAR7;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR10 ;
VAR6 VAR9 (
.VAR5(VAR5),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/xor2/gf180mcu_fd_sc_mcu7t5v0__xor2_2.behavioral.pp.v | 1,476 | module MODULE1( VAR4, VAR3, VAR8, VAR5, VAR1 );
input VAR3, VAR4;
inout VAR5, VAR1;
output VAR8;
VAR7 VAR2(.VAR4(VAR4),.VAR3(VAR3),.VAR8(VAR8),.VAR5(VAR5),.VAR1(VAR1));
VAR7 VAR6(.VAR4(VAR4),.VAR3(VAR3),.VAR8(VAR8),.VAR5(VAR5),.VAR1(VAR1)); | apache-2.0 |
gralco/click-clock-board | mojo_io_shield/source/mojo_top.v | 1,898 | module MODULE1(
input clk,
input VAR10,
input VAR16,
output[7:0]VAR18,
output VAR15,
input VAR11,
input VAR6,
input VAR17,
output [3:0] VAR23,
input VAR24, output VAR3, input VAR21, output [23:0] VAR7, output [7:0] VAR8, output [3:0] VAR25, input [3:0] VAR19,
input en,
output VAR1
);
wire rst = ~VAR10;
assign VAR15 = 1'VAR5;
assign VAR3 = 1'VAR5;
assign VAR23 = 4'VAR20;
assign VAR18[7:0] = {8{VAR14}};
reg [25:0] VAR2, VAR12;
reg VAR14;
always @(VAR12) begin
if (VAR19[0] && ~(VAR12 % 8'hFA)) begin
VAR2 = VAR12 + 8'hFA;
end else if (VAR19[1] && ~(VAR12 % 11'h4E2)) begin
VAR2 = VAR12 + 11'h4E2;
end else if (VAR19[2] && ~(VAR12 % 13'h186A)) begin
VAR2 = VAR12 + 13'h186A;
end else begin
VAR2 = VAR12 + 1'b1;
end
end
always @(posedge clk, posedge rst) begin
if (rst == 1) begin
VAR12 <= 25'b0;
VAR14 <= 1'b0;
end else if (VAR12 == 25'h17D7840) begin
VAR12 <= 25'b0;
VAR14 <= ~VAR14;
end else begin
VAR12 <= VAR2;
end
end
VAR22 VAR13 (
.clk(VAR14),
.VAR4(VAR12[16]),
.rst(rst),
.en(~en),
.VAR9(VAR7[7:0]),
.VAR1(VAR7[23:8]),
.VAR8(VAR8),
.VAR25(VAR25)
);
endmodule | gpl-3.0 |
cwilkens/fpga-hero | sram_interface.v | 2,043 | module MODULE1(rst, clk, addr, dout, VAR7, VAR10, VAR8, VAR11, VAR12, VAR3, VAR5, VAR1, VAR6, VAR2, VAR4);
input clk, rst;
input [23:0] addr;
output reg [15:0] dout;
output VAR7;
output VAR10, VAR8, VAR11, VAR12, VAR3, VAR1, VAR6;
output [23:1] VAR4;
output VAR5;
inout [15:0] VAR2;
assign VAR10 = 0;
assign VAR8 = 0;
assign VAR11 = 0;
assign VAR12 = 0;
assign VAR3 = 0;
assign VAR6 = 0;
assign VAR1 = 0;
reg [2:0] state = 3'b000;
assign VAR2 = 16'VAR9;
assign VAR4 = {addr[23:1],1'b0};
assign VAR5 = 1; assign VAR7 = (state == 3'b000);
always @(posedge clk) begin
if (!rst) begin
if (state == 3'b010) dout <= VAR2;
if (state == 3'b010)
state <= 3'b000;
end
else
state <= state + 1;
end else begin
state <= 3'b000;
end
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | models/udp_mux_2to1_n/sky130_fd_sc_hd__udp_mux_2to1_n.symbol.v | 1,289 | module MODULE1 (
input VAR2,
input VAR4,
output VAR1 ,
input VAR3
);
endmodule | apache-2.0 |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v | 8,027 | module MODULE1
import VAR1::*;
,parameter VAR56(VAR68)
)
(
input VAR79
, input VAR74
, input [VAR41-1:0] VAR20
, input VAR52
, output logic VAR27
, output logic VAR33
, output logic [VAR41-1:0] VAR57
, input VAR18
, input VAR16 VAR46
, input VAR45
, output logic VAR80
, input VAR2
);
localparam VAR69 = VAR17(VAR68);
localparam VAR49 = VAR91(VAR68);
logic [VAR68-1:0] VAR6, VAR64;
VAR10 #(
.VAR41(VAR68)
) VAR89 (
.VAR79(VAR79)
,.VAR74(VAR74)
,.VAR20(VAR64)
,.VAR57(VAR6)
);
logic [VAR49-1:0] VAR30;
logic [VAR69-1:0] VAR44, VAR42;
VAR28 #(
.VAR9(VAR68)
,.VAR71(VAR68-1)
) VAR39 (
.clk(VAR79)
,.VAR74(VAR74)
,.VAR58(VAR30)
,.VAR31(VAR44)
,.VAR34(VAR42)
);
wire [VAR69-1:0] VAR81 = (VAR68-1 == VAR44)
? (VAR69)'(0)
: (VAR69)'(VAR44+1);
wire [VAR69-1:0] VAR4 = (VAR68-1 == VAR81)
? (VAR69)'(0)
: (VAR69)'(VAR81+1);
logic VAR84;
logic [VAR69-1:0] VAR82;
VAR28 #(
.VAR9(VAR68)
,.VAR71(1)
) VAR59 (
.clk(VAR79)
,.VAR74(VAR74)
,.VAR58(VAR84)
,.VAR31(VAR82)
,.VAR34()
);
logic VAR5;
logic [VAR69-1:0] VAR36;
VAR28 #(
.VAR9(VAR68)
,.VAR71(1)
) VAR47 (
.clk(VAR79)
,.VAR74(VAR74)
,.VAR58(VAR5)
,.VAR31(VAR36)
,.VAR34()
);
wire VAR19 = VAR6[VAR44];
wire VAR66 = VAR6[VAR81];
wire VAR26 = VAR6[VAR4];
wire VAR72 = VAR6[VAR36];
wire VAR32 = (VAR42 == VAR82);
logic VAR73, VAR35;
logic VAR54;
logic [VAR41-1:0] VAR48;
logic [VAR69-1:0] VAR62;
VAR78 #(
.VAR41(VAR41)
,.VAR68(VAR68)
,.VAR70(1)
,.VAR12(0)
,.VAR85(0)
) VAR22 (
.VAR79(VAR79)
,.VAR74(VAR74)
,.VAR67(VAR73)
,.VAR43(VAR82)
,.VAR7(VAR20)
,.VAR15(VAR54)
,.VAR88(VAR62)
,.VAR11(VAR48)
);
logic VAR76;
logic [VAR69-1:0] VAR13;
VAR87 @ (posedge VAR79) begin
if (VAR74) begin
VAR76 <= 1'b0;
VAR13 <= '0;
end
else begin
VAR76 <= VAR54;
if (VAR54)
VAR13 <= VAR62;
end
end
logic [VAR41-1:0] VAR86, VAR65;
logic VAR77, VAR60;
VAR87 @ (posedge VAR79) begin
if (VAR74) begin
VAR86 <= '0;
VAR77 <= 1'b0;
end
else begin
VAR86 <= VAR65;
VAR77 <= VAR60;
end
end
assign VAR33 = VAR77;
assign VAR57 = VAR86;
logic VAR40;
logic [VAR68-1:0] VAR8;
logic [VAR68-1:0] VAR37;
VAR90 #(
.VAR92(VAR68)
) VAR29 (
.VAR14(VAR82)
,.VAR52(VAR73)
,.VAR31(VAR37)
);
VAR90 #(
.VAR92(VAR68)
) VAR38 (
.VAR14(VAR44)
,.VAR52(VAR40)
,.VAR31(VAR8)
);
VAR83 begin
for (integer VAR14 = 0; VAR14 < VAR68; VAR14++) begin
if (VAR8[VAR14])
VAR64[VAR14] = 1'b0;
end
else if (VAR37[VAR14])
VAR64[VAR14] = 1'b1;
end
else
VAR64[VAR14] = VAR6[VAR14];
end
end
logic VAR23;
logic VAR24;
VAR87 @ (posedge VAR79) begin
if (VAR74) begin
VAR23 <= 1'b0;
VAR24 <= 1'b1;
end
else begin
if (VAR5 | VAR73) begin
VAR23 <= VAR73;
end
if (VAR2) begin
VAR24 <= ~VAR72;
end
else begin
if (VAR73 | VAR35) begin
VAR24 <= VAR35;
end
end
end
end
wire VAR51 = VAR23 & (VAR36 == VAR82);
wire VAR50 = VAR24 & (VAR44 == VAR82);
assign VAR80 = VAR50;
assign VAR27 = ~VAR51;
assign VAR73 = VAR27 & VAR52;
assign VAR84 = VAR73;
VAR83 begin
if (VAR2) begin
VAR35 = 1'b0;
VAR5 = 1'b0;
VAR40 = 1'b0;
VAR30 = (VAR36 >= VAR44)
? (VAR49)'(VAR36 - VAR44)
: (VAR49)'(VAR68 + VAR36 - VAR44);
VAR54 = VAR72;
VAR62 = VAR42;
VAR60 = VAR77;
VAR65 = VAR86;
end
else begin
if (VAR77) begin
if (VAR18) begin
VAR62 = ((VAR42 == VAR13) & VAR76)
? VAR4
: VAR81;
VAR54 = ~VAR32 & ((VAR42 == VAR13) & VAR76
? VAR26
: VAR66);
VAR60 = VAR32
? VAR73
: VAR76;
VAR65 = VAR32
? (VAR73 ? VAR20 : VAR86)
: (VAR76 ? VAR48 : VAR86);
case (VAR46)
VAR21: begin
VAR35 = 1'b1;
VAR40 = 1'b1;
VAR5 = 1'b1;
VAR30 = (VAR49)'(1);
end
VAR3: begin
VAR35 = 1'b1;
VAR40 = 1'b0;
VAR5 = 1'b0;
VAR30 = (VAR49)'(1);
end
VAR55: begin
VAR35 = 1'b1;
VAR40 = 1'b1;
VAR5 = 1'b0;
VAR30 = (VAR49)'(1);
end
default: begin
VAR35 = 1'b0;
VAR40 = 1'b0;
VAR5 = 1'b0;
VAR30 = (VAR49)'(0);
VAR54 = 1'b0;
VAR62 = (VAR69)'(0);
VAR60 = VAR77;
VAR65 = VAR86;
end
endcase
end
else begin
VAR35 = 1'b0;
VAR40 = 1'b0;
VAR5 = 1'b0;
VAR30 = (VAR49)'(0);
VAR54 = VAR66;
VAR62 = VAR81;
VAR60 = VAR77;
VAR65 = VAR86;
end
end
else begin
VAR35 = VAR50
? 1'b0
: ~VAR19;
VAR40 = 1'b0;
VAR5 = VAR50
? 1'b0
: (VAR19
? 1'b0
: ~VAR45);
VAR30 = VAR50
? (VAR49)'(0)
: (VAR19
? 1'b0
: (VAR49)'(1));
VAR54 = VAR76
? VAR66
: VAR19;
VAR62 = VAR76
? VAR81
: VAR44;
VAR60 = VAR50
? VAR73
: VAR76;
VAR65 = VAR50
? (VAR73 ? VAR20 : VAR86)
: (VAR76 ? VAR48 : VAR86);
end
end
end
VAR87 @ (negedge VAR79) begin
if (~VAR74) begin
end
if (VAR2) assert(VAR80) else ("[VAR75] VAR2 VAR53 VAR25 VAR61 VAR63 not VAR80.");
end
end
endmodule | bsd-3-clause |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/and3/gf180mcu_fd_sc_mcu9t5v0__and3_1.behavioral.v | 1,250 | module MODULE1( VAR5, VAR7, VAR2, VAR3 );
input VAR5, VAR2, VAR7;
output VAR3;
VAR4 VAR1(.VAR5(VAR5),.VAR7(VAR7),.VAR2(VAR2),.VAR3(VAR3));
VAR4 VAR6(.VAR5(VAR5),.VAR7(VAR7),.VAR2(VAR2),.VAR3(VAR3)); | apache-2.0 |
freecores/sha3 | high_throughput_core/rtl/f_permutation.v | 2,050 | module MODULE1(clk, reset, in, VAR1, ack, out, VAR7);
input clk, reset;
input [575:0] in;
input VAR1;
output ack;
output reg [1599:0] out;
output reg VAR7;
reg [10:0] VAR8;
wire [1599:0] VAR12, VAR13;
wire [63:0] VAR11, VAR4;
wire VAR10;
wire VAR2;
reg VAR9;
assign VAR2 = VAR1 & (~ VAR9);
always @ (posedge clk)
if (reset) VAR8 <= 0;
else VAR8 <= {VAR8[9:0], VAR2};
always @ (posedge clk)
if (reset) VAR9 <= 0;
else VAR9 <= (VAR9 & (~ VAR8[10])) | VAR2;
assign VAR10 = VAR9 | VAR2;
assign ack = VAR2;
always @ (posedge clk)
if (reset)
VAR7 <= 0;
else if (VAR2)
VAR7 <= 0;
else if (VAR8[10]) VAR7 <= 1;
assign VAR12 = VAR2 ? {in ^ out[1599:1599-575], out[1599-576:0]} : out;
VAR6
VAR14 ({VAR8, VAR2}, VAR11, VAR4);
VAR5
VAR3 (VAR12, VAR11, VAR4, VAR13);
always @ (posedge clk)
if (reset)
out <= 0;
else if (VAR10)
out <= VAR13;
endmodule | apache-2.0 |
nv1t/proxmark3 | fpga/fpga.v | 7,959 | module MODULE1(
VAR100, VAR57, VAR25, VAR28,
VAR41, VAR9, VAR11,
VAR79, VAR62, VAR24, VAR58, VAR68, VAR84,
VAR107, VAR55, VAR16,
VAR31, VAR126, VAR143, VAR131,
VAR70, VAR44,
VAR127
);
input VAR100, VAR25, VAR28;
output VAR57;
input VAR41, VAR9, VAR11;
output VAR79, VAR62, VAR24, VAR58, VAR68, VAR84;
input [7:0] VAR107;
output VAR55, VAR16;
input VAR143;
output VAR31, VAR126, VAR131;
input VAR70, VAR44;
output VAR127;
VAR122 #(.VAR99("VAR61") ) VAR129(
.VAR1(VAR119),
.VAR80(VAR41)
);
VAR122 #(.VAR99("VAR61") ) VAR5(
.VAR1(VAR73),
.VAR80(VAR100)
);
reg [15:0] VAR138;
reg [7:0] VAR90;
reg [7:0] VAR12;
always @(posedge VAR28)
begin
case(VAR138[15:12])
4'b0001: VAR12 <= VAR138[7:0];
4'b0010: VAR90 <= VAR138[7:0];
endcase
end
always @(posedge VAR73)
begin
if(~VAR28)
begin
VAR138[15:1] <= VAR138[14:0];
VAR138[0] <= VAR25;
end
end
wire [2:0] VAR108;
assign VAR108 = VAR12[7:5];
wire VAR52;
assign VAR52 = VAR12[3];
wire VAR77;
assign VAR77 = VAR12[0];
wire VAR54;
assign VAR54 = VAR12[0];
wire VAR34;
assign VAR34 = VAR12[1];
wire VAR21;
assign VAR21 = VAR12[2];
wire [2:0] VAR117;
assign VAR117 = VAR12[2:0];
wire VAR51;
assign VAR51 = VAR12[0];
VAR113 VAR76(
VAR119, VAR9, VAR11,
VAR19, VAR144, VAR97, VAR49, VAR78, VAR63,
VAR107, VAR133,
VAR121, VAR105, VAR143, VAR109,
VAR70, VAR44,
VAR110,
VAR52, VAR90
);
VAR134 VAR26(
VAR119, VAR9, VAR11,
VAR94, VAR89, VAR10, VAR35, VAR106, VAR42,
VAR107, VAR7,
VAR37, VAR112, VAR143, VAR136,
VAR70, VAR44,
VAR132, VAR90
);
VAR56 VAR146(
VAR119, VAR9, VAR11,
VAR74, VAR71, VAR67, VAR39, VAR59, VAR23,
VAR107, VAR75,
VAR6, VAR98, VAR143, VAR65,
VAR70, VAR44,
VAR82, VAR90,
VAR51
);
VAR47 VAR27(
VAR119, VAR9, VAR11,
VAR88, VAR101, VAR142, VAR114, VAR45, VAR69,
VAR107, VAR124,
VAR64, VAR2, VAR143, VAR53,
VAR70, VAR44,
VAR38,
VAR77
);
VAR43 VAR30(
VAR119, VAR9, VAR11,
VAR29, VAR50, VAR135, VAR115, VAR116, VAR60,
VAR107, VAR93,
VAR4, VAR128, VAR143, VAR33,
VAR70, VAR44,
VAR118,
VAR54, VAR34, VAR21
);
VAR96 VAR87(
VAR119, VAR9, VAR11,
VAR83, VAR104, VAR102, VAR8, VAR91, VAR140,
VAR107, VAR145,
VAR85, VAR123, VAR143, VAR92,
VAR70, VAR44,
VAR125,
VAR117
);
VAR17 VAR103(
VAR119, VAR9, VAR11,
VAR139, VAR81, VAR72, VAR95, VAR48, VAR40,
VAR107, VAR141,
VAR66, VAR46, VAR143, VAR13,
VAR70, VAR44,
VAR130,
VAR117
);
VAR18 VAR120 (VAR108, VAR131, VAR109, VAR65, VAR53, VAR33, VAR92, VAR13, VAR136, 1'b0);
VAR18 VAR137 (VAR108, VAR126, VAR105, VAR98, VAR2, VAR128, VAR123, VAR46, VAR112, 1'b0);
VAR18 VAR15 (VAR108, VAR31, VAR121, VAR6, VAR64, VAR4, VAR85, VAR66, VAR37, 1'b0);
VAR18 VAR3 (VAR108, VAR24, VAR97, VAR67, VAR142, VAR135, VAR102, VAR72, VAR10, 1'b0);
VAR18 VAR22 (VAR108, VAR58, VAR49, VAR39, VAR114, VAR115, VAR8, VAR95, VAR35, 1'b0);
VAR18 VAR36 (VAR108, VAR68, VAR78, VAR59, VAR45, VAR116, VAR91, VAR48, VAR106, 1'b0);
VAR18 VAR111 (VAR108, VAR84, VAR63, VAR23, VAR69, VAR60, VAR140, VAR40, VAR42, 1'b0);
VAR18 VAR14 (VAR108, VAR79, VAR19, VAR74, VAR88, VAR29, VAR83, VAR139, VAR94, 1'b0);
VAR18 VAR32 (VAR108, VAR62, VAR144, VAR71, VAR101, VAR50, VAR104, VAR81, VAR89, 1'b0);
VAR18 VAR20 (VAR108, VAR55, VAR133, VAR75, VAR124, VAR93, VAR145, VAR141, VAR7, 1'b0);
VAR18 VAR86 (VAR108, VAR127, VAR110, VAR82, VAR38, VAR118, VAR125, VAR130, VAR132, 1'b0);
assign VAR16 = 1'b0;
endmodule | gpl-2.0 |
OrganicMonkeyMotion/fpga_experiments | bmax10/BeMicro_full_reference_project/ip/i2c_opencores/i2c_opencores.v | 1,972 | module MODULE1
(
VAR5, VAR13, VAR11, VAR10, VAR12,
VAR17, VAR9, VAR3, VAR21,
VAR15, VAR6
);
input VAR5; input VAR13;
input [2:0] VAR11; input [7:0] VAR10; output [7:0] VAR12; input VAR17; input VAR9; output VAR3; output VAR21;
inout VAR15; inout VAR6;
wire VAR8; wire VAR16;
wire VAR22;
wire VAR15;
wire VAR14;
assign VAR8 = VAR9;
assign VAR16 = VAR15;
assign VAR15 = VAR14 ? 1'VAR20 : VAR22;
wire VAR1;
wire VAR4;
wire VAR6;
wire VAR7;
assign VAR1 = VAR6;
assign VAR6 = VAR7 ? 1'VAR20 : VAR4;
wire VAR18;
assign VAR18 = 1'b1;
VAR19 VAR2
(
.VAR5(VAR5), .VAR13(VAR13), .VAR18(VAR18),
.VAR11(VAR11), .VAR10(VAR10), .VAR12(VAR12),
.VAR17(VAR17), .VAR9(VAR9), .VAR8(VAR8),
.VAR3(VAR3), .VAR21(VAR21),
.VAR16(VAR16), .VAR22(VAR22), .VAR14(VAR14),
.VAR1(VAR1), .VAR4(VAR4), .VAR7(VAR7)
);
endmodule | unlicense |
Darkin47/Zynq-TX-UTT | Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_register_slice_v2_1/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v | 17,402 | module MODULE1 #
(
parameter VAR19 = "VAR3",
parameter VAR11 = 32,
parameter VAR6 = 32'h00000000
)
(
input wire VAR2,
input wire VAR16,
input wire [VAR11-1:0] VAR9,
input wire VAR8,
output wire VAR4,
output wire [VAR11-1:0] VAR18,
output wire VAR1,
input wire VAR15
);
generate
if (VAR6 == 32'h00000000) begin
assign VAR18 = VAR9;
assign VAR1 = VAR8;
assign VAR4 = VAR15;
end
else if ((VAR6 == 32'h00000001) || (VAR6 == 32'h00000008)) begin
reg [VAR11-1:0] VAR7;
reg [VAR11-1:0] VAR5;
reg VAR13;
reg VAR14;
assign VAR4 = VAR13;
assign VAR1 = VAR14;
assign VAR18 = VAR7;
reg [1:0] VAR17 = 2'b00; always @(posedge VAR2) begin
if (VAR16) begin
VAR17 <= 2'b00;
end else begin
VAR17 <= {VAR17[0], ~VAR16};
end
end
always @(posedge VAR2) begin
if (~VAR17[0]) begin
VAR13 <= 1'b0;
end else begin
VAR13 <= VAR15 | ~VAR14 | (VAR13 & ~VAR8);
end
if (~VAR17[1]) begin
VAR14 <= 1'b0;
end else begin
VAR14 <= VAR8 | ~VAR13 | (VAR14 & ~VAR15);
end
if (VAR15 | ~VAR14) begin
VAR7 <= VAR13 ? VAR9 : VAR5;
end
if (VAR13) begin
VAR5 <= VAR9;
end
end
end
else if (VAR6 == 32'h00000002)
begin
reg [VAR11-1:0] VAR10;
wire VAR13; reg VAR14;
assign VAR4 = VAR13;
assign VAR1 = VAR14;
reg VAR17 = 1'b0; always @(posedge VAR2) begin
if (VAR16) begin
VAR17 <= 1'b0;
end else begin
VAR17 <= ~VAR16;
end
end
always @(posedge VAR2)
begin
if (VAR8 & VAR13)
VAR10 <= VAR9;
end
assign VAR18 = VAR10;
always @(posedge VAR2)
begin
if (~VAR17)
VAR14 <= 1'b0;
end
else
if (VAR8) VAR14 <= 1'b1;
end
else
if (VAR15) VAR14 <= 1'b0;
end
assign VAR13 = (VAR15 | ~VAR14) & VAR17;
end else if (VAR6 == 32'h00000003)
begin
reg [VAR11-1:0] VAR10;
reg VAR13; reg VAR20;
reg VAR12;
reg [1:0] VAR17 = 2'b00; always @(posedge VAR2) begin
if (VAR16) begin
VAR17 <= 2'b00;
end else begin
VAR17 <= {VAR17[0], ~VAR16};
end
end
always @(posedge VAR2)
begin
if (VAR8 & VAR13)
VAR10 <= VAR9;
end
assign VAR18 = VAR12?VAR10:VAR9;
always @ *
begin
if (VAR8 & VAR13 & ~VAR15)
VAR20 = 1'b1;
end
else if ( (VAR12 == 1) && (VAR15 == 1) && ( (VAR8 == 0) || (VAR13 == 0)))
VAR20 = 1'b0;
end
else
VAR20 = VAR12;
end
always @(posedge VAR2)
begin
if (~VAR17[0])
VAR12 <= 1'b0;
end
else
VAR12 <= VAR20;
end
always @(posedge VAR2)
begin
if (~VAR17[0])
VAR13 <= 1'b0;
end
else
VAR13 <= VAR15 | ~VAR20;
end
assign VAR4 = VAR13;
assign VAR1 = (VAR8 | VAR12) & VAR17[1];
end
else if ((VAR6 == 32'h00000004) || (VAR6 == 32'h00000005))
begin
begin
begin
begin
begin
begin
end
begin
begin
end | gpl-3.0 |
spacemonkeydelivers/mor1kx | rtl/verilog/mor1kx_lsu_cappuccino.v | 26,889 | module MODULE1
parameter VAR166 = "VAR51",
parameter VAR136 = 32,
parameter VAR35 = 5,
parameter VAR10 = 9,
parameter VAR64 = 2,
parameter VAR93 = 32,
parameter VAR159 = "VAR51",
parameter VAR92 = "VAR51",
parameter VAR128 = "VAR51",
parameter VAR24 = 6,
parameter VAR158 = 1,
parameter VAR44 = "VAR85",
parameter VAR151 = 8,
parameter VAR161 = "VAR85"
)
(
input clk,
input rst,
input VAR104,
input VAR81, input VAR74,
input [VAR136-1:0] VAR97,
input [VAR136-1:0] VAR157,
input [VAR136-1:0] VAR82,
input VAR77,
input VAR142,
input VAR48,
input VAR66,
input VAR8,
input VAR41,
input VAR37,
input [1:0] VAR33,
input VAR98,
input [VAR136-1:0] VAR121,
output [VAR136-1:0] VAR102,
output [VAR136-1:0] VAR96,
output VAR112,
output VAR50,
output VAR146,
output VAR117,
output VAR45,
output reg VAR6,
output VAR118,
output VAR155,
output VAR47,
input [15:0] VAR135,
input VAR154,
input VAR119,
input [VAR136-1:0] VAR36,
output [VAR136-1:0] VAR130,
output VAR149,
output [VAR136-1:0] VAR26,
output VAR120,
input VAR163,
input VAR58,
input VAR143,
output VAR90,
output [VAR136-1:0] VAR139,
output reg VAR52,
output [VAR136-1:0] VAR109,
output reg [3:0] VAR127,
output VAR129,
output VAR124,
input VAR61,
input VAR164,
input [VAR136-1:0] VAR72,
input VAR12,
input [31:0] VAR113,
input VAR11
);
reg [VAR136-1:0] VAR122; reg [VAR136-1:0] VAR4;
reg VAR68;
wire VAR46;
wire VAR89;
wire VAR54;
wire VAR32;
reg VAR108;
reg VAR91;
reg VAR18;
reg [VAR136-1:0] VAR86;
reg [VAR136-1:0] VAR17;
wire [VAR136-1:0] VAR19;
reg VAR111;
reg [3:0] VAR38;
wire VAR133;
wire VAR27;
wire [VAR136-1:0] VAR145;
wire [VAR136-1:0] VAR76;
wire VAR69;
wire VAR56;
wire VAR147;
wire [31:0] VAR156;
wire [31:0] VAR29;
wire [31:0] VAR22;
wire [31:0] VAR110;
wire VAR103;
wire VAR75;
wire [3:0] VAR95;
wire VAR16;
wire VAR62;
wire VAR148;
wire VAR14;
wire VAR88;
reg VAR49;
wire VAR114;
wire VAR131;
wire VAR1;
wire VAR57;
wire [VAR136-1:0] VAR134;
wire VAR9;
reg VAR21;
wire VAR125;
reg VAR73;
wire VAR168;
wire VAR115;
wire VAR42;
wire [VAR136-1:0] VAR71;
wire VAR132;
reg VAR2;
reg [VAR136-1:0] VAR140;
wire VAR153;
reg VAR116;
wire VAR94;
wire VAR152;
wire VAR25;
wire VAR167;
wire [VAR136-1:0] VAR13;
wire [VAR136-1:0] VAR144;
wire [VAR136-1:0] VAR7;
wire [VAR136/8-1:0] VAR15;
wire VAR23;
reg VAR138;
reg VAR87;
reg VAR83;
reg VAR34;
reg [VAR136-1:0] VAR79;
reg VAR123;
wire VAR65;
wire VAR55;
wire VAR67;
assign VAR55 = (VAR159 != "VAR51") ?
VAR11 & !((VAR113 == VAR139) & VAR164) :
0;
assign VAR131 = VAR66 | VAR8;
assign VAR76 = (VAR33 == 2'b00) ? {VAR82[7:0],VAR82[7:0],
VAR82[7:0],VAR82[7:0]} :
(VAR33 == 2'b01) ? {VAR82[15:0],VAR82[15:0]} :
VAR82;
assign VAR46 = |VAR157[1:0];
assign VAR89 = VAR157[0];
assign VAR112 = (VAR69 | VAR68) & !VAR42 & !VAR67;
assign VAR50 = VAR108 | VAR6;
assign VAR54 = (VAR33 == 2'b10) & VAR46 |
(VAR33 == 2'b01) & VAR89;
assign VAR32 = VAR131 & VAR54;
assign VAR146 = VAR32 & !VAR12;
assign VAR9 = VAR131 & VAR1 & VAR58 &
!VAR42;
assign VAR117 = VAR9 & !VAR12;
assign VAR125 = VAR131 & VAR57 & VAR58 &
!VAR42 | VAR132;
assign VAR45 = VAR125 & !VAR12;
always @(posedge clk VAR80)
if (rst)
VAR68 <= 0;
else if (VAR104)
VAR68 <= 0;
else if (VAR69)
VAR68 <= 1;
always @(posedge clk VAR80)
if (rst)
VAR108 <= 0;
else if (VAR104 | VAR12)
VAR108 <= 0;
else if (VAR61)
VAR108 <= 1;
always @(posedge clk VAR80)
if (rst)
VAR21 <= 0;
else if (VAR104)
VAR21 <= 0;
else if (VAR9)
VAR21 <= 1;
always @(posedge clk VAR80)
if (rst)
VAR73 <= 0;
else if (VAR104)
VAR73 <= 0;
else if (VAR125)
VAR73 <= 1;
always @(posedge clk VAR80)
if (rst)
VAR6 <= 0;
else if (VAR12)
VAR6 <= 0;
else if (VAR61 & VAR129)
VAR6 <= 1;
always @
case({VAR98, VAR33})
3'b100: VAR4 = {24'd0,VAR122[31:24]};
3'b101: VAR4 = {16'd0,VAR122[31:16]};
3'b000: VAR4 = {{24{VAR122[31]}},
VAR122[31:24]};
3'b001: VAR4 = {{16{VAR122[31]}},
VAR122[31:16]};
default:
VAR4 = VAR122;
endcase
assign VAR96 = VAR4;
localparam [2:0]
VAR20 = 3'd0,
VAR70 = 3'd1,
VAR53 = 3'd2,
VAR105 = 3'd3,
VAR150 = 3'd4;
reg [2:0] state;
assign VAR133 = (!VAR16 | VAR42 | VAR8) &
(state != VAR150) | (state == VAR53);
reg VAR107;
always @(posedge clk)
VAR107 <= VAR148;
wire VAR40;
assign VAR40 = (VAR44!="VAR51") ?
VAR94 :
VAR34;
assign VAR69 = (VAR8 | state == VAR53) ?
(VAR40 & !VAR41 |
VAR34 & VAR41) :
(VAR133 ? VAR91 : VAR147);
assign VAR145 = VAR133 ? VAR86 : VAR156;
assign VAR139 = VAR17;
assign VAR109 = VAR86;
assign VAR124 = (state == VAR150) & !VAR88;
assign VAR129 = VAR111 & (!VAR87 | VAR123);
assign VAR19 = (VAR35 == 5) ?
{VAR17[31:5], VAR17[4:0] + 5'd4} : {VAR17[31:4], VAR17[3:0] + 4'd4};
always @(posedge clk VAR80)
if (rst)
VAR18 <= 0;
else
VAR18 <= VAR61;
always @(posedge clk) begin
VAR91 <= 0;
VAR34 <= 0;
VAR2 <= 0;
VAR116 <= 0;
case (state)
VAR20: begin
VAR52 <= 0;
VAR111 <= 0;
VAR17 <= 0;
VAR127 <= 4'hf;
VAR87 <= 0;
VAR83 <= 0;
if (VAR94 | !VAR167) begin
state <= VAR53;
end else if (VAR131 & VAR133 & !VAR148 & !VAR91 &
!VAR18 & !VAR108 & !VAR68 &
!VAR12) begin
if (VAR115) begin
VAR17 <= VAR71;
VAR52 <= 1;
state <= VAR105;
end else if (VAR58) begin
VAR17 <= VAR134;
if (!VAR1 & !VAR57 & !VAR32) begin
if (VAR66) begin
VAR52 <= 1;
VAR127 <= VAR38;
state <= VAR70;
end
end
end else if (!VAR32) begin
VAR17 <= VAR157;
if (VAR66) begin
VAR52 <= 1;
VAR127 <= VAR38;
state <= VAR70;
end
end
end else if (VAR14) begin
VAR52 <= 1;
VAR17 <= VAR110;
state <= VAR150;
end
end
VAR150: begin
VAR52 <= 1;
if (VAR164) begin
VAR17 <= VAR19;
if (VAR88) begin
VAR52 <= 0;
state <= VAR20;
end
end
if (VAR61 | VAR67) begin
VAR52 <= 0;
state <= VAR20;
end
end
VAR70: begin
VAR91 <= VAR164;
VAR86 <= VAR72;
if (VAR164 | VAR61) begin
VAR52 <= 0;
state <= VAR20;
end
end
VAR53: begin
VAR52 <= 1;
VAR111 <= 1;
if (!VAR52 | VAR164 & !VAR83) begin
VAR127 <= VAR15;
VAR17 <= VAR13;
VAR86 <= VAR7;
VAR87 <= VAR23;
VAR83 <= VAR167;
end
if (VAR94)
VAR83 <= 0;
if (VAR83 & VAR164 | VAR61) begin
VAR52 <= 0;
VAR111 <= 0;
if (!VAR94) begin
state <= VAR20;
VAR34 <= 1;
end
end
end
VAR105: begin
VAR17 <= VAR71;
VAR140 <= VAR72;
VAR2 <= VAR164 & VAR115;
if (!VAR115 | VAR61) begin
state <= VAR20;
VAR116 <= 1;
end
VAR52 <= VAR115;
if (VAR164 | VAR2)
VAR52 <= 0;
end
default:
state <= VAR20;
endcase
if (rst)
state <= VAR20;
end
assign VAR27 = VAR42 | VAR32 | VAR108 |
VAR9 | VAR125 |
VAR12;
assign VAR47 = VAR37 & (state == VAR53);
generate
if (VAR161!="VAR51") begin : VAR78
reg VAR137;
reg VAR106;
always @(posedge clk VAR80)
if (rst)
VAR123 <= 0;
end
else if (VAR12)
VAR123 <= 0;
end
else if (VAR8 & VAR41 & VAR34 ||
!VAR41 & VAR94 &
(VAR144 == VAR79) ||
(VAR55 & (VAR113 == VAR79)))
VAR123 <= 0;
end
else if (VAR66 & VAR41 & VAR81)
VAR123 <= !(VAR55 & (VAR113 == VAR110));
always @(posedge clk)
if (VAR66 & VAR41 & VAR81)
VAR79 <= VAR110;
assign VAR65 = VAR8 & VAR41 &
VAR123 & (VAR17 == VAR79);
always @(posedge clk)
if (VAR81)
VAR137 <= 0;
end
else if (VAR34)
VAR137 <= VAR65 & VAR112;
always @(posedge clk)
if (VAR81)
VAR106 <= 0;
end
else if (VAR34)
VAR106 <= !VAR65 & VAR112 &
VAR41 & VAR8;
assign VAR118 = VAR137;
assign VAR155 = VAR106;
end else begin
assign VAR118 = 0;
assign VAR155 = 0;
assign VAR65 = 0;
always @(posedge clk) begin
VAR79 <= 0;
VAR123 <= 0;
end
end
endgenerate
always @(posedge clk)
if (rst)
VAR138 <= 0;
else if (VAR94 | VAR12)
VAR138 <= 0;
else if (VAR8 & VAR81 & !VAR27 &
(VAR25 | VAR148 | VAR107 | VAR67))
VAR138 <= 1;
assign VAR94 = (VAR8 &
(VAR81 | VAR116) |
VAR138) &
!VAR25 & !VAR148 & !VAR107 &
!VAR27 & !VAR67;
generate
if (VAR44!="VAR51") begin : VAR84
assign VAR152 = (state == VAR20) & VAR94 |
(state == VAR20) & !VAR167 |
(state == VAR53) & (VAR164 | !VAR52) &
(!VAR167 | VAR94) &
!VAR83 |
(state == VAR53) & VAR83 &
VAR94;
VAR43
.VAR162(VAR151),
.VAR136(VAR136)
)
VAR43
(
.clk (clk),
.rst (rst),
.VAR99 (VAR121),
.VAR141 (VAR144),
.VAR39 (VAR76),
.VAR31 (VAR38),
.VAR5 (VAR41),
.VAR101 (VAR94),
.VAR160 (VAR102),
.VAR59 (VAR13),
.VAR3 (VAR7),
.VAR165 (VAR15),
.VAR100 (VAR23),
.VAR63 (VAR152),
.VAR30 (VAR25),
.VAR126 (VAR167)
);
end else begin
assign VAR102 = VAR121;
assign VAR13 = VAR144;
assign VAR7 = VAR76;
assign VAR15 = VAR38;
assign VAR167 = 1'b1;
reg VAR60;
always @(posedge clk)
if (VAR94)
VAR60 <= 1;
end
else if (VAR34)
VAR60 <= 0;
assign VAR25 = VAR60 & !VAR34;
end
endgenerate
assign VAR144 = VAR110;
always @(posedge clk VAR80)
if (rst)
VAR49 <= 0;
else if (VAR163 & !VAR52)
VAR49 <= 1;
else if (!VAR163 & !VAR148)
VAR49 <= 0;
assign VAR114 = VAR163 & VAR49;
assign VAR22 = VAR104 &
(VAR77 | VAR142) ?
VAR97 : VAR157;
assign VAR110 = VAR58 ?
{VAR134[VAR136-1:2],2'b0} :
{VAR157[VAR136-1:2],2'b0};
assign VAR103 = VAR131 & VAR16 & !VAR68 & !VAR27 &
!(VAR87 & VAR111 & !VAR123);
assign VAR62 = !(VAR8 | state == VAR53) &
!VAR67 & !VAR55;
generate
if (VAR166!="VAR51") begin : VAR28
if (VAR93 == VAR136) begin
assign VAR16 = VAR8 | VAR114 &
!(VAR168 & VAR58);
end else if (VAR93 < VAR136) begin
assign VAR16 = VAR8 | VAR114 &
VAR110[VAR136-1:
VAR93] == 0 &
!(VAR168 & VAR58);
end else begin | mpl-2.0 |
MarcoVogt/basil | firmware/modules/utils/clock_divider.v | 2,463 | module MODULE1
parameter VAR5 = 40000000
)
(
input wire VAR2,
input wire VAR3,
output reg VAR1, output reg VAR6 );
integer VAR4;
VAR8 VAR4 = 0;
integer VAR7;
VAR8 VAR7 = 0;
VAR8 VAR6 = 1'b0;
VAR8 VAR1 = 1'b0;
always @ (posedge VAR2 or posedge VAR3)
begin
if (VAR3 == 1'b1)
begin
VAR1 <= 1'b0;
end
else
begin
if (VAR4 == 0)
begin
VAR1 <= 1'b1;
end
else
begin
VAR1 <= 1'b0;
end
end
end
always @ (posedge VAR2 or posedge VAR3)
begin
if (VAR3 == 1'b1)
begin
VAR4 <= 0;
end
else
begin
if (VAR4 == (VAR5 - 1))
VAR4 <= 0;
end
else
VAR4 <= VAR4 + 1;
end
end
always @ (posedge VAR2 or posedge VAR3)
begin
if (VAR3 == 1'b1)
begin
VAR6 <= 1'b0;
end
else
begin
if (VAR7 == 0)
begin
VAR6 <= ~VAR6;
end
else
begin
VAR6 <= VAR6;
end
end
end
always @ (posedge VAR2 or posedge VAR3)
begin
if (VAR3 == 1'b1)
begin
VAR7 <= 0;
end
else
begin
if (VAR7 == ((VAR5 >> 1) - 1)) VAR7 <= 0;
end
else
VAR7 <= VAR7 + 1;
end
end
endmodule | bsd-3-clause |
cpulabs/mist1032isa | src/core/core.v | 5,985 | module MODULE1
parameter VAR31 = 32'h0
)(
input wire VAR29,
input wire VAR18,
output wire VAR30,
output wire VAR40,
output wire [5:0] VAR35,
output wire VAR28,
output wire VAR32,
output wire [1:0] VAR59,
output wire VAR23,
input wire VAR52,
output wire [1:0] VAR47,
output wire [2:0] VAR21,
output wire [31:0] VAR34,
output wire [13:0] VAR4,
output wire [31:0] VAR25,
input wire VAR6,
output wire VAR51,
input wire [63:0] VAR56,
input wire [23:0] VAR7,
output wire VAR55,
input wire VAR57,
output wire [1:0] VAR38,
output wire [3:0] VAR33,
output wire VAR50, output wire [13:0] VAR54,
output wire [1:0] VAR63,
output wire [2:0] VAR2,
output wire [31:0] VAR16,
output wire [31:0] VAR1,
output wire [31:0] VAR20,
input wire VAR37,
input wire [63:0] VAR41,
input wire [23:0] VAR15,
output wire VAR62,
input wire VAR24,
output wire [1:0] VAR44,
output wire VAR12, output wire [31:0] VAR64,
output wire [31:0] VAR19,
input wire VAR49,
input wire [31:0] VAR8,
input wire VAR10,
output wire VAR3,
input wire [5:0] VAR58,
input wire VAR14,
input wire [31:0] VAR13,
output wire [31:0] VAR17,
input wire VAR27,
output wire VAR65,
input wire [3:0] VAR48,
input wire [7:0] VAR61,
input wire [31:0] VAR53,
output wire VAR66,
output wire VAR42,
output wire [31:0] VAR11
);
wire [31:0] VAR9;
VAR26 #(VAR31) VAR22(
.VAR29(VAR29),
.VAR18(VAR18),
.VAR30(VAR30),
.VAR40(VAR40),
.VAR35(VAR35),
.VAR28(VAR28),
.VAR32(VAR32),
.VAR59(VAR59),
.VAR5(VAR23),
.VAR39(VAR52),
.VAR60(VAR47),
.VAR36(VAR21),
.VAR43(VAR34),
.VAR45(VAR4),
.VAR46(VAR25),
.VAR6(VAR6),
.VAR51(VAR51),
.VAR56(VAR56),
.VAR7(VAR7),
.VAR55(VAR55),
.VAR57(VAR57),
.VAR38(VAR38), .VAR33(VAR33),
.VAR50(VAR50), .VAR54(VAR54),
.VAR63(VAR63),
.VAR2(VAR2),
.VAR16(VAR16),
.VAR1(VAR1),
.VAR20(VAR20),
.VAR37(VAR37),
.VAR41(VAR41),
.VAR15(VAR15),
.VAR62(VAR62),
.VAR24(VAR24),
.VAR44(VAR44), .VAR12(VAR12), .VAR64(VAR64),
.VAR19(VAR19),
.VAR49(VAR49),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR58(VAR58),
.VAR14(VAR14),
.VAR13(VAR13),
.VAR17(VAR17),
.VAR27(VAR27),
.VAR65(VAR65),
.VAR48(VAR48),
.VAR61(VAR61),
.VAR53(VAR53),
.VAR66(VAR66),
.VAR42(VAR42),
.VAR11(VAR11)
);
endmodule | bsd-2-clause |
ptracton/wb_soc_template | rtl/RISCV/picorv32/picorv32.v | 97,488 | module MODULE1 #(
parameter [ 0:0] VAR29 = 1,
parameter [ 0:0] VAR62 = 1,
parameter [ 0:0] VAR103 = 1,
parameter [ 0:0] VAR7 = 1,
parameter [ 0:0] VAR101 = 0,
parameter [ 0:0] VAR59 = 1,
parameter [ 0:0] VAR63 = 0,
parameter [ 0:0] VAR38 = 0,
parameter [ 0:0] VAR3 = 0,
parameter [ 0:0] VAR84 = 0,
parameter [ 0:0] VAR15 = 1,
parameter [ 0:0] VAR89 = 1,
parameter [ 0:0] VAR13 = 0,
parameter [ 0:0] VAR26 = 0,
parameter [ 0:0] VAR44 = 0,
parameter [ 0:0] VAR12 = 0,
parameter [ 0:0] VAR74 = 0,
parameter [ 0:0] VAR48 = 1,
parameter [ 0:0] VAR49 = 1,
parameter [ 0:0] VAR99 = 0,
parameter [ 0:0] VAR20 = 0,
parameter [31:0] VAR30 = 32'VAR75 00000000,
parameter [31:0] VAR105 = 32'VAR75 VAR65,
parameter [31:0] VAR95 = 32'VAR75 00000000,
parameter [31:0] VAR71 = 32'VAR75 00000010,
parameter [31:0] VAR19 = 32'VAR75 VAR65
) (
input clk, VAR70,
output reg VAR58,
output reg VAR14,
output reg VAR8,
input VAR45,
output reg [31:0] VAR23,
output reg [31:0] VAR35,
output reg [ 3:0] VAR107,
input [31:0] VAR34,
output VAR60,
output VAR39,
output [31:0] VAR16,
output reg [31:0] VAR36,
output reg [ 3:0] VAR42,
output reg VAR98,
output reg [31:0] VAR46,
output [31:0] VAR94,
output [31:0] VAR32,
input VAR5,
input [31:0] VAR78,
input VAR87,
input VAR6,
input [31:0] irq,
output reg [31:0] VAR82,
output reg VAR33,
output reg [63:0] VAR66,
output reg [31:0] VAR64,
output reg VAR25,
output reg VAR47,
output reg VAR79,
output reg [ 4:0] VAR2,
output reg [ 4:0] VAR21,
output reg [31:0] VAR22,
output reg [31:0] VAR73,
output reg [ 4:0] VAR86,
output reg [31:0] VAR77,
output reg [31:0] VAR106,
output reg [31:0] VAR43,
output reg [31:0] VAR40,
output reg [ 3:0] VAR4,
output reg [ 3:0] VAR1,
output reg [31:0] VAR100,
output reg [31:0] VAR81,
output reg VAR55,
output reg [35:0] VAR92
);
localparam integer VAR102 = 0;
localparam integer VAR9 = 1;
localparam integer VAR10 = 2;
localparam integer VAR51 = VAR103 ? 32 : 16;
localparam integer VAR31 = (VAR103 ? 32 : 16) + 4*VAR74*VAR48;
localparam integer VAR52 = (VAR103 ? 5 : 4) + VAR74*VAR48;
localparam VAR37 = VAR13 || VAR26 || VAR44 || VAR12;
localparam [35:0] VAR72 = {4'VAR76 0001, 32'VAR76 0};
localparam [35:0] VAR50 = {4'VAR76 0010, 32'VAR76 0};
localparam [35:0] VAR68 = {4'VAR76 1000, 32'VAR76 0};
reg [63:0] VAR108, VAR17;
reg [31:0] VAR83, VAR109, VAR27, VAR80, VAR57;
reg [4:0] VAR93;
reg [31:0] VAR54;
reg [31:0] VAR11;
reg [31:0] VAR24;
wire VAR67 = VAR14;
wire VAR69 = VAR8;
wire VAR96 = VAR45;
wire [31:0] VAR61 = VAR23;
wire [31:0] VAR41 = VAR35;
wire [ 3:0] VAR88 = VAR107;
wire [31:0] VAR97 = VAR34;
assign VAR94 = VAR27;
assign VAR32 = VAR80;
wire [31:0] VAR90;
reg VAR18;
reg VAR28;
reg [31:0] VAR85;
reg [31:0] VAR53;
reg [31:0] VAR104;
reg [31:0] VAR91 [0:VAR31-1];
integer VAR56; | mit |
tinkercnc/spi-fpga-driver | pluto_spi_servo_firmware/spi_servo_rspi.v | 8,685 | module MODULE1(clk, VAR10, VAR8, VAR3, VAR64, VAR37, VAR50, VAR46, VAR17, VAR35, VAR16, VAR9, VAR12, VAR33, dout, din);
parameter VAR14=14;
input clk;
input VAR10, VAR64, VAR8, VAR46;
output VAR3, VAR50, VAR17;
output VAR37;
input [7:0] din;
input [3:0] VAR35;
input [3:0] VAR16;
input [3:0] VAR9;
wire VAR59;
assign VAR50 = VAR46;
assign VAR17 = 1'b1;
reg[9:0] VAR51;
output [9:0] dout = VAR59 ? 10'VAR47 : VAR51;
wire[3:0] VAR57;
output [3:0] VAR12 = VAR59 ? 4'VAR21 : VAR57;
wire[3:0] VAR2;
output [3:0] VAR33 = VAR59 ? 4'VAR21 : VAR2;
reg VAR63;
wire VAR26;
reg [10:0] VAR54;
wire [10:0] VAR39 = 11'd2047;
assign VAR26 = (VAR54 == VAR39);
reg [15:0] VAR34, VAR44, VAR25, VAR4;
always @(posedge clk) begin
if(VAR26) VAR54 <= 0;
end
else VAR54 <= VAR54 + 11'd1;
end
wire [10:0] VAR24 = {
VAR54[4], VAR54[5], VAR54[6], VAR54[7], VAR54[8], VAR54[9],
VAR54[10], VAR54[3:0]};
wire [10:0] VAR15 = VAR34[14] ? VAR24 : VAR54; wire VAR52 = VAR34[10:0] > VAR15;
wire VAR56 = VAR44[10:0] > VAR15;
wire VAR48 = VAR25[10:0] > VAR15;
wire VAR18 = VAR4[10:0] > VAR15;
assign VAR57[0] = VAR34[12] ^ (VAR34[15] ? 1'd0 : VAR52);
assign VAR57[1] = VAR44[12] ^ (VAR44[15] ? 1'd0 : VAR56);
assign VAR57[2] = VAR25[12] ^ (VAR25[15] ? 1'd0 : VAR48);
assign VAR57[3] = VAR4[12] ^ (VAR4[15] ? 1'd0 : VAR18);
assign VAR2[0] = VAR34[13] ^ (~VAR34[15] ? 1'd0 : VAR52);
assign VAR2[1] = VAR44[13] ^ (~VAR44[15] ? 1'd0 : VAR56);
assign VAR2[2] = VAR25[13] ^ (~VAR25[15] ? 1'd0 : VAR48);
assign VAR2[3] = VAR4[13] ^ (~VAR4[15] ? 1'd0 : VAR18);
reg VAR32;
wire [2*VAR14:0] VAR11, VAR13, VAR27, VAR20;
wire VAR61, VAR5, VAR60, VAR62;
VAR30 VAR45(clk, VAR35[0], VAR16[0], VAR9[0]^VAR63, VAR61, VAR11);
VAR30 VAR7(clk, VAR35[1], VAR16[1], VAR9[1]^VAR63, VAR5, VAR13);
VAR30 VAR23(clk, VAR35[2], VAR16[2], VAR9[2]^VAR63, VAR60, VAR27);
VAR30 VAR38(clk, VAR35[3], VAR16[3], VAR9[3]^VAR63, VAR62, VAR20);
reg [2:0] VAR53;
always @(posedge clk) VAR53 <= {VAR53[1:0], VAR10};
wire VAR58 = (VAR53[2:1]==2'b01); wire VAR49 = (VAR53[2:1]==2'b10); wire VAR55 = VAR53[1];
reg [2:0] VAR42;
always @(posedge clk) VAR42 <= {VAR42[1:0], VAR64};
wire VAR31 = ~VAR42[1]; wire VAR19 = (VAR42[2:1]==2'b10); wire VAR43 = (VAR42[2:1]==2'b01);
wire VAR28 = VAR8;
reg [2:0] VAR22;
reg VAR40; reg [4:0] VAR1;
reg [7:0] VAR36;
reg [7:0] VAR41;
reg [7:0] VAR29;
always @(posedge clk) begin
if(VAR19) begin
VAR22 <= 3'b000;
VAR1 <= 5'b00000;
end
if(VAR31) begin
if(VAR58) begin
VAR36 <= {VAR36[6:0], VAR28};
VAR22 <= VAR22 + 3'b001;
if(VAR22==3'b000)
VAR41 <= VAR29;
end
else if(VAR49) begin
VAR41 <= {VAR41[6:0], 1'b0};
if(VAR22==3'b000) begin
VAR1 <= VAR1 + 5'b00001;
end
end
VAR40 <= VAR58 && (VAR22==3'b111);
end
end
assign VAR3 = VAR41[7];
reg [7:0] VAR6;
always @(posedge clk) begin
if(VAR31) begin
if(VAR1 == 5'b00000) begin VAR29 <= VAR11[7:0];
if(VAR40)
VAR6 <= VAR36; end
else if(VAR1 == 5'b00001) begin VAR29 <= VAR11[15:8];
if(VAR40)
VAR34 <= {VAR36,VAR6}; end
else if(VAR1 == 5'b00010) begin VAR29 <= VAR11[23:16];
if(VAR40)
VAR6 <= VAR36; end
else if(VAR1 == 5'b00011) begin VAR29 <= {4'b0, VAR11[27:24]};
if(VAR40)
VAR44 <= {VAR36,VAR6}; end
else if(VAR1 == 5'b00100) begin VAR29 <= VAR13[7:0];
if(VAR40)
VAR6 <= VAR36; end
else if(VAR1 == 5'b00101) begin VAR29 <= VAR13[15:8];
if(VAR40)
VAR25 <= {VAR36,VAR6}; end
else if(VAR1 == 5'b00110) begin VAR29 <= VAR13[23:16];
if(VAR40)
VAR6 <= VAR36; end
else if(VAR1 == 5'b00111) begin VAR29 <= {4'b0, VAR13[27:24]};
if(VAR40)
VAR4 <= {VAR36,VAR6}; end
else if(VAR1 == 5'b01000) begin VAR29 <= VAR27[7:0];
if(VAR40)
VAR6 <= VAR36; end
else if(VAR1 == 5'b01001) begin VAR29 <= VAR27[15:8];
if(VAR40) begin
VAR51 <= {VAR36[1:0],VAR6}; VAR63 <= VAR36[7]; VAR32 <= VAR36[5]; end
end
else if(VAR1 == 5'b01010) VAR29 <= VAR27[23:16]; else if(VAR1 == 5'b01011) VAR29 <= {4'b0, VAR27[27:24]}; else if(VAR1 == 5'b01100) VAR29 <= VAR20[7:0];
end
else if(VAR1 == 5'b01101) VAR29 <= VAR20[15:8];
end
else if(VAR1 == 5'b01110) VAR29 <= VAR20[23:16];
else if(VAR1 == 5'b01111) VAR29 <= {4'b0, VAR20[27:24]};
else if(VAR1 == 5'b10000) VAR29 <= din;
else if(VAR1 == 5'b10001) VAR29 <= {VAR16, VAR9};
else if(VAR1 == 5'b10010) VAR29 <= {4'b0, VAR35};
else if(VAR1 == 5'b10011) VAR29 <= 8'b0;
else VAR29 <= VAR1;
end
end
assign VAR37 = (VAR57[0] ^ VAR2[0]);
endmodule | gpl-2.0 |
ptracton/wb_dsp | rtl/equation_sum.v | 1,677 | module MODULE1 (
VAR20, VAR18, VAR12, VAR16, VAR7, VAR9,
VAR15, VAR2, VAR8,
VAR1, VAR14, VAR11, VAR13, VAR10, VAR4,
VAR3, VAR5
) ;
parameter VAR19 = 32;
parameter VAR6 = 32;
parameter VAR17 = 0;
input VAR1;
input VAR14;
output wire [VAR6-1:0] VAR20;
output wire [VAR19-1:0] VAR18;
output wire [3:0] VAR12;
output wire VAR16;
output wire VAR7;
output wire VAR9;
output wire [2:0] VAR15;
output wire [1:0] VAR2;
input [VAR19-1:0] VAR11;
input VAR13;
input VAR10;
input VAR4;
input [VAR6-1:0] VAR3;
input VAR5;
output VAR8;
assign VAR20 = 0 & {VAR6{VAR5}};
assign VAR18 = 0 & {VAR19{VAR5}};
assign VAR12 = 0 & VAR5;
assign VAR16 = 0 & VAR5;
assign VAR7 = 0 & VAR5;
assign VAR9 = 0 & VAR5;
assign VAR15 = 0 & VAR5;
assign VAR2 = 0 & VAR5;
assign VAR8 = 0;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/maj3/sky130_fd_sc_hd__maj3_4.v | 2,174 | module MODULE1 (
VAR9 ,
VAR7 ,
VAR2 ,
VAR10 ,
VAR3,
VAR1,
VAR4 ,
VAR8
);
output VAR9 ;
input VAR7 ;
input VAR2 ;
input VAR10 ;
input VAR3;
input VAR1;
input VAR4 ;
input VAR8 ;
VAR6 VAR5 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR9,
VAR7,
VAR2,
VAR10
);
output VAR9;
input VAR7;
input VAR2;
input VAR10;
supply1 VAR3;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR8 ;
VAR6 VAR5 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
CospanDesign/nysa-verilog | verilog/wishbone/slave/wb_fpga_nes/rtl/cpu/apu/apu.v | 6,725 | module MODULE1(
input VAR52, input VAR4, input [ 3:0] VAR2, input [15:0] VAR22, input [ 7:0] din, input VAR54, output VAR71, output [ 7:0] dout );
localparam [15:0] VAR24 = 16'h4000;
localparam [15:0] VAR69 = 16'h4004;
localparam [15:0] VAR49 = 16'h4008;
localparam [15:0] VAR50 = 16'h400C;
localparam [15:0] VAR1 = 16'h4015;
localparam [15:0] VAR23 = 16'h4017;
reg [5:0] VAR62;
wire [5:0] VAR11;
wire VAR53;
wire VAR37;
wire VAR46;
wire VAR40;
wire VAR19;
reg VAR28;
wire VAR67;
reg VAR34;
wire VAR5;
reg VAR55;
wire VAR45;
reg VAR75;
wire VAR15;
always @(posedge VAR52)
begin
if (VAR4)
begin
VAR62 <= 6'h00;
VAR28 <= 1'b0;
VAR34 <= 1'b0;
VAR55 <= 1'b0;
VAR75 <= 1'b0;
end
else
begin
VAR62 <= VAR11;
VAR28 <= VAR67;
VAR34 <= VAR5;
VAR55 <= VAR45;
VAR75 <= VAR15;
end
end
assign VAR11 = (VAR62 == 6'h37) ? 6'h00 : VAR62 + 6'h01;
assign VAR67 = (~VAR54 && (VAR22 == VAR1)) ? din[0] : VAR28;
assign VAR5 = (~VAR54 && (VAR22 == VAR1)) ? din[1] : VAR34;
assign VAR45 = (~VAR54 && (VAR22 == VAR1)) ? din[2] : VAR55;
assign VAR15 = (~VAR54 && (VAR22 == VAR1)) ? din[3] : VAR75;
assign VAR53 = (VAR62 == 6'h00);
VAR63 #(.VAR33(1)) VAR65(
.VAR52(VAR52),
.VAR4(VAR4),
.VAR14(VAR53),
.VAR38(1'b0),
.VAR8(1'b1),
.VAR41(VAR37)
);
wire VAR35;
VAR13 VAR68(
.VAR52(VAR52),
.VAR4(VAR4),
.VAR7(VAR53),
.VAR72(VAR37),
.VAR59(din[7:6]),
.VAR25(VAR35),
.VAR6(VAR46),
.VAR36(VAR40),
.VAR61(VAR19)
);
assign VAR35 = ~VAR54 && (VAR22 == VAR23);
wire [3:0] VAR44;
wire VAR20;
wire VAR39;
VAR51 #(.VAR10(0)) VAR9(
.VAR52(VAR52),
.VAR4(VAR4),
.VAR70(VAR28),
.VAR7(VAR53),
.VAR29(VAR40),
.VAR43(VAR46),
.VAR22(VAR22[1:0]),
.din(din),
.VAR32(VAR39),
.VAR41(VAR44),
.VAR16(VAR20)
);
assign VAR39 = ~VAR54 && (VAR22[15:2] == VAR24[15:2]);
wire [3:0] VAR73;
wire VAR48;
wire VAR3;
VAR51 #(.VAR10(1)) VAR17(
.VAR52(VAR52),
.VAR4(VAR4),
.VAR70(VAR34),
.VAR7(VAR53),
.VAR29(VAR40),
.VAR43(VAR46),
.VAR22(VAR22[1:0]),
.din(din),
.VAR32(VAR3),
.VAR41(VAR73),
.VAR16(VAR48)
);
assign VAR3 = ~VAR54 && (VAR22[15:2] == VAR69[15:2]);
wire [3:0] VAR57;
wire VAR60;
wire VAR21;
VAR58 VAR56(
.VAR52(VAR52),
.VAR4(VAR4),
.VAR70(VAR55),
.VAR7(VAR53),
.VAR29(VAR40),
.VAR43(VAR46),
.VAR22(VAR22[1:0]),
.din(din),
.VAR32(VAR21),
.VAR57(VAR57),
.VAR16(VAR60)
);
assign VAR21 = ~VAR54 && (VAR22[15:2] == VAR49[15:2]);
wire [3:0] VAR74;
wire VAR18;
wire VAR30;
VAR12 VAR66(
.VAR52(VAR52),
.VAR4(VAR4),
.VAR70(VAR75),
.VAR72(VAR37),
.VAR29(VAR40),
.VAR43(VAR46),
.VAR22(VAR22[1:0]),
.din(din),
.VAR32(VAR30),
.VAR74(VAR74),
.VAR16(VAR18)
);
assign VAR30 = ~VAR54 && (VAR22[15:2] == VAR50[15:2]);
VAR47 VAR42(
.VAR52(VAR52),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR27(VAR44),
.VAR31(VAR73),
.VAR64(VAR57),
.VAR26(VAR74),
.VAR71(VAR71)
);
assign dout = (VAR54 && (VAR22 == VAR1)) ?
{ 4'b0000, VAR18, VAR60, VAR48, VAR20 } : 8'h00;
endmodule | mit |
sergev/vak-opensource | hardware/s3esk-openrisc/or1200/or1200_ctrl.v | 26,880 | module MODULE1(
clk, rst,
VAR58, VAR46, VAR72, VAR36, VAR23, VAR26, VAR41, VAR50,
VAR54, VAR87, VAR24, VAR47, VAR100, VAR91, VAR85, VAR74, VAR19, VAR15,
VAR75, VAR84, VAR71, VAR32, VAR48, VAR59, VAR9,
VAR7, VAR1,
VAR40, VAR70, VAR34, VAR17, VAR3, VAR27,
VAR99, VAR49, VAR93, VAR37, VAR2, VAR83, VAR11
);
input clk;
input rst;
input VAR58;
input VAR46;
input VAR72;
input VAR36;
input [31:0] VAR23;
output [31:0] VAR26;
output [VAR14-1:0] VAR41;
input VAR50;
output [VAR45-1:0] VAR19;
output [VAR45-1:0] VAR54;
output [VAR45-1:0] VAR87;
output VAR24;
output VAR47;
output [VAR68-1:0] VAR100;
output [VAR28-1:0] VAR91;
output [VAR63-1:0] VAR85;
output [VAR30-1:0] VAR15;
output [31:0] VAR75;
output [31:0] VAR84;
output [31:2] VAR71;
output [31:0] VAR32;
output [VAR97-1:0] VAR48;
output [VAR97-1:0] VAR59;
output [VAR12-1:0] VAR9;
output [VAR13-1:0] VAR74;
output [VAR61-1:0] VAR40;
output [4:0] VAR7;
output [5:0] VAR1;
output [15:0] VAR70;
input VAR34;
input VAR17;
output VAR3;
output VAR27;
output VAR99;
output VAR49;
output VAR93;
output VAR37;
output VAR2;
output VAR83;
output VAR11;
reg [VAR14-1:0] VAR21;
reg [VAR14-1:0] VAR41;
reg [VAR68-1:0] VAR100;
reg [VAR28-1:0] VAR91;
reg VAR2;
wire [VAR28-1:0] VAR91;
wire VAR2;
reg [VAR63-1:0] VAR85;
reg [31:0] VAR96;
reg [31:0] VAR26;
reg [31:0] VAR75;
reg [VAR45-1:0] VAR19;
reg [VAR45-1:0] VAR43;
reg [VAR30-1:0] VAR15;
reg [31:0] VAR32;
reg [VAR97-1:0] VAR48;
reg [VAR97-1:0] VAR59;
reg VAR57;
reg [VAR12-1:0] VAR9;
reg [VAR13-1:0] VAR74;
reg [VAR61-1:0] VAR40;
reg VAR90;
reg [15:0] VAR70;
reg VAR3;
reg VAR27;
reg VAR11;
wire VAR79;
assign VAR54 = VAR23[20:16];
assign VAR87 = VAR23[15:11];
assign VAR24 = VAR23[31];
assign VAR47 = VAR23[30];
assign VAR99 = 1'b0;
assign VAR49 = |VAR41 & !VAR79 & VAR50 | (VAR41 == VAR51);
assign VAR79 = (VAR96[31:26] == VAR102) & VAR96[16];
assign VAR93 = (VAR26[31:26] == VAR102) & VAR26[16];
assign VAR84 = (VAR90 == 1'b1) ? {{16{VAR96[15]}}, VAR96[15:0]} : {{16'b0}, VAR96[15:0]};
assign VAR71 = {{4{VAR26[25]}}, VAR26[25:0]};
assign VAR37 = (VAR96[31:26] == VAR94) & VAR96[16];
assign VAR37 = 1'b0;
assign VAR7 = VAR26[4:0];
assign VAR1 = VAR26[10:5];
assign VAR83 = (VAR21 == VAR51) | (VAR41 == VAR51);
always @(VAR19 or VAR96 or VAR15 or VAR34 or VAR43)
if ((VAR96[20:16] == VAR19) && VAR15[0])
VAR48 = VAR29;
else if ((VAR96[20:16] == VAR43) && VAR34)
VAR48 = VAR103;
else
VAR48 = VAR64;
always @(VAR19 or VAR57 or VAR96 or VAR15 or VAR34 or VAR43)
if (VAR57)
VAR59 = VAR55;
else if ((VAR96[15:11] == VAR19) && VAR15[0])
VAR59 = VAR29;
else if ((VAR96[15:11] == VAR43) && VAR34)
VAR59 = VAR103;
else
VAR59 = VAR64;
always @(posedge clk or posedge rst) begin
if (rst)
VAR2 <= 1'b0;
end
else if (!VAR46 & VAR58 | VAR36)
VAR2 <= 1'b0;
else if (!VAR46)
VAR2 <= VAR37;
end
assign VAR2 = 1'b0;
always @(posedge clk or posedge rst) begin
if (rst)
VAR70 <= 16'h0000;
end
else if (!VAR46 & VAR58 | VAR36)
VAR70 <= 16'h0000;
else if (!VAR46) begin
case (VAR96[31:26]) VAR86:
VAR70 <= VAR96[15:0];
default:
VAR70 <= {VAR96[25:21], VAR96[10:0]};
endcase
end
end
always @(VAR96) begin
case (VAR96[31:26]) VAR39 VAR16
VAR40 = VAR35;
VAR40 = VAR35;
VAR40 = VAR35;
VAR40 = VAR35;
VAR40 = VAR35;
VAR40 = VAR35;
VAR40 = VAR35;
VAR40 = VAR35;
VAR40 = VAR96[VAR73];
default: begin
VAR40 = VAR92;
end
endcase
end
always @(VAR96) begin
case (VAR96[31:26])
VAR90 = 1'b1;
VAR90 = 1'b1;
VAR90 = 1'b1;
VAR90 = 1'b1;
VAR90 = 1'b1;
VAR90 = 1'b1;
default: begin
VAR90 = 1'b0;
end
endcase
end
always @(VAR9 or VAR26) begin
VAR32[10:0] = VAR26[10:0];
case(VAR9) VAR81, VAR82, VAR20 :
VAR32[31:11] = {{16{VAR26[25]}}, VAR26[25:21]};
default :
VAR32[31:11] = {{16{VAR26[15]}}, VAR26[15:11]};
endcase
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR19 <= 5'd0;
end
else if (!VAR46 & VAR58)
VAR19 <= 5'd00;
else if (!VAR46)
case (VAR21) VAR69, VAR98:
VAR19 <= 5'd09; default:
VAR19 <= VAR96[25:21];
endcase
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR43 <= 5'd0;
end
else if (!VAR72)
VAR43 <= VAR19;
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR96 <= {VAR102, 26'h0410000};
end
else if (VAR36)
VAR96 <= {VAR102, 26'h0410000}; else if (!VAR58) begin
VAR96 <= VAR23;
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR26 <= {VAR102, 26'h0410000};
end
else if (!VAR46 & VAR58 | VAR36)
VAR26 <= {VAR102, 26'h0410000}; else if (!VAR46) begin
VAR26 <= VAR96;
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR75 <= {VAR102, 26'h0410000};
end
else if (VAR36)
VAR75 <= {VAR102, 26'h0410000}; else if (!VAR72) begin
VAR75 <= VAR26;
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR57 <= 1'b0;
end
else if (!VAR58) begin
case (VAR23[31:26])
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
VAR57 <= 1'b0;
default: begin
VAR57 <= 1'b1;
end
endcase
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR11 <= 1'b0;
end
else if (!VAR46 & VAR58 | VAR36)
VAR11 <= 1'b0;
else if (!VAR46) begin
case (VAR96[31:26])
VAR11 <= 1'b0;
default:
VAR11 <= 1'b1;
endcase
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR100 <= VAR31;
end
else if (!VAR46 & VAR58 | VAR36)
VAR100 <= VAR31;
else if (!VAR46) begin
case (VAR96[31:26])
VAR100 <= VAR60;
VAR100 <= VAR60;
VAR100 <= VAR31;
VAR100 <= VAR31;
VAR100 <= VAR66;
VAR100 <= VAR38;
VAR100 <= VAR89;
VAR100 <= VAR65;
VAR100 <= VAR44;
VAR100 <= VAR25;
VAR100 <= VAR10;
VAR100 <= VAR62;
VAR100 <= VAR106;
VAR100 <= VAR42;
VAR100 <= VAR77;
VAR100 <= VAR96[3:0];
VAR100 <= VAR77;
VAR100 <= VAR88;
default: begin
VAR100 <= VAR31;
end
endcase
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR91 <= VAR56;
end
else if (!VAR46 & VAR58 | VAR36)
VAR91 <= VAR56;
else if (!VAR46)
case (VAR96[31:26])
VAR91 <= VAR95;
VAR91 <= VAR96[1:0];
default: begin
VAR91 <= VAR56;
end
endcase
else
VAR91 <= VAR56;
end
assign VAR91 = VAR56;
always @(posedge clk or posedge rst) begin
if (rst)
VAR85 <= VAR52;
end
else if (!VAR46 & VAR58 | VAR36)
VAR85 <= VAR52;
else if (!VAR46) begin
VAR85 <= VAR96[VAR107];
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR15 <= VAR5;
end
else if (!VAR46 & VAR58 | VAR36)
VAR15 <= VAR5;
else if (!VAR46) begin
case (VAR96[31:26])
VAR15 <= VAR104;
VAR15 <= VAR104;
VAR15 <= VAR67;
VAR15 <= VAR6;
VAR15 <= VAR105;
VAR15 <= VAR105;
VAR15 <= VAR105;
VAR15 <= VAR105;
VAR15 <= VAR105;
VAR15 <= VAR67;
VAR15 <= VAR67;
VAR15 <= VAR67;
VAR15 <= VAR67;
VAR15 <= VAR67;
VAR15 <= VAR67;
VAR15 <= VAR67;
VAR15 <= VAR67;
VAR15 <= VAR67;
default: begin
VAR15 <= VAR5;
end
endcase
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR21 <= VAR80;
end
else if (VAR36)
VAR21 <= VAR80;
else if (!VAR58) begin
case (VAR23[31:26])
VAR21 <= VAR98;
VAR21 <= VAR98;
VAR21 <= VAR69;
VAR21 <= VAR69;
VAR21 <= VAR8;
VAR21 <= VAR78;
VAR21 <= VAR51;
default: begin
VAR21 <= VAR80;
end
endcase
end
end
always @(posedge clk or posedge rst)
if (rst)
VAR41 <= VAR80;
else if (!VAR46 & VAR58 | VAR36)
VAR41 <= VAR80;
else if (!VAR46)
VAR41 <= VAR21;
always @(posedge clk or posedge rst) begin
if (rst)
VAR9 <= VAR76;
end
else if (!VAR46 & VAR58 | VAR36)
VAR9 <= VAR76;
else if (!VAR46) begin
case (VAR96[31:26])
VAR9 <= VAR53;
VAR9 <= VAR33;
VAR9 <= VAR18;
VAR9 <= VAR4;
VAR9 <= VAR22;
VAR9 <= VAR81;
VAR9 <= VAR20;
VAR9 <= VAR82;
default: begin
VAR9 <= VAR76;
end
endcase
end
end
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR74 <= 4'd0;
end else if (!VAR46 & VAR58 | VAR36)
VAR74 <= 4'd0;
end
else if (!VAR46)
VAR74 <= VAR96[24:21];
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR3 <= 1'b0;
end
else if (!VAR46 & VAR58 | VAR36)
VAR3 <= 1'b0;
else if (!VAR46) begin
if (VAR96[31:23] == {VAR101, 3'b000})
VAR3 <= (VAR96[31:23] == {VAR101, 3'b000});
end
end
always @(posedge clk or posedge rst) begin
if (rst)
VAR27 <= 1'b0;
end
else if (!VAR46 & VAR58 | VAR36)
VAR27 <= 1'b0;
else if (!VAR46) begin
if (VAR96[31:23] == {VAR101, 3'b010})
VAR27 <= (VAR96[31:23] == {VAR101, 3'b010})
| VAR17;
end
end
endmodule | apache-2.0 |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v | 1,394 | module MODULE1
(input VAR1
,input [VAR2-1:0] VAR4
,output [2*VAR2-1:0] VAR7
);
logic [2*VAR2-1:0] VAR3;
logic [VAR2-1:0] VAR5, VAR6;
assign VAR7 = VAR3;
VAR8 @(posedge VAR1)
VAR6 <= VAR4;
VAR8 @(negedge VAR1)
VAR5 <= VAR4;
VAR8 @(posedge VAR1)
VAR3 <= {VAR5, VAR6};
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_io | cells/top_refgen/sky130_fd_io__top_refgen.pp.blackbox.v | 2,253 | module MODULE1 (
VAR11 ,
VAR14 ,
VAR8,
VAR16 ,
VAR13 ,
VAR17 ,
VAR12 ,
VAR4 ,
VAR3 ,
VAR2 ,
VAR7 ,
VAR18 ,
VAR6 ,
VAR15 ,
VAR9 ,
VAR10 ,
VAR1 ,
VAR5
);
output VAR11 ;
output VAR14 ;
inout VAR8;
input VAR16 ;
input VAR13 ;
input VAR17 ;
input VAR12 ;
input VAR4 ;
input VAR3 ;
input VAR2 ;
inout VAR7 ;
inout VAR18 ;
inout VAR6 ;
inout VAR15 ;
inout VAR9 ;
inout VAR10 ;
inout VAR1 ;
inout VAR5 ;
endmodule | apache-2.0 |
bunnie/novena-sd-fpga | novena-sd.srcs/sources_1/imports/romulator.v | 16,817 | module MODULE1(
input wire clk,
input wire VAR59,
input wire VAR19,
input wire VAR28,
input wire VAR34,
output wire VAR26,
input wire VAR3,
input wire VAR51,
input wire [7:0] VAR45,
output wire [7:0] VAR35,
output wire VAR69,
output wire [15:0] VAR30,
output wire [7:0] VAR27,
input wire [7:0] VAR2,
output wire VAR33,
output wire VAR25,
output wire [7:0] VAR46, output reg VAR52,
output wire [7:0] VAR65,
output wire VAR29,
output wire [29:0] VAR48,
output wire VAR56,
input wire reset
);
wire VAR10;
VAR9 VAR20( .VAR13(reset), .clk(clk), .reset(VAR10) );
reg [11:0] VAR72;
reg [17:0] VAR36;
assign VAR25 = clk;
assign VAR27 = 8'b0; assign VAR33 = 1'b0;
assign VAR48 = {VAR36, VAR72};
reg VAR31;
reg VAR55;
always @(VAR36 or VAR72) begin
if( VAR72[11] == 1'b1 ) begin VAR31 = 1'b1;
VAR55[15:0] = {1'b0, VAR36[2:0],VAR72[11:0]}; end else begin
if( {VAR36,VAR72[10:0]} < 28'h80000 ) begin
VAR55[15:0] = {1'b0, VAR36[3:0],VAR72[10:0]};
VAR31 = VAR36[4];
end else if( ({VAR36,VAR72[10:0]} >= 28'h80000) &&
({VAR36,VAR72[10:0]} < 28'h100000) ) begin
VAR55[15:0] = {4'b1000,VAR36[0],VAR72[10:0]};
VAR31 = !((VAR36[8:1] == 8'h80) || (VAR36[8:1] == 8'ha0) ||
(VAR36[8:1] == 8'hc0) || (VAR36[8:1] == 8'he0));
end else if( ({VAR36,VAR72[10:0]} >= 28'h100000) &&
({VAR36,VAR72[10:0]} < 28'h107000) ) begin
VAR55[15:0] = {4'b1001,VAR36[0],VAR72[10:0]};
VAR31 = VAR36[8:5] > 4'h7;
end else begin
VAR31 = 1'b1;
VAR55[15:0] = {1'b0, VAR36[2:0],VAR72[11:0]}; end
end end
assign VAR30 = VAR55;
reg [15:0] VAR55;
wire [15:0] VAR41;
assign VAR41[15:0] = (VAR36[4:0] * 12'd2112) + VAR72;
always @(VAR36 or VAR72) begin
if( {VAR36,VAR72[10:0]} < 28'h80000 ) begin
VAR55[15:0] = VAR41[15:0];
VAR31 = 1'b0;
end else begin
VAR55[15:0] = {1'b1,VAR41[14:0]};
VAR31 = 1'b1;
end
end
assign VAR30 = VAR55;
parameter VAR23 = 10'b1 << 0;
parameter VAR18 = 10'b1 << 1;
parameter VAR66 = 10'b1 << 2;
parameter VAR42 = 10'b1 << 3;
parameter VAR38 = 10'b1 << 4;
parameter VAR61 = 10'b1 << 5;
parameter VAR16 = 10'b1 << 6;
parameter VAR54 = 10'b1 << 7;
parameter VAR57 = 10'b1 << 8;
parameter VAR39 = 10;
reg [(VAR39 - 1):0] VAR32;
reg [(VAR39 - 1):0] VAR62;
parameter VAR67 = 8'h90;
parameter VAR50 = 8'h00;
parameter VAR63 = 8'h30;
parameter VAR14 = 8'h35;
parameter VAR7 = 8'hFF;
parameter VAR40 = 8'h05;
parameter VAR6 = 8'hE0;
parameter VAR12 = 8'h70;
reg VAR37; reg VAR58;
reg [7:0] VAR53;
reg [7:0] VAR68;
assign VAR46 = VAR53;
assign VAR65 = VAR68;
assign VAR29 = !(VAR34 & !VAR59);
always @(posedge VAR59 or posedge VAR10) begin
if(VAR10) begin
VAR32 <= VAR23;
end else begin
VAR32 <= VAR62;
end
end
always @(*) begin
if(!VAR51 && VAR34 && !VAR28) begin
if( VAR45 == VAR67 ) begin VAR58 <= 1'b0;
VAR62 <= VAR18;
VAR53 <= VAR53;
VAR68 <= VAR45;
end else if( VAR45 == VAR50 ) begin VAR58 <= 1'b0;
VAR62 <= VAR66;
VAR53 <= VAR53;
VAR68 <= VAR45;
end else if( VAR45 == VAR63 ) begin VAR58 <= 1'b1;
VAR62 <= VAR42;
VAR53 <= VAR53;
VAR68 <= VAR45;
end else if( VAR45 == VAR7 ) begin VAR58 <= 1'b0;
VAR62 <= VAR38;
VAR53 <= VAR53;
VAR68 <= VAR45;
end else if( VAR45 == VAR40 ) begin VAR58 <= 1'b0;
VAR62 <= VAR61;
VAR53 <= VAR53;
VAR68 <= VAR45;
end else if( VAR45 == VAR6 ) begin VAR58 <= 1'b1;
VAR62 <= VAR42; VAR53 <= VAR53;
VAR68 <= VAR45;
end else if( VAR45 == VAR12 ) begin VAR58 <= 1'b0;
VAR62 <= VAR16;
VAR53 <= VAR53;
VAR68 <= VAR45;
end else begin
VAR58 <= 1'b0;
VAR62 <= VAR54; VAR53 <= VAR45;
VAR68 <= VAR45;
end
end else begin VAR58 <= 1'b0;
VAR53 <= VAR53;
VAR68 <= VAR68;
case (VAR32)
VAR23: begin
VAR62 <= VAR23;
end
VAR18: begin
if( VAR45 == 8'h00 ) begin
VAR62 <= VAR57;
end else begin
VAR62 <= VAR23;
end
end
VAR54: begin
VAR62 <= VAR23;
end
VAR66: begin
VAR62 <= VAR66;
end
VAR61: begin
VAR62 <= VAR61;
end
VAR38: begin
VAR62 <= VAR23;
end
VAR16: begin
VAR62 <= VAR23;
end
VAR42: begin
VAR62 <= VAR23;
end
default: begin
VAR62 <= VAR23;
end
endcase end
end
reg [2:0] VAR11;
reg [11:0] VAR43;
reg [17:0] VAR1;
always @(posedge VAR59) begin
if( VAR10 ) begin
VAR52 <= 1'b0;
VAR37 <= 1'b0;
VAR11 <= 3'b0;
end else begin
case (VAR32)
VAR23: begin
VAR52 <= 1'b0;
VAR37 <= 1'b0;
VAR11 <= 3'b0;
end
VAR54: begin
VAR52 <= 1'b1;
VAR37 <= 1'b0;
VAR11 <= 3'b0;
end
VAR38: begin
VAR52 <= 1'b0;
VAR37 <= 1'b1;
VAR11 <= 3'b0;
end
VAR66: begin
VAR52 <= 1'b0;
VAR37 <= 1'b0;
if( VAR11 == 3'h0 ) begin
VAR11 <= VAR11 + 3'h1;
VAR43[7:0] <= VAR45[7:0];
end else if( VAR11 == 3'h1 ) begin
VAR11 <= VAR11 + 3'h1;
VAR43[11:8] <= VAR45[3:0];
end else if( VAR11 == 3'h2) begin
VAR11 <= VAR11 + 3'h1;
VAR1[7:0] <= VAR45[7:0];
end else if( VAR11 == 3'h3 ) begin
VAR11 <= VAR11 + 3'h1;
VAR1[15:8] <= VAR45[7:0];
end else if( VAR11 == 3'h4 ) begin
VAR11 <= VAR11 + 3'h1;
VAR1[17:16] <= VAR45[1:0];
end else begin
VAR11 <= VAR11;
end
end VAR61: begin
VAR52 <= 1'b0;
VAR37 <= 1'b0;
if( VAR11 == 3'h0 ) begin
VAR11 <= VAR11 + 3'h1;
VAR43[7:0] <= VAR45[7:0];
end else if( VAR11 == 3'h1 ) begin
VAR11 <= VAR11 + 3'h1;
VAR43[11:8] <= VAR45[3:0];
end else begin
VAR11 <= VAR11;
end
end VAR42: begin
VAR52 <= 1'b0;
VAR37 <= 1'b0;
VAR11 <= 3'b0;
end
default: begin
VAR52 <= 1'b0;
VAR37 <= 1'b0;
VAR11 <= 3'b0;
end
endcase end
end
reg [3:0] VAR4;
reg [7:0] VAR70;
reg VAR64;
reg VAR22;
wire VAR49;
wire VAR47;
assign VAR69 = !VAR19 && !VAR51;
assign VAR35 = VAR64 ? VAR70[7:0] : (VAR31 ? 8'hff : VAR2[7:0]);
reg VAR60; assign VAR56 = !VAR60; always @(negedge VAR19 or posedge VAR34 or posedge VAR10) begin
if( VAR34 || VAR10 ) begin
VAR4 <= 4'b0;
VAR70 <= 8'b0;
VAR64 <= 1'b0;
VAR60 <= 1'b1;
VAR72 <= VAR43;
VAR36 <= VAR1;
end else begin
VAR36 <= VAR36;
case (VAR32)
VAR57: begin
VAR60 <= VAR60;
VAR72 <= VAR72;
if( VAR4 == 4'h0 ) begin
VAR70 <= 8'hEC;
VAR4 <= VAR4 + 4'h1;
VAR64 <= 1'b1;
end else if( VAR4 == 4'h1 ) begin
VAR70 <= 8'hDC;
VAR4 <= VAR4 + 4'h1;
VAR64 <= 1'b1;
end else if( VAR4 == 4'h2 ) begin
VAR70 <= 8'h10;
VAR4 <= VAR4 + 4'h1;
VAR64 <= 1'b1;
end else if( VAR4 == 4'h3 ) begin
VAR70 <= 8'h95;
VAR4 <= VAR4 + 4'h1;
VAR64 <= 1'b1;
end else if( VAR4 == 4'h4 ) begin
VAR70 <= 8'h54;
VAR4 <= VAR4 + 4'h1;
VAR64 <= 1'b1;
end else begin
VAR70 <= 8'hFF;
VAR4 <= VAR4; VAR64 <= 1'b0;
end
end VAR16: begin
VAR60 <= VAR60;
VAR72 <= VAR72;
VAR64 <= 1'b1;
VAR70 <= 8'b01000000; end
VAR42: begin
if( VAR60 ) begin
VAR60 <= 1'b0;
end else if( VAR72 < 12'd2112 ) begin
VAR72 <= VAR72 + 12'b1; end else begin
VAR72 <= 12'd0; end
end
default: begin
VAR60 <= 1'b1;
VAR72 <= VAR72;
VAR4 <= 4'b0;
VAR70 <= 8'hFF;
VAR64 <= 1'b0;
end
endcase end
end
reg VAR44, VAR5, VAR15;
reg VAR8, VAR24, VAR71;
reg [12:0] VAR17;
reg VAR21;
always @(posedge clk) begin
VAR5 <= VAR37;
VAR44 <= VAR5;
VAR24 <= VAR58;
VAR8 <= VAR24;
if( !VAR44 && VAR5 ) begin
VAR15 <= 1'b1;
end else begin
VAR15 <= 1'b0;
end
if( !VAR8 && VAR24 ) begin
VAR71 <= 1'b1;
end else begin
VAR71 <= 1'b0;
end
if( VAR10 ) begin
VAR17 <= 12'b0;
VAR21 <= 1'b0;
end else begin
if( (VAR71 || VAR15) && (VAR17 == 12'b0) ) begin
VAR17 <= VAR17 + 12'b1;
VAR21 <= 1'b1;
end else if( VAR17 == 12'h200 ) begin VAR17 <= 12'h0;
VAR21 <= 1'b0;
end else if( VAR21 == 1'b1 ) begin
VAR17 <= VAR17 + 12'b1;
VAR21 <= 1'b1;
end else begin
VAR17 <= 12'h0;
VAR21 <= 1'b0;
end
end end
assign VAR26 = !VAR21 || (VAR17 < 12'h4);
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/and4/gf180mcu_fd_sc_mcu9t5v0__and4_1.behavioral.pp.v | 1,388 | module MODULE1( VAR5, VAR1, VAR6, VAR7, VAR3, VAR10, VAR9 );
input VAR5, VAR1, VAR6, VAR7;
inout VAR10, VAR9;
output VAR3;
VAR4 VAR2(.VAR5(VAR5),.VAR1(VAR1),.VAR6(VAR6),.VAR7(VAR7),.VAR3(VAR3),.VAR10(VAR10),.VAR9(VAR9));
VAR4 VAR8(.VAR5(VAR5),.VAR1(VAR1),.VAR6(VAR6),.VAR7(VAR7),.VAR3(VAR3),.VAR10(VAR10),.VAR9(VAR9)); | apache-2.0 |
olgirard/openmsp430 | fpga/actel_m1a3pl_dev_kit/rtl/verilog/smartgen/pmem_2kB.v | 5,544 | module MODULE1(VAR6,VAR18,VAR88,VAR22,VAR61,VAR65,VAR91,VAR62);
input [7:0] VAR6;
output [7:0] VAR18;
input VAR88, VAR22;
input [10:0] VAR61, VAR65;
input VAR91;
input VAR62;
wire VAR12, VAR32;
VAR12 VAR77(.VAR56(VAR12));
VAR32 VAR51(.VAR56(VAR32));
VAR82 VAR5(.VAR20(VAR32), .VAR84(VAR61[10]),
.VAR9(VAR61[9]), .VAR31(VAR61[8]), .VAR70(VAR61[7]),
.VAR47(VAR61[6]), .VAR17(VAR61[5]), .VAR42(VAR61[4]),
.VAR10(VAR61[3]), .VAR4(VAR61[2]), .VAR41(VAR61[1]),
.VAR52(VAR61[0]), .VAR87(VAR32), .VAR3(VAR65[10]),
.VAR85(VAR65[9]), .VAR35(VAR65[8]), .VAR55(VAR65[7]),
.VAR1(VAR65[6]), .VAR68(VAR65[5]), .VAR76(VAR65[4]),
.VAR57(VAR65[3]), .VAR40(VAR65[2]), .VAR64(VAR65[1]),
.VAR28(VAR65[0]), .VAR14(VAR32), .VAR34(VAR32), .VAR79(VAR32),
.VAR21(VAR32), .VAR50(VAR32), .VAR13(VAR32), .VAR53(VAR32),
.VAR37(VAR6[1]), .VAR59(VAR6[0]), .VAR38(VAR32), .VAR66(VAR32),
.VAR25(VAR32), .VAR75(VAR32), .VAR8(VAR32), .VAR71(VAR32),
.VAR45(VAR32), .VAR58(VAR32), .VAR67(VAR32), .VAR29(VAR12),
.VAR24(VAR32), .VAR60(VAR12), .VAR89(VAR32), .VAR44(VAR32),
.VAR33(VAR32), .VAR7(VAR32), .VAR26(VAR32), .VAR54(VAR88),
.VAR43(VAR22), .VAR73(VAR32), .VAR86(VAR12), .VAR27(VAR91), .VAR19(
VAR91), .VAR62(VAR62), .VAR78(), .VAR72(), .VAR39(),
.VAR16(), .VAR63(), .VAR30(), .VAR92(), .VAR69(),
.VAR81(), .VAR23(), .VAR90(), .VAR36(), .VAR49(),
.VAR11(), .VAR2(), .VAR83(), .VAR46(VAR18[1]), .VAR80(
VAR18[0]));
VAR82 VAR48(.VAR20(VAR32), .VAR84(VAR61[10]),
.VAR9(VAR61[9]), .VAR31(VAR61[8]), .VAR70(VAR61[7]),
.VAR47(VAR61[6]), .VAR17(VAR61[5]), .VAR42(VAR61[4]),
.VAR10(VAR61[3]), .VAR4(VAR61[2]), .VAR41(VAR61[1]),
.VAR52(VAR61[0]), .VAR87(VAR32), .VAR3(VAR65[10]),
.VAR85(VAR65[9]), .VAR35(VAR65[8]), .VAR55(VAR65[7]),
.VAR1(VAR65[6]), .VAR68(VAR65[5]), .VAR76(VAR65[4]),
.VAR57(VAR65[3]), .VAR40(VAR65[2]), .VAR64(VAR65[1]),
.VAR28(VAR65[0]), .VAR14(VAR32), .VAR34(VAR32), .VAR79(VAR32),
.VAR21(VAR32), .VAR50(VAR32), .VAR13(VAR32), .VAR53(VAR32),
.VAR37(VAR6[3]), .VAR59(VAR6[2]), .VAR38(VAR32), .VAR66(VAR32),
.VAR25(VAR32), .VAR75(VAR32), .VAR8(VAR32), .VAR71(VAR32),
.VAR45(VAR32), .VAR58(VAR32), .VAR67(VAR32), .VAR29(VAR12),
.VAR24(VAR32), .VAR60(VAR12), .VAR89(VAR32), .VAR44(VAR32),
.VAR33(VAR32), .VAR7(VAR32), .VAR26(VAR32), .VAR54(VAR88),
.VAR43(VAR22), .VAR73(VAR32), .VAR86(VAR12), .VAR27(VAR91), .VAR19(
VAR91), .VAR62(VAR62), .VAR78(), .VAR72(), .VAR39(),
.VAR16(), .VAR63(), .VAR30(), .VAR92(), .VAR69(),
.VAR81(), .VAR23(), .VAR90(), .VAR36(), .VAR49(),
.VAR11(), .VAR2(), .VAR83(), .VAR46(VAR18[3]), .VAR80(
VAR18[2]));
VAR82 VAR74(.VAR20(VAR32), .VAR84(VAR61[10]),
.VAR9(VAR61[9]), .VAR31(VAR61[8]), .VAR70(VAR61[7]),
.VAR47(VAR61[6]), .VAR17(VAR61[5]), .VAR42(VAR61[4]),
.VAR10(VAR61[3]), .VAR4(VAR61[2]), .VAR41(VAR61[1]),
.VAR52(VAR61[0]), .VAR87(VAR32), .VAR3(VAR65[10]),
.VAR85(VAR65[9]), .VAR35(VAR65[8]), .VAR55(VAR65[7]),
.VAR1(VAR65[6]), .VAR68(VAR65[5]), .VAR76(VAR65[4]),
.VAR57(VAR65[3]), .VAR40(VAR65[2]), .VAR64(VAR65[1]),
.VAR28(VAR65[0]), .VAR14(VAR32), .VAR34(VAR32), .VAR79(VAR32),
.VAR21(VAR32), .VAR50(VAR32), .VAR13(VAR32), .VAR53(VAR32),
.VAR37(VAR6[7]), .VAR59(VAR6[6]), .VAR38(VAR32), .VAR66(VAR32),
.VAR25(VAR32), .VAR75(VAR32), .VAR8(VAR32), .VAR71(VAR32),
.VAR45(VAR32), .VAR58(VAR32), .VAR67(VAR32), .VAR29(VAR12),
.VAR24(VAR32), .VAR60(VAR12), .VAR89(VAR32), .VAR44(VAR32),
.VAR33(VAR32), .VAR7(VAR32), .VAR26(VAR32), .VAR54(VAR88),
.VAR43(VAR22), .VAR73(VAR32), .VAR86(VAR12), .VAR27(VAR91), .VAR19(
VAR91), .VAR62(VAR62), .VAR78(), .VAR72(), .VAR39(),
.VAR16(), .VAR63(), .VAR30(), .VAR92(), .VAR69(),
.VAR81(), .VAR23(), .VAR90(), .VAR36(), .VAR49(),
.VAR11(), .VAR2(), .VAR83(), .VAR46(VAR18[7]), .VAR80(
VAR18[6]));
VAR82 VAR15(.VAR20(VAR32), .VAR84(VAR61[10]),
.VAR9(VAR61[9]), .VAR31(VAR61[8]), .VAR70(VAR61[7]),
.VAR47(VAR61[6]), .VAR17(VAR61[5]), .VAR42(VAR61[4]),
.VAR10(VAR61[3]), .VAR4(VAR61[2]), .VAR41(VAR61[1]),
.VAR52(VAR61[0]), .VAR87(VAR32), .VAR3(VAR65[10]),
.VAR85(VAR65[9]), .VAR35(VAR65[8]), .VAR55(VAR65[7]),
.VAR1(VAR65[6]), .VAR68(VAR65[5]), .VAR76(VAR65[4]),
.VAR57(VAR65[3]), .VAR40(VAR65[2]), .VAR64(VAR65[1]),
.VAR28(VAR65[0]), .VAR14(VAR32), .VAR34(VAR32), .VAR79(VAR32),
.VAR21(VAR32), .VAR50(VAR32), .VAR13(VAR32), .VAR53(VAR32),
.VAR37(VAR6[5]), .VAR59(VAR6[4]), .VAR38(VAR32), .VAR66(VAR32),
.VAR25(VAR32), .VAR75(VAR32), .VAR8(VAR32), .VAR71(VAR32),
.VAR45(VAR32), .VAR58(VAR32), .VAR67(VAR32), .VAR29(VAR12),
.VAR24(VAR32), .VAR60(VAR12), .VAR89(VAR32), .VAR44(VAR32),
.VAR33(VAR32), .VAR7(VAR32), .VAR26(VAR32), .VAR54(VAR88),
.VAR43(VAR22), .VAR73(VAR32), .VAR86(VAR12), .VAR27(VAR91), .VAR19(
VAR91), .VAR62(VAR62), .VAR78(), .VAR72(), .VAR39(),
.VAR16(), .VAR63(), .VAR30(), .VAR92(), .VAR69(),
.VAR81(), .VAR23(), .VAR90(), .VAR36(), .VAR49(),
.VAR11(), .VAR2(), .VAR83(), .VAR46(VAR18[5]), .VAR80(
VAR18[4]));
endmodule | bsd-3-clause |
csturton/wirepatch | system/hardware/cores/fabric/ovl_ported/ovl_delta.v | 1,975 | module MODULE1 (VAR11, reset, enable, VAR4, VAR13, VAR10, VAR3, VAR5);
parameter VAR23 = VAR1;
parameter VAR2 = 1;
parameter VAR12 = 1;
parameter VAR17 = VAR20;
parameter VAR18 = VAR9;
parameter VAR7 = VAR8;
parameter VAR24 = VAR27;
parameter VAR26 = VAR6;
parameter VAR16 = VAR14;
input VAR11, reset, enable;
input [VAR12-1:0] VAR4, VAR13;
input [VAR2-1:0] VAR10;
output [VAR19-1:0] VAR3;
output [VAR19-1:0] VAR5;
parameter VAR28 = "VAR25";
assign VAR3 = {1'b0, 1'b0, VAR15};
assign VAR5 = {2'b0, VAR22};
assign VAR3 = {VAR19{1'b0}}; VAR21
endmodule VAR21 | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/clkdlybuf4s15/sky130_fd_sc_hd__clkdlybuf4s15.functional.pp.v | 1,866 | module MODULE1 (
VAR6 ,
VAR4 ,
VAR7,
VAR3,
VAR12 ,
VAR2
);
output VAR6 ;
input VAR4 ;
input VAR7;
input VAR3;
input VAR12 ;
input VAR2 ;
wire VAR9 ;
wire VAR5;
buf VAR1 (VAR9 , VAR4 );
VAR11 VAR10 (VAR5, VAR9, VAR7, VAR3);
buf VAR8 (VAR6 , VAR5 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nor4bb/sky130_fd_sc_hd__nor4bb.symbol.v | 1,333 | module MODULE1 (
input VAR3 ,
input VAR1 ,
input VAR9,
input VAR8,
output VAR2
);
supply1 VAR5;
supply0 VAR6;
supply1 VAR7 ;
supply0 VAR4 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a2bb2o/sky130_fd_sc_lp__a2bb2o.behavioral.pp.v | 2,231 | module MODULE1 (
VAR12 ,
VAR15,
VAR19,
VAR1 ,
VAR14 ,
VAR16,
VAR2,
VAR17 ,
VAR18
);
output VAR12 ;
input VAR15;
input VAR19;
input VAR1 ;
input VAR14 ;
input VAR16;
input VAR2;
input VAR17 ;
input VAR18 ;
wire VAR9 ;
wire VAR6 ;
wire VAR4 ;
wire VAR3;
and VAR13 (VAR9 , VAR1, VAR14 );
nor VAR7 (VAR6 , VAR15, VAR19 );
or VAR5 (VAR4 , VAR6, VAR9 );
VAR10 VAR11 (VAR3, VAR4, VAR16, VAR2);
buf VAR8 (VAR12 , VAR3 );
endmodule | apache-2.0 |
C-L-G/azpr_soc | azpr_soc/trunk/ic/digital/rtl/cpu/alu.v | 4,350 | module MODULE1 (
input wire [VAR5] VAR7,
input wire [VAR5] VAR11,
input wire [VAR10] VAR3,
output reg [VAR5] out,
output reg VAR8
);
wire signed [VAR5] VAR2 = (VAR7);
wire signed [VAR5] VAR1 = (VAR11);
wire signed [VAR5] VAR4 = (out);
always @ begin
case (VAR3)
if (((VAR2 > 0) && (VAR1 > 0) && (VAR4 < 0)) ||
((VAR2 < 0) && (VAR1 < 0) && (VAR4 > 0))) begin
VAR8 = VAR9;
end else begin
VAR8 = VAR6;
end
end
if (((VAR2 < 0) && (VAR1 > 0) && (VAR4 > 0)) ||
((VAR2 > 0) && (VAR1 < 0) && (VAR4 < 0))) begin
VAR8 = VAR9;
end else begin
VAR8 = VAR6;
end
end
default : begin
VAR8 = VAR6;
end
endcase
end
endmodule | apache-2.0 |
Digilent/vivado-library | ip/video_scaler/hdl/verilog/video_scaler_sdivhbi.v | 5,852 | module MODULE2
VAR38 = 32,
VAR31 = 32,
VAR32 = 32
)
(
input clk,
input reset,
input VAR30,
input VAR27,
input [VAR38-1:0] VAR15,
input [VAR31-1:0] VAR2,
input [1:0] VAR16,
output wire [1:0] VAR34,
output wire VAR19,
output wire [VAR32-1:0] VAR39,
output wire [VAR32-1:0] VAR3
);
localparam VAR9 = (VAR38 > VAR31)? VAR38 : VAR31;
reg [VAR38-1:0] VAR5;
reg [VAR31-1:0] VAR1;
reg [1:0] VAR14;
reg [VAR38-1:0] VAR18;
reg [VAR38-1:0] VAR10;
wire [VAR38-1:0] VAR17;
wire [VAR38-1:0] VAR28;
wire [VAR38-1:0] VAR24;
wire [VAR9:0] VAR8;
assign VAR39 = VAR18;
assign VAR3 = VAR10;
assign VAR34 = VAR14;
always @(posedge clk)
begin
if (VAR27) begin
VAR5 <= VAR15;
VAR1 <= VAR2;
VAR14 <= VAR16;
end
end
reg [VAR38:0] VAR29;
assign VAR19 = VAR29[VAR38];
always @(posedge clk)
begin
if (reset == 1'b1)
VAR29[VAR38:0] <= {VAR38{1'b0}};
end
else if (VAR30)
VAR29[VAR38:0] <= {VAR29[VAR38-1:0], VAR27};
end
assign VAR17 = VAR29[0]? VAR5 : VAR18;
assign VAR28 = VAR29[0]? {VAR38{1'b0}} : VAR10;
if (VAR38 == 1) assign VAR24 = VAR17[0];
else assign VAR24 = {VAR28[VAR38-2:0], VAR17[VAR38-1]};
assign VAR8 = {1'b0, VAR24} - {1'b0, VAR1};
always @(posedge clk)
begin
if (VAR30) begin
if (VAR38 == 1) VAR18 <= ~VAR8[VAR9];
end
else VAR18 <= {VAR17[VAR38-2:0], ~VAR8[VAR9]};
VAR10 <= VAR8[VAR9]? VAR24 : VAR8[VAR38-1:0];
end
end
endmodule
module MODULE3
VAR38 = 32,
VAR31 = 32,
VAR32 = 32
)
(
input clk,
input reset,
input VAR30,
input VAR27,
output reg VAR19,
input [VAR38-1:0] VAR15,
input [VAR31-1:0] VAR2,
output reg [VAR32-1:0] VAR39,
output reg [VAR32-1:0] VAR3
);
reg VAR22 = 'b0;
wire VAR21;
reg [VAR38-1:0] VAR5;
reg [VAR31-1:0] VAR1;
wire [VAR38-1:0] VAR12;
wire [VAR31-1:0] VAR20;
wire [VAR32-1:0] VAR26;
wire [VAR32-1:0] VAR23;
wire [1:0] VAR16;
wire [1:0] VAR34;
MODULE2 #(
.VAR38 ( VAR38 ),
.VAR31 ( VAR31 ),
.VAR32 ( VAR32 )
) VAR25 (
.clk ( clk ),
.reset ( reset ),
.VAR30 ( VAR30 ),
.VAR27 ( VAR22 ),
.VAR19 ( VAR21 ),
.VAR15 ( VAR12 ),
.VAR2 ( VAR20 ),
.VAR16 ( VAR16 ),
.VAR34 ( VAR34 ),
.VAR39 ( VAR26 ),
.VAR3 ( VAR23 )
);
assign VAR16 = {VAR5[VAR38-1] ^ VAR1[VAR31-1], VAR5[VAR38-1]};
assign VAR12 = VAR5[VAR38-1]? ~VAR5[VAR38-1:0] + 1'b1 :
VAR5[VAR38-1:0];
assign VAR20 = VAR1[VAR31-1]? ~VAR1[VAR31-1:0] + 1'b1 :
VAR1[VAR31-1:0];
always @(posedge clk)
begin
if (VAR30) begin
VAR5 <= VAR15;
VAR1 <= VAR2;
VAR22 <= VAR27;
end
end
always @(posedge clk)
begin
VAR19 <= VAR21;
end
always @(posedge clk)
begin
if (VAR21) begin
if (VAR34[1])
VAR39 <= ~VAR26 + 1'b1;
end
else
VAR39 <= VAR26;
end
end
always @(posedge clk)
begin
if (VAR21) begin
if (VAR34[0])
VAR3 <= ~VAR23 + 1'b1;
end
else
VAR3 <= VAR23;
end
end
endmodule
module MODULE1(
clk,
reset,
VAR30,
VAR27,
VAR19,
VAR11,
VAR7,
dout);
parameter VAR4 = 32'd1;
parameter VAR33 = 32'd1;
parameter VAR36 = 32'd1;
parameter VAR35 = 32'd1;
parameter VAR6 = 32'd1;
input clk;
input reset;
input VAR30;
input VAR27;
output VAR19;
input[VAR36 - 1:0] VAR11;
input[VAR35 - 1:0] VAR7;
output[VAR6 - 1:0] dout;
wire[VAR6 - 1:0] VAR37;
MODULE3 #(
.VAR38( VAR36 ),
.VAR31( VAR35 ),
.VAR32( VAR6 ))
VAR13(
.VAR15( VAR11 ),
.VAR2( VAR7 ),
.VAR39( dout ),
.VAR3( VAR37 ),
.clk( clk ),
.VAR30( VAR30 ),
.reset( reset ),
.VAR27( VAR27 ),
.VAR19( VAR19 ));
endmodule | mit |
Elphel/x393_sata | x393/util_modules/fifo_same_clock_fill.v | 6,619 | module MODULE1
parameter integer VAR13=16,
parameter integer VAR15=4
)
(
input rst, input clk, input VAR2, input VAR17, input VAR26, input [VAR13-1:0] VAR14, output [VAR13-1:0] VAR16, output VAR4, output reg VAR21, output reg VAR12, output reg VAR7, output reg [VAR15-1:0] VAR8,
output reg [VAR15-1:0] VAR9,
output [VAR15: 0] VAR27, output [VAR15: 0] VAR3 );
localparam integer VAR11=(1<<VAR15)-1;
reg [VAR15: 0] VAR25=0; reg [VAR15: 0] VAR22=0; reg [VAR15: 0] VAR18=0; reg [VAR13-1:0] VAR29;
reg [VAR13-1:0] VAR28;
reg [VAR15-1:0] VAR6;
reg [VAR15-1:0] VAR20;
wire [VAR15:0] VAR5;
reg [1:0] VAR23;
wire VAR24;
reg VAR1=0; reg [VAR13-1:0] VAR10 [0:VAR11];
reg VAR19;
assign VAR5 = VAR25[VAR15:0]+((VAR23[0] && ~VAR24)?1:((~VAR23[0] && VAR24 && VAR19)?-1:0));
assign VAR24= VAR19 && (VAR26 || !VAR1);
assign VAR16=VAR28;
assign VAR4=VAR1;
assign VAR27=VAR22[VAR15:0];
assign VAR3=VAR18[VAR15:0];
always @ (posedge clk or posedge rst) begin
if (rst) VAR25 <= 0;
end
else if (VAR2) VAR25 <= 0;
else VAR25 <= VAR5;
if (rst) VAR22 <= 0;
else if (VAR2) VAR22 <= 0;
else if ( VAR17 && !VAR26) VAR22 <= VAR22+1;
else if (!VAR17 && VAR26) VAR22 <= VAR22-1;
if (rst) VAR18 <= 0; else if (VAR2) VAR18 <= 0;
else if ( VAR23[1] && !VAR26) VAR18 <= VAR18+1;
else if (!VAR23[1] && VAR26) VAR18 <= VAR18-1;
if (rst) VAR23 <= 0;
else if (VAR2) VAR23 <= 0;
else VAR23 <= {VAR23[0], VAR17};
if (rst) VAR19 <= 0;
else if (VAR2) VAR19 <= 0;
else VAR19 <= (VAR5 != 0);
if (rst) VAR20 <= 0;
else if (VAR2) VAR20 <= 0;
else if (VAR23[0]) VAR20 <= VAR20+1;
if (rst) VAR6 <= 0;
else if (VAR2) VAR6 <= 0;
else if (VAR24) VAR6 <= VAR6+1;
else if (!VAR19) VAR6 <= VAR20;
if (rst) VAR1 <= 0;
else if (VAR2) VAR1 <= 0;
else if (VAR24 && ~VAR26) VAR1 <= 1;
else if (VAR26 && ~VAR24) VAR1 <= 0;
if (rst) VAR8 <= 0;
else if (VAR2) VAR8 <= 0;
else if (VAR17) VAR8 <= VAR8 + 1;
if (rst) VAR9 <= 0;
else if (VAR2) VAR9 <= 0;
else if (VAR26) VAR9 <= VAR9 + 1;
end
always @ (posedge clk) begin
VAR21 <=(VAR25 & (1<<(VAR15-1)))!=0;
if (VAR23[0]) VAR10[VAR20] <= VAR29;
if (VAR17) VAR29 <= VAR14;
if (VAR24) VAR28 <= VAR10[VAR6];
VAR12 <= VAR26 & ~VAR4; VAR7 <= VAR23[0] & ~VAR24 & VAR25[VAR15] & ~VAR25[VAR15-1]; end
endmodule | gpl-3.0 |
peteasa/oh | src/common/hdl/oh_rsync.v | 1,137 | module MODULE1 #(parameter VAR4 = 2 )
(
input clk,
input VAR7,
output VAR1
);
localparam VAR8 = VAR6;
generate
if(VAR8)
begin : VAR2
VAR3 VAR3 (.clk(clk),
.VAR7(VAR7),
.VAR1(VAR1));
end
else
begin :VAR2
reg [VAR4-1:0] VAR5;
always @ (posedge clk or negedge VAR7)
if(!VAR7)
VAR5[VAR4-1:0] <= 1'b0;
end
else
VAR5[VAR4-1:0] <= {VAR5[VAR4-2:0],1'b1};
assign VAR1 = VAR5[VAR4-1];
end
endgenerate
endmodule | mit |
EliasVansteenkiste/ConnectionRouter | vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_pipe_51.v | 35,433 | module MODULE4 (
clk,
reset,
VAR198,
VAR151,
VAR165,
VAR219,
VAR281
);
parameter VAR195 = 18;
parameter VAR152 = 51;
parameter VAR78 = 26;
localparam VAR205 = 58;
input clk;
input reset;
input VAR198;
input VAR151;
input [VAR195-1:0] VAR165; output VAR219;
output [VAR195-1:0] VAR281;
localparam VAR142 = 18; localparam VAR209 = 36; localparam VAR38 = 17;
localparam VAR223 = 51;
reg [VAR195-1:0] VAR3;
reg [VAR195-1:0] VAR15;
reg [VAR195-1:0] VAR190;
reg [VAR195-1:0] VAR176;
reg [VAR195-1:0] VAR120;
reg [VAR195-1:0] VAR123;
reg [VAR195-1:0] VAR69;
reg [VAR195-1:0] VAR132;
reg [VAR195-1:0] VAR213;
reg [VAR195-1:0] VAR168;
reg [VAR195-1:0] VAR181;
reg [VAR195-1:0] VAR225;
reg [VAR195-1:0] VAR221;
reg [VAR195-1:0] VAR7;
reg [VAR195-1:0] VAR270;
reg [VAR195-1:0] VAR279;
reg [VAR195-1:0] VAR163;
reg [VAR195-1:0] VAR74;
reg [VAR195-1:0] VAR153;
reg [VAR195-1:0] VAR105;
reg [VAR195-1:0] VAR166;
reg [VAR195-1:0] VAR131;
reg [VAR195-1:0] VAR146;
reg [VAR195-1:0] VAR232;
reg [VAR195-1:0] VAR94;
reg [VAR195-1:0] VAR39;
always@(posedge clk) begin
VAR3 <= 18'd88;
VAR15 <= 18'd0;
VAR190 <= -18'd97;
VAR176 <= -18'd197;
VAR120 <= -18'd294;
VAR123 <= -18'd380;
VAR69 <= -18'd447;
VAR132 <= -18'd490;
VAR213 <= -18'd504;
VAR168 <= -18'd481;
VAR181 <= -18'd420;
VAR225 <= -18'd319;
VAR221 <= -18'd178;
VAR7 <= 18'd0;
VAR270 <= 18'd212;
VAR279 <= 18'd451;
VAR163 <= 18'd710;
VAR74 <= 18'd980;
VAR153 <= 18'd1252;
VAR105 <= 18'd1514;
VAR166 <= 18'd1756;
VAR131 <= 18'd1971;
VAR146 <= 18'd2147;
VAR232 <= 18'd2278;
VAR94 <= 18'd2360;
VAR39 <= 18'd2387;
end
reg [VAR205-1:0] VAR79;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR79 <= 0;
end else begin
if(VAR198) begin
VAR79 <= {VAR79[VAR205-2:0], VAR151};
end else begin
VAR79 <= VAR79;
end
end
end
wire [VAR195-1:0] VAR77;
wire [VAR195-1:0] VAR126;
wire [VAR195-1:0] VAR261;
wire [VAR195-1:0] VAR243;
wire [VAR195-1:0] VAR299;
wire [VAR195-1:0] VAR191;
wire [VAR195-1:0] VAR107;
wire [VAR195-1:0] VAR260;
wire [VAR195-1:0] VAR75;
wire [VAR195-1:0] VAR295;
wire [VAR195-1:0] VAR136;
wire [VAR195-1:0] VAR117;
wire [VAR195-1:0] VAR68;
wire [VAR195-1:0] VAR98;
wire [VAR195-1:0] VAR193;
wire [VAR195-1:0] VAR145;
wire [VAR195-1:0] VAR239;
wire [VAR195-1:0] VAR66;
wire [VAR195-1:0] VAR179;
wire [VAR195-1:0] VAR277;
wire [VAR195-1:0] VAR81;
wire [VAR195-1:0] VAR70;
wire [VAR195-1:0] VAR169;
wire [VAR195-1:0] VAR102;
wire [VAR195-1:0] VAR242;
wire [VAR195-1:0] VAR282;
wire [VAR195-1:0] VAR5;
wire [VAR195-1:0] VAR12;
wire [VAR195-1:0] VAR16;
wire [VAR195-1:0] VAR154;
wire [VAR195-1:0] VAR133;
wire [VAR195-1:0] VAR259;
wire [VAR195-1:0] VAR26;
wire [VAR195-1:0] VAR150;
wire [VAR195-1:0] VAR91;
wire [VAR195-1:0] VAR59;
wire [VAR195-1:0] VAR293;
wire [VAR195-1:0] VAR24;
wire [VAR195-1:0] VAR37;
wire [VAR195-1:0] VAR47;
wire [VAR195-1:0] VAR43;
wire [VAR195-1:0] VAR141;
wire [VAR195-1:0] VAR286;
wire [VAR195-1:0] VAR155;
wire [VAR195-1:0] VAR301;
wire [VAR195-1:0] VAR114;
wire [VAR195-1:0] VAR45;
wire [VAR195-1:0] VAR111;
wire [VAR195-1:0] VAR157;
wire [VAR195-1:0] VAR1;
wire [VAR195-1:0] VAR289;
MODULE1 MODULE60(
.clk(clk), .VAR198(VAR198),
.VAR235(VAR165),
.VAR84(VAR77),
.VAR174(VAR126),
.VAR189(VAR261),
.VAR249(VAR243),
.VAR20(VAR299),
.VAR171(VAR191),
.VAR143(VAR107),
.VAR50(VAR260),
.VAR41(VAR75),
.VAR64(VAR295),
.VAR130(VAR136),
.VAR229(VAR117),
.VAR274(VAR68),
.VAR85(VAR98),
.VAR230(VAR193),
.VAR272(VAR145),
.VAR262(VAR239),
.VAR40(VAR66),
.VAR88(VAR179),
.VAR65(VAR277),
.VAR197(VAR81),
.VAR264(VAR70),
.VAR287(VAR169),
.VAR280(VAR102),
.VAR99(VAR242),
.VAR220(VAR282),
.VAR211(VAR5),
.VAR23(VAR12),
.VAR222(VAR16),
.VAR83(VAR154),
.VAR183(VAR133),
.VAR185(VAR259),
.VAR234(VAR26),
.VAR160(VAR150),
.VAR49(VAR91),
.VAR67(VAR59),
.VAR96(VAR293),
.VAR144(VAR24),
.VAR266(VAR37),
.VAR206(VAR47),
.VAR33(VAR43),
.VAR57(VAR141),
.VAR170(VAR286),
.VAR21(VAR155),
.VAR140(VAR301),
.VAR204(VAR114),
.VAR63(VAR45),
.VAR173(VAR111),
.VAR251(VAR157),
.VAR240(VAR1),
.VAR257(VAR289),
.reset(reset) );
wire [VAR195-1:0] VAR258;
wire [VAR195-1:0] VAR210;
wire [VAR195-1:0] VAR76;
wire [VAR195-1:0] VAR110;
wire [VAR195-1:0] VAR250;
wire [VAR195-1:0] VAR172;
wire [VAR195-1:0] VAR139;
wire [VAR195-1:0] VAR128;
wire [VAR195-1:0] VAR13;
wire [VAR195-1:0] VAR44;
wire [VAR195-1:0] VAR129;
wire [VAR195-1:0] VAR298;
wire [VAR195-1:0] VAR246;
wire [VAR195-1:0] VAR201;
wire [VAR195-1:0] VAR61;
wire [VAR195-1:0] VAR14;
wire [VAR195-1:0] VAR32;
wire [VAR195-1:0] VAR113;
wire [VAR195-1:0] VAR19;
wire [VAR195-1:0] VAR233;
wire [VAR195-1:0] VAR278;
wire [VAR195-1:0] VAR196;
wire [VAR195-1:0] VAR100;
wire [VAR195-1:0] VAR56;
wire [VAR195-1:0] VAR306;
wire [VAR195-1:0] VAR184;
MODULE5 VAR55(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR77),
.VAR10 (VAR289),
.VAR208(VAR258)
);
MODULE5 VAR90(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR126),
.VAR10 (VAR1),
.VAR208(VAR210)
);
MODULE5 VAR137(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR261),
.VAR10 (VAR157),
.VAR208(VAR76)
);
MODULE5 VAR22(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR243),
.VAR10 (VAR111),
.VAR208(VAR110)
);
MODULE5 VAR42(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR299),
.VAR10 (VAR45),
.VAR208(VAR250)
);
MODULE5 VAR238(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR191),
.VAR10 (VAR114),
.VAR208(VAR172)
);
MODULE5 VAR186(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR107),
.VAR10 (VAR301),
.VAR208(VAR139)
);
MODULE5 VAR164(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR260),
.VAR10 (VAR155),
.VAR208(VAR128)
);
MODULE5 VAR138(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR75),
.VAR10 (VAR286),
.VAR208(VAR13)
);
MODULE5 VAR48(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR295),
.VAR10 (VAR141),
.VAR208(VAR44)
);
MODULE5 VAR305(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR136),
.VAR10 (VAR43),
.VAR208(VAR129)
);
MODULE5 VAR149(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR117),
.VAR10 (VAR47),
.VAR208(VAR298)
);
MODULE5 VAR8(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR68),
.VAR10 (VAR37),
.VAR208(VAR246)
);
MODULE5 VAR237(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR98),
.VAR10 (VAR24),
.VAR208(VAR201)
);
MODULE5 VAR273(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR193),
.VAR10 (VAR293),
.VAR208(VAR61)
);
MODULE5 VAR188(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR145),
.VAR10 (VAR59),
.VAR208(VAR14)
);
MODULE5 VAR93(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR239),
.VAR10 (VAR91),
.VAR208(VAR32)
);
MODULE5 VAR263(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR66),
.VAR10 (VAR150),
.VAR208(VAR113)
);
MODULE5 VAR97(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR179),
.VAR10 (VAR26),
.VAR208(VAR19)
);
MODULE5 VAR254(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR277),
.VAR10 (VAR259),
.VAR208(VAR233)
);
MODULE5 VAR92(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR81),
.VAR10 (VAR133),
.VAR208(VAR278)
);
MODULE5 VAR202(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR70),
.VAR10 (VAR154),
.VAR208(VAR196)
);
MODULE5 VAR241(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR169),
.VAR10 (VAR16),
.VAR208(VAR100)
);
MODULE5 VAR271(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR102),
.VAR10 (VAR12),
.VAR208(VAR56)
);
MODULE5 VAR214(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR242),
.VAR10 (VAR5),
.VAR208(VAR306)
);
MODULE2 VAR95(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR282),
.VAR208(VAR184)
);
wire [VAR195-1:0] VAR121;
wire [VAR195-1:0] VAR182;
wire [VAR195-1:0] VAR216;
wire [VAR195-1:0] VAR80;
wire [VAR195-1:0] VAR291;
wire [VAR195-1:0] VAR159;
wire [VAR195-1:0] VAR109;
wire [VAR195-1:0] VAR58;
wire [VAR195-1:0] VAR297;
wire [VAR195-1:0] VAR290;
wire [VAR195-1:0] VAR52;
wire [VAR195-1:0] VAR104;
wire [VAR195-1:0] VAR265;
wire [VAR195-1:0] VAR156;
wire [VAR195-1:0] VAR46;
wire [VAR195-1:0] VAR11;
wire [VAR195-1:0] VAR247;
wire [VAR195-1:0] VAR283;
wire [VAR195-1:0] VAR228;
wire [VAR195-1:0] VAR86;
wire [VAR195-1:0] VAR180;
wire [VAR195-1:0] VAR4;
wire [VAR195-1:0] VAR194;
wire [VAR195-1:0] VAR116;
wire [VAR195-1:0] VAR227;
wire [VAR195-1:0] VAR112;
MODULE3 VAR192(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR258),
.VAR10 (VAR3),
.VAR208(VAR121)
);
MODULE3 VAR303(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR210),
.VAR10 (VAR15),
.VAR208(VAR182)
);
MODULE3 VAR231(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR76),
.VAR10 (VAR190),
.VAR208(VAR216)
);
MODULE3 VAR31(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR110),
.VAR10 (VAR176),
.VAR208(VAR80)
);
MODULE3 VAR268(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR250),
.VAR10 (VAR120),
.VAR208(VAR291)
);
MODULE3 VAR245(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR172),
.VAR10 (VAR123),
.VAR208(VAR159)
);
MODULE3 VAR203(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR139),
.VAR10 (VAR69),
.VAR208(VAR109)
);
MODULE3 VAR244(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR128),
.VAR10 (VAR132),
.VAR208(VAR58)
);
MODULE3 VAR18(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR13),
.VAR10 (VAR213),
.VAR208(VAR297)
);
MODULE3 VAR177(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR44),
.VAR10 (VAR168),
.VAR208(VAR290)
);
MODULE3 VAR300(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR129),
.VAR10 (VAR181),
.VAR208(VAR52)
);
MODULE3 VAR224(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR298),
.VAR10 (VAR225),
.VAR208(VAR104)
);
MODULE3 VAR285(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR246),
.VAR10 (VAR221),
.VAR208(VAR265)
);
MODULE3 VAR248(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR201),
.VAR10 (VAR7),
.VAR208(VAR156)
);
MODULE3 VAR28(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR61),
.VAR10 (VAR270),
.VAR208(VAR46)
);
MODULE3 VAR103(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR14),
.VAR10 (VAR279),
.VAR208(VAR11)
);
MODULE3 VAR30(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR32),
.VAR10 (VAR163),
.VAR208(VAR247)
);
MODULE3 VAR134(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR113),
.VAR10 (VAR74),
.VAR208(VAR283)
);
MODULE3 VAR236(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR19),
.VAR10 (VAR153),
.VAR208(VAR228)
);
MODULE3 VAR199(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR233),
.VAR10 (VAR105),
.VAR208(VAR86)
);
MODULE3 VAR135(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR278),
.VAR10 (VAR166),
.VAR208(VAR180)
);
MODULE3 VAR122(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR196),
.VAR10 (VAR131),
.VAR208(VAR4)
);
MODULE3 VAR29(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR100),
.VAR10 (VAR146),
.VAR208(VAR194)
);
MODULE3 VAR54(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR56),
.VAR10 (VAR232),
.VAR208(VAR116)
);
MODULE3 VAR161(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR306),
.VAR10 (VAR94),
.VAR208(VAR227)
);
MODULE3 VAR127(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR184),
.VAR10 (VAR39),
.VAR208(VAR112)
);
wire [VAR195-1:0] VAR71;
wire [VAR195-1:0] VAR294;
wire [VAR195-1:0] VAR284;
wire [VAR195-1:0] VAR108;
wire [VAR195-1:0] VAR218;
wire [VAR195-1:0] VAR2;
wire [VAR195-1:0] VAR302;
wire [VAR195-1:0] VAR288;
wire [VAR195-1:0] VAR256;
wire [VAR195-1:0] VAR167;
wire [VAR195-1:0] VAR275;
wire [VAR195-1:0] VAR82;
wire [VAR195-1:0] VAR162;
MODULE5 VAR34(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR121),
.VAR10 (VAR182),
.VAR208(VAR71)
);
MODULE5 VAR175(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR216),
.VAR10 (VAR80),
.VAR208(VAR294)
);
MODULE5 VAR17(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR291),
.VAR10 (VAR159),
.VAR208(VAR284)
);
MODULE5 VAR89(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR109),
.VAR10 (VAR58),
.VAR208(VAR108)
);
MODULE5 VAR158(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR297),
.VAR10 (VAR290),
.VAR208(VAR218)
);
MODULE5 VAR60(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR52),
.VAR10 (VAR104),
.VAR208(VAR2)
);
MODULE5 VAR147(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR265),
.VAR10 (VAR156),
.VAR208(VAR302)
);
MODULE5 VAR207(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR46),
.VAR10 (VAR11),
.VAR208(VAR288)
);
MODULE5 VAR252(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR247),
.VAR10 (VAR283),
.VAR208(VAR256)
);
MODULE5 VAR9(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR228),
.VAR10 (VAR86),
.VAR208(VAR167)
);
MODULE5 VAR115(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR180),
.VAR10 (VAR4),
.VAR208(VAR275)
);
MODULE5 VAR255(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR194),
.VAR10 (VAR116),
.VAR208(VAR82)
);
MODULE5 VAR253(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR227),
.VAR10 (VAR112),
.VAR208(VAR162)
);
wire [VAR195-1:0] VAR217;
wire [VAR195-1:0] VAR25;
wire [VAR195-1:0] VAR292;
wire [VAR195-1:0] VAR101;
wire [VAR195-1:0] VAR27;
wire [VAR195-1:0] VAR200;
wire [VAR195-1:0] VAR72;
MODULE5 VAR36(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR71),
.VAR10 (VAR294),
.VAR208(VAR217)
);
MODULE5 VAR269(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR284),
.VAR10 (VAR108),
.VAR208(VAR25)
);
MODULE5 VAR106(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR218),
.VAR10 (VAR2),
.VAR208(VAR292)
);
MODULE5 VAR304(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR302),
.VAR10 (VAR288),
.VAR208(VAR101)
);
MODULE5 VAR276(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR256),
.VAR10 (VAR167),
.VAR208(VAR27)
);
MODULE5 VAR215(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR275),
.VAR10 (VAR82),
.VAR208(VAR200)
);
MODULE2 VAR73(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR162),
.VAR208(VAR72)
);
wire [VAR195-1:0] VAR178;
wire [VAR195-1:0] VAR35;
wire [VAR195-1:0] VAR187;
wire [VAR195-1:0] VAR226;
MODULE5 VAR212(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR217),
.VAR10 (VAR25),
.VAR208(VAR178)
);
MODULE5 VAR53(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR292),
.VAR10 (VAR101),
.VAR208(VAR35)
);
MODULE5 VAR6(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR27),
.VAR10 (VAR200),
.VAR208(VAR187)
);
MODULE2 VAR118(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR72),
.VAR208(VAR226)
);
wire [VAR195-1:0] VAR148;
wire [VAR195-1:0] VAR124;
MODULE5 VAR267(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR178),
.VAR10 (VAR35),
.VAR208(VAR148)
);
MODULE5 VAR119(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR187),
.VAR10 (VAR226),
.VAR208(VAR124)
);
wire [VAR195-1:0] VAR87;
MODULE5 VAR62(
.clk(clk), .VAR198(VAR198),
.VAR296 (VAR148),
.VAR10 (VAR124),
.VAR208(VAR87)
);
assign VAR281 = VAR87;
assign VAR219 = VAR79[VAR205-1];
endmodule
module MODULE1 (
clk,
VAR198,
VAR235,
VAR84,
VAR174,
VAR189,
VAR249,
VAR20,
VAR171,
VAR143,
VAR50,
VAR41,
VAR64,
VAR130,
VAR229,
VAR274,
VAR85,
VAR230,
VAR272,
VAR262,
VAR40,
VAR88,
VAR65,
VAR197,
VAR264,
VAR287,
VAR280,
VAR99,
VAR220,
VAR211,
VAR23,
VAR222,
VAR83,
VAR183,
VAR185,
VAR234,
VAR160,
VAR49,
VAR67,
VAR96,
VAR144,
VAR266,
VAR206,
VAR33,
VAR57,
VAR170,
VAR21,
VAR140,
VAR204,
VAR63,
VAR173,
VAR251,
VAR240,
VAR257,
reset);
parameter VAR125 = 1;
input clk;
input VAR198;
input [VAR125-1:0] VAR235;
output [VAR125-1:0] VAR84;
output [VAR125-1:0] VAR174;
output [VAR125-1:0] VAR189;
output [VAR125-1:0] VAR249;
output [VAR125-1:0] VAR20;
output [VAR125-1:0] VAR171;
output [VAR125-1:0] VAR143;
output [VAR125-1:0] VAR50;
output [VAR125-1:0] VAR41;
output [VAR125-1:0] VAR64;
output [VAR125-1:0] VAR130;
output [VAR125-1:0] VAR229;
output [VAR125-1:0] VAR274;
output [VAR125-1:0] VAR85;
output [VAR125-1:0] VAR230;
output [VAR125-1:0] VAR272;
output [VAR125-1:0] VAR262;
output [VAR125-1:0] VAR40;
output [VAR125-1:0] VAR88;
output [VAR125-1:0] VAR65;
output [VAR125-1:0] VAR197;
output [VAR125-1:0] VAR264;
output [VAR125-1:0] VAR287;
output [VAR125-1:0] VAR280;
output [VAR125-1:0] VAR99;
output [VAR125-1:0] VAR220;
output [VAR125-1:0] VAR211;
output [VAR125-1:0] VAR23;
output [VAR125-1:0] VAR222;
output [VAR125-1:0] VAR83;
output [VAR125-1:0] VAR183;
output [VAR125-1:0] VAR185;
output [VAR125-1:0] VAR234;
output [VAR125-1:0] VAR160;
output [VAR125-1:0] VAR49;
output [VAR125-1:0] VAR67;
output [VAR125-1:0] VAR96;
output [VAR125-1:0] VAR144;
output [VAR125-1:0] VAR266;
output [VAR125-1:0] VAR206;
output [VAR125-1:0] VAR33;
output [VAR125-1:0] VAR57;
output [VAR125-1:0] VAR170;
output [VAR125-1:0] VAR21;
output [VAR125-1:0] VAR140;
output [VAR125-1:0] VAR204;
output [VAR125-1:0] VAR63;
output [VAR125-1:0] VAR173;
output [VAR125-1:0] VAR251;
output [VAR125-1:0] VAR240;
output [VAR125-1:0] VAR257;
reg [VAR125-1:0] VAR84;
reg [VAR125-1:0] VAR174;
reg [VAR125-1:0] VAR189;
reg [VAR125-1:0] VAR249;
reg [VAR125-1:0] VAR20;
reg [VAR125-1:0] VAR171;
reg [VAR125-1:0] VAR143;
reg [VAR125-1:0] VAR50;
reg [VAR125-1:0] VAR41;
reg [VAR125-1:0] VAR64;
reg [VAR125-1:0] VAR130;
reg [VAR125-1:0] VAR229;
reg [VAR125-1:0] VAR274;
reg [VAR125-1:0] VAR85;
reg [VAR125-1:0] VAR230;
reg [VAR125-1:0] VAR272;
reg [VAR125-1:0] VAR262;
reg [VAR125-1:0] VAR40;
reg [VAR125-1:0] VAR88;
reg [VAR125-1:0] VAR65;
reg [VAR125-1:0] VAR197;
reg [VAR125-1:0] VAR264;
reg [VAR125-1:0] VAR287;
reg [VAR125-1:0] VAR280;
reg [VAR125-1:0] VAR99;
reg [VAR125-1:0] VAR220;
reg [VAR125-1:0] VAR211;
reg [VAR125-1:0] VAR23;
reg [VAR125-1:0] VAR222;
reg [VAR125-1:0] VAR83;
reg [VAR125-1:0] VAR183;
reg [VAR125-1:0] VAR185;
reg [VAR125-1:0] VAR234;
reg [VAR125-1:0] VAR160;
reg [VAR125-1:0] VAR49;
reg [VAR125-1:0] VAR67;
reg [VAR125-1:0] VAR96;
reg [VAR125-1:0] VAR144;
reg [VAR125-1:0] VAR266;
reg [VAR125-1:0] VAR206;
reg [VAR125-1:0] VAR33;
reg [VAR125-1:0] VAR57;
reg [VAR125-1:0] VAR170;
reg [VAR125-1:0] VAR21;
reg [VAR125-1:0] VAR140;
reg [VAR125-1:0] VAR204;
reg [VAR125-1:0] VAR63;
reg [VAR125-1:0] VAR173;
reg [VAR125-1:0] VAR251;
reg [VAR125-1:0] VAR240;
reg [VAR125-1:0] VAR257;
input reset;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR84 <= 0;
VAR174 <= 0;
VAR189 <= 0;
VAR249 <= 0;
VAR20 <= 0;
VAR171 <= 0;
VAR143 <= 0;
VAR50 <= 0;
VAR41 <= 0;
VAR64 <= 0;
VAR130 <= 0;
VAR229 <= 0;
VAR274 <= 0;
VAR85 <= 0;
VAR230 <= 0;
VAR272 <= 0;
VAR262 <= 0;
VAR40 <= 0;
VAR88 <= 0;
VAR65 <= 0;
VAR197 <= 0;
VAR264 <= 0;
VAR287 <= 0;
VAR280 <= 0;
VAR99 <= 0;
VAR220 <= 0;
VAR211 <= 0;
VAR23 <= 0;
VAR222 <= 0;
VAR83 <= 0;
VAR183 <= 0;
VAR185 <= 0;
VAR234 <= 0;
VAR160 <= 0;
VAR49 <= 0;
VAR67 <= 0;
VAR96 <= 0;
VAR144 <= 0;
VAR266 <= 0;
VAR206 <= 0;
VAR33 <= 0;
VAR57 <= 0;
VAR170 <= 0;
VAR21 <= 0;
VAR140 <= 0;
VAR204 <= 0;
VAR63 <= 0;
VAR173 <= 0;
VAR251 <= 0;
VAR240 <= 0;
VAR257 <= 0;
end else begin
if(VAR198) begin
VAR84 <= VAR235;
VAR174 <= VAR84;
VAR189 <= VAR174;
VAR249 <= VAR189;
VAR20 <= VAR249;
VAR171 <= VAR20;
VAR143 <= VAR171;
VAR50 <= VAR143;
VAR41 <= VAR50;
VAR64 <= VAR41;
VAR130 <= VAR64;
VAR229 <= VAR130;
VAR274 <= VAR229;
VAR85 <= VAR274;
VAR230 <= VAR85;
VAR272 <= VAR230;
VAR262 <= VAR272;
VAR40 <= VAR262;
VAR88 <= VAR40;
VAR65 <= VAR88;
VAR197 <= VAR65;
VAR264 <= VAR197;
VAR287 <= VAR264;
VAR280 <= VAR287;
VAR99 <= VAR280;
VAR220 <= VAR99;
VAR211 <= VAR220;
VAR23 <= VAR211;
VAR222 <= VAR23;
VAR83 <= VAR222;
VAR183 <= VAR83;
VAR185 <= VAR183;
VAR234 <= VAR185;
VAR160 <= VAR234;
VAR49 <= VAR160;
VAR67 <= VAR49;
VAR96 <= VAR67;
VAR144 <= VAR96;
VAR266 <= VAR144;
VAR206 <= VAR266;
VAR33 <= VAR206;
VAR57 <= VAR33;
VAR170 <= VAR57;
VAR21 <= VAR170;
VAR140 <= VAR21;
VAR204 <= VAR140;
VAR63 <= VAR204;
VAR173 <= VAR63;
VAR251 <= VAR173;
VAR240 <= VAR251;
VAR257 <= VAR240;
end end
end
endmodule
module MODULE5 (
clk,
VAR198,
VAR296,
VAR10,
VAR208);
input clk;
input VAR198;
input [17:0] VAR296;
input [17:0] VAR10;
output [17:0] VAR208;
reg [17:0] VAR208;
always @(posedge clk) begin
if(VAR198) begin
VAR208 <= VAR296 + VAR10;
end
end
endmodule
module MODULE3 (
clk,
VAR198,
VAR296,
VAR10,
VAR208);
input clk;
input VAR198;
input [17:0] VAR296;
input [17:0] VAR10;
output [17:0] VAR208;
reg [17:0] VAR208;
always @(posedge clk) begin
if(VAR198) begin
VAR208 <= VAR296 * VAR10;
end
end
endmodule
module MODULE2 (
clk,
VAR198,
VAR296,
VAR208);
input clk;
input VAR198;
input [17:0] VAR296;
output [17:0] VAR208;
reg [17:0] VAR208;
always @(posedge clk) begin
if(VAR198) begin
VAR208 <= VAR296;
end
end
endmodule | mit |
olajep/oh | src/adi/hdl/library/axi_dmac/splitter.v | 2,339 | module MODULE1 #(
parameter VAR6 = 2)(
input clk,
input VAR2,
input VAR4,
output VAR7,
output [VAR6-1:0] VAR5,
input [VAR6-1:0] VAR3
);
reg [VAR6-1:0] VAR1;
assign VAR7 = &(VAR3 | VAR1);
assign VAR5 = VAR4 ? ~VAR1 : {VAR6{1'b0}};
always @(posedge clk)
begin
if (VAR2 == 1'b0) begin
VAR1 <= {VAR6{1'b0}};
end else begin
if (VAR4 & VAR7)
VAR1 <= {VAR6{1'b0}};
end
else
VAR1 <= VAR1 | (VAR3 & VAR5);
end
end
endmodule | mit |
Elphel/x353 | control/twelve_ios.v | 7,782 | module MODULE1 (VAR17, VAR52, VAR28, VAR46, VAR43, VAR44, VAR48, VAR55, VAR23, VAR19, VAR42); input VAR17;
input VAR52;
input [15:0] VAR28;
output [11:0] VAR46;
output [11:0] VAR43;
input [11:0] VAR44;
input [11:0] VAR48;
input [11:0] VAR55;
input [11:0] VAR23;
input [11:0] VAR19;
input [11:0] VAR42;
wire [11:0] VAR39; wire [11:0] VAR37; wire [ 3:0] VAR41; reg VAR45;
reg VAR11;
reg [31:0] VAR22;
wire [11:0] VAR9;
wire [11:0] VAR13;
wire [11:0] VAR5;
wire [11:0] VAR15;
assign VAR15[11:0]= VAR42[11:0] & {12{VAR41[3]}};
assign VAR5[11:0]= VAR23[11:0] & {12{VAR41[2]}} & ~VAR15[11:0];
assign VAR13[11:0]= VAR48[11:0] & {12{VAR41[1]}} & ~VAR15[11:0] & ~VAR5[11:0];
assign VAR9[11:0]= VAR37[11:0] & {12{VAR41[0]}} & ~VAR15[11:0] & ~VAR5[11:0] & ~VAR13[11:0];
assign VAR46[11:0]=(VAR15[11:0] & VAR19[11:0]) |
(VAR5[11:0] & VAR55[11:0]) |
(VAR13[11:0] & VAR44[11:0]) |
(VAR9[11:0] & VAR39[11:0]);
assign VAR43[11:0]=~(VAR15[11:0] | VAR5[11:0] | VAR13[11:0] | VAR9[11:0]);
VAR1 VAR54 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 0] | VAR22[ 1])), .VAR24( ~VAR22[ 0] ), .VAR34(VAR39[ 0]));
VAR1 VAR47 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 2] | VAR22[ 3])), .VAR24( ~VAR22[ 2] ), .VAR34(VAR39[ 1]));
VAR1 VAR33 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 4] | VAR22[ 5])), .VAR24( ~VAR22[ 4] ), .VAR34(VAR39[ 2]));
VAR1 VAR4 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 6] | VAR22[ 7])), .VAR24( ~VAR22[ 6] ), .VAR34(VAR39[ 3]));
VAR1 VAR14 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 8] | VAR22[ 9])), .VAR24( ~VAR22[ 8] ), .VAR34(VAR39[ 4]));
VAR1 VAR49 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[10] | VAR22[11])), .VAR24( ~VAR22[10] ), .VAR34(VAR39[ 5]));
VAR1 VAR2 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[12] | VAR22[13])), .VAR24( ~VAR22[12] ), .VAR34(VAR39[ 6]));
VAR1 VAR30 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[14] | VAR22[15])), .VAR24( ~VAR22[14] ), .VAR34(VAR39[ 7]));
VAR1 VAR6 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[16] | VAR22[17])), .VAR24( ~VAR22[16] ), .VAR34(VAR39[ 8]));
VAR1 VAR20 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[18] | VAR22[19])), .VAR24( ~VAR22[18] ), .VAR34(VAR39[ 9]));
VAR1 VAR38 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[20] | VAR22[21])), .VAR24( ~VAR22[20] ), .VAR34(VAR39[10]));
VAR1 VAR29 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[22] | VAR22[23])), .VAR24( ~VAR22[22] ), .VAR34(VAR39[11]));
VAR1 VAR27 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 0] | VAR22[ 1])), .VAR24(~(VAR22[ 1] & VAR22[ 0])), .VAR34(VAR37[ 0]));
VAR1 VAR16 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 2] | VAR22[ 3])), .VAR24(~(VAR22[ 3] & VAR22[ 2])), .VAR34(VAR37[ 1]));
VAR1 VAR26 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 4] | VAR22[ 5])), .VAR24(~(VAR22[ 5] & VAR22[ 4])), .VAR34(VAR37[ 2]));
VAR1 VAR12 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 6] | VAR22[ 7])), .VAR24(~(VAR22[ 7] & VAR22[ 6])), .VAR34(VAR37[ 3]));
VAR1 VAR40 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[ 8] | VAR22[ 9])), .VAR24(~(VAR22[ 9] & VAR22[ 8])), .VAR34(VAR37[ 4]));
VAR1 VAR36 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[10] | VAR22[11])), .VAR24(~(VAR22[11] & VAR22[10])), .VAR34(VAR37[ 5]));
VAR1 VAR18 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[12] | VAR22[13])), .VAR24(~(VAR22[13] & VAR22[12])), .VAR34(VAR37[ 6]));
VAR1 VAR51 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[14] | VAR22[15])), .VAR24(~(VAR22[15] & VAR22[14])), .VAR34(VAR37[ 7]));
VAR1 VAR21 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[16] | VAR22[17])), .VAR24(~(VAR22[17] & VAR22[16])), .VAR34(VAR37[ 8]));
VAR1 VAR50 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[18] | VAR22[19])), .VAR24(~(VAR22[19] & VAR22[18])), .VAR34(VAR37[ 9]));
VAR1 VAR25 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[20] | VAR22[21])), .VAR24(~(VAR22[21] & VAR22[20])), .VAR34(VAR37[10]));
VAR1 VAR32 (.VAR31(VAR17), .VAR35(VAR11 & (VAR22[22] | VAR22[23])), .VAR24(~(VAR22[23] & VAR22[22])), .VAR34(VAR37[11]));
VAR1 #(.VAR3(1'b1)) VAR8 (.VAR31(VAR17), .VAR35(VAR11 & VAR22[25]), .VAR24(VAR22[24]), .VAR34(VAR41[ 0]));
VAR1 #(.VAR3(1'b0)) VAR53 (.VAR31(VAR17), .VAR35(VAR11 & VAR22[27]), .VAR24(VAR22[26]), .VAR34(VAR41[ 1]));
VAR1 #(.VAR3(1'b0)) VAR7 (.VAR31(VAR17), .VAR35(VAR11 & VAR22[29]), .VAR24(VAR22[28]), .VAR34(VAR41[ 2]));
VAR1 #(.VAR3(1'b0)) VAR10 (.VAR31(VAR17), .VAR35(VAR11 & VAR22[31]), .VAR24(VAR22[30]), .VAR34(VAR41[ 3]));
always @ (negedge VAR17) begin
VAR45 <= VAR52;
VAR11 <=VAR45;
if (VAR52) VAR22[15: 0] <= VAR28[15:0];
if (VAR45) VAR22[31:16] <= VAR28[15:0];
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/nor4b/sky130_fd_sc_ms__nor4b.symbol.v | 1,323 | module MODULE1 (
input VAR8 ,
input VAR3 ,
input VAR4 ,
input VAR1,
output VAR6
);
supply1 VAR5;
supply0 VAR9;
supply1 VAR7 ;
supply0 VAR2 ;
endmodule | apache-2.0 |
mzakharo/usb-de2-fpga | support/DE2_NIOS_DEVICE_LED/HW/switch_pio.v | 1,872 | module MODULE1 (
address,
clk,
VAR4,
VAR2,
VAR3
)
;
output [ 31: 0] VAR3;
input [ 1: 0] address;
input clk;
input [ 17: 0] VAR4;
input VAR2;
wire VAR5;
wire [ 17: 0] VAR1;
wire [ 17: 0] VAR6;
reg [ 31: 0] VAR3;
assign VAR5 = 1;
assign VAR6 = {18 {(address == 0)}} & VAR1;
always @(posedge clk or negedge VAR2)
begin
if (VAR2 == 0)
VAR3 <= 0;
end
else if (VAR5)
VAR3 <= {{{32 - 18}{1'b0}},VAR6};
end
assign VAR1 = VAR4;
endmodule | gpl-3.0 |
vipinkmenon/fpgadriver | src/hw/fpga/source/memory_if/mig_7series_v1_8_ui_wr_data.v | 21,329 | module MODULE1 #
(
parameter VAR84 = 100,
parameter VAR12 = 256,
parameter VAR107 = 32,
parameter VAR50 = "VAR90",
parameter VAR88 = 2 ,
parameter VAR70 = "VAR90",
parameter VAR75 = 5
)
(
VAR1, VAR31, VAR27, VAR68, VAR99,
VAR4,
rst, clk, VAR93, VAR47, VAR16, VAR7,
VAR79, VAR38, VAR71, VAR94, VAR108,
VAR69, VAR43
);
input rst;
input clk;
input [VAR12-1:0] VAR93;
input [VAR107-1:0] VAR47;
input [2*VAR88-1:0] VAR16;
input VAR7;
input VAR79;
reg [VAR12-1:0] VAR95;
reg [VAR107-1:0] VAR105;
reg [2*VAR88-1:0] VAR46 = 4'b0;
reg VAR67;
reg VAR82;
reg VAR72;
reg VAR29;
reg VAR76;
reg VAR102;
reg VAR44;
wire [VAR12-1:0] VAR24 =
~VAR76 ? VAR95 : VAR93;
wire [VAR107-1:0] VAR51 =
~VAR76 ? VAR105 : VAR47;
wire VAR13 =
~rst && (~VAR76 ? VAR67 : VAR7);
wire VAR89 =
~rst && (~VAR76 ? VAR82 : VAR79);
generate
if (VAR70 != "VAR90") begin : VAR36
always @(VAR16) VAR46 = VAR16;
end
endgenerate
always @(posedge clk) begin
end
input VAR38;
input [3:0] VAR71;
reg VAR53;
reg [3:0] VAR91;
generate
if (VAR50 == "VAR90" || VAR75 >= 0) begin : VAR63
always @(VAR38) VAR53 = VAR38;
always @(VAR71) VAR91 = VAR71;
end
else begin : VAR5
end
endgenerate
input VAR94;
wire VAR61 = VAR94 && ~VAR53;
reg [3:0] VAR59;
reg VAR37;
generate begin : VAR73
reg [3:0] VAR18;
always @(VAR61 or VAR59 or rst) begin
VAR18 = VAR59;
if (rst) VAR18 = 5'b0;
end
else if (VAR61) VAR18 = VAR59 + 5'h1;
end
end
endgenerate
input VAR108;
reg [3:0] VAR54;
generate begin : VAR41
reg [3:0] VAR52;
always @(VAR54 or rst or VAR108) begin
VAR52 = VAR54;
if (rst) VAR52 = 4'b0;
end
else if (VAR108) VAR52 =
VAR54 + 4'h1;
end
end
endgenerate
wire VAR100;
always @( posedge clk ) begin
end
wire VAR110 = VAR82 && VAR29 && VAR67;
wire [3:0] VAR3;
wire [4:0] VAR96;
wire [4:0] VAR58;
reg [3:0] VAR62;
generate begin : VAR92
wire VAR30 = (VAR110 && VAR100) ||
(VAR37 && ~VAR29);
reg [3:0] VAR106;
always @(rst or VAR30 or VAR62) begin
VAR106 = VAR62;
if (rst) VAR106 = 4'b1;
end
else if (VAR30) VAR106 = VAR62 + 4'h1;
end
reg [4:1] VAR10;
reg [4:1] VAR45;
always @(rst or VAR45 or VAR30
or VAR3) begin
VAR10 = VAR45;
if (rst) VAR10 = 4'b0;
end
else if (VAR30) VAR10 = VAR3;
end
reg VAR87;
wire VAR111 = ~rst &&
((VAR102 && VAR67 && ~VAR82) ||
(VAR87 && ~VAR67));
assign VAR96 = {VAR45, VAR87};
assign VAR58 = {VAR10, VAR111};
end
endgenerate
input VAR69;
output wire VAR1;
generate begin : VAR14
reg [15:0] VAR22;
always @(posedge clk) begin
if ( rst )
end
else case ({VAR110, VAR37})
endcase end
assign VAR100 = !(rst || ~VAR69 || (VAR22[14] && VAR110 && ~VAR37) || (VAR22[15] && ~VAR37));
assign VAR1 = VAR72;
VAR40: cover property (@(posedge clk)
(~rst && ~VAR72));
end endgenerate
output wire VAR31;
generate begin : VAR86
reg [4:0] VAR6;
reg [4:0] VAR35;
always @(VAR37 or rst or VAR108
or VAR35) begin
VAR6 = VAR35;
if (rst) VAR6 = 5'b0;
end
else case ({VAR108, VAR37})
2'b01 : VAR6 = VAR35 - 5'b1;
2'b10 : VAR6 = VAR35 + 5'b1;
endcase end
assign VAR31 = (VAR6 == 5'h10);
VAR85: cover property (@(posedge clk) (~rst && VAR31));
VAR11: cover property (@(posedge clk)
(~rst && VAR108 && VAR37 && (VAR35 == 5'hf)));
VAR25: assert property (@(posedge clk)
(rst || !((VAR35 == 5'b0) && (VAR6 == 5'h1f))));
VAR101: assert property (@(posedge clk)
(rst || !((VAR35 == 5'h10) && (VAR6 == 5'h11))));
end endgenerate
input [3:0] VAR43;
output wire [3:0] VAR27;
localparam VAR20 = 2;
generate begin : VAR34
wire VAR55 = VAR61 || ~VAR69;
wire [3:0] VAR15 = VAR69
? VAR91
: VAR43;
wire [3:0] VAR97 = VAR69
? VAR59
: VAR43;
genvar VAR83;
for (VAR83=0; VAR83<VAR20; VAR83=VAR83+1) begin : VAR17
VAR21
.VAR49(64'h0000000000000000),
.VAR23(64'h0000000000000000),
.VAR78(64'h0000000000000000)
) VAR57 (
.VAR39(),
.VAR98(VAR27[VAR83*2+:2]),
.VAR60(VAR3[VAR83*2+:2]),
.VAR2(),
.VAR56(2'b0),
.VAR77(VAR15[VAR83*2+:2]),
.VAR66(VAR15[VAR83*2+:2]),
.VAR48(2'b0),
.VAR32(5'b0),
.VAR104({1'b0, VAR54}),
.VAR74({1'b0, VAR62}),
.VAR8({1'b0, VAR97}),
.VAR33(VAR55),
.VAR28(clk)
);
end end endgenerate
localparam VAR9 =
VAR12 + VAR107 + (VAR70 == "VAR90" ? 0 : 2*VAR88);
localparam VAR103 = (VAR9/6);
localparam VAR80 = VAR9 % 6;
localparam VAR109 = VAR103 + ((VAR80 == 0 ) ? 0 : 1);
localparam VAR65 = (VAR109*6);
wire [VAR65-1:0] VAR19;
reg [VAR65-1:0] VAR26;
generate
begin : VAR64
wire [VAR65-1:0] VAR112;
if (VAR80 == 0)
if (VAR70 == "VAR90")
assign VAR112 = {VAR51, VAR24};
end
else
assign VAR112 =
{VAR46, VAR51, VAR24};
else
if (VAR70 == "VAR90")
assign VAR112 =
{{6-VAR80{1'b0}}, VAR51, VAR24};
else
assign VAR112 = {{6-VAR80{1'b0}}, VAR46, VAR51, VAR24};
wire [4:0] VAR81;
assign VAR81 = {VAR71, VAR38};
genvar VAR83;
for (VAR83=0; VAR83<VAR109; VAR83=VAR83+1) begin : VAR42
VAR21
.VAR49(64'h0000000000000000),
.VAR23(64'h0000000000000000),
.VAR78(64'h0000000000000000)
) VAR57 (
.VAR39(VAR19[((VAR83*6)+4)+:2]),
.VAR98(VAR19[((VAR83*6)+2)+:2]),
.VAR60(VAR19[((VAR83*6)+0)+:2]),
.VAR2(),
.VAR56(VAR112[((VAR83*6)+4)+:2]),
.VAR77(VAR112[((VAR83*6)+2)+:2]),
.VAR66(VAR112[((VAR83*6)+0)+:2]),
.VAR48(2'b0),
.VAR32(VAR81),
.VAR104(VAR81),
.VAR74(VAR81),
.VAR8(VAR58),
.VAR33(VAR100),
.VAR28(clk)
);
end end
endgenerate
output [VAR12-1:0] VAR68;
output [VAR107-1:0] VAR99;
assign {VAR99, VAR68} = VAR26[VAR9-1:0];
output [2*VAR88-1:0] VAR4;
generate
if (VAR70 == "VAR90") assign VAR4 = {2*VAR88{1'b0}};
else assign VAR4 = VAR26[VAR9-1-:4];
endgenerate
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nor3/sky130_fd_sc_hd__nor3.pp.blackbox.v | 1,321 | module MODULE1 (
VAR4 ,
VAR1 ,
VAR6 ,
VAR2 ,
VAR5,
VAR8,
VAR3 ,
VAR7
);
output VAR4 ;
input VAR1 ;
input VAR6 ;
input VAR2 ;
input VAR5;
input VAR8;
input VAR3 ;
input VAR7 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/lsbufhv2hv_hl/sky130_fd_sc_hvl__lsbufhv2hv_hl.symbol.v | 1,424 | module MODULE1 (
input VAR5,
output VAR6
);
supply1 VAR4 ;
supply0 VAR2 ;
supply1 VAR1;
supply1 VAR3 ;
supply0 VAR7 ;
endmodule | apache-2.0 |
alexforencich/verilog-ethernet | rtl/eth_phy_10g.v | 4,260 | module MODULE1 #
(
parameter VAR7 = 64,
parameter VAR13 = (VAR7/8),
parameter VAR2 = 2,
parameter VAR19 = 0,
parameter VAR24 = 0,
parameter VAR22 = 0,
parameter VAR39 = 0,
parameter VAR30 = 0,
parameter VAR6 = 1,
parameter VAR14 = 8,
parameter VAR20 = 125000/6.4
)
(
input wire VAR1,
input wire VAR27,
input wire VAR33,
input wire VAR31,
input wire [VAR7-1:0] VAR16,
input wire [VAR13-1:0] VAR38,
output wire [VAR7-1:0] VAR3,
output wire [VAR13-1:0] VAR36,
output wire [VAR7-1:0] VAR29,
output wire [VAR2-1:0] VAR11,
input wire [VAR7-1:0] VAR12,
input wire [VAR2-1:0] VAR15,
output wire VAR37,
output wire VAR23,
output wire VAR21,
output wire [6:0] VAR34,
output wire VAR26,
output wire VAR32,
output wire VAR10,
output wire VAR28,
output wire VAR25,
input wire VAR4,
input wire VAR8
);
VAR5 #(
.VAR7(VAR7),
.VAR13(VAR13),
.VAR2(VAR2),
.VAR19(VAR19),
.VAR24(VAR24),
.VAR22(VAR22),
.VAR35(VAR30),
.VAR6(VAR6),
.VAR14(VAR14),
.VAR20(VAR20)
)
VAR9 (
.clk(VAR1),
.rst(VAR27),
.VAR3(VAR3),
.VAR36(VAR36),
.VAR12(VAR12),
.VAR15(VAR15),
.VAR37(VAR37),
.VAR23(VAR23),
.VAR34(VAR34),
.VAR26(VAR26),
.VAR32(VAR32),
.VAR10(VAR10),
.VAR28(VAR28),
.VAR25(VAR25),
.VAR8(VAR8)
);
VAR18 #(
.VAR7(VAR7),
.VAR13(VAR13),
.VAR2(VAR2),
.VAR19(VAR19),
.VAR24(VAR24),
.VAR22(VAR22),
.VAR35(VAR39)
)
VAR17 (
.clk(VAR33),
.rst(VAR31),
.VAR16(VAR16),
.VAR38(VAR38),
.VAR29(VAR29),
.VAR11(VAR11),
.VAR21(VAR21),
.VAR4(VAR4)
);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sleep_pargate_plv/sky130_fd_sc_lp__sleep_pargate_plv.pp.symbol.v | 1,268 | module MODULE1 (
input VAR4 ,
output VAR5,
input VAR1 ,
input VAR3 ,
input VAR2
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/a21boi/sky130_fd_sc_hd__a21boi.functional.pp.v | 2,172 | module MODULE1 (
VAR14 ,
VAR16 ,
VAR5 ,
VAR8,
VAR4,
VAR18,
VAR3 ,
VAR1
);
output VAR14 ;
input VAR16 ;
input VAR5 ;
input VAR8;
input VAR4;
input VAR18;
input VAR3 ;
input VAR1 ;
wire VAR17 ;
wire VAR10 ;
wire VAR15 ;
wire VAR12;
not VAR7 (VAR17 , VAR8 );
and VAR13 (VAR10 , VAR16, VAR5 );
nor VAR11 (VAR15 , VAR17, VAR10 );
VAR2 VAR6 (VAR12, VAR15, VAR4, VAR18);
buf VAR9 (VAR14 , VAR12 );
endmodule | apache-2.0 |
UCLONG/NetEmulation | BEE3_top/C3D_original_code/b2b/src/aur1_transceiver_tile.v | 37,018 | module MODULE1 #
(
parameter VAR189 = "VAR351", parameter VAR304 = 0, parameter VAR259 = 9'h140,
parameter VAR220 = "VAR162", parameter VAR331 = 0,
parameter VAR52 = "VAR162", parameter VAR225 = 0 )
(
VAR300,
VAR443,
VAR418,
VAR112,
VAR251,
VAR62,
VAR55,
VAR335,
VAR229,
VAR3,
VAR285,
VAR277,
VAR339,
VAR385,
VAR356,
VAR388,
VAR372,
VAR393,
VAR450,
VAR66,
VAR34,
VAR477,
VAR391,
VAR401,
VAR194,
VAR399,
VAR113,
VAR157,
VAR99,
VAR338,
VAR286,
VAR130,
VAR271,
VAR392,
VAR441,
VAR204,
VAR364,
VAR369,
VAR190,
VAR57,
VAR45,
VAR409,
VAR359,
VAR291,
VAR451,
VAR244,
VAR232,
VAR290,
VAR249,
VAR129,
VAR21,
VAR428,
VAR181,
VAR96,
VAR260,
VAR234,
VAR311,
VAR10,
VAR340,
VAR76,
VAR69,
VAR405,
VAR362,
VAR412,
VAR81,
VAR91,
VAR380,
VAR134,
VAR210,
VAR51,
VAR156,
VAR431,
VAR386,
VAR38,
VAR13,
VAR478,
VAR296,
VAR8,
VAR58,
VAR397,
VAR315,
VAR408,
VAR98,
VAR199,
VAR239,
VAR22,
VAR316,
VAR278,
VAR367,
VAR283,
VAR48
);
input [2:0] VAR300;
input [2:0] VAR443;
input [1:0] VAR418;
input [1:0] VAR112;
input [1:0] VAR251;
input [1:0] VAR62;
output [1:0] VAR55;
output [1:0] VAR335;
output [1:0] VAR229;
output [1:0] VAR3;
output [1:0] VAR285;
output [1:0] VAR277;
output [1:0] VAR339;
output [1:0] VAR385;
output VAR356;
output VAR388;
input [2:0] VAR372;
input [2:0] VAR393;
output [2:0] VAR450;
output [2:0] VAR66;
input VAR34;
input VAR477;
output [2:0] VAR391;
output [2:0] VAR401;
output VAR194;
output VAR399;
input VAR113;
input VAR157;
input VAR99;
input VAR338;
output [15:0] VAR286;
output [15:0] VAR130;
input VAR271;
input VAR392;
input VAR441;
input VAR204;
input VAR364;
input VAR369;
input VAR190;
input VAR57;
input VAR45;
input VAR409;
output VAR359;
output VAR291;
input VAR451;
input VAR244;
input VAR232;
input VAR290;
input VAR249;
input VAR129;
input VAR21;
input VAR428;
output [2:0] VAR181;
output [2:0] VAR96;
output [1:0] VAR260;
output [1:0] VAR234;
output VAR311;
output VAR10;
output VAR340;
output VAR76;
input VAR69;
input VAR405;
input VAR362;
input VAR412;
input VAR81;
input VAR91;
output VAR380;
output VAR134;
output VAR210;
output VAR51;
input VAR156;
input VAR431;
input VAR386;
input [1:0] VAR38;
input [1:0] VAR13;
input [15:0] VAR478;
input [15:0] VAR296;
output VAR8;
output VAR58;
input VAR397;
input VAR315;
input VAR408;
input VAR98;
input VAR199;
input VAR239;
output VAR22;
output VAR316;
output VAR278;
output VAR367;
input [2:0] VAR48;
input [2:0] VAR283;
wire VAR19;
wire [63:0] VAR382;
wire VAR240;
wire [63:0] VAR90;
wire [15:0] VAR49;
wire [15:0] VAR406;
wire [15:0] VAR241;
wire [15:0] VAR460;
wire [2:0] VAR125;
wire VAR32;
wire VAR140;
wire VAR479;
wire VAR238;
wire [2:0] VAR261;
wire VAR459;
wire VAR279;
wire VAR439;
wire VAR192;
wire VAR161;
assign VAR19 = 1'b0;
assign VAR382 = 64'h0000000000000000;
assign VAR240 = 1'b1;
assign VAR90 = 64'hffffffffffffffff;
VAR243 #
(
.VAR124 ("VAR246"),
.VAR29 ("VAR246"),
.VAR142 (VAR189),
.VAR349 (VAR304),
.VAR23 (VAR259),
.VAR413 (10),
.VAR56 ("VAR246"),
.VAR101 (6),
.VAR136 ("VAR18"),
.VAR347 (2),
.VAR145 (1),
.VAR345 (1),
.VAR403 (1),
.VAR336 ("VAR246"),
.VAR476 ("VAR420"),
.VAR302 (5'b00000),
.VAR191 ("VAR246"),
.VAR54 ("VAR420"),
.VAR384 (5'b00000),
.VAR237 (1),
.VAR139 (1),
.VAR312 ("VAR246"),
.VAR75 ("VAR246"),
.VAR203 (4'b1111),
.VAR475 (4'b1111),
.VAR343 ("VAR246"),
.VAR84 (3'b001),
.VAR466 (27'h6c07640),
.VAR159 (25'h09f0088),
.VAR148 ("VAR18"),
.VAR323 ("VAR18"),
.VAR297 ("VAR18"),
.VAR252 (50),
.VAR269 ("VAR246"),
.VAR321 (3'b001),
.VAR117 (27'h6c07640),
.VAR120 (25'h09f0088),
.VAR320 ("VAR18"),
.VAR368 ("VAR18"),
.VAR226 ("VAR18"),
.VAR35 (50),
.VAR327 (28'h1680a0e),
.VAR293 (5'b10100),
.VAR118 ("VAR18"),
.VAR242 (1),
.VAR60 ("VAR18"),
.VAR231 (1),
.VAR201 ("VAR18"),
.VAR65 (32'h00000001),
.VAR456 (32'h00000001),
.VAR442 (2),
.VAR213 (10'b1111111111),
.VAR212 ("VAR18"),
.VAR71 ("VAR246"),
.VAR322 ("VAR246"),
.VAR93 ("VAR18"),
.VAR471 (10'b1010000011),
.VAR166 ("VAR246"),
.VAR344 (10'b0101111100),
.VAR132 ("VAR246"),
.VAR175 ("VAR270"),
.VAR453 (2),
.VAR375 (10'b1111111111),
.VAR207 ("VAR18"),
.VAR83 ("VAR246"),
.VAR417 ("VAR246"),
.VAR353 ("VAR18"),
.VAR64 (10'b1010000011),
.VAR230 ("VAR246"),
.VAR137 (10'b0101111100),
.VAR235 ("VAR246"),
.VAR169 ("VAR270"),
.VAR228 ("VAR18"),
.VAR42 (8),
.VAR376 (128),
.VAR131 ("VAR18"),
.VAR20 (8),
.VAR424 (128),
.VAR264 ("VAR246"),
.VAR422 ("VAR464"),
.VAR205 ("VAR246"),
.VAR92 ("VAR464"),
.VAR425 ("VAR246"),
.VAR280 (2),
.VAR458 (2),
.VAR104 ("VAR18"),
.VAR177 ("VAR18"),
.VAR357 (32),
.VAR248 (27),
.VAR74 ("VAR246"),
.VAR434 (0),
.VAR371 (10'b0111110111),
.VAR184 (10'b0111110111),
.VAR373 (10'b0000000000),
.VAR480 (10'b0000000000),
.VAR266 (4'b0011),
.VAR355 (10'b0000000000),
.VAR95 (10'b0000000000),
.VAR444 (10'b0000000000),
.VAR481 (10'b0000000000),
.VAR440 (4'b0000),
.VAR27 ("VAR18"),
.VAR383 ("VAR246"),
.VAR11 ("VAR246"),
.VAR121 (2),
.VAR378 (2),
.VAR170 ("VAR18"),
.VAR299 ("VAR18"),
.VAR276 (32),
.VAR138 (27),
.VAR133 ("VAR246"),
.VAR324 (0),
.VAR318 (10'b0111110111),
.VAR160 (10'b0111110111),
.VAR254 (10'b0000000000),
.VAR281 (10'b0000000000),
.VAR107 (4'b0011),
.VAR313 (10'b0000000000),
.VAR449 (10'b0000000000),
.VAR7 (10'b0000000000),
.VAR414 (10'b0000000000),
.VAR73 (4'b0000),
.VAR253 ("VAR18"),
.VAR168 ("VAR246"),
.VAR219 (7),
.VAR217 (7),
.VAR63 (VAR331),
.VAR171 (VAR220),
.VAR15 (10'b0101111100),
.VAR396 (10'b0000000000),
.VAR348 (10'b0000000000),
.VAR337 (10'b0000000000),
.VAR407 (4'b0001),
.VAR182 (10'b0000000000),
.VAR284 (10'b0000000000),
.VAR105 (10'b0000000000),
.VAR457 (10'b0000000000),
.VAR141 (4'b0000),
.VAR448 ("VAR18"),
.VAR295 (1),
.VAR333 ("VAR18"),
.VAR455 (7),
.VAR39 (7),
.VAR328 (VAR225),
.VAR94 (VAR52),
.VAR282 (10'b0101111100),
.VAR50 (10'b0000000000),
.VAR366 (10'b0000000000),
.VAR149 (10'b0000000000),
.VAR26 (4'b0001),
.VAR303 (10'b0000000000),
.VAR186 (10'b0000000000),
.VAR419 (10'b0000000000),
.VAR14 (10'b0000000000),
.VAR470 (4'b0000),
.VAR150 ("VAR18"),
.VAR267 (1),
.VAR423 ("VAR18"),
.VAR106 ("VAR309"),
.VAR88 (3'b100),
.VAR165 (3'b100),
.VAR273 (7),
.VAR193 (22),
.VAR468 (7),
.VAR28 (4),
.VAR430 (12),
.VAR158 (4),
.VAR306 (16'h003c),
.VAR446 (16'h0019),
.VAR85 (16'h0064),
.VAR206 ("VAR309"),
.VAR218 (3'b100),
.VAR223 (3'b100),
.VAR289 (7),
.VAR265 (22),
.VAR195 (7),
.VAR222 (4),
.VAR53 (12),
.VAR272 (4),
.VAR310 (16'h003c),
.VAR179 (16'h0019),
.VAR352 (16'h0064)
)
VAR346
(
.VAR97 (VAR300),
.VAR46 (VAR443),
.VAR387 (VAR418),
.VAR68 (VAR112),
.VAR152 (VAR251),
.VAR341 (VAR251),
.VAR78 (VAR55),
.VAR59 (VAR335),
.VAR274 (VAR229),
.VAR12 (VAR3),
.VAR374 (VAR240),
.VAR5 (VAR240),
.VAR365 (VAR285),
.VAR247 (VAR277),
.VAR209 (VAR339),
.VAR400 (VAR385),
.VAR427 (),
.VAR354 (),
.VAR214 (VAR356),
.VAR4 (VAR388),
.VAR416 (VAR372),
.VAR308 (VAR393),
.VAR463 (VAR450),
.VAR176 (VAR66),
.VAR82 (VAR34),
.VAR127 (VAR477),
.VAR122 (VAR391),
.VAR256 (VAR401),
.VAR17 (),
.VAR174 (),
.VAR188 (VAR194),
.VAR258 (VAR399),
.VAR40 (),
.VAR77 (),
.VAR465 (VAR240),
.VAR447 (VAR240),
.VAR89 (VAR113),
.VAR202 (VAR157),
.VAR263 (VAR99),
.VAR462 (VAR338),
.VAR360 (VAR19),
.VAR16 (VAR19),
.VAR435 (VAR19),
.VAR370 (VAR19),
.VAR394 (VAR382[1:0]),
.VAR197 (VAR382[1:0]),
.VAR173 (),
.VAR342 (),
.VAR319 (VAR286),
.VAR437 (VAR130),
.VAR227 (VAR271),
.VAR147 (VAR392),
.VAR108 (),
.VAR317 (),
.VAR87 (VAR441),
.VAR329 (VAR204),
.VAR86 (VAR364),
.VAR415 (VAR369),
.VAR262 (VAR190),
.VAR250 (VAR57),
.VAR275 (VAR45),
.VAR467 (VAR409),
.VAR37 (VAR359),
.VAR100 (VAR291),
.VAR426 (VAR451),
.VAR474 (VAR244),
.VAR163 (VAR240),
.VAR350 (VAR240),
.VAR215 (VAR382[1:0]),
.VAR389 (VAR382[1:0]),
.VAR80 (VAR382[3:0]),
.VAR43 (VAR382[3:0]),
.VAR390 (VAR232),
.VAR402 (VAR290),
.VAR187 (VAR249),
.VAR167 (VAR129),
.VAR6 (VAR21),
.VAR116 (VAR428),
.VAR379 (VAR181),
.VAR178 (VAR96),
.VAR361 (VAR311),
.VAR330 (VAR10),
.VAR196 (VAR340),
.VAR61 (VAR76),
.VAR433 (VAR19),
.VAR268 (VAR19),
.VAR24 (),
.VAR298 (),
.VAR436 (),
.VAR332 (),
.VAR432 (VAR69),
.VAR154 (VAR405),
.VAR1 (),
.VAR211 (),
.VAR36 (),
.VAR482 (),
.VAR110 (),
.VAR146 (),
.VAR398 (VAR362),
.VAR2 (VAR412),
.VAR325 (VAR382[6:0]),
.VAR294 (VAR19),
.VAR438 (VAR19),
.VAR334 (VAR382[15:0]),
.VAR67 (),
.VAR255 (),
.VAR363 (VAR19),
.VAR287 (VAR81),
.VAR292 (VAR91),
.VAR305 (VAR382[3:0]),
.VAR123 (VAR240),
.VAR411 (VAR380),
.VAR111 (VAR240),
.VAR135 (VAR19),
.VAR257 (VAR134),
.VAR114 (VAR240),
.VAR288 (VAR210),
.VAR25 (VAR51),
.VAR445 (VAR156),
.VAR233 (VAR19),
.VAR395 (VAR19),
.VAR151 (VAR382[1:0]),
.VAR183 (VAR382[1:0]),
.VAR79 (VAR382[1:0]),
.VAR208 (VAR382[1:0]),
.VAR31 (VAR382[1:0]),
.VAR314 (VAR382[1:0]),
.VAR47 (VAR38),
.VAR180 (VAR13),
.VAR102 (VAR240),
.VAR128 (VAR240),
.VAR461 (),
.VAR381 (),
.VAR153 (),
.VAR30 (),
.VAR115 (VAR260),
.VAR103 (VAR234),
.VAR185 (VAR478),
.VAR126 (VAR296),
.VAR72 (VAR240),
.VAR155 (VAR240),
.VAR198 (VAR8),
.VAR143 (VAR58),
.VAR404 (VAR397),
.VAR164 (VAR315),
.VAR473 (VAR408),
.VAR377 (VAR98),
.VAR421 (VAR199),
.VAR472 (VAR239),
.VAR307 (VAR283),
.VAR221 (VAR283),
.VAR452 (VAR283),
.VAR216 (VAR283),
.VAR109 (VAR19),
.VAR454 (VAR19),
.VAR410 (VAR22),
.VAR326 (VAR316),
.VAR33 (VAR278),
.VAR358 (VAR367),
.VAR44 (VAR48),
.VAR41 (VAR48),
.VAR245 (VAR382[1:0]),
.VAR172 (VAR382[1:0]),
.VAR144 (VAR19),
.VAR301 (VAR19),
.VAR119 (VAR19),
.VAR429 (VAR19),
.VAR200 (VAR19),
.VAR224 (VAR19),
.VAR469 (VAR19),
.VAR236 (VAR19),
.VAR70 (VAR19),
.VAR9 (VAR19)
);
endmodule | gpl-3.0 |
ElegantLin/My-CPU | Small Program/Small Program.srcs/sources_1/imports/imports/sources_1/imports/Chapter11/regfile.v | 2,608 | module MODULE1(
input wire clk,
input wire rst,
input wire VAR8,
input wire[VAR18] VAR2,
input wire[VAR16] VAR1,
input wire VAR10,
input wire[VAR18] VAR4,
output reg[VAR16] VAR5,
input wire VAR15,
input wire[VAR18] VAR9,
output reg[VAR16] VAR19
);
reg[VAR16] VAR3[0:VAR13-1];
always @ (posedge clk) begin
if (rst == VAR12) begin
if((VAR8 == VAR7) && (VAR2 != VAR14'h0)) begin
VAR3[VAR2] <= VAR1;
end
else if(rst == VAR17) begin
VAR3[0] <= VAR6;
VAR3[1] <= VAR6;
VAR3[2] <= VAR6;
VAR3[3] <= VAR6;
VAR3[4] <= VAR6;
VAR3[5] <= VAR6;
VAR3[6] <= VAR6;
VAR3[7] <= VAR6;
VAR3[8] <= VAR6;
VAR3[9] <= VAR6;
VAR3[10] <= VAR6;
VAR3[11] <= VAR6;
VAR3[12] <= VAR6;
VAR3[13] <= VAR6;
VAR3[14] <= VAR6;
VAR3[15] <= VAR6;
VAR3[16] <= VAR6;
VAR3[17] <= VAR6;
VAR3[18] <= VAR6;
VAR3[19] <= VAR6;
VAR3[20] <= VAR6;
VAR3[21] <= VAR6;
VAR3[22] <= VAR6;
VAR3[23] <= VAR6;
VAR3[24] <= VAR6;
VAR3[25] <= VAR6;
VAR3[26] <= VAR6;
VAR3[27] <= VAR6;
VAR3[28] <= VAR6;
VAR3[29] <= VAR6;
VAR3[30] <= VAR6;
VAR3[31] <= VAR6;
end
end
end
always @ begin
if(rst == VAR17) begin
VAR19 <= VAR6;
end else if(VAR9 == VAR14'h0) begin
VAR19 <= VAR6;
end else if((VAR9 == VAR2) && (VAR8 == VAR7)
&& (VAR15 == VAR11)) begin
VAR19 <= VAR1;
end else if(VAR15 == VAR11) begin
VAR19 <= VAR3[VAR9];
end else begin
VAR19 <= VAR6;
end
end
endmodule | gpl-3.0 |
orbancedric/DeepGate | src/interface/mojo/master_control.v | 9,450 | module MODULE1 (
input clk,
input rst,
input VAR21,
input VAR24,
input [7:0] VAR19,
output reg [7:0] VAR29 = 8'd0,
output reg VAR14 = 0,
input VAR31,
input VAR6,
input VAR37,
input [7:0] VAR26,
output reg [7:0] VAR47 = 8'd0,
output reg VAR39 = 0,
output reg VAR5 = 0,
input [VAR20 - 1'b1 : 0] VAR35,
output reg [VAR20 - 1'b1 : 0] VAR28 = 0,
output reg [7:0] VAR42 = 0,
input VAR30,
input VAR3,
input [31:0] VAR27,
output reg [31:0] VAR57 = 32'd0,
output reg [22:0] VAR54,
output reg VAR18 = 0,
output reg VAR49 = 0,
output wire [7:0] VAR61
);
localparam VAR1 = 7'b0000001,
VAR16 = 7'b0000010,
VAR46 = 7'b0000100,
VAR45 = 7'b0001000,
VAR11 = 7'b0010000,
VAR7 = 7'b0100000,
VAR25 = 7'b1000000;
localparam VAR36 = 8'hAB,
VAR23 = 8'hAC;
localparam VAR64 = 8'hFA,
VAR44 = 8'hFB,
VAR8 = 8'hFC;
reg [31:0] VAR55 = 0;
reg [24:0] VAR34 = 0;
reg [24:0] VAR17 = 0;
reg [22:0] VAR32 = 0;
reg [22:0] VAR51 = 0;
reg [22:0] VAR13 = VAR59[VAR50(0)];
reg [22:0] VAR53 = VAR59[VAR50(1)];
reg [22:0] VAR22 = VAR59[VAR50(0)];
reg [1:0] VAR12 = 0;
reg VAR43 = 0;
reg VAR40 = 0;
reg VAR60 = 0;
reg VAR62 = 0;
reg VAR10 = 0;
reg VAR2 = 0;
reg [VAR33(VAR20) - 1:0] VAR38 = 0;
reg VAR4 = 0;
reg VAR15 = 0;
reg [6:0] VAR48 = VAR1;
reg [7:0] VAR41 [VAR63[VAR50(0)] - 1 : 0];
reg [VAR33(VAR63[VAR50(0)] - 1):0] VAR9 = 0;
assign VAR61 = VAR48;
always@(*) begin
case(VAR38)
0: VAR54 = VAR32;
1: VAR54 = VAR13;
2: VAR54 = VAR53;
3: VAR54 = VAR53;
endcase
end
integer VAR52;
always@(posedge clk) begin
VAR14 <= 0;
VAR5 <= 0;
VAR39 <= 0;
VAR18 <= 0;
VAR10 <= 0;
VAR47 <= VAR41[VAR9];
for(VAR52 = 0; VAR52 < VAR20; VAR52 = VAR52 + 1'b1)
VAR28[VAR52] <= 0;
case(VAR48)
VAR1: begin
if(VAR24 && VAR19 == VAR36)
VAR48 <= VAR16;
if(VAR24 && VAR19 == VAR23)
VAR48 <= VAR7;
if(VAR37 && !VAR21 && !VAR14) begin
VAR14 <= 1;
VAR29 <= VAR8;
VAR48 <= VAR11;
end
end
VAR16: begin
if(!VAR21 && !VAR14) begin
VAR14 <= 1;
if(!VAR6) begin
VAR29 <= VAR64;
VAR48 <= VAR1;
end
else begin
VAR29 <= VAR44;
VAR48 <= VAR46;
end
end
end
VAR46: begin
if(VAR24) begin
VAR41[VAR9] <= VAR19;
VAR9 <= VAR9 + 1'b1;
if(VAR9 == VAR63[VAR50(0)] - 1'd1) begin
VAR9 <= 0;
VAR39 <= 1;
VAR48 <= VAR45;
end
end
end
VAR45: begin
if(VAR31) begin
if(VAR9 < VAR63[VAR50(0)] - 1)
VAR9 <= VAR9 + 1'b1;
end
else
VAR9 <= 0;
end
if(VAR6 && !VAR39) begin
VAR9 <= 0;
VAR48 <= VAR1;
end
end
VAR11: begin
if(VAR37 && !VAR4 && !VAR15) begin
VAR5 <= 1;
VAR4 <= 1;
end
if(VAR4 && !VAR5) begin
VAR4 <= 0;
VAR15 <= 1;
VAR29 <= VAR26;
end
if(!VAR21 && !VAR14 && VAR15) begin
VAR14 <= 1;
VAR15 <= 0;
if(!VAR37)
VAR48 <= VAR1;
end
end
VAR7: begin
VAR49 <= 1;
if(VAR24 && !VAR43) begin
VAR57 <= {VAR19, VAR57[31:8]};
VAR12 <= VAR12 + 1'b1;
if(VAR12 == 2'd3)
VAR43 <= 1;
end
if(VAR43 && !VAR30) begin
VAR43 <= 0;
VAR18 <= 1;
VAR32 <= VAR32 + 1'b1;
end
if(VAR54 == VAR59[VAR50(VAR20 - 1)]) begin
VAR2 <= 0;
VAR62 <= 1;
VAR32 <= VAR51;
VAR48 <= VAR1;
end
end
endcase
if(!VAR2 && !VAR10) begin
if(VAR35[0] || VAR48 == VAR7 || VAR48 == VAR25) begin
VAR2 <= 1;
VAR38 <= 2'b00;
VAR17 <= VAR56[VAR50(0)]/VAR58[VAR50(0)];
VAR22 <= VAR59[VAR50(0)];
if(VAR48 == VAR7 || VAR48 == VAR25) begin
VAR51 <= VAR32;
VAR62 <= 0;
VAR32 <= 0;
end
end
else if(VAR35[1]) begin
VAR2 <= 1;
VAR38 <= 2'b01;
VAR17 <= VAR56[VAR50(1)]/VAR58[VAR50(1)];
VAR22 <= VAR59[VAR50(1)];
end
else if(VAR35[2]) begin
VAR2 <= 1;
VAR38 <= 2'b10;
VAR17 <= VAR56[VAR50(2)]/VAR58[VAR50(2)];
VAR22 <= VAR59[VAR50(2)];
end
else
VAR2 <= 0;
end
if(VAR62) begin
VAR49 <= 0;
VAR42 <= VAR55[7:0];
if(!VAR30 && !VAR40 && !VAR60 && VAR2) begin
VAR40 <= 1;
VAR18 <= 1;
case(VAR38)
2'b00: VAR32 <= VAR32 + 1'b1;
2'b01: VAR13 <= VAR13 + 1'b1;
2'b10: VAR53 <= VAR53 + 1'b1;
2'b11: VAR53 <= VAR53 + 1'b1;
endcase
end
if(VAR40 && VAR3) begin
VAR40 <= 0;
VAR60 <= 1;
VAR55 <= VAR27;
end
if(VAR60 && !VAR10 && VAR35) begin
if(VAR34 == VAR17) begin
VAR34 <= 0;
VAR12 <= 0;
VAR60 <= 0;
VAR2 <= 0;
VAR10 <= 1;
if(VAR54 >= VAR22) begin
case(VAR38)
2'b00: VAR32 <= 0;
2'b01: VAR13 <= VAR59[VAR50(0)];
2'b10: VAR53 <= VAR59[VAR50(1)];
2'b11: VAR53 <= VAR59[VAR50(1)];
endcase
end
end
else begin
case(VAR38)
2'b00: VAR28[0] <= 1;
2'b01: VAR28[1] <= 1;
2'b10: VAR28[2] <= 1;
2'b11: VAR28[2] <= 1;
endcase
VAR34 <= VAR34 + 1'b1;
VAR55 <= {8'b0, VAR55[31:8]};
VAR12 <= VAR12 + 1'b1;
if(VAR12 == 2'd3) begin
if(VAR34 != VAR17 - 1'b1)
VAR60 <= 0;
end
end
end
end
end
endmodule | gpl-3.0 |
ineganov/flight_control | hard/alu.v | 4,498 | module MODULE1( input [7:0] VAR26,
input [31:0] VAR11,
input [31:0] VAR18,
input [4:0] VAR27,
output [31:0] VAR28 );
wire VAR22 = VAR26[7] ? VAR11[31] : 1'b0;
wire VAR12 = VAR26[7] ? VAR18[31] : 1'b0;
wire [32:0] VAR2 = {VAR22, VAR11};
wire [32:0] VAR25 = {VAR12, VAR18};
wire VAR10 = VAR26[3]; wire [32:0] VAR5 = VAR10 ? ~VAR25 : VAR25;
wire [32:0] VAR3 = VAR2 + VAR5 + VAR10;
wire [4:0] VAR19;
VAR7 #(5) VAR14( .VAR15 (VAR26[6]),
.VAR16(VAR27),
.VAR29(VAR11[4:0]),
.VAR28 (VAR19));
wire[31:0] VAR30;
MODULE2 MODULE1( .VAR15(VAR26[5:4]),
.VAR4( VAR19 ),
.VAR11( VAR18 ),
.VAR28( VAR30 ) );
wire [31:0] VAR13 = {31'b0, VAR3[32]};
VAR8 VAR1( .VAR15 ( VAR26[2:0] ),
.VAR16( VAR11 & VAR18 ),
.VAR29( VAR11 | VAR18 ),
.VAR24( VAR11 ^ VAR18 ),
.VAR20(~(VAR11 | VAR18) ),
.VAR23( VAR3[31:0] ),
.VAR6( 0 ), .VAR21( VAR30 ),
.VAR9( VAR13 ),
.VAR28 ( VAR28 ) );
endmodule
module MODULE2( input [1:0] VAR15,
input [4:0] VAR4,
input signed [31:0] VAR11,
output [31:0] VAR28 );
assign VAR28 = VAR15[1] ? (VAR15[0] ? VAR11 >>> VAR4 : VAR11 <<< VAR4) :
(VAR15[0] ? VAR11 >> VAR4 : VAR11 << VAR4);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/sdfxtp/sky130_fd_sc_hs__sdfxtp.functional.v | 1,790 | module MODULE1 (
VAR9,
VAR1,
VAR7 ,
VAR3 ,
VAR4 ,
VAR5 ,
VAR6
);
input VAR9;
input VAR1;
output VAR7 ;
input VAR3 ;
input VAR4 ;
input VAR5 ;
input VAR6 ;
wire VAR8 ;
wire VAR12;
VAR11 VAR14 (VAR12, VAR4, VAR5, VAR6 );
VAR13 VAR10 VAR2 (VAR8 , VAR12, VAR3, VAR9, VAR1);
buf VAR15 (VAR7 , VAR8 );
endmodule | apache-2.0 |
P3Stor/P3Stor | ftl/Dynamic_Controller/ipcore_dir/RD_FLASH_POST_FIFO.v | 13,464 | module MODULE1(
rst,
VAR169,
VAR242,
din,
VAR151,
VAR380,
dout,
VAR174,
VAR195,
valid
);
input rst;
input VAR169;
input VAR242;
input [63 : 0] din;
input VAR151;
input VAR380;
output [255 : 0] dout;
output VAR174;
output VAR195;
output valid;
VAR372 #(
.VAR18(0),
.VAR402(0),
.VAR70(0),
.VAR154(0),
.VAR136(0),
.VAR104(0),
.VAR363(0),
.VAR327(32),
.VAR164(1),
.VAR106(1),
.VAR80(1),
.VAR24(64),
.VAR147(4),
.VAR329(1),
.VAR102(0),
.VAR411(1),
.VAR313(64),
.VAR168(4),
.VAR206(8),
.VAR122(4),
.VAR338(4),
.VAR134(4),
.VAR44(0),
.VAR268(0),
.VAR262(0),
.VAR185(10),
.VAR171("VAR219"),
.VAR261(64),
.VAR76(1),
.VAR150(32),
.VAR373(64),
.VAR215(32),
.VAR227(64),
.VAR86(2),
.VAR297("0"),
.VAR318(256),
.VAR311(0),
.VAR190(1),
.VAR260(0),
.VAR229(0),
.VAR320(0),
.VAR211(0),
.VAR194(0),
.VAR135(0),
.VAR62(0),
.VAR394("VAR133"),
.VAR371(1),
.VAR244(0),
.VAR296(0),
.VAR12(0),
.VAR413(0),
.VAR175(0),
.VAR335(0),
.VAR99(0),
.VAR141(0),
.VAR241(0),
.VAR120(0),
.VAR115(0),
.VAR8(0),
.VAR90(0),
.VAR34(0),
.VAR292(1),
.VAR105(0),
.VAR121(0),
.VAR408(0),
.VAR351(0),
.VAR208(0),
.VAR231(0),
.VAR167(0),
.VAR2(0),
.VAR192(0),
.VAR200(0),
.VAR153(0),
.VAR31(0),
.VAR98(0),
.VAR331(0),
.VAR198(0),
.VAR238(0),
.VAR403(0),
.VAR283(0),
.VAR48(0),
.VAR61(0),
.VAR111(0),
.VAR264(0),
.VAR165(1),
.VAR101(0),
.VAR32(0),
.VAR180(0),
.VAR391(1),
.VAR233(0),
.VAR132(0),
.VAR45(0),
.VAR54(2),
.VAR125(1),
.VAR398(1),
.VAR340(1),
.VAR197(1),
.VAR239(1),
.VAR343(1),
.VAR137(0),
.VAR299(0),
.VAR27(1),
.VAR117("VAR219"),
.VAR307(1),
.VAR46(0),
.VAR69(0),
.VAR161(0),
.VAR60(1),
.VAR107("1kx36"),
.VAR129(4),
.VAR85(1022),
.VAR149(1022),
.VAR213(1022),
.VAR36(1022),
.VAR172(1022),
.VAR30(1022),
.VAR404(5),
.VAR78(0),
.VAR252(5),
.VAR216(5),
.VAR114(5),
.VAR346(5),
.VAR308(5),
.VAR65(5),
.VAR406(1023),
.VAR275(1023),
.VAR366(1023),
.VAR364(1023),
.VAR95(1023),
.VAR201(1023),
.VAR392(1023),
.VAR128(1022),
.VAR276(0),
.VAR382(5),
.VAR396(5),
.VAR280(5),
.VAR304(5),
.VAR306(5),
.VAR347(5),
.VAR6(0),
.VAR330(8),
.VAR316(256),
.VAR361(1),
.VAR379(8),
.VAR145(0),
.VAR148(0),
.VAR328(0),
.VAR399(0),
.VAR50(0),
.VAR397(0),
.VAR29(0),
.VAR28(2),
.VAR41(0),
.VAR274(0),
.VAR271(0),
.VAR131(0),
.VAR263(1),
.VAR7(0),
.VAR374(0),
.VAR267(0),
.VAR22(0),
.VAR362(0),
.VAR87(0),
.VAR156(0),
.VAR5(0),
.VAR163(0),
.VAR323(0),
.VAR143(0),
.VAR386(0),
.VAR405(0),
.VAR345(0),
.VAR256(10),
.VAR287(1024),
.VAR409(1024),
.VAR324(16),
.VAR294(1024),
.VAR140(16),
.VAR82(1024),
.VAR248(16),
.VAR39(1),
.VAR75(10),
.VAR83(10),
.VAR383(4),
.VAR326(10),
.VAR116(4),
.VAR126(10),
.VAR88(4),
.VAR196(1),
.VAR113(0)
)
VAR91 (
.VAR344(rst),
.VAR193(VAR169),
.VAR309(VAR242),
.VAR230(din),
.VAR377(VAR151),
.VAR312(VAR380),
.VAR184(dout),
.VAR350(VAR174),
.VAR64(VAR195),
.VAR339(valid),
.VAR159(),
.VAR288(),
.VAR58(),
.VAR388(),
.VAR221(),
.VAR349(),
.VAR155(),
.VAR108(),
.VAR325(),
.VAR19(),
.VAR319(),
.VAR342(),
.VAR77(),
.VAR118(),
.VAR369(),
.VAR277(),
.VAR152(),
.VAR182(),
.VAR279(),
.VAR257(),
.VAR160(),
.VAR370(),
.VAR282(),
.VAR179(),
.VAR112(),
.VAR124(),
.VAR278(),
.VAR56(),
.VAR139(),
.VAR322(),
.VAR73(),
.VAR209(),
.VAR3(),
.VAR9(),
.VAR188(),
.VAR218(),
.VAR57(),
.VAR10(),
.VAR365(),
.VAR55(),
.VAR251(),
.VAR401(),
.VAR186(),
.VAR110(),
.VAR298(),
.VAR259(),
.VAR336(),
.VAR235(),
.VAR67(),
.VAR300(),
.VAR407(),
.VAR43(),
.VAR66(),
.VAR84(),
.VAR71(),
.VAR177(),
.VAR412(),
.VAR293(),
.VAR49(),
.VAR385(),
.VAR254(),
.VAR20(),
.VAR333(),
.VAR295(),
.VAR162(),
.VAR210(),
.VAR214(),
.VAR72(),
.VAR237(),
.VAR414(),
.VAR52(),
.VAR224(),
.VAR25(),
.VAR355(),
.VAR225(),
.VAR144(),
.VAR285(),
.VAR205(),
.VAR127(),
.VAR92(),
.VAR395(),
.VAR146(),
.VAR270(),
.VAR81(),
.VAR100(),
.VAR176(),
.VAR410(),
.VAR199(),
.VAR291(),
.VAR258(),
.VAR17(),
.VAR415(),
.VAR26(),
.VAR249(),
.VAR390(),
.VAR226(),
.VAR228(),
.VAR68(),
.VAR191(),
.VAR321(),
.VAR79(),
.VAR337(),
.VAR183(),
.VAR286(),
.VAR315(),
.VAR178(),
.VAR181(),
.VAR89(),
.VAR250(),
.VAR368(),
.VAR1(),
.VAR302(),
.VAR375(),
.VAR103(),
.VAR223(),
.VAR357(),
.VAR389(),
.VAR4(),
.VAR138(),
.VAR378(),
.VAR232(),
.VAR15(),
.VAR40(),
.VAR255(),
.VAR109(),
.VAR240(),
.VAR356(),
.VAR400(),
.VAR123(),
.VAR281(),
.VAR253(),
.VAR359(),
.VAR301(),
.VAR353(),
.VAR245(),
.VAR37(),
.VAR119(),
.VAR16(),
.VAR273(),
.VAR384(),
.VAR204(),
.VAR332(),
.VAR202(),
.VAR317(),
.VAR157(),
.VAR142(),
.VAR387(),
.VAR94(),
.VAR173(),
.VAR220(),
.VAR166(),
.VAR96(),
.VAR352(),
.VAR11(),
.VAR63(),
.VAR189(),
.VAR289(),
.VAR367(),
.VAR381(),
.VAR305(),
.VAR272(),
.VAR354(),
.VAR42(),
.VAR265(),
.VAR59(),
.VAR33(),
.VAR247(),
.VAR13(),
.VAR47(),
.VAR246(),
.VAR222(),
.VAR334(),
.VAR38(),
.VAR53(),
.VAR243(),
.VAR310(),
.VAR51(),
.VAR207(),
.VAR158(),
.VAR93(),
.VAR187(),
.VAR290(),
.VAR314(),
.VAR21(),
.VAR23(),
.VAR212(),
.VAR303(),
.VAR217(),
.VAR236(),
.VAR341(),
.VAR203(),
.VAR14(),
.VAR348(),
.VAR360(),
.VAR269(),
.VAR170(),
.VAR358(),
.VAR130(),
.VAR234(),
.VAR97(),
.VAR284(),
.VAR393(),
.VAR376(),
.VAR74(),
.VAR35(),
.VAR266()
);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a311o/sky130_fd_sc_lp__a311o_1.v | 2,437 | module MODULE1 (
VAR10 ,
VAR6 ,
VAR4 ,
VAR8 ,
VAR7 ,
VAR12 ,
VAR11,
VAR3,
VAR2 ,
VAR9
);
output VAR10 ;
input VAR6 ;
input VAR4 ;
input VAR8 ;
input VAR7 ;
input VAR12 ;
input VAR11;
input VAR3;
input VAR2 ;
input VAR9 ;
VAR5 VAR1 (
.VAR10(VAR10),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR12(VAR12),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR9(VAR9)
);
endmodule
module MODULE1 (
VAR10 ,
VAR6,
VAR4,
VAR8,
VAR7,
VAR12
);
output VAR10 ;
input VAR6;
input VAR4;
input VAR8;
input VAR7;
input VAR12;
supply1 VAR11;
supply0 VAR3;
supply1 VAR2 ;
supply0 VAR9 ;
VAR5 VAR1 (
.VAR10(VAR10),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR12(VAR12)
);
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/nand4/gf180mcu_fd_sc_mcu9t5v0__nand4_2.functional.pp.v | 1,416 | module MODULE1( VAR9, VAR15, VAR6, VAR12, VAR16, VAR11, VAR5 );
input VAR16, VAR12, VAR6, VAR15;
inout VAR11, VAR5;
output VAR9;
wire VAR13;
not VAR14( VAR13, VAR16 );
wire VAR3;
not VAR8( VAR3, VAR12 );
wire VAR2;
not VAR4( VAR2, VAR6 );
wire VAR1;
not VAR10( VAR1, VAR15 );
or VAR7( VAR9, VAR13, VAR3, VAR2, VAR1 );
endmodule | apache-2.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/mem/rtl_model/ram_dp.v | 4,140 | module MODULE1 (
VAR19 ,
VAR3 ,
VAR17 ,
VAR7 ,
VAR21 ,
VAR13 ,
VAR4 ,
VAR10 ,
VAR9 ,
VAR1 ,
VAR11 ,
VAR8 ,
VAR16 ,
VAR12
);
parameter VAR5=32;
parameter VAR2=8;
input VAR19; input VAR3; input VAR17; input VAR7; input [VAR2-1:0] VAR21; input [VAR5-1:0] VAR4; output [VAR5-1:0] VAR13;
input VAR10; input VAR9; input VAR1; input VAR11; input [VAR2-1:0] VAR8; input [VAR5-1:0] VAR12; output [VAR5-1:0] VAR16;
reg [VAR5-1:0] VAR20[(1<<VAR2)-1:0];
reg [VAR5-1:0] VAR14;
reg [VAR5-1:0] VAR15;
always @(posedge VAR19) begin
if(!VAR3 && !VAR7)
VAR20[VAR21] <= VAR4;
end
always @(posedge VAR19) begin
if (!VAR3 && VAR7)
VAR14 <= VAR20[VAR21];
end
else
VAR14 <= 'VAR6;
end
assign VAR13 = VAR17 ? 'VAR18 : VAR14;
always @(posedge VAR10) begin
if(!VAR9 && !VAR11)
VAR20[VAR8] <= VAR12;
end
always @(posedge VAR10) begin
if (!VAR9 && VAR11)
VAR15 <= VAR20[VAR8];
end
else
VAR15 <= 'VAR6;
end
assign VAR16 = VAR1 ? 'VAR18 : VAR15;
endmodule | gpl-3.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig37/mig_37/example_design/rtl/phy/phy_top.v | 54,004 | module MODULE1 #
(
parameter VAR240 = 100,
parameter VAR54 = 2, parameter VAR69 = 3333, parameter VAR97 = 300.0, parameter VAR156 = "VAR328", parameter [7:0] VAR335 = 8'b00000001,
parameter [7:0] VAR228 = 8'b00000000,
parameter VAR198 = 2, parameter VAR283 = 1, parameter VAR205 = 10, parameter VAR207 = 1, parameter VAR76 = 6, parameter VAR337 = 64, parameter VAR119 = 8, parameter VAR41 = 3, parameter VAR53 = 8, parameter VAR57 = 8, parameter VAR151 = 14, parameter VAR326 = 1, parameter VAR149 = 1, parameter VAR258 = 1, parameter VAR89 = "VAR319", parameter VAR310 = 16'h0000, parameter VAR9 = 12'h000, parameter VAR285 = 3'h0, parameter VAR226 = "0", parameter VAR235 = "8", parameter VAR27 = "VAR23", parameter VAR308 = 0, parameter VAR19 = 5, parameter VAR262 = 5, parameter VAR67 = 110000, parameter VAR129 = "VAR212", parameter VAR174 = "VAR25", parameter VAR31 = "60", parameter VAR291 = "60", parameter VAR206 = "VAR46", parameter VAR223 = "VAR46", parameter VAR83 = 0, parameter VAR82 = 8, parameter VAR177 = "VAR25", parameter VAR118 = 16, parameter VAR131 = "VAR201", parameter VAR143 = "VAR46", parameter VAR101 = "VAR25", parameter VAR64 = "VAR120", parameter VAR6 = VAR53, parameter VAR4 = 0, parameter VAR259 = 0, parameter VAR281 = 0, parameter VAR142 = 144'h11100F0E0D0C0B0A09080706050403020100,
parameter VAR255 = 0, parameter VAR322 = 0, parameter VAR78 = 0, parameter VAR166 = 1, parameter VAR36 = "VAR46",
parameter VAR91 = "VAR73", parameter VAR8 = "VAR73", parameter VAR245 = "VAR46" )
(
input VAR105, input clk, input VAR171, input rst, input [7:0] VAR301,
input [7:0] VAR169,
input [VAR151-1:0] VAR269,
input [VAR151-1:0] VAR30,
input [VAR198-1:0] VAR314,
input [VAR198-1:0] VAR214,
input VAR321,
input VAR225,
input [VAR258-1:0] VAR26,
input [VAR258-1:0] VAR311,
input [VAR149*VAR207-1:0] VAR114,
input [VAR149*VAR207-1:0] VAR290,
input [VAR149*VAR207-1:0] VAR244,
input [VAR149*VAR207-1:0] VAR16,
input VAR178,
input VAR196,
input VAR277,
input VAR58,
input VAR304,
input VAR276,
input [4*VAR337-1:0] VAR59,
input [4*(VAR337/8)-1:0] VAR315,
input VAR68,
output [4*VAR337-1:0] VAR221,
output VAR87,
input VAR20,
output VAR242,
input VAR65,
input [VAR326:0] VAR204,
output [VAR283-1:0] VAR94,
output [VAR283-1:0] VAR100,
output [VAR151-1:0] VAR260,
output [VAR198-1:0] VAR108,
output VAR39,
output VAR70,
output VAR164,
output [VAR149*VAR207-1:0] VAR270,
output [VAR258-1:0] VAR7,
output [VAR149*VAR207-1:0] VAR307,
output VAR323,
output VAR127,
output [VAR119-1:0] VAR197,
inout [VAR53-1:0] VAR239,
inout [VAR53-1:0] VAR189,
inout [VAR337-1:0] VAR158,
input VAR176,
output VAR265,
output VAR238,
input [5*VAR53-1:0] VAR63,
input [5*VAR53-1:0] VAR295,
input VAR249,
output VAR218,
output VAR141,
output VAR61,
output [VAR53-1:0] VAR309,
output [2*VAR53-1:0] VAR194,
output [5*VAR53-1:0] VAR10,
output [5*VAR53-1:0] VAR312,
output [4:0] VAR279,
output VAR161,
output [VAR53-1:0] VAR186,
output [1:0] VAR237,
output [1:0] VAR122,
output [1:0] VAR299,
output [5*VAR53-1:0] VAR126,
output [5*VAR53-1:0] VAR173,
output [3*VAR53-1:0] VAR44,
output [2*VAR53-1:0] VAR55,
output [4:0] VAR56,
output [4*VAR337-1:0] VAR3,
input VAR273,
input VAR282,
input VAR227,
input VAR148,
input VAR195,
input VAR272,
input [VAR41-1:0] VAR208,
input VAR93,
input [VAR41-1:0] VAR33,
input VAR2,
output [5*VAR53-1:0] VAR172,
output [19:0] VAR254,
output [5*VAR53-1:0] VAR123,
output [5*VAR53-1:0] VAR230,
input VAR144,
input VAR256,
input VAR152,
input VAR286,
input VAR183,
input VAR179,
input VAR60,
input VAR253,
input VAR316,
input [3:0] VAR241,
input [VAR41-1:0] VAR12,
input VAR167,
input VAR250,
output [255:0] VAR139, output [255:0] VAR140, output [255:0] VAR232, output [255:0] VAR107 );
localparam VAR154
= ((VAR36 == "VAR86") ||
(VAR36 == "VAR261")) ?
"VAR95" : VAR91;
localparam VAR81
= (VAR36 == "VAR86") ? "VAR345" :
((VAR36 == "VAR261") ? "VAR300" : VAR8);
localparam VAR48
= (VAR36 == "VAR86") ? "VAR46" : VAR206;
localparam VAR74
= (VAR36 == "VAR86") ? "VAR46" : VAR223;
localparam integer VAR306
= (VAR69 + (VAR54*(1000000/(VAR97*64))*2)-1) /
(VAR54*(1000000/(VAR97*64))*4);
localparam VAR248 = (VAR174 == "VAR25") ? VAR262 + 1 : VAR262;
localparam VAR193 = 1 + (|VAR228 ? 1 : 0);
localparam VAR210 = (VAR69 > 8000) ? "VAR46" : VAR74;
localparam VAR200 = ((VAR69 < 10000) & ( VAR337 >= 64) &
(VAR283 < 5) & (VAR156 == "VAR182") &
(VAR174 == "VAR46"));
reg [2:0] VAR313;
wire [1:0] VAR303;
reg [1:0] VAR268;
reg [1:0] VAR165;
wire [VAR53-1:0] VAR11;
wire [3:0] VAR219;
wire [4*VAR53-1:0] VAR297;
wire [VAR53-1:0] VAR13;
wire [VAR53-1:0] VAR71;
wire [VAR53-1:0] VAR51;
wire [3:0] VAR92;
wire [3:0] VAR287;
wire [VAR53-1:0] VAR96;
wire [VAR53-1:0] VAR251;
wire VAR320;
wire VAR324;
wire VAR187;
wire [3:0] VAR85;
wire VAR216;
wire VAR62;
wire [5*VAR53-1:0] VAR199;
wire [5*VAR53-1:0] VAR215;
wire [5*VAR53-1:0] VAR136;
wire [5*VAR53-1:0] VAR14;
wire [5*VAR53-1:0] VAR128;
wire [5*VAR53-1:0] VAR29;
wire [5*VAR53-1:0] VAR90;
wire [5*VAR53-1:0] VAR213;
wire [5*VAR53-1:0] VAR1;
wire [VAR53-1:0] VAR275;
wire [4*VAR53-1:0] VAR338;
wire [VAR53-1:0] VAR99;
reg VAR106;
wire [4*VAR53-1:0] VAR22;
wire [(VAR53*4)-1:0] VAR146;
wire [VAR53-1:0] VAR47;
wire [(VAR337/8)-1:0] VAR163;
wire [(VAR337/8)-1:0] VAR294;
wire [(VAR337/8)-1:0] VAR159;
wire [(VAR337/8)-1:0] VAR296;
wire VAR284;
wire VAR181;
wire VAR133;
wire [VAR151-1:0] VAR340;
wire [VAR151-1:0] VAR102;
wire [VAR198-1:0] VAR5;
wire [VAR198-1:0] VAR109;
wire VAR42;
wire VAR104;
wire [VAR258-1:0] VAR334;
wire [VAR258-1:0] VAR267;
wire [VAR149*VAR207-1:0] VAR168;
wire [VAR149*VAR207-1:0] VAR117;
wire VAR103;
wire [0:0] VAR75;
wire VAR264;
wire [VAR149*VAR207-1:0] VAR145;
wire [VAR149*VAR207-1:0] VAR124;
wire VAR302;
wire VAR113;
wire VAR190;
wire VAR125;
wire VAR84;
wire VAR43;
wire [4*VAR337-1:0] VAR38;
wire VAR263;
wire [4*(VAR337/8)-1:0] VAR34;
wire VAR32;
wire [1:0] VAR79;
wire [4:0] VAR160;
wire [2*VAR53-1:0] VAR52;
wire [VAR53-1:0] VAR288;
wire [2*VAR53-1:0] VAR211;
wire [VAR337-1:0] VAR233;
wire [VAR337-1:0] VAR72;
wire [VAR337-1:0] VAR209;
wire [VAR337-1:0] VAR138;
wire [VAR53-1:0] VAR231;
wire [VAR53-1:0] VAR18;
wire [VAR53-1:0] VAR298;
wire [VAR53-1:0] VAR37;
wire VAR274;
wire VAR318;
wire [1:0] VAR77;
wire [1:0] VAR134;
wire VAR50;
wire VAR317;
wire VAR336;
wire [VAR41-1:0] VAR35;
wire VAR180;
wire [1:0] VAR305;
wire [3:0] VAR80;
wire VAR112;
wire [2*VAR53-1:0] VAR175;
wire [VAR337-1:0] VAR153;
wire [VAR337-1:0] VAR162;
wire [VAR337-1:0] VAR111;
wire [VAR337-1:0] VAR289;
wire [2*VAR53-1:0] VAR66;
wire VAR121;
wire VAR192;
wire VAR155;
wire VAR28;
wire VAR224;
assign VAR3 = VAR221;
assign VAR107 = 256'd0;
assign VAR218 = VAR224;
assign VAR141 = VAR155;
assign VAR61 = VAR28;
assign VAR309 = VAR99;
assign VAR10 = VAR213;
assign VAR312 = VAR29;
assign VAR194 = VAR175;
assign VAR237 = VAR305;
assign VAR122 = VAR77;
assign VAR299 = VAR134;
assign VAR317 = (VAR48 == "VAR25") ? VAR50 : 1'b0;
assign VAR99 = (VAR48 == "VAR25") ? VAR47 : {VAR53{1'b0}};
assign VAR66 = (VAR48 == "VAR25") ? VAR175 : {2*VAR53{1'b0}};
assign VAR79 = (rst || (VAR326 == 0)) ? 2'b00 :
(~VAR242) ? VAR165 :
(VAR326 == 2) ? VAR204[1:0] :
{1'b0, VAR204[0]};
always @(posedge clk) begin
end
generate
genvar VAR45;
for (VAR45 = 0; VAR45 < VAR53;
VAR45 = VAR45 + 1) begin: VAR280
if (VAR245 == "VAR25") begin: VAR40
assign VAR29[5*VAR45+4:5*VAR45]
= (VAR48 == "VAR25") ?
((VAR155 && VAR249) ?
VAR295[5*VAR45+4:5*VAR45] :
VAR90[5*VAR45+4:5*VAR45]) :
((VAR249) ?
VAR295[5*VAR45+4:5*VAR45] :
VAR306);
assign VAR213[5*VAR45+4:5*VAR45]
= (VAR48 == "VAR25") ?
((VAR155 && VAR249) ?
VAR63[5*VAR45+4:5*VAR45] :
VAR1[5*VAR45+4:5*VAR45]) :
((VAR249) ?
VAR63[5*VAR45+4:5*VAR45] :
5'b0);
end else begin: VAR191
assign VAR29[5*VAR45+4:5*VAR45]
= (VAR48 == "VAR25") ?
VAR90[5*VAR45+4:5*VAR45] :
VAR306;
assign VAR213[5*VAR45+4:5*VAR45]
= (VAR48 == "VAR25") ?
VAR1[5*VAR45+4:5*VAR45] :
5'b0;
end
end
endgenerate
generate
if (VAR193 == 1) begin: VAR333
always @ (posedge clk) begin
case ({VAR301[0],VAR301[1],
VAR301[2],VAR301[3]})
4'b1111:
if (VAR89 == "VAR188")
end
else
4'b1100:
if (VAR89 == "VAR188")
end
else
default:
endcase
end
end else if (VAR193 == 2) begin: VAR170
always @ (posedge clk) begin
case ({VAR301[0],VAR301[1],
VAR169[0],VAR169[1]})
4'b1010:
4'b1000:
4'b0010:
4'b1111:
if (VAR89 == "VAR188")
end
else
4'b1110:
if (VAR89 == "VAR188")
end
else
4'b1100:
if (VAR89 == "VAR188")
else
4'b1011:
if (VAR89 == "VAR188")
else
4'b0011:
if (VAR89 == "VAR188")
else
default:
endcase
end
end
endgenerate
VAR24 #
(
.VAR240 (VAR240),
.VAR54 (VAR54),
.VAR69 (VAR69),
.VAR156 (VAR156),
.VAR198 (VAR198),
.VAR205 (VAR205),
.VAR207 (VAR207),
.VAR337 (VAR337),
.VAR151 (VAR151),
.VAR149 (VAR149),
.VAR258 (VAR258),
.VAR310 (VAR310),
.VAR9 (VAR9),
.VAR285 (VAR285),
.VAR226 (VAR226),
.VAR235 (VAR235),
.VAR27 (VAR27),
.VAR308 (VAR308),
.VAR19 (VAR19),
.VAR262 (VAR262),
.VAR67 (VAR67),
.VAR129 (VAR129),
.VAR174 (VAR174),
.VAR31 (VAR31),
.VAR291 (VAR291),
.VAR206 (VAR48),
.VAR223 (VAR210),
.VAR193 (VAR193),
.VAR91 (VAR154),
.VAR8 (VAR81)
)
VAR341
(
.clk (clk),
.rst (rst),
.VAR313 (VAR313),
.VAR332 (VAR332),
.VAR155 (VAR155),
.VAR252 (VAR252),
.VAR192 (VAR192),
.VAR301 (VAR301),
.VAR169 (VAR169),
.VAR77 (VAR77),
.VAR305 (VAR305),
.VAR274 (VAR274),
.VAR318(VAR318),
.VAR180 (VAR180),
.VAR157 (VAR317),
.VAR303 (VAR303),
.VAR181 (VAR181),
.VAR284 (VAR284),
.VAR133 (VAR133),
.VAR32 (VAR32),
.VAR242 (VAR242),
.VAR340 (VAR340),
.VAR102 (VAR102),
.VAR5 (VAR5),
.VAR109 (VAR109),
.VAR42 (VAR42),
.VAR104 (VAR104),
.VAR334 (VAR334),
.VAR267 (VAR267),
.VAR168 (VAR168),
.VAR117 (VAR117),
.VAR103 (VAR103),
.VAR145 (VAR145),
.VAR124 (VAR124),
.VAR302 (VAR302),
.VAR113 (VAR113),
.VAR125 (VAR125),
.VAR84 (VAR84),
.VAR43 (VAR43),
.VAR263 (VAR263),
.VAR38 (VAR38),
.VAR190 (VAR190),
.VAR75 (VAR75),
.VAR339 (VAR264)
);
VAR330 #
(
.VAR240 (VAR240),
.VAR198 (VAR198),
.VAR326 (VAR326),
.VAR207 (VAR207),
.VAR149 (VAR149),
.VAR258 (VAR258),
.VAR151 (VAR151),
.VAR206 (VAR48),
.VAR262 (VAR248),
.VAR156 (VAR156),
.VAR174 (VAR174),
.VAR97 (VAR97),
.VAR101 (VAR101),
.VAR64 (VAR64),
.VAR200 (VAR200)
)
VAR116
(
.VAR105 (VAR105),
.clk (clk),
.rst (rst),
.VAR115 (VAR103),
.VAR269 (VAR269),
.VAR30 (VAR30),
.VAR314 (VAR314),
.VAR214 (VAR214),
.VAR321 (VAR321),
.VAR225 (VAR225),
.VAR26 (VAR26),
.VAR311 (VAR311),
.VAR114 (VAR114),
.VAR290 (VAR290),
.VAR244 (VAR244),
.VAR16 (VAR16),
.VAR178 (VAR178),
.VAR196 (VAR196),
.VAR277 (VAR277),
.VAR58 (VAR58),
.VAR304 (VAR304),
.VAR340 (VAR340),
.VAR102 (VAR102),
.VAR5 (VAR5),
.VAR109 (VAR109),
.VAR42 (VAR42),
.VAR104 (VAR104),
.VAR334 (VAR334),
.VAR267 (VAR267),
.VAR168 (VAR168),
.VAR117 (VAR117),
.VAR145 (VAR145),
.VAR124 (VAR124),
.VAR302 (VAR302),
.VAR113 (VAR113),
.VAR125 (VAR125),
.VAR84 (VAR84),
.VAR43 (VAR43),
.VAR260 (VAR260),
.VAR108 (VAR108),
.VAR39 (VAR39),
.VAR70 (VAR70),
.VAR164 (VAR164),
.VAR7 (VAR7),
.VAR270 (VAR270),
.VAR307 (VAR307),
.VAR127 (VAR127),
.VAR323 (VAR323)
);
VAR229 #
(
.VAR240 (VAR240),
.VAR283 (VAR283),
.VAR206 (VAR48),
.VAR156 (VAR156),
.VAR97 (VAR97),
.VAR64 (VAR64)
)
VAR184
(
.VAR105 (VAR105),
.clk (clk),
.rst (rst),
.VAR94 (VAR94),
.VAR100 (VAR100)
);
VAR246 #
(
.VAR240 (VAR240),
.VAR54 (VAR54),
.VAR69 (VAR69),
.VAR156 (VAR156),
.VAR57 (VAR57),
.VAR119 (VAR119),
.VAR337 (VAR337),
.VAR53 (VAR53),
.VAR262 (VAR248),
.VAR206 (VAR48),
.VAR97 (VAR97),
.VAR143 (VAR143),
.VAR101 (VAR101),
.VAR64 (VAR64),
.VAR6 (VAR6),
.VAR4 (VAR4),
.VAR259 (VAR259),
.VAR281 (VAR281),
.VAR142 (VAR142),
.VAR255 (VAR255),
.VAR322 (VAR322),
.VAR78 (VAR78),
.VAR166 (VAR166)
)
VAR329
(
.VAR105 (VAR105),
.clk (clk),
.VAR11 (VAR11),
.VAR219 (VAR219),
.rst (rst),
.VAR80 (VAR80),
.VAR199 (VAR199),
.VAR215 (VAR215),
.VAR47 (VAR99),
.VAR175 (VAR66),
.VAR22 (VAR22),
.VAR338 (VAR338),
.VAR146 (VAR146),
.VAR275 (VAR275),
.VAR159 (VAR159),
.VAR163 (VAR163),
.VAR296 (VAR296),
.VAR294 (VAR294),
.VAR153 (VAR153),
.VAR162 (VAR162),
.VAR111 (VAR111),
.VAR289 (VAR289),
.VAR52 (VAR52),
.VAR211 (VAR211),
.VAR288 (VAR288),
.VAR209 (VAR209),
.VAR233 (VAR233),
.VAR138 (VAR138),
.VAR72 (VAR72),
.VAR298 (VAR298),
.VAR231 (VAR231),
.VAR37 (VAR37),
.VAR18 (VAR18),
.VAR197 (VAR197),
.VAR239 (VAR239),
.VAR189 (VAR189),
.VAR158 (VAR158),
.VAR123 (VAR123),
.VAR230 (VAR230)
);
VAR220 #
(
.VAR240 (VAR240),
.VAR337 (VAR337),
.VAR41 (VAR41),
.VAR53 (VAR53),
.VAR326 (VAR326),
.VAR262 (VAR248),
.VAR174 (VAR174),
.VAR206 (VAR48),
.VAR223 (VAR210),
.VAR156 (VAR156),
.VAR6 (VAR6),
.VAR4 (VAR4),
.VAR259 (VAR259),
.VAR281 (VAR281),
.VAR142 (VAR142),
.VAR255 (VAR255),
.VAR322 (VAR322),
.VAR78 (VAR78),
.VAR245 (VAR245)
)
VAR236
(
.clk (clk),
.rst (rst),
.VAR219 (VAR219),
.VAR80 (VAR80),
.VAR155 (VAR155),
.VAR77 (VAR77),
.VAR284 (VAR284),
.VAR115 (VAR103),
.VAR130 (VAR204),
.VAR203 (VAR65),
.VAR75 (VAR75),
.VAR339 (VAR264),
.VAR106 (VAR106),
.VAR213 (VAR213),
.VAR29 (VAR29),
.VAR51 (VAR51),
.VAR324 (VAR324),
.VAR92 (VAR92),
.VAR187 (VAR187),
.VAR14 (VAR14),
.VAR128 (VAR128),
.VAR71 (VAR71),
.VAR251 (VAR251),
.VAR136 (VAR136),
.VAR215 (VAR215),
.VAR199 (VAR199),
.VAR216 (VAR216),
.VAR13 (VAR13),
.VAR96 (VAR96),
.VAR62 (VAR62),
.VAR287 (VAR287),
.VAR85 (VAR85),
.VAR144 (VAR144)
);
VAR266 #
(
.VAR240 (VAR240),
.VAR206 (VAR48),
.VAR337 (VAR337),
.VAR53 (VAR53),
.VAR156 (VAR156),
.VAR326 (VAR326),
.VAR262 (VAR248),
.VAR174 (VAR174)
)
VAR147
(
.clk (clk),
.rst (rst),
.VAR115 (VAR103),
.VAR192 (VAR192),
.VAR155 (VAR155),
.VAR47 (VAR99),
.VAR175 (VAR66),
.VAR59 (VAR59),
.VAR315 (VAR315),
.VAR276 (VAR276),
.VAR203 (VAR65),
.VAR130 (VAR204),
.VAR38 (VAR38),
.VAR263 (VAR263),
.VAR339 (VAR264),
.VAR75 (VAR75),
.VAR275 (VAR275),
.VAR338 (VAR338),
.VAR22 (VAR22),
.VAR146 (VAR146),
.VAR331 (VAR331),
.VAR217 (),
.VAR342 (),
.VAR112 (VAR112),
.VAR224 (VAR224),
.VAR153 (VAR153),
.VAR162 (VAR162),
.VAR111 (VAR111),
.VAR289 (VAR289),
.VAR159 (VAR159),
.VAR163 (VAR163),
.VAR296 (VAR296),
.VAR294 (VAR294)
);
always @(posedge clk)
generate
if (VAR48 == "VAR25") begin: VAR243
VAR135 #
(
.VAR240 (VAR240),
.VAR41 (VAR41),
.VAR337 (VAR337),
.VAR53 (VAR53),
.VAR57 (VAR57),
.VAR149 (VAR149),
.VAR89 (VAR89),
.VAR21 (5*VAR53-1),
.VAR306 (VAR306),
.VAR8 (VAR81)
)
VAR234
(
.clk (clk),
.rst (rst),
.VAR313 (VAR313),
.VAR79 (VAR79),
.VAR17 (VAR224),
.VAR112 (VAR112),
.VAR209 (VAR221[VAR337-1:0]),
.VAR77 (VAR77),
.VAR278 (VAR155),
.VAR252 (VAR252),
.VAR293 (VAR1),
.VAR132 (VAR90),
.VAR47 (VAR47),
.VAR88 (VAR336),
.VAR327 (VAR35),
.VAR157 (VAR50),
.VAR175 (VAR175),
.VAR121 (VAR121),
.VAR28 (VAR28),
.VAR110 (VAR279),
.VAR161 (VAR161),
.VAR186 (VAR186),
.VAR292 (),
.VAR247 (),
.VAR257 ()
);
end
endgenerate
VAR202 #
(
.VAR240 (VAR240),
.VAR54 (VAR54),
.VAR69 (VAR69),
.VAR97 (VAR97),
.VAR53 (VAR53),
.VAR337 (VAR337),
.VAR57 (VAR57),
.VAR64 (VAR64),
.VAR6 (VAR6),
.VAR4 (VAR4),
.VAR259 (VAR259),
.VAR281 (VAR281),
.VAR142 (VAR142),
.VAR255 (VAR255),
.VAR322 (VAR322),
.VAR78 (VAR78)
)
VAR150
(
.VAR105 (VAR105),
.clk (clk),
.VAR171 (VAR171),
.rst (rst),
.VAR216 (VAR216),
.VAR13 (VAR13),
.VAR96 (VAR96),
.VAR62 (VAR62),
.VAR287 (VAR287),
.VAR85 (VAR85),
.VAR11 (VAR11),
.VAR219 (VAR219),
.VAR80 (VAR80),
.VAR332 (VAR332),
.VAR115 (VAR103),
.VAR160 (VAR160),
.VAR209 (VAR209),
.VAR233 (VAR233),
.VAR138 (VAR138),
.VAR72 (VAR72),
.VAR298 (VAR298),
.VAR231 (VAR231),
.VAR37 (VAR37),
.VAR18 (VAR18),
.VAR68 (VAR68),
.VAR190 (VAR190),
.VAR87 (VAR87),
.VAR271 (VAR271),
.VAR221 (VAR221),
.VAR297 (VAR297),
.VAR172 (VAR172),
.VAR254 (VAR254),
.VAR140 (VAR140)
);
VAR98 #
(
.VAR240 (VAR240),
.VAR54 (VAR54),
.VAR69 (VAR69),
.VAR97 (VAR97),
.VAR337 (VAR337),
.VAR41 (VAR41),
.VAR53 (VAR53),
.VAR57 (VAR57),
.VAR156 (VAR156),
.VAR19 (VAR19),
.VAR83 (VAR83),
.VAR8 (VAR81),
.VAR245 (VAR245)
)
VAR15
(
.clk (clk),
.rst (rst),
.VAR305 (VAR305),
.VAR318 (VAR318),
.VAR49 (VAR271),
.VAR77 (VAR77),
.VAR274 (VAR274),
.VAR134 (VAR134),
.VAR180 (VAR180),
.VAR32 (VAR32),
.VAR209 (VAR221[VAR337-1:0]),
.VAR233 (VAR221[2*VAR337-1:VAR337]),
.VAR138 (VAR221[3*VAR337-1:2*VAR337]),
.VAR72 (VAR221[4*VAR337-1:3*VAR337]),
.VAR13 (VAR51),
.VAR96 (VAR324),
.VAR287 (VAR92),
.VAR85 (VAR187),
.VAR199 (VAR14),
.VAR215 (VAR128),
.VAR52 (VAR52),
.VAR211 (VAR211),
.VAR160 (VAR160),
.VAR50 (VAR317),
.VAR336 (VAR336),
.VAR35 (VAR35),
.VAR288 (VAR288),
.VAR126 (VAR126),
.VAR173 (VAR173),
.VAR44 (VAR44),
.VAR222 (), .VAR55 (VAR55),
.VAR56 (VAR56),
.VAR273 (VAR273),
.VAR282 (VAR282),
.VAR227 (VAR227),
.VAR148 (VAR148),
.VAR195 (VAR195),
.VAR272 (VAR272),
.VAR208 (VAR208),
.VAR93 (VAR93),
.VAR33 (VAR33),
.VAR2 (VAR2),
.VAR232 (VAR232)
);
generate
if (VAR210 == "VAR25") begin: VAR137
VAR344 #
(
.VAR240 (VAR240),
.VAR41 (VAR41),
.VAR53 (VAR53),
.VAR118 (VAR118),
.VAR131 (VAR131),
.VAR82 (VAR82),
.VAR177 (VAR177),
.VAR8 (VAR81),
.VAR245 (VAR245)
)
VAR343
(
.clk (clk),
.rst (rst),
.VAR181 (VAR181),
.VAR284 (VAR284),
.VAR242 (VAR103),
.VAR325 (VAR271),
.VAR265 (VAR265),
.VAR238 (VAR238),
.VAR128 (VAR128),
.VAR71 (VAR71),
.VAR251 (VAR251),
.VAR136 (VAR136),
.VAR298 (VAR297[VAR53-1-:VAR53]),
.VAR231 (VAR297[2*VAR53-1-:VAR53]),
.VAR37 (VAR297[3*VAR53-1-:VAR53]),
.VAR18 (VAR297[4*VAR53-1-:VAR53]),
.VAR133 (VAR133),
.VAR32 (VAR32),
.VAR144 (VAR144),
.VAR256 (VAR256),
.VAR152 (VAR152),
.VAR286 (VAR286),
.VAR183 (VAR183),
.VAR179 (VAR179),
.VAR60 (VAR60),
.VAR253 (VAR253),
.VAR316 (VAR316),
.VAR241 (VAR241),
.VAR12 (VAR12),
.VAR167 (VAR167),
.VAR250 (VAR250),
.VAR139 (VAR139)
);
end else begin: VAR185
assign VAR284 = 1'b0;
assign VAR133 = 1'b0;
assign VAR71 = 'b0;
assign VAR251 = 'b0;
assign VAR136 = 'b0;
end
endgenerate
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/a21o/sky130_fd_sc_hvl__a21o.pp.symbol.v | 1,348 | module MODULE1 (
input VAR3 ,
input VAR1 ,
input VAR8 ,
output VAR7 ,
input VAR4 ,
input VAR6,
input VAR2,
input VAR5
);
endmodule | apache-2.0 |
AngelTerrones/ADA | rtl/ada_idu.v | 16,409 | module MODULE1(
input [5:0] VAR34, input [5:0] VAR31, input VAR30,
output VAR4, output VAR15, output VAR11, output VAR23, output VAR36, output VAR26, output VAR18, output [4:0] VAR24, output [2:0] VAR16, output VAR35, output VAR20, output VAR17, output VAR6, output VAR9, output VAR12, output VAR33, output VAR5, output VAR27, output VAR2, output VAR28, output VAR13 );
reg [16:0] VAR32; reg VAR1; reg [2:0] VAR3;
assign VAR11 = (VAR34 == VAR29) && (VAR31 == VAR21);
assign VAR23 = (VAR34 == VAR29) && (VAR31 == VAR10);
assign VAR36 = VAR1;
assign VAR24 = VAR32[16:12];
assign VAR16 = VAR32[11:9];
assign VAR35 = VAR32[8];
assign VAR20 = VAR32[7];
assign VAR17 = VAR32[6];
assign VAR6 = VAR32[5];
assign VAR9 = VAR32[4];
assign VAR12 = VAR32[3];
assign VAR33 = VAR32[2];
assign VAR5 = VAR32[1];
assign VAR27 = VAR32[0];
assign VAR4 = (VAR30 && (VAR34 == VAR29) && (VAR31 == VAR22)) ? 1'b1 : 1'b0;
assign VAR15 = (VAR30 && (VAR34 == VAR29) && (VAR31 == VAR19)) ? 1'b1 : 1'b0;
assign VAR26 = (VAR30 && (VAR34 == VAR29) && (VAR31 == VAR7)) ? 1'b1 : 1'b0;
assign VAR18 = (VAR30 && (VAR34 == VAR29) && (VAR31 == VAR25)) ? 1'b1 : 1'b0;
assign VAR2 = VAR3[2];
assign VAR28 = VAR3[1];
assign VAR13 = VAR3[0];
always @ begin
case(VAR34)
case(VAR31)
default : begin VAR32 <= VAR8; VAR3 <= VAR14; end
endcase
end
default : begin VAR32 <= VAR8; VAR3 <= VAR14; end
endcase
end
endmodule | mit |
neale/CS-program | 474-VLSI/Lab_5/db/frame_rate_altpll.v | 4,346 | module MODULE1
(
clk,
VAR5,
VAR32) ;
output [4:0] clk;
input [1:0] VAR5;
output VAR32;
tri0 [1:0] VAR5;
wire [4:0] VAR36;
wire VAR37;
wire VAR31;
VAR45 VAR16
(
.VAR42(),
.clk(VAR36),
.VAR23(),
.VAR3(VAR37),
.VAR28(VAR37),
.VAR5(VAR5),
.VAR32(VAR31),
.VAR25(),
.VAR6(),
.VAR20(),
.VAR43(),
.VAR8()
,
.VAR26(1'b0),
.VAR27(1'b0),
.VAR39(1'b0),
.VAR13(1'b1),
.VAR38({3{1'b0}}),
.VAR19(1'b0),
.VAR1(1'b0),
.VAR2(1'b0),
.VAR14(1'b1),
.VAR17(1'b0)
);
VAR16.VAR33 = "VAR11",
VAR16.VAR12 = 10000,
VAR16.VAR15 = 50,
VAR16.VAR41 = 1,
VAR16.VAR22 = "0",
VAR16.VAR34 = 5000,
VAR16.VAR44 = 50,
VAR16.VAR30 = 1,
VAR16.VAR21 = "0",
VAR16.VAR18 = "VAR29",
VAR16.VAR24 = 20000,
VAR16.VAR10 = "VAR7",
VAR16.VAR9 = "VAR11",
VAR16.VAR35 = "VAR4",
VAR16.VAR40 = "VAR45";
assign
clk = {VAR36[4:0]},
VAR32 = VAR31;
endmodule | unlicense |
richard42/CoCo3FPGA | COCO3GEN_bb.v | 5,284 | module MODULE1 (
address,
VAR2,
VAR1);
input [10:0] address;
input VAR2;
output [7:0] VAR1;
tri1 VAR2;
endmodule | bsd-3-clause |
EPiCS/soundgates | hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/ad_mmcm_drp.v | 7,536 | module MODULE1 (
clk,
VAR53,
VAR24,
VAR23,
VAR48,
VAR72,
VAR63,
VAR39,
VAR62,
VAR44,
VAR87,
VAR27,
VAR14);
parameter VAR40 = 0;
localparam VAR89 = 0;
localparam VAR33 = 1;
parameter VAR30 = 1.667;
parameter VAR9 = 6;
parameter VAR78 = 12.000;
parameter VAR12 = 2.000;
parameter VAR64 = 6;
input clk;
input VAR53;
output VAR24;
output VAR23;
input VAR48;
input VAR72;
input VAR63;
input VAR39;
input [11:0] VAR62;
input [15:0] VAR44;
output [15:0] VAR87;
output VAR27;
output VAR14;
reg VAR42 = 'd0;
reg VAR14 = 'd0;
reg VAR81 = 'd0;
reg VAR27 = 'd0;
reg [15:0] VAR87 = 'd0;
wire VAR16;
wire VAR11;
wire VAR17;
wire VAR80;
wire VAR60;
wire [15:0] VAR22;
wire VAR65;
always @(posedge VAR48) begin
if (VAR72 == 1'b1) begin
VAR42 <= 1'd0;
VAR14 <= 1'd0;
end else begin
VAR42 <= VAR60;
VAR14 <= VAR42;
end
VAR81 <= VAR65;
if ((VAR65 == 1'b1) && (VAR81 == 1'b0)) begin
VAR27 <= ~VAR27;
VAR87 <= VAR22;
end
end
generate
if (VAR40 == VAR33) begin
VAR10 #(
.VAR5 ("VAR82"),
.VAR26 ("VAR93"),
.VAR47 ("VAR93"),
.VAR92 ("VAR50"),
.VAR4 ("VAR93"),
.VAR57 (VAR9),
.VAR37 (VAR78),
.VAR68 (0.000),
.VAR66 ("VAR93"),
.VAR41 (VAR12),
.VAR84 (0.000),
.VAR43 (0.500),
.VAR79 ("VAR93"),
.VAR55 (VAR64),
.VAR83 (0.000),
.VAR29 (0.500),
.VAR49 ("VAR93"),
.VAR86 (VAR30),
.VAR38 (0.010))
VAR54 (
.VAR74 (clk),
.VAR58 (VAR16),
.VAR75 (VAR11),
.VAR15 (VAR17),
.VAR8 (VAR80),
.VAR85 (VAR60),
.VAR61 (VAR48),
.VAR3 (VAR63),
.VAR1 (VAR62[6:0]),
.VAR69 (VAR39),
.VAR20 (VAR44),
.VAR25 (VAR22),
.VAR70 (VAR65),
.VAR19 (),
.VAR32 (),
.VAR77 (),
.VAR59 (),
.VAR13 (),
.VAR51 (),
.VAR6 (),
.VAR21 (),
.VAR18 (),
.VAR28 (),
.VAR76 (1'b0),
.VAR56 (1'b1),
.VAR45 (1'b0),
.VAR73 (1'b0),
.VAR52 (1'b0),
.VAR90 (),
.VAR46 (),
.VAR88 (),
.VAR35 (1'b0),
.VAR34 (VAR53));
end
if (VAR40 == VAR89) begin
VAR91 #(
.VAR5 ("VAR82"),
.VAR26 ("VAR93"),
.VAR92 ("VAR50"),
.VAR4 ("VAR93"),
.VAR57 (VAR9),
.VAR37 (VAR78),
.VAR68 (0.000),
.VAR66 ("VAR93"),
.VAR41 (VAR12),
.VAR84 (0.000),
.VAR43 (0.500),
.VAR79 ("VAR93"),
.VAR55 (VAR64),
.VAR83 (0.000),
.VAR29 (0.500),
.VAR49 ("VAR93"),
.VAR86 (VAR30),
.VAR38 (0.010))
VAR54 (
.VAR74 (clk),
.VAR58 (VAR16),
.VAR75 (VAR11),
.VAR15 (VAR17),
.VAR8 (VAR80),
.VAR85 (VAR60),
.VAR61 (VAR48),
.VAR3 (VAR63),
.VAR1 (VAR62[6:0]),
.VAR69 (VAR39),
.VAR20 (VAR44),
.VAR25 (VAR22),
.VAR70 (VAR65),
.VAR19 (),
.VAR32 (),
.VAR77 (),
.VAR59 (),
.VAR13 (),
.VAR51 (),
.VAR6 (),
.VAR21 (),
.VAR18 (),
.VAR28 (),
.VAR76 (1'b0),
.VAR56 (1'b1),
.VAR45 (1'b0),
.VAR73 (1'b0),
.VAR52 (1'b0),
.VAR90 (),
.VAR46 (),
.VAR88 (),
.VAR35 (1'b0),
.VAR34 (VAR53));
end
endgenerate
VAR31 VAR67 (.VAR7 (VAR11), .VAR2 (VAR16));
VAR31 VAR71 (.VAR7 (VAR17), .VAR2 (VAR24));
VAR31 VAR36 (.VAR7 (VAR80), .VAR2 (VAR23));
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/nor4bb/sky130_fd_sc_ms__nor4bb.functional.pp.v | 1,998 | module MODULE1 (
VAR2 ,
VAR4 ,
VAR8 ,
VAR17 ,
VAR6 ,
VAR3,
VAR16,
VAR13 ,
VAR10
);
output VAR2 ;
input VAR4 ;
input VAR8 ;
input VAR17 ;
input VAR6 ;
input VAR3;
input VAR16;
input VAR13 ;
input VAR10 ;
wire VAR11 ;
wire VAR15 ;
wire VAR1;
nor VAR7 (VAR11 , VAR4, VAR8 );
and VAR12 (VAR15 , VAR11, VAR17, VAR6 );
VAR9 VAR5 (VAR1, VAR15, VAR3, VAR16);
buf VAR14 (VAR2 , VAR1 );
endmodule | apache-2.0 |
stanford-ppl/spatial-lang | spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_f2sdram0_m/altera_jtag_dc_streaming_171/synth/altera_jtag_sld_node.v | 6,693 | module MODULE1 (
VAR56,
VAR58,
VAR24,
VAR64,
VAR60,
VAR72,
VAR11,
VAR50,
VAR39,
VAR80,
VAR74,
VAR71,
VAR8
);
parameter VAR14 = 20;
localparam VAR7 = (1000/VAR14)/2;
localparam VAR22 = 3;
input [VAR22 - 1:0] VAR56;
input VAR58;
output reg [VAR22 - 1:0] VAR24;
output VAR64;
output reg VAR60 = 1'b0;
output VAR72;
output VAR11;
output VAR50;
output VAR39;
output VAR80;
output VAR74;
output VAR71;
output VAR8;
reg VAR47;
reg VAR34;
reg VAR36;
reg VAR65;
reg VAR62;
reg VAR44;
reg [7:0] VAR68;
wire VAR33;
wire [VAR22 - 1:0] VAR28;
always @(VAR33)
VAR60 = VAR33;
always @(VAR28)
VAR24 = VAR28;
VAR35 VAR76 (
.VAR56 (VAR56),
.VAR58 (VAR58),
.VAR60 (VAR33),
.VAR64 (VAR64),
.VAR24 (VAR28),
.VAR11 (VAR11),
.VAR80 (VAR80),
.VAR8 (VAR8),
.VAR74 (VAR74),
.VAR72 (VAR72),
.VAR71 (VAR71),
.VAR50 (VAR50),
.VAR39 (VAR39)
,
.VAR73 (),
.VAR17 (),
.VAR46 (),
.VAR45 (),
.VAR53 (),
.VAR61 (),
.VAR63 (),
.VAR29 (),
.VAR52 (),
.VAR55 (),
.VAR25 (),
.VAR32 (),
.VAR4 (),
.VAR2 (),
.VAR67 (),
.VAR69 (),
.VAR48 ()
);
VAR76.VAR10 = 110,
VAR76.VAR51 = 132,
VAR76.VAR20 = 1,
VAR76.VAR21 = "VAR12",
VAR76.VAR78 = 0,
VAR76.VAR23 = VAR22,
VAR76.VAR1 = "",
VAR76.VAR13 = 0,
VAR76.VAR9 = 0;
localparam VAR38 = 0;
localparam VAR19 = 1;
localparam VAR31 = 2;
localparam VAR79 = 3;
localparam VAR43 = 4;
localparam VAR26 = 5;
always
assign VAR64 = VAR47;
assign VAR72 = VAR36;
assign VAR74 = VAR65;
assign VAR50 = VAR62;
assign VAR71 = VAR44;
task VAR40;
begin
VAR47 = 0;
VAR5;
VAR66;
end
endtask
task VAR5;
begin
VAR24 = VAR38;
VAR3;
end
endtask
task VAR18;
begin
VAR24 = VAR19;
VAR3;
end
endtask
task VAR70;
begin
VAR24 = VAR31;
VAR3;
end
endtask
task VAR37;
begin
VAR24 = VAR79;
VAR3;
end
endtask
task VAR54;
begin
VAR24 = VAR43;
VAR3;
end
endtask
task VAR49;
begin
VAR24 = VAR26;
VAR3;
end
endtask
task VAR30;
begin
{VAR34, VAR36, VAR65, VAR62, VAR44} = 5'b10000;
VAR60 = 1'b0;
@(posedge VAR64);
end
endtask
task VAR27;
begin
{VAR34, VAR36, VAR65, VAR62, VAR44} = 5'b01000;
VAR60 = 1'b0;
@(posedge VAR64);
end
endtask
task VAR6;
begin
{VAR34, VAR36, VAR65, VAR62, VAR44} = 5'b00010;
VAR60 = 1'b0;
@(posedge VAR64);
end
endtask
task VAR75;
begin
{VAR34, VAR36, VAR65, VAR62, VAR44} = 5'b00001;
VAR60 = 1'b0;
@(posedge VAR64);
end
endtask
task VAR3;
begin
VAR66;
@(posedge VAR64);
end
endtask
task VAR66;
begin
{VAR36, VAR65, VAR62, VAR44} = 4'b0000;
end
endtask
task VAR16;
input VAR77;
output reg VAR59;
begin
{VAR36, VAR65, VAR62, VAR44} = 4'b0100;
VAR60 = VAR77;
@(posedge VAR64);
VAR59 = VAR58;
end
endtask
task VAR57;
input [7:0] VAR15;
output reg [7:0] VAR41;
integer VAR42;
reg VAR59;
begin
for (VAR42=0; VAR42<8; VAR42=VAR42+1)
begin
VAR68 = VAR42;
VAR16(VAR15[VAR42], VAR59);
VAR41[VAR42] = VAR59;
end
end
endtask
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/nand4/sky130_fd_sc_ls__nand4.behavioral.pp.v | 1,846 | module MODULE1 (
VAR2 ,
VAR8 ,
VAR5 ,
VAR14 ,
VAR3 ,
VAR4,
VAR10,
VAR13 ,
VAR15
);
output VAR2 ;
input VAR8 ;
input VAR5 ;
input VAR14 ;
input VAR3 ;
input VAR4;
input VAR10;
input VAR13 ;
input VAR15 ;
wire VAR7 ;
wire VAR6;
nand VAR12 (VAR7 , VAR3, VAR14, VAR5, VAR8 );
VAR1 VAR9 (VAR6, VAR7, VAR4, VAR10);
buf VAR11 (VAR2 , VAR6 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/a211o/sky130_fd_sc_hd__a211o.behavioral.pp.v | 2,032 | module MODULE1 (
VAR13 ,
VAR15 ,
VAR2 ,
VAR12 ,
VAR6 ,
VAR5,
VAR16,
VAR11 ,
VAR14
);
output VAR13 ;
input VAR15 ;
input VAR2 ;
input VAR12 ;
input VAR6 ;
input VAR5;
input VAR16;
input VAR11 ;
input VAR14 ;
wire VAR1 ;
wire VAR7 ;
wire VAR4;
and VAR9 (VAR1 , VAR15, VAR2 );
or VAR10 (VAR7 , VAR1, VAR6, VAR12 );
VAR17 VAR8 (VAR4, VAR7, VAR5, VAR16);
buf VAR3 (VAR13 , VAR4 );
endmodule | apache-2.0 |
AngelTerrones/Antares | Hardware/verilog/antares_branch_unit.v | 4,492 | module MODULE1 (
input [5:0] VAR19, input [31:0] VAR2, input [31:0] VAR7, input [31:0] VAR16, input [25:0] VAR14, output reg [31:0] VAR13, output reg VAR18 ) ;
wire VAR21;
wire VAR15;
wire VAR20;
wire VAR3;
wire VAR17;
wire VAR9;
wire [31:0] VAR11;
wire [31:0] VAR10;
wire [5:0] VAR12;
wire [4:0] VAR4;
assign VAR21 = VAR7 == VAR16;
assign VAR15 = ~VAR21;
assign VAR20 = ~VAR9;
assign VAR3 = ~VAR17;
assign VAR17 = VAR9 | ~(|VAR7);
assign VAR9 = VAR7[31];
assign VAR11 = {VAR2[31:28], VAR14, 2'b00 };
assign VAR10 = (VAR2) + ( { {14{VAR14[15]}}, VAR14[VAR8], 2'b00 } );
assign VAR12 = VAR14[VAR6];
assign VAR4 = VAR14[VAR1];
always @(*) begin
case (VAR19)
case (VAR4)
default : begin VAR13 = 32'VAR5; VAR18 = 1'b0; end
endcase end
case(VAR12)
default : begin VAR13 = 32'VAR5; VAR18 = 1'b0; end
endcase end
default : begin VAR13 = 32'VAR5; VAR18 = 1'b0; end
endcase end endmodule | mit |
CospanDesign/nysa-verilog | verilog/generic/adapter_axi_stream_2_block_fifo.v | 4,247 | module MODULE1 #(
parameter VAR14 = 32,
parameter VAR12 = VAR14 / 8,
parameter VAR13 = 0
)(
input rst,
input VAR4,
output VAR11,
input [VAR14 - 1:0] VAR10,
input [VAR12 - 1:0] VAR9,
input VAR17,
input VAR16,
output VAR3,
input VAR18,
output reg VAR19,
input [23:0] VAR2,
output reg VAR5,
output reg [VAR14 - 1:0] VAR1
);
localparam VAR15 = 0;
localparam VAR8 = 1;
localparam VAR6 = 2;
wire clk; reg [3:0] state;
reg [23:0] VAR7;
assign VAR3 = VAR4;
assign clk = VAR4;
assign VAR11 = VAR19 && (VAR7 < VAR2);
always @ (posedge clk) begin
VAR5 <= 0;
if (rst) begin
VAR7 <= 0;
VAR19 <= 0;
VAR1 <= 0;
state <= VAR15;
end
else begin
case (state)
VAR15: begin
VAR19 <= 0;
if (VAR18 && !VAR19) begin
VAR7 <= 0;
VAR19 <= 1;
state <= VAR8;
end
end
VAR8: begin
if (VAR7 < VAR2) begin
if (VAR16) begin
VAR5 <= 1;
VAR1 <= VAR10;
VAR7 <= VAR7 + 1;
end
end
else begin
state <= VAR6;
end
if (VAR17) begin
state <= VAR6;
end
end
VAR6: begin
VAR19 <= 0;
state <= VAR15;
end
default: begin
end
endcase
end
end
endmodule | mit |
iori-yja/ball_detector | ball_color.v | 2,923 | module MODULE1(
input clk,
input [8:0] VAR18,
input [4:0] VAR10,
input [4:0] VAR33,
input write,
input [9:0] VAR15,
output reg VAR16,
output [7:0] VAR18,
output [4:0] VAR10,
output [4:0] VAR33,
output [2:0] VAR4
);
reg [7:0] VAR29;
reg [4:0] VAR28;
reg [4:0] VAR13;
reg [3:0] state;
parameter VAR34 = 4'h0,
VAR27 = 4'h1,
VAR2 = 4'h2,
VAR1 = 4'h3,
VAR20 = 4'h4,
VAR22 = 4'h5,
VAR9 = 4'h6,
VAR6 = 4'h7,
VAR26 = 4'h8;
parameter VAR21 = 4'hc;
reg [9:0] VAR5;
reg [9:0] VAR7;
function [3:0] VAR4;
input [7:0] VAR18;
input [4:0] VAR24;
input [4:0] VAR8;
begin
if ((VAR8 > VAR3) && (VAR24 > VAR19)) begin
if ((0 <= VAR18 && VAR18 < VAR23) || (VAR11 < VAR18 && VAR18 <= 360)) begin
VAR4 = VAR1;
end else if (VAR30 <= VAR18 && VAR18 <= VAR32) begin
VAR4 = VAR22;
end else if (VAR17 <= VAR18 && VAR18 <= VAR14) begin
VAR4 = VAR6;
end else begin
VAR4 = VAR27;
end
end else if (VAR8 < VAR31) begin
VAR4 = VAR21;
end else begin
VAR4 = VAR27;
end
end
endfunction
always @(posedge clk)
begin
case (VAR25)
VAR35: begin
case (state)
VAR34: begin
state <= VAR27;
end
VAR27: begin
if (write) begin
state <= VAR2;
VAR29 <= VAR18;
VAR28 <= VAR24;
VAR13 <= VAR8;
VAR5 <= VAR15;
end
end
VAR2:
begin
case (VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28)) begin
VAR21:
state <= VAR27;
VAR27:
state <= VAR27;
default:
state <= VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28));
endcase
end
VAR1:
if (write) begin
state <= VAR2;
VAR29 <= VAR18;
VAR28 <= VAR24;
VAR13 <= VAR8;
end
VAR20:
begin
case (VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28)) begin
VAR21:
state <= VAR1;
VAR27:
state <= VAR27;
default:
state <= VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28));
endcase
end
VAR22:
if (write) begin
state <= VAR9;
VAR29 <= VAR18;
VAR28 <= VAR24;
VAR13 <= VAR8;
end
VAR9:
begin
case (VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28)) begin
VAR21:
state <= VAR22;
VAR27:
state <= VAR27;
default:
state <= VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28));
endcase
end
VAR6:
if (write) begin
state <= VAR26;
VAR29 <= VAR18;
VAR28 <= VAR24;
VAR13 <= VAR8;
end
VAR26:
begin
case (VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28)) begin
VAR21:
state <= VAR6;
VAR27:
state <= VAR27;
default:
state <= VAR4(.VAR18(VAR29), .VAR8(VAR13), .VAR24(VAR28));
endcase
end
endcase
end
VAR12: begin
end
endcase
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o311a/sky130_fd_sc_ms__o311a.pp.symbol.v | 1,379 | module MODULE1 (
input VAR9 ,
input VAR7 ,
input VAR1 ,
input VAR4 ,
input VAR2 ,
output VAR6 ,
input VAR5 ,
input VAR8,
input VAR10,
input VAR3
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/o41a/sky130_fd_sc_hs__o41a.functional.v | 1,939 | module MODULE1 (
VAR13,
VAR7,
VAR9 ,
VAR11 ,
VAR10 ,
VAR3 ,
VAR14 ,
VAR6
);
input VAR13;
input VAR7;
output VAR9 ;
input VAR11 ;
input VAR10 ;
input VAR3 ;
input VAR14 ;
input VAR6 ;
wire VAR14 VAR5 ;
wire VAR1 ;
wire VAR2;
or VAR12 (VAR5 , VAR14, VAR3, VAR10, VAR11 );
and VAR15 (VAR1 , VAR5, VAR6 );
VAR4 VAR8 (VAR2, VAR1, VAR13, VAR7);
buf VAR16 (VAR9 , VAR2 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/nor4/sky130_fd_sc_lp__nor4.behavioral.pp.v | 1,870 | module MODULE1 (
VAR8 ,
VAR9 ,
VAR2 ,
VAR5 ,
VAR15 ,
VAR14,
VAR10,
VAR3 ,
VAR1
);
output VAR8 ;
input VAR9 ;
input VAR2 ;
input VAR5 ;
input VAR15 ;
input VAR14;
input VAR10;
input VAR3 ;
input VAR1 ;
wire VAR11 ;
wire VAR7;
nor VAR12 (VAR11 , VAR9, VAR2, VAR5, VAR15 );
VAR4 VAR6 (VAR7, VAR11, VAR14, VAR10);
buf VAR13 (VAR8 , VAR7 );
endmodule | apache-2.0 |
MeshSr/onetswitch30 | ons30-app52-ref_ofshw/vivado/onets_7030_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/eth_dma_aggr.v | 7,268 | module MODULE1
parameter VAR44 = 64,
parameter VAR22 = VAR44/8,
parameter VAR20 = 1,
parameter VAR21 = 'hff,
parameter VAR29 = 32,
parameter VAR45 = VAR29/8
)
(input [VAR44-1:0] VAR46,
input [VAR22-1:0] VAR47,
input VAR58,
output VAR50,
input [VAR44-1:0] VAR34,
input [VAR22-1:0] VAR61,
input VAR24,
output VAR4,
output reg [VAR44-1:0] VAR62,
output reg [VAR22-1:0] VAR30,
output VAR17,
input VAR14,
input clk,
input reset
);
assign VAR4=1;
reg VAR57,VAR25;
wire [7:0]VAR43,VAR16;
wire [63:0]VAR7,VAR8;
wire VAR59,VAR36;
wire VAR19,VAR11;
assign VAR50=!VAR59;
reg [7:0]VAR48,VAR28,VAR9,VAR33;
reg [63:0]VAR18,VAR26,VAR63,VAR10;
reg VAR39;
VAR38 VAR31
( .din ({VAR47, VAR46}), .VAR32 (VAR58), .VAR64 (VAR57), .dout ({VAR43,VAR7}),
.VAR15 (),
.VAR52 (),
.VAR35 (),
.VAR1 (VAR59),
.VAR60 (VAR19),
.reset (reset),
.clk (clk)
);
VAR38 VAR55
( .din ({VAR33, VAR10}), .VAR32 (VAR39), .VAR64 (VAR25), .dout ({VAR16,VAR8}),
.VAR15 (),
.VAR52 (),
.VAR35 (),
.VAR1 (VAR36),
.VAR60 (VAR11),
.reset (reset),
.clk (clk)
);
localparam VAR65=0;
localparam VAR53=1;
localparam VAR42=2;
localparam VAR40=3;
localparam VAR6=4;
localparam VAR37=5;
localparam VAR5=6;
localparam VAR56=7;
localparam VAR54=8;
reg [4:0]VAR23,VAR27;
always@(posedge clk)
if(reset) VAR23<=0;
else VAR23<=VAR27;
always@
begin
VAR12=0;
case(state)
select:
if(~VAR19) VAR12=VAR13;
end
else if(~VAR11) VAR12=VAR3;
else VAR12=select;
VAR13:
if(VAR43==0) VAR12=VAR2;
else VAR12=VAR13;
VAR3:
if(VAR16==0) VAR12=VAR49;
else VAR12=VAR3;
VAR2:
if(VAR43!=0) VAR12=select;
else VAR12=VAR2;
VAR49:
if(VAR16!=0) VAR12=select;
else VAR12=VAR49;
default:VAR12=select;
endcase
end
always@
if(state==VAR49 && VAR16!=0)
VAR25=0;
else if(state==VAR3 | state==VAR49)
VAR25=VAR14;
else VAR25=0;
reg VAR51,VAR41;
always@(posedge clk)
begin
VAR51<=VAR57;
VAR41<=VAR25;
end
assign VAR17=VAR51 | VAR41;
always@(*)
if(VAR51)
begin
VAR30=VAR43;
VAR62=VAR7;
end
else if(VAR41)
begin
VAR30=VAR16;
VAR62=VAR8;
end
else
begin
VAR30=0;
VAR62=0;
end
endmodule | lgpl-2.1 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/addf/gf180mcu_fd_sc_mcu7t5v0__addf_1.functional.pp.v | 1,772 | module MODULE1( VAR19, VAR10, VAR15, VAR8, VAR27, VAR12, VAR18 );
input VAR10, VAR8, VAR15;
inout VAR12, VAR18;
output VAR27, VAR19;
wire VAR29;
and VAR7( VAR29, VAR10, VAR8 );
wire VAR2;
and VAR13( VAR2, VAR10, VAR15 );
wire VAR3;
and VAR6( VAR3, VAR8, VAR15 );
or VAR21( VAR27, VAR29, VAR2, VAR3 );
wire VAR4;
and VAR17( VAR4, VAR10, VAR8, VAR15 );
wire VAR26;
not VAR24( VAR26, VAR8 );
wire VAR16;
not VAR1( VAR16, VAR15 );
wire VAR28;
and VAR14( VAR28, VAR26, VAR16, VAR10 );
wire VAR23;
not VAR5( VAR23, VAR10 );
wire VAR20;
and VAR25( VAR20, VAR23, VAR16, VAR8 );
wire VAR11;
and VAR22( VAR11, VAR23, VAR26, VAR15 );
or VAR9( VAR19, VAR4, VAR28, VAR20, VAR11 );
endmodule | apache-2.0 |
andrewandrepowell/axiplasma | hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_mig_7series_0_0/mig_wrap_mig_7series_0_0/user_design/rtl/ecc/mig_7series_v4_0_ecc_merge_enc.v | 5,947 | module MODULE1
parameter VAR29 = 100,
parameter VAR25 = 64,
parameter VAR19 = 72,
parameter VAR6 = 4,
parameter VAR3 = 1,
parameter VAR10 = 64,
parameter VAR11 = 72,
parameter VAR12 = 8,
parameter VAR20 = 4
)
(
VAR8, VAR5,
clk, rst, VAR4, VAR22, VAR1, VAR9, VAR24
);
input clk;
input rst;
input [2*VAR20*VAR25-1:0] VAR4;
input [2*VAR20*VAR10/8-1:0] VAR22;
input [2*VAR20*VAR10-1:0] VAR1;
reg [2*VAR20*VAR25-1:0] VAR13;
reg [2*VAR20*VAR10/8-1:0] VAR27;
reg [2*VAR20*VAR10-1:0] VAR7;
wire [2*VAR20*VAR25-1:0] VAR2;
genvar VAR17;
genvar VAR18;
generate
for (VAR17=0; VAR17<2*VAR20; VAR17=VAR17+1) begin : VAR14
for (VAR18=0; VAR18<VAR10/8; VAR18=VAR18+1) begin : VAR21
assign VAR2[VAR17*VAR25+VAR18*8+:8] =
VAR22[VAR17*VAR10/8+VAR18]
? VAR1[VAR17*VAR10+VAR18*8+:8]
: VAR4[VAR17*VAR25+VAR18*8+:8];
end
if (VAR25 > VAR10)
assign VAR2[(VAR17+1)*VAR25-1-:VAR25-VAR10]=
VAR4[(VAR17+1)*VAR25-1-:VAR25-VAR10];
end
endgenerate
input [VAR19*VAR12-1:0] VAR9;
input [2*VAR20-1:0] VAR24;
reg [2*VAR20-1:0] VAR26;
output reg [2*VAR20*VAR11-1:0] VAR8;
reg [2*VAR20*VAR11-1:0] VAR16;
genvar VAR23;
integer VAR28;
generate
for (VAR23=0; VAR23<2*VAR20; VAR23=VAR23+1) begin : VAR15
always @(VAR9 or VAR2 or VAR26) begin
VAR16[VAR23*VAR11+:VAR11] =
{{VAR11-VAR25{1'b0}},
VAR2[VAR23*VAR25+:VAR25]};
for (VAR28=0; VAR28<VAR12; VAR28=VAR28+1)
if (~VAR26[VAR23])
VAR16[VAR23*VAR11+VAR19-VAR28-1] =
^(VAR2[VAR23*VAR25+:VAR10] &
VAR9[VAR28*VAR19+:VAR10]);
end
end
endgenerate
always @(posedge clk) VAR8 <= VAR16;
output wire[2*VAR20*VAR11/8-1:0] VAR5;
assign VAR5 = {2*VAR20*VAR11/8{1'b0}};
endmodule | mit |
sirchuckalot/zet | cores/vga/rtl/vga_linear.v | 2,720 | module MODULE1 (
input clk,
input rst,
output [17:1] VAR6,
input [15:0] VAR4,
output VAR12,
input [9:0] VAR13,
input [9:0] VAR14,
input VAR3,
input VAR1,
output VAR2,
output [7:0] VAR17,
output VAR15
);
reg [ 9:0] VAR9;
reg [ 6:0] VAR16;
reg [14:1] VAR18;
reg [ 1:0] VAR5;
reg [ 1:0] VAR7;
reg [ 7:0] VAR11;
reg [4:0] VAR20;
reg [4:0] VAR10;
reg [5:0] VAR19;
reg [15:0] VAR8;
assign VAR6 = { VAR18, VAR5, 1'b0 };
assign VAR12 = VAR19[1];
assign VAR17 = VAR19[4] ? VAR4[7:0] : VAR11;
assign VAR2 = VAR20[4];
assign VAR15 = VAR10[4];
always @(posedge clk)
VAR19 <= rst ? 6'b0 : { VAR19[4:0], ~VAR13[0] };
always @(posedge clk)
VAR20 <= rst ? 5'b0 : { VAR20[3:0], VAR1 };
always @(posedge clk)
VAR10 <= rst ? 5'b0 : { VAR10[3:0], VAR3 };
always @(posedge clk)
if (rst)
begin
VAR9 <= 10'h0;
VAR16 <= 7'h0;
VAR7 <= 2'b00;
VAR18 <= 14'h0;
VAR5 <= 2'b00;
end
else
begin
VAR9 <= { VAR14[8:1], 2'b00 } + VAR14[8:1];
VAR16 <= VAR13[9:3];
VAR7 <= VAR13[2:1];
VAR18 <= { VAR9 + VAR16[6:4], VAR16[3:0] };
VAR5 <= VAR7;
end
always @(posedge clk)
VAR11 <= rst ? 8'h0 : (VAR19[4] ? VAR4[7:0] : VAR11);
endmodule | gpl-3.0 |
Jafet95/proy_3_grupo_2_sem_1_2016 | contador_AD_MM_2dig.v | 4,708 | module MODULE1
(
input wire clk,
input wire reset,
input wire [3:0] VAR8,
input wire VAR7,
input wire VAR3,
output wire [7:0] VAR4
);
localparam VAR10 = 6; reg [VAR10-1:0] VAR9, VAR2;
wire [VAR10-1:0] VAR1;
reg [3:0] VAR6, VAR5;
always@(posedge clk, posedge reset)
begin
if(reset)
begin
VAR9 <= 6'b0;
end
else
begin
VAR9 <= VAR2;
end
end
always@*
begin
if (VAR8 == 2)
begin
if (VAR7)
begin
if (VAR9 >= 6'd59) VAR2 = 6'd0;
end
else VAR2 = VAR9 + 6'd1;
end
else if (VAR3)
begin
if (VAR9 == 6'd0) VAR2 = 6'd59;
end
else VAR2 = VAR9 - 6'd1;
end
else VAR2 = VAR9;
end
else VAR2 = VAR9;
end
assign VAR1 = VAR9;
always@*
begin
case(VAR1)
6'd0: begin VAR6 = 4'b0000; VAR5 = 4'b0000; end
6'd1: begin VAR6 = 4'b0000; VAR5 = 4'b0001; end
6'd2: begin VAR6 = 4'b0000; VAR5 = 4'b0010; end
6'd3: begin VAR6 = 4'b0000; VAR5 = 4'b0011; end
6'd4: begin VAR6 = 4'b0000; VAR5 = 4'b0100; end
6'd5: begin VAR6 = 4'b0000; VAR5 = 4'b0101; end
6'd6: begin VAR6 = 4'b0000; VAR5 = 4'b0110; end
6'd7: begin VAR6 = 4'b0000; VAR5 = 4'b0111; end
6'd8: begin VAR6 = 4'b0000; VAR5 = 4'b1000; end
6'd9: begin VAR6 = 4'b0000; VAR5 = 4'b1001; end
6'd10: begin VAR6 = 4'b0001; VAR5 = 4'b0000; end
6'd11: begin VAR6 = 4'b0001; VAR5 = 4'b0001; end
6'd12: begin VAR6 = 4'b0001; VAR5 = 4'b0010; end
6'd13: begin VAR6 = 4'b0001; VAR5 = 4'b0011; end
6'd14: begin VAR6 = 4'b0001; VAR5 = 4'b0100; end
6'd15: begin VAR6 = 4'b0001; VAR5 = 4'b0101; end
6'd16: begin VAR6 = 4'b0001; VAR5 = 4'b0110; end
6'd17: begin VAR6 = 4'b0001; VAR5 = 4'b0111; end
6'd18: begin VAR6 = 4'b0001; VAR5 = 4'b1000; end
6'd19: begin VAR6 = 4'b0001; VAR5 = 4'b1001; end
6'd20: begin VAR6 = 4'b0010; VAR5 = 4'b0000; end
6'd21: begin VAR6 = 4'b0010; VAR5 = 4'b0001; end
6'd22: begin VAR6 = 4'b0010; VAR5 = 4'b0010; end
6'd23: begin VAR6 = 4'b0010; VAR5 = 4'b0011; end
6'd24: begin VAR6 = 4'b0010; VAR5 = 4'b0100; end
6'd25: begin VAR6 = 4'b0010; VAR5 = 4'b0101; end
6'd26: begin VAR6 = 4'b0010; VAR5 = 4'b0110; end
6'd27: begin VAR6 = 4'b0010; VAR5 = 4'b0111; end
6'd28: begin VAR6 = 4'b0010; VAR5 = 4'b1000; end
6'd29: begin VAR6 = 4'b0010; VAR5 = 4'b1001; end
6'd30: begin VAR6 = 4'b0011; VAR5 = 4'b0000; end
6'd31: begin VAR6 = 4'b0011; VAR5 = 4'b0001; end
6'd32: begin VAR6 = 4'b0011; VAR5 = 4'b0010; end
6'd33: begin VAR6 = 4'b0011; VAR5 = 4'b0011; end
6'd34: begin VAR6 = 4'b0011; VAR5 = 4'b0100; end
6'd35: begin VAR6 = 4'b0011; VAR5 = 4'b0101; end
6'd36: begin VAR6 = 4'b0011; VAR5 = 4'b0110; end
6'd37: begin VAR6 = 4'b0011; VAR5 = 4'b0111; end
6'd38: begin VAR6 = 4'b0011; VAR5 = 4'b1000; end
6'd39: begin VAR6 = 4'b0011; VAR5 = 4'b1001; end
6'd40: begin VAR6 = 4'b0100; VAR5 = 4'b0000; end
6'd41: begin VAR6 = 4'b0100; VAR5 = 4'b0001; end
6'd42: begin VAR6 = 4'b0100; VAR5 = 4'b0010; end
6'd43: begin VAR6 = 4'b0100; VAR5 = 4'b0011; end
6'd44: begin VAR6 = 4'b0100; VAR5 = 4'b0100; end
6'd45: begin VAR6 = 4'b0100; VAR5 = 4'b0101; end
6'd46: begin VAR6 = 4'b0100; VAR5 = 4'b0110; end
6'd47: begin VAR6 = 4'b0100; VAR5 = 4'b0111; end
6'd48: begin VAR6 = 4'b0100; VAR5 = 4'b1000; end
6'd49: begin VAR6 = 4'b0100; VAR5 = 4'b1001; end
6'd50: begin VAR6 = 4'b0101; VAR5 = 4'b0000; end
6'd51: begin VAR6 = 4'b0101; VAR5 = 4'b0001; end
6'd52: begin VAR6 = 4'b0101; VAR5 = 4'b0010; end
6'd53: begin VAR6 = 4'b0101; VAR5 = 4'b0011; end
6'd54: begin VAR6 = 4'b0101; VAR5 = 4'b0100; end
6'd55: begin VAR6 = 4'b0101; VAR5 = 4'b0101; end
6'd56: begin VAR6 = 4'b0101; VAR5 = 4'b0110; end
6'd57: begin VAR6 = 4'b0101; VAR5 = 4'b0111; end
6'd58: begin VAR6 = 4'b0101; VAR5 = 4'b1000; end
6'd59: begin VAR6 = 4'b0101; VAR5 = 4'b1001; end
default: begin VAR6 = 0; VAR5 = 0; end
endcase
end
assign VAR4 = {VAR6,VAR5};
endmodule | mit |
martinmiranda14/Digitales | Lab_6/Lab_6_prev_1.v | 2,199 | module MODULE1(
input VAR2,
input VAR3,
input VAR22,
input VAR6,
output VAR7,VAR19,VAR11,VAR18,VAR15,VAR24,VAR16,VAR26,
output [10:0] VAR10
);
wire reset;
wire VAR23;
reg VAR1,VAR21;
wire [2:0] VAR9;
wire [7:0] VAR20;
wire VAR12;
wire VAR8;
reg VAR14;
assign reset= ~VAR3;
assign VAR10[7:0]=VAR20;
assign VAR10[10:8]=VAR9;
assign VAR7= VAR22;
assign VAR19= VAR6;
assign VAR11= VAR12;
assign VAR18 =VAR21;assign VAR15 =VAR1;assign VAR24=VAR14;
assign VAR16=VAR8;
assign VAR26=VAR23;
VAR13 VAR25(
.clk (VAR23),
.rst (reset),
.VAR28 (VAR6),
.VAR27 (VAR22),
.VAR5 (VAR20),
.VAR9 (VAR9),
.VAR12 (VAR12),
.VAR8 (VAR8)
);
VAR4 VAR29(
.clk(VAR2),
.rst(reset),
.VAR17(VAR23)
);
always @begin if (VAR20==8'h5A) begin
VAR14=1'b1;
end
else begin
VAR14=1'b0;
end
end
endmodule | apache-2.0 |
tmolteno/TART | hardware/FPGA/fifo/async/afifo16.v | 6,828 | module MODULE1 #(
parameter VAR35 = 32,
parameter VAR14 = 4,
parameter VAR3 = VAR35 - 1,
parameter VAR18 = VAR14 - 1
) (
input VAR27,
input VAR40,
input VAR41,
output [VAR3:0] VAR22,
input VAR10,
input VAR7,
input [VAR3:0] VAR21,
output reg VAR39 = 1,
output reg VAR29 = 0,
output reg VAR5 = 0,
output reg VAR19 = 0
);
reg [VAR18:0] VAR1 = 0;
reg [VAR18:0] VAR2 = 0;
wire [VAR18:0] VAR28;
wire [VAR18:0] VAR26;
wire [VAR18:0] VAR4;
wire [VAR18:0] VAR36;
reg [VAR18:0] VAR25 = 0;
reg [VAR18:0] VAR30 = 0;
wire VAR6;
wire VAR38;
reg VAR8 = 0;
reg VAR34 = 0;
reg VAR17 = 1;
reg VAR20 = 0;
reg VAR24 = 0;
reg [VAR35-1:0] VAR31 [0:15];
always @ (posedge VAR10)
begin
if (VAR7 && VAR27)
VAR31 [VAR30 [VAR18:0]] <= VAR21;
end
assign VAR22 = VAR31 [VAR25 [VAR18:0]];
assign VAR28 = !VAR39 ? VAR1 + VAR41 : VAR1;
always @(posedge VAR40 or negedge VAR27)
begin
if (!VAR27)
begin
VAR1 <= 0;
VAR25 <= 0;
end
else
begin
VAR25 <= VAR4;
VAR1 <= VAR28;
end
end
assign VAR26 = !VAR29 ? VAR2 + VAR7 : VAR2;
always @(posedge VAR10 or negedge VAR27)
begin
if (!VAR27)
begin
VAR2 <= 0;
VAR30 <= 0;
end
else
begin
VAR30 <= VAR36;
VAR2 <= VAR26;
end
end
reg VAR16 = 0;
wire VAR23 = ~((VAR30 [VAR18] ^ VAR25 [VAR18-1]) & ~(VAR30 [VAR18-1] ^ VAR25 [VAR18]));
wire VAR33 = ~((~(VAR30 [VAR18] ^ VAR25 [VAR18-1]) & (VAR30 [VAR18-1] ^ VAR25 [VAR18])) | ~VAR27);
always @(negedge VAR23 or negedge VAR33)
if (!VAR33) VAR16 <= 1'b0;
else VAR16 <= 1'b1;
assign VAR6 = ~((VAR30 == VAR25) && !VAR16);
assign VAR38 = ~((VAR30 == VAR25) && VAR16);
always @(posedge VAR40 or negedge VAR6)
if (!VAR6) {VAR39, VAR8} <= 2'b11;
else {VAR39, VAR8} <= {VAR8, ~VAR6};
always @(posedge VAR10 or negedge VAR27 or negedge VAR38)
if (!VAR27) {VAR29, VAR34} <= 2'b00;
else if (!VAR38) {VAR29, VAR34} <= 2'b11;
else {VAR29, VAR34} <= {VAR34, ~VAR38};
wire [1:0] VAR15 = VAR25 [VAR18:VAR18-1];
wire [1:0] VAR12 = VAR30 [VAR18:VAR18-1];
always @(VAR15 or VAR12)
case ({VAR15, VAR12})
4'b0000: VAR17 <= 1;
4'b0001: VAR17 <= 1;
4'b0011: VAR17 <= 0;
4'b0010: VAR17 <= 0;
4'b0100: VAR17 <= 0;
4'b0101: VAR17 <= 1;
4'b0111: VAR17 <= 1;
4'b0110: VAR17 <= 0;
4'b1100: VAR17 <= 0;
4'b1101: VAR17 <= 0;
4'b1111: VAR17 <= 1;
4'b1110: VAR17 <= 1;
4'b1000: VAR17 <= 1;
4'b1001: VAR17 <= 0;
4'b1011: VAR17 <= 0;
4'b1010: VAR17 <= 1;
endcase
always @(posedge VAR40 or negedge VAR27 or negedge VAR17)
if (!VAR27) {VAR5, VAR20} <= 2'b00;
else if (!VAR17) {VAR5, VAR20} <= 2'b11;
else {VAR5, VAR20} <= {VAR20, ~VAR17};
always @(posedge VAR10 or negedge VAR27 or negedge VAR17)
if (!VAR27) {VAR19, VAR24} <= 2'b00;
else if (!VAR17) {VAR19, VAR24} <= 2'b11;
else {VAR19, VAR24} <= {VAR24, ~VAR17};
VAR9 VAR13 (
.VAR32 (VAR28),
.VAR37 (VAR4)
);
VAR9 VAR11 (
.VAR32 (VAR26),
.VAR37 (VAR36)
);
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/sdfbbn/sky130_fd_sc_hd__sdfbbn.functional.pp.v | 2,700 | module MODULE1 (
VAR10 ,
VAR25 ,
VAR19 ,
VAR26 ,
VAR20 ,
VAR3 ,
VAR18 ,
VAR16,
VAR12 ,
VAR24 ,
VAR13 ,
VAR17
);
output VAR10 ;
output VAR25 ;
input VAR19 ;
input VAR26 ;
input VAR20 ;
input VAR3 ;
input VAR18 ;
input VAR16;
input VAR12 ;
input VAR24 ;
input VAR13 ;
input VAR17 ;
wire VAR27 ;
wire VAR5 ;
wire VAR2 ;
wire VAR7 ;
wire VAR9;
not VAR4 (VAR27 , VAR16 );
not VAR6 (VAR5 , VAR18 );
not VAR8 (VAR2 , VAR3 );
VAR11 VAR15 (VAR9, VAR19, VAR26, VAR20 );
VAR21 VAR1 VAR22 (VAR7 , VAR5, VAR27, VAR2, VAR9, , VAR12, VAR24);
buf VAR23 (VAR10 , VAR7 );
not VAR14 (VAR25 , VAR7 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/sdfrbp/sky130_fd_sc_hs__sdfrbp_1.v | 2,552 | module MODULE1 (
VAR4,
VAR11 ,
VAR2 ,
VAR10 ,
VAR5 ,
VAR3 ,
VAR7 ,
VAR1 ,
VAR6
);
input VAR4;
input VAR11 ;
input VAR2 ;
output VAR10 ;
output VAR5 ;
input VAR3 ;
input VAR7 ;
input VAR1 ;
input VAR6 ;
VAR9 VAR8 (
.VAR4(VAR4),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR4,
VAR11 ,
VAR2 ,
VAR10 ,
VAR5 ,
VAR3 ,
VAR7
);
input VAR4;
input VAR11 ;
input VAR2 ;
output VAR10 ;
output VAR5 ;
input VAR3 ;
input VAR7 ;
supply1 VAR1;
supply0 VAR6;
VAR9 VAR8 (
.VAR4(VAR4),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR7(VAR7)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o311ai/sky130_fd_sc_ms__o311ai.functional.pp.v | 2,065 | module MODULE1 (
VAR12 ,
VAR13 ,
VAR17 ,
VAR10 ,
VAR11 ,
VAR4 ,
VAR1,
VAR18,
VAR16 ,
VAR2
);
output VAR12 ;
input VAR13 ;
input VAR17 ;
input VAR10 ;
input VAR11 ;
input VAR4 ;
input VAR1;
input VAR18;
input VAR16 ;
input VAR2 ;
wire VAR5 ;
wire VAR8 ;
wire VAR6;
or VAR9 (VAR5 , VAR17, VAR13, VAR10 );
nand VAR14 (VAR8 , VAR4, VAR5, VAR11 );
VAR3 VAR15 (VAR6, VAR8, VAR1, VAR18);
buf VAR7 (VAR12 , VAR6 );
endmodule | apache-2.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/ime/ime_best_mv_above_16.v | 32,008 | module MODULE1 (
clk ,
VAR35 ,
VAR197 ,
VAR142 ,
VAR102 ,
VAR160 ,
VAR6 ,
VAR135 ,
VAR64 , VAR156 , VAR69 , VAR93 ,
VAR16 , VAR41 , VAR188 , VAR57 ,
VAR153 , VAR136 , VAR190 , VAR30 ,
VAR22 , VAR119 , VAR72 , VAR163 ,
VAR74 ,
VAR116 ,
VAR165 , VAR191 ,
VAR187 , VAR14 ,
VAR182 , VAR140 ,
VAR112 , VAR141 ,
VAR81 , VAR97 ,
VAR89 , VAR80 ,
VAR155 , VAR26 ,
VAR46 , VAR68 ,
VAR174 , VAR127 ,
VAR115 , VAR143 ,
VAR150 ,
VAR185 ,
VAR86 , VAR111 ,
VAR108 ,
VAR12 , VAR159 ,
VAR5 , VAR193 ,
VAR137 , VAR7 ,
VAR50 , VAR148 ,
VAR109 , VAR181 ,
VAR122 , VAR71 ,
VAR90 , VAR34 ,
VAR131 , VAR177 ,
VAR104 , VAR62 ,
VAR161 , VAR176 ,
VAR129 ,
VAR118 ,
VAR18 , VAR120 ,
VAR96 ,
VAR15 , VAR172 ,
VAR55 , VAR132 ,
VAR121 , VAR101 ,
VAR27 , VAR149 ,
VAR67 , VAR180 ,
VAR48 , VAR195 ,
VAR186 , VAR24 ,
VAR167 , VAR21 ,
VAR61 , VAR92 ,
VAR178 , VAR31 ,
VAR73 ,
VAR36 ,
VAR183 , VAR147 ,
VAR194
);
input clk ;
input VAR35 ;
input VAR197 ;
input VAR142 ;
input [5 : 0] VAR102 ;
input VAR160 ;
input [6 : 0] VAR6 ;
input [VAR1-1 : 0] VAR135 ;
input [VAR8+7 : 0] VAR64 , VAR156 , VAR69 , VAR93 ;
input [VAR8+7 : 0] VAR16 , VAR41 , VAR188 , VAR57 ;
input [VAR8+7 : 0] VAR153 , VAR136 , VAR190 , VAR30 ;
input [VAR8+7 : 0] VAR22 , VAR119 , VAR72 , VAR163 ;
input [VAR146-1 : 0] VAR74 ;
input [VAR146-1 : 0] VAR116 ;
output reg [VAR1-1 : 0] VAR165 , VAR191 ;
output reg [VAR1-1 : 0] VAR187 , VAR14 ;
output reg [VAR1-1 : 0] VAR182 , VAR140 ;
output reg [VAR1-1 : 0] VAR112 , VAR141 ;
output reg [VAR1-1 : 0] VAR81 , VAR97 ;
output reg [VAR1-1 : 0] VAR89 , VAR80 ;
output reg [VAR1-1 : 0] VAR155 , VAR26 ;
output reg [VAR1-1 : 0] VAR46 , VAR68 ;
output reg [VAR1-1 : 0] VAR174 , VAR127 ;
output reg [VAR1-1 : 0] VAR115 , VAR143 ;
output reg [VAR1-1 : 0] VAR150 ;
output reg [VAR1-1 : 0] VAR185 ;
output reg [VAR1-1 : 0] VAR86 , VAR111 ;
output reg [VAR1-1 : 0] VAR108 ;
output reg [VAR146-1 : 0] VAR12 , VAR159 ;
output reg [VAR146-1 : 0] VAR5 , VAR193 ;
output reg [VAR146-1 : 0] VAR137 , VAR7 ;
output reg [VAR146-1 : 0] VAR50 , VAR148 ;
output reg [VAR146-1 : 0] VAR109 , VAR181 ;
output reg [VAR146-1 : 0] VAR122 , VAR71 ;
output reg [VAR146-1 : 0] VAR90 , VAR34 ;
output reg [VAR146-1 : 0] VAR131 , VAR177 ;
output reg [VAR146-1 : 0] VAR104 , VAR62 ;
output reg [VAR146-1 : 0] VAR161 , VAR176 ;
output reg [VAR146-1 : 0] VAR129 ;
output reg [VAR146-1 : 0] VAR118 ;
output reg [VAR146-1 : 0] VAR18 , VAR120 ;
output reg [VAR146-1 : 0] VAR96 ;
output reg [VAR146-1 : 0] VAR15 , VAR172 ;
output reg [VAR146-1 : 0] VAR55 , VAR132 ;
output reg [VAR146-1 : 0] VAR121 , VAR101 ;
output reg [VAR146-1 : 0] VAR27 , VAR149 ;
output reg [VAR146-1 : 0] VAR67 , VAR180 ;
output reg [VAR146-1 : 0] VAR48 , VAR195 ;
output reg [VAR146-1 : 0] VAR186 , VAR24 ;
output reg [VAR146-1 : 0] VAR167 , VAR21 ;
output reg [VAR146-1 : 0] VAR61 , VAR92 ;
output reg [VAR146-1 : 0] VAR178 , VAR31 ;
output reg [VAR146-1 : 0] VAR73 ;
output reg [VAR146-1 : 0] VAR36 ;
output reg [VAR146-1 : 0] VAR183 , VAR147 ;
output reg [VAR146-1 : 0] VAR194 ;
wire [VAR8+8 : 0] VAR134 , VAR25 ;
wire [VAR8+8 : 0] VAR19 , VAR170 ;
wire [VAR8+8 : 0] VAR123 , VAR169 ;
wire [VAR8+8 : 0] VAR49 , VAR124 ;
wire [VAR8+8 : 0] VAR38 , VAR113 ;
wire [VAR8+8 : 0] VAR125 , VAR58 ;
wire [VAR8+8 : 0] VAR51 , VAR2 ;
wire [VAR8+8 : 0] VAR3 , VAR20 ;
wire [VAR8+9 : 0] VAR114 , VAR158 ;
wire [VAR8+9 : 0] VAR175 , VAR151 ;
wire [VAR8+10 : 0] VAR83 ;
wire [VAR8+10 : 0] VAR32 ;
wire [VAR8+10 : 0] VAR126 , VAR189 ;
wire [VAR8+11 : 0] VAR76 ;
wire [VAR88-1 : 0] VAR13 ;
wire [VAR88-1 : 0] VAR77 ;
wire [VAR88 : 0] VAR106 ;
wire [VAR88 : 0] VAR17 ;
reg [4 : 0] VAR42 ;
reg [4 : 0] VAR192 ;
reg [6 : 0] VAR33 ;
wire [12 : 0] VAR79 ;
wire [VAR1-1 : 0] VAR103 , VAR87 ;
wire [VAR1-1 : 0] VAR63 , VAR54 ;
wire [VAR1-1 : 0] VAR117 , VAR144 ;
wire [VAR1-1 : 0] VAR184 , VAR39 ;
wire [VAR1-1 : 0] VAR23 , VAR157 ;
wire [VAR1-1 : 0] VAR11 , VAR128 ;
wire [VAR1-1 : 0] VAR47 , VAR44 ;
wire [VAR1-1 : 0] VAR162 , VAR105 ;
wire [VAR1-1 : 0] VAR154 , VAR56 ;
wire [VAR1-1 : 0] VAR45 , VAR70 ;
wire [VAR1-1 : 0] VAR196 ;
wire [VAR1-1 : 0] VAR107 ;
wire [VAR1-1 : 0] VAR110 , VAR173 ;
wire [VAR1-1 : 0] VAR75 ;
wire VAR28 , VAR99 ;
wire VAR43 , VAR84 ;
wire VAR66 , VAR4 ;
wire VAR94 , VAR171 ;
wire VAR9 , VAR78 ;
wire VAR139 , VAR60 ;
wire VAR152 , VAR98 ;
wire VAR168 , VAR82 ;
wire VAR10 , VAR29 ;
wire VAR130 , VAR95 ;
wire VAR91 ;
wire VAR53 ;
wire VAR179 , VAR138 ;
wire VAR40 ;
assign VAR134 = VAR64 + VAR156 ;
assign VAR19 = VAR16 + VAR41 ;
assign VAR123 = VAR153 + VAR136 ;
assign VAR49 = VAR22 + VAR119 ;
assign VAR25 = VAR69 + VAR93 ;
assign VAR170 = VAR188 + VAR57 ;
assign VAR169 = VAR190 + VAR30 ;
assign VAR124 = VAR72 + VAR163 ;
assign VAR38 = VAR64 + VAR16 ;
assign VAR125 = VAR156 + VAR41 ;
assign VAR51 = VAR153 + VAR22 ;
assign VAR3 = VAR136 + VAR119 ;
assign VAR113 = VAR69 + VAR188 ;
assign VAR58 = VAR93 + VAR57 ;
assign VAR2 = VAR190 + VAR72 ;
assign VAR20 = VAR30 + VAR163 ;
assign VAR114 = VAR134 + VAR19 ;
assign VAR175 = VAR123 + VAR49 ;
assign VAR158 = VAR25 + VAR170 ;
assign VAR151 = VAR169 + VAR124 ;
assign VAR83 = VAR114 + VAR158 ;
assign VAR32 = VAR175 + VAR151 ;
assign VAR126 = VAR114 + VAR175 ;
assign VAR189 = VAR158 + VAR151 ;
assign VAR76 = VAR83 + VAR32 ;
assign VAR13 = ( VAR74-12 ) * 4 ; assign VAR77 = ( VAR116-12 ) * 4 ;
assign VAR106 = ( VAR13[VAR88-1] ) ? ( {1'b0,~VAR13[VAR88-2:0],1'b0} + 3 ) :
( (|VAR13[VAR88-2:0]) ? ( {1'b0, VAR13[VAR88-2:0],1'b0} )
: 1 );
assign VAR17 = ( VAR77[VAR88-1] ) ? ( {1'b0,~VAR77[VAR88-2:0],1'b0} + 3 ) :
( (|VAR77[VAR88-2:0]) ? ( {1'b0, VAR77[VAR88-2:0],1'b0} )
: 1 );
always @ begin
casex( VAR17 )
'b00000000001 : VAR192 = 01 ;
'VAR100 : VAR192 = 03 ;
'VAR133 : VAR192 = 05 ;
'VAR65 : VAR192 = 07 ;
'VAR52 : VAR192 = 09 ;
'VAR145 : VAR192 = 11 ;
'VAR59 : VAR192 = 13 ;
'VAR164 : VAR192 = 15 ;
'VAR166 : VAR192 = 17 ;
'VAR37 : VAR192 = 19 ;
'VAR85 : VAR192 = 21 ;
default : VAR192 = 21 ;
endcase
end
always @(*) begin
case( VAR102 )
0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15 : VAR33 = 01 ;
16,17,18,19 : VAR33 = 02 ;
20,21,22 : VAR33 = 03 ;
23,24,25 : VAR33 = 04 ;
26 : VAR33 = 05 ;
27,28 : VAR33 = 06 ;
29 : VAR33 = 07 ;
30 : VAR33 = 08 ;
31 : VAR33 = 09 ;
32 : VAR33 = 10 ;
33 : VAR33 = 11 ;
34 : VAR33 = 13 ;
35 : VAR33 = 14 ;
36 : VAR33 = 16 ;
37 : VAR33 = 18 ;
38 : VAR33 = 20 ;
39 : VAR33 = 23 ;
40 : VAR33 = 25 ;
41 : VAR33 = 29 ;
42 : VAR33 = 32 ;
43 : VAR33 = 36 ;
44 : VAR33 = 40 ;
45 : VAR33 = 45 ;
46 : VAR33 = 51 ;
47 : VAR33 = 57 ;
48 : VAR33 = 64 ;
49 : VAR33 = 72 ;
50 : VAR33 = 81 ;
51 : VAR33 = 91 ;
default : VAR33 = 00 ;
endcase
end
assign VAR79 = VAR33 * ( VAR42 + VAR192 );
assign VAR103 = VAR134 + VAR79 ;
assign VAR63 = VAR19 + VAR79 ;
assign VAR117 = VAR123 + VAR79 ;
assign VAR184 = VAR49 + VAR79 ;
assign VAR87 = VAR25 + VAR79 ;
assign VAR54 = VAR170 + VAR79 ;
assign VAR144 = VAR169 + VAR79 ;
assign VAR39 = VAR124 + VAR79 ;
assign VAR23 = VAR38 + VAR79 ;
assign VAR11 = VAR125 + VAR79 ;
assign VAR47 = VAR51 + VAR79 ;
assign VAR162 = VAR3 + VAR79 ;
assign VAR157 = VAR113 + VAR79 ;
assign VAR128 = VAR58 + VAR79 ;
assign VAR44 = VAR2 + VAR79 ;
assign VAR105 = VAR20 + VAR79 ;
assign VAR154 = VAR114 + VAR79 ;
assign VAR45 = VAR175 + VAR79 ;
assign VAR56 = VAR158 + VAR79 ;
assign VAR70 = VAR151 + VAR79 ;
assign VAR196 = VAR83 + VAR79 ;
assign VAR107 = VAR32 + VAR79 ;
assign VAR110 = VAR126 + VAR79 ;
assign VAR173 = VAR189 + VAR79 ;
assign VAR75 = VAR76 + VAR79 ;
assign VAR28 = VAR103 < VAR165 ;
assign VAR43 = VAR63 < VAR187 ;
assign VAR66 = VAR117 < VAR182 ;
assign VAR94 = VAR184 < VAR112 ;
assign VAR99 = VAR87 < VAR191 ;
assign VAR84 = VAR54 < VAR14 ;
assign VAR4 = VAR144 < VAR140 ;
assign VAR171 = VAR39 < VAR141 ;
assign VAR9 = VAR23 < VAR81 ;
assign VAR139 = VAR11 < VAR89 ;
assign VAR152 = VAR47 < VAR155 ;
assign VAR168 = VAR162 < VAR46 ;
assign VAR78 = VAR157 < VAR97 ;
assign VAR60 = VAR128 < VAR80 ;
assign VAR98 = VAR44 < VAR26 ;
assign VAR82 = VAR105 < VAR68 ;
assign VAR10 = VAR154 < VAR174 ;
assign VAR130 = VAR45 < VAR115 ;
assign VAR29 = VAR56 < VAR127 ;
assign VAR95 = VAR70 < VAR143 ;
assign VAR91 = VAR196 < VAR150 ;
assign VAR53 = VAR107 < VAR185 ;
assign VAR179 = VAR110 < VAR86 ;
assign VAR138 = VAR173 < VAR111 ;
assign VAR40 = VAR75 < VAR108 ;
always @(posedge clk or negedge VAR35 ) begin
if( !VAR35 ) begin
VAR165 <= -1 ;
VAR187 <= -1 ;
VAR182 <= -1 ;
VAR112 <= -1 ;
VAR191 <= -1 ;
VAR14 <= -1 ;
VAR140 <= -1 ;
VAR141 <= -1 ;
VAR81 <= -1 ;
VAR89 <= -1 ;
VAR155 <= -1 ;
VAR46 <= -1 ;
VAR97 <= -1 ;
VAR80 <= -1 ;
VAR26 <= -1 ;
VAR68 <= -1 ;
VAR174 <= -1 ;
VAR115 <= -1 ;
VAR127 <= -1 ;
VAR143 <= -1 ;
VAR150 <= -1 ;
VAR185 <= -1 ;
VAR86 <= -1 ;
VAR111 <= -1 ;
VAR108 <= -1 ;
end
else if( VAR197 ) begin
VAR165 <= -1 ;
VAR187 <= -1 ;
VAR182 <= -1 ;
VAR112 <= -1 ;
VAR191 <= -1 ;
VAR14 <= -1 ;
VAR140 <= -1 ;
VAR141 <= -1 ;
VAR81 <= -1 ;
VAR89 <= -1 ;
VAR155 <= -1 ;
VAR46 <= -1 ;
VAR97 <= -1 ;
VAR80 <= -1 ;
VAR26 <= -1 ;
VAR68 <= -1 ;
VAR174 <= -1 ;
VAR115 <= -1 ;
VAR127 <= -1 ;
VAR143 <= -1 ;
VAR150 <= -1 ;
VAR185 <= -1 ;
VAR86 <= -1 ;
VAR111 <= -1 ;
VAR108 <= -1 ;
end
else if( VAR142 ) begin
if( VAR28 ) VAR165 <= VAR103 ;
if( VAR43 ) VAR187 <= VAR63 ;
if( VAR66 ) VAR182 <= VAR117 ;
if( VAR94 ) VAR112 <= VAR184 ;
if( VAR99 ) VAR191 <= VAR87 ;
if( VAR84 ) VAR14 <= VAR54 ;
if( VAR4 ) VAR140 <= VAR144 ;
if( VAR171 ) VAR141 <= VAR39 ;
if( VAR9 ) VAR81 <= VAR23 ;
if( VAR139 ) VAR89 <= VAR11 ;
if( VAR152 ) VAR155 <= VAR47 ;
if( VAR168 ) VAR46 <= VAR162 ;
if( VAR78 ) VAR97 <= VAR157 ;
if( VAR60 ) VAR80 <= VAR128 ;
if( VAR98 ) VAR26 <= VAR44 ;
if( VAR82 ) VAR68 <= VAR105 ;
if( VAR10 ) VAR174 <= VAR154 ;
if( VAR130 ) VAR115 <= VAR45 ;
if( VAR29 ) VAR127 <= VAR56 ;
if( VAR95 ) VAR143 <= VAR70 ;
if( VAR91 ) VAR150 <= VAR196 ;
if( VAR53 ) VAR185 <= VAR107 ;
if( VAR179 ) VAR86 <= VAR110 ;
if( VAR138 ) VAR111 <= VAR173 ;
if( VAR40 ) VAR108 <= VAR75 ;
end
else if( VAR160 ) begin
case( VAR6 )
16 : VAR174 <= VAR135 ;
17 : VAR115 <= VAR135 ;
18 : VAR127 <= VAR135 ;
19 : VAR143 <= VAR135 ;
20 : VAR108 <= VAR135 ;
endcase
end
end
always @(posedge clk or negedge VAR35 ) begin
if( !VAR35 ) begin
VAR12 <= -1 ;
VAR5 <= -1 ;
VAR137 <= -1 ;
VAR50 <= -1 ;
VAR159 <= -1 ;
VAR193 <= -1 ;
VAR7 <= -1 ;
VAR148 <= -1 ;
VAR109 <= -1 ;
VAR122 <= -1 ;
VAR90 <= -1 ;
VAR131 <= -1 ;
VAR181 <= -1 ;
VAR71 <= -1 ;
VAR34 <= -1 ;
VAR177 <= -1 ;
VAR104 <= -1 ;
VAR161 <= -1 ;
VAR62 <= -1 ;
VAR176 <= -1 ;
VAR129 <= -1 ;
VAR118 <= -1 ;
VAR18 <= -1 ;
VAR120 <= -1 ;
VAR96 <= -1 ;
end
else if( VAR142 ) begin
if( VAR28 ) VAR12 <= VAR74 ;
if( VAR43 ) VAR5 <= VAR74 ;
if( VAR66 ) VAR137 <= VAR74 ;
if( VAR94 ) VAR50 <= VAR74 ;
if( VAR99 ) VAR159 <= VAR74 ;
if( VAR84 ) VAR193 <= VAR74 ;
if( VAR4 ) VAR7 <= VAR74 ;
if( VAR171 ) VAR148 <= VAR74 ;
if( VAR9 ) VAR109 <= VAR74 ;
if( VAR139 ) VAR122 <= VAR74 ;
if( VAR152 ) VAR90 <= VAR74 ;
if( VAR168 ) VAR131 <= VAR74 ;
if( VAR78 ) VAR181 <= VAR74 ;
if( VAR60 ) VAR71 <= VAR74 ;
if( VAR98 ) VAR34 <= VAR74 ;
if( VAR82 ) VAR177 <= VAR74 ;
if( VAR10 ) VAR104 <= VAR74 ;
if( VAR130 ) VAR161 <= VAR74 ;
if( VAR29 ) VAR62 <= VAR74 ;
if( VAR95 ) VAR176 <= VAR74 ;
if( VAR91 ) VAR129 <= VAR74 ;
if( VAR53 ) VAR118 <= VAR74 ;
if( VAR179 ) VAR18 <= VAR74 ;
if( VAR138 ) VAR120 <= VAR74 ;
if( VAR40 ) VAR96 <= VAR74 ;
end
end
always @(posedge clk or negedge VAR35 ) begin
if( !VAR35 ) begin
VAR15 <= -1 ;
VAR55 <= -1 ;
VAR121 <= -1 ;
VAR27 <= -1 ;
VAR172 <= -1 ;
VAR132 <= -1 ;
VAR101 <= -1 ;
VAR149 <= -1 ;
VAR67 <= -1 ;
VAR48 <= -1 ;
VAR186 <= -1 ;
VAR167 <= -1 ;
VAR180 <= -1 ;
VAR195 <= -1 ;
VAR24 <= -1 ;
VAR21 <= -1 ;
VAR61 <= -1 ;
VAR178 <= -1 ;
VAR92 <= -1 ;
VAR31 <= -1 ;
VAR73 <= -1 ;
VAR36 <= -1 ;
VAR183 <= -1 ;
VAR147 <= -1 ;
VAR194 <= -1 ;
end
else if( VAR142 ) begin
if( VAR28 ) VAR15 <= VAR116 ;
if( VAR43 ) VAR55 <= VAR116 ;
if( VAR66 ) VAR121 <= VAR116 ;
if( VAR94 ) VAR27 <= VAR116 ;
if( VAR99 ) VAR172 <= VAR116 ;
if( VAR84 ) VAR132 <= VAR116 ;
if( VAR4 ) VAR101 <= VAR116 ;
if( VAR171 ) VAR149 <= VAR116 ;
if( VAR9 ) VAR67 <= VAR116 ;
if( VAR139 ) VAR48 <= VAR116 ;
if( VAR152 ) VAR186 <= VAR116 ;
if( VAR168 ) VAR167 <= VAR116 ;
if( VAR78 ) VAR180 <= VAR116 ;
if( VAR60 ) VAR195 <= VAR116 ;
if( VAR98 ) VAR24 <= VAR116 ;
if( VAR82 ) VAR21 <= VAR116 ;
if( VAR10 ) VAR61 <= VAR116 ;
if( VAR130 ) VAR178 <= VAR116 ;
if( VAR29 ) VAR92 <= VAR116 ;
if( VAR95 ) VAR31 <= VAR116 ;
if( VAR91 ) VAR73 <= VAR116 ;
if( VAR53 ) VAR36 <= VAR116 ;
if( VAR179 ) VAR183 <= VAR116 ;
if( VAR138 ) VAR147 <= VAR116 ;
if( VAR40 ) VAR194 <= VAR116 ;
end
end
endmodule | gpl-3.0 |
sh-chris110/chris | FPGA/Math/Qsys/nios_design/synthesis/submodules/nios_design_mm_interconnect_0_avalon_st_adapter.v | 6,167 | module MODULE1 #(
parameter VAR18 = 34,
parameter VAR8 = 0,
parameter VAR11 = 34,
parameter VAR14 = 0,
parameter VAR13 = 0,
parameter VAR4 = 0,
parameter VAR9 = 1,
parameter VAR5 = 1,
parameter VAR2 = 0,
parameter VAR23 = 34,
parameter VAR1 = 0,
parameter VAR21 = 1,
parameter VAR10 = 0,
parameter VAR20 = 1,
parameter VAR12 = 1,
parameter VAR24 = 0
) (
input wire VAR16, input wire VAR7, input wire [33:0] VAR3, input wire VAR25, output wire VAR22, output wire [33:0] VAR6, output wire VAR17, input wire VAR19, output wire [0:0] VAR15 );
generate
if (VAR18 != 34)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | gpl-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/latq/gf180mcu_fd_sc_mcu9t5v0__latq_4.behavioral.v | 1,718 | module MODULE1( VAR1, VAR8, VAR9 );
input VAR8, VAR1;
output VAR9;
reg VAR11;
VAR2 VAR4(.VAR1(VAR1),.VAR8(VAR8),.VAR9(VAR9),.VAR11(VAR11));
VAR2 VAR10(.VAR1(VAR1),.VAR8(VAR8),.VAR9(VAR9),.VAR11(VAR11));
not VAR6(VAR7,VAR8);
buf VAR5(VAR3,VAR8); | apache-2.0 |
mdsalman729/flexpret_project | fpga/generated-src/4tf-32i-32d-mul-ti/Core.v | 161,873 | module MODULE1(input clk, input reset,
input [3:0] VAR3,
input [3:0] VAR19,
input [3:0] VAR204,
input [3:0] VAR55,
input [3:0] VAR247,
input [3:0] VAR195,
input [3:0] VAR123,
input [3:0] VAR60,
input [1:0] VAR106,
input [1:0] VAR24,
input [1:0] VAR18,
input [1:0] VAR78,
output[1:0] VAR144,
output VAR112
);
wire VAR133;
wire VAR27;
wire VAR162;
wire VAR168;
wire VAR93;
wire[1:0] VAR191;
wire[1:0] VAR135;
wire VAR154;
wire[1:0] VAR221;
wire[1:0] VAR95;
wire[3:0] VAR76;
wire[3:0] VAR124;
wire VAR99;
wire VAR98;
wire VAR210;
wire VAR227;
wire VAR91;
wire VAR229;
wire VAR142;
wire VAR43;
wire VAR166;
wire VAR208;
wire VAR58;
wire VAR97;
wire VAR167;
wire VAR62;
wire VAR171;
wire VAR12;
wire VAR235;
wire VAR118;
wire VAR46;
wire VAR246;
wire VAR190;
wire VAR137;
reg VAR182;
wire VAR184;
wire VAR64;
wire VAR150;
wire VAR233;
wire VAR170;
wire VAR196;
wire VAR225;
wire VAR101;
wire VAR73;
wire VAR92;
wire VAR10;
wire VAR169;
wire VAR222;
wire VAR128;
reg VAR152;
wire VAR177;
wire VAR179;
wire VAR160;
wire VAR53;
wire VAR23;
wire VAR8;
wire VAR215;
wire VAR148;
wire VAR198;
wire VAR155;
wire VAR14;
wire VAR175;
reg VAR238;
wire VAR120;
wire VAR30;
wire VAR20;
wire VAR205;
wire VAR82;
reg VAR88;
wire VAR149;
wire VAR153;
wire VAR36;
wire VAR96;
wire VAR9;
wire VAR54;
reg VAR26;
wire VAR206;
wire VAR116;
wire VAR194;
wire VAR52;
wire VAR72;
wire VAR173;
wire VAR25;
wire VAR129;
wire VAR39;
wire VAR50;
wire VAR110;
wire VAR86;
wire VAR199;
wire VAR158;
wire VAR172;
reg VAR207;
wire VAR213;
wire VAR89;
wire VAR193;
wire VAR107;
wire VAR35;
wire VAR159;
wire VAR188;
wire VAR139;
wire VAR203;
wire VAR236;
wire VAR176;
wire VAR33;
wire VAR220;
wire VAR81;
wire VAR245;
wire VAR66;
wire VAR21;
wire VAR49;
wire VAR161;
wire VAR38;
wire VAR109;
wire VAR145;
wire VAR189;
wire VAR103;
wire VAR45;
reg VAR68;
wire VAR114;
wire VAR17;
wire VAR121;
wire VAR138;
wire VAR242;
wire VAR234;
wire VAR83;
wire VAR147;
wire VAR102;
wire VAR28;
wire VAR146;
wire VAR186;
wire VAR136;
wire VAR125;
wire VAR77;
wire VAR65;
wire VAR59;
wire VAR87;
wire VAR90;
wire VAR127;
wire VAR185;
wire VAR187;
wire VAR40;
wire VAR243;
wire VAR13;
wire VAR15;
wire VAR7;
wire[3:0] VAR44;
wire[3:0] VAR201;
wire[3:0] VAR132;
wire[3:0] VAR219;
wire[3:0] VAR2;
wire[3:0] VAR84;
wire[3:0] VAR239;
wire[3:0] VAR4;
wire[3:0] VAR63;
wire[3:0] VAR32;
wire[3:0] VAR130;
wire[3:0] VAR226;
wire[3:0] VAR180;
wire[1:0] VAR5;
wire VAR151;
wire VAR105;
wire VAR29;
wire VAR131;
wire VAR240;
wire VAR57;
wire VAR237;
wire VAR70;
wire VAR165;
wire VAR181;
wire VAR156;
wire VAR51;
wire VAR197;
wire VAR157;
wire VAR232;
wire VAR141;
wire VAR183;
wire VAR178;
wire VAR22;
wire VAR80;
wire VAR202;
wire VAR41;
wire VAR214;
wire VAR117;
wire VAR61;
wire VAR74;
wire VAR164;
wire VAR75;
reg VAR126;
wire VAR11;
wire VAR122;
wire VAR47;
wire VAR119;
wire VAR31;
reg VAR67;
wire VAR42;
wire VAR48;
wire VAR104;
wire VAR192;
wire VAR230;
wire VAR211;
reg VAR6;
wire VAR71;
wire VAR244;
wire VAR174;
wire VAR16;
wire VAR163;
wire VAR34;
wire VAR231;
wire VAR108;
wire VAR224;
wire VAR216;
wire VAR79;
wire VAR140;
wire VAR212;
wire VAR228;
wire[1:0] VAR209;
wire[2:0] VAR100;
wire[2:0] VAR143;
wire[2:0] VAR113;
wire[1:0] VAR218;
wire[2:0] VAR241;
wire[2:0] VAR69;
wire[1:0] VAR217;
wire VAR94;
wire[1:0] VAR134;
wire[1:0] VAR111;
wire[3:0] VAR200;
wire[3:0] VAR1;
wire[1:0] VAR85;
wire[1:0] VAR37;
wire[1:0] VAR56;
wire VAR115;
integer VAR223; | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/clkdlybuf4s15/sky130_fd_sc_lp__clkdlybuf4s15_2.v | 2,163 | module MODULE2 (
VAR7 ,
VAR5 ,
VAR1,
VAR8,
VAR4 ,
VAR2
);
output VAR7 ;
input VAR5 ;
input VAR1;
input VAR8;
input VAR4 ;
input VAR2 ;
VAR3 VAR6 (
.VAR7(VAR7),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR7,
VAR5
);
output VAR7;
input VAR5;
supply1 VAR1;
supply0 VAR8;
supply1 VAR4 ;
supply0 VAR2 ;
VAR3 VAR6 (
.VAR7(VAR7),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a22oi/sky130_fd_sc_hs__a22oi.symbol.v | 1,335 | module MODULE1 (
input VAR1,
input VAR4,
input VAR3,
input VAR6,
output VAR2
);
supply1 VAR5;
supply0 VAR7;
endmodule | apache-2.0 |
EPiCS/soundgates | hardware/design/reference/cf_lib/edk/pcores/axi_hdmi_tx_36b_v1_00_a/hdl/verilog/cf_hdmi.v | 13,339 | module MODULE1 (
VAR12,
VAR17,
VAR55,
VAR36,
VAR15,
VAR56,
VAR44,
VAR37,
VAR66,
VAR90,
VAR80,
VAR46,
VAR39,
VAR28,
VAR70,
VAR54,
VAR10,
VAR43,
VAR1,
VAR96,
VAR81,
VAR92,
VAR27,
VAR84,
VAR29,
VAR52,
VAR33,
VAR51,
VAR26);
input VAR12;
output VAR17;
output VAR55;
output VAR36;
output [35:0] VAR15;
output VAR56;
output [ 8:0] VAR44;
output VAR37;
input VAR66;
input VAR90;
input [ 8:0] VAR80;
input [47:0] VAR46;
input VAR39;
input [ 8:0] VAR28;
input VAR70;
input VAR54;
input VAR10;
input [15:0] VAR43;
input [15:0] VAR1;
input [15:0] VAR96;
input [15:0] VAR81;
input [15:0] VAR92;
input [15:0] VAR27;
input [15:0] VAR84;
input [15:0] VAR29;
input VAR52;
input [23:0] VAR33;
output [63:0] VAR51;
output [ 7:0] VAR26;
reg VAR72 = 'd0;
reg VAR61 = 'd0;
reg VAR74 = 'd0;
reg VAR34 = 'd0;
reg VAR67 = 'd0;
reg VAR8 = 'd0;
reg [15:0] VAR4 = 'd0;
reg [15:0] VAR53 = 'd0;
reg [15:0] VAR19 = 'd0;
reg [15:0] VAR2 = 'd0;
reg [15:0] VAR5 = 'd0;
reg [15:0] VAR35 = 'd0;
reg [15:0] VAR100 = 'd0;
reg [15:0] VAR95 = 'd0;
reg VAR6 = 'd0;
reg VAR3 = 'd0;
reg VAR75 = 'd0;
reg VAR16 = 'd0;
reg [23:0] VAR48 = 'd0;
reg [15:0] VAR40 = 'd0;
reg [15:0] VAR87 = 'd0;
reg VAR21 = 'd0;
reg VAR45 = 'd0;
reg VAR49 = 'd0;
reg VAR50 = 'd0;
reg [ 8:0] VAR64 = 'd0;
reg VAR56 = 'd0;
reg [ 8:0] VAR44 = 'd0;
reg VAR30 = 'd0;
reg VAR97 = 'd0;
reg VAR78 = 'd0;
reg [ 9:0] VAR94 = 'd0;
reg VAR13 = 'd0;
reg VAR9 = 'd0;
reg VAR14 = 'd0;
reg VAR22 = 'd0;
reg VAR20 = 'd0;
reg VAR86 = 'd0;
reg VAR38 = 'd0;
reg VAR58 = 'd0;
reg [47:0] VAR57 = 'd0;
reg [23:0] VAR77 = 'd0;
reg [ 4:0] VAR98 = 'd0;
reg VAR37 = 'd0;
reg VAR82 = 'd0;
reg VAR25 = 'd0;
reg VAR93 = 'd0;
reg [23:0] VAR32 = 'd0;
reg VAR17 = 'd0;
reg VAR55 = 'd0;
reg VAR36 = 'd0;
reg [35:0] VAR15 = 'd0;
wire [15:0] VAR73;
wire [15:0] VAR79;
wire VAR31;
wire VAR68;
wire VAR89;
wire VAR83;
wire VAR71;
wire VAR85;
wire [47:0] VAR62;
wire [23:0] VAR42;
wire VAR65;
wire [23:0] VAR88;
function [8:0] VAR23;
input [8:0] VAR47;
reg [8:0] VAR24;
begin
VAR24[8] = VAR47[8];
VAR24[7] = VAR47[8] ^ VAR47[7];
VAR24[6] = VAR47[7] ^ VAR47[6];
VAR24[5] = VAR47[6] ^ VAR47[5];
VAR24[4] = VAR47[5] ^ VAR47[4];
VAR24[3] = VAR47[4] ^ VAR47[3];
VAR24[2] = VAR47[3] ^ VAR47[2];
VAR24[1] = VAR47[2] ^ VAR47[1];
VAR24[0] = VAR47[1] ^ VAR47[0];
VAR23 = VAR24;
end
endfunction
assign VAR51[63:61] = 'd0;
assign VAR51[60:60] = VAR31;
assign VAR51[59:59] = VAR85;
assign VAR51[58:58] = VAR68;
assign VAR51[57:57] = VAR83;
assign VAR51[56:56] = VAR89;
assign VAR51[55:55] = VAR71;
assign VAR51[54:54] = VAR65;
assign VAR51[53:53] = VAR30;
assign VAR51[52:52] = VAR97;
assign VAR51[51:51] = VAR78;
assign VAR51[50:50] = VAR17;
assign VAR51[49:49] = VAR55;
assign VAR51[48:48] = VAR36;
assign VAR51[47:32] = VAR40;
assign VAR51[31:16] = VAR87;
assign VAR51[15: 0] = VAR15[15:0];
assign VAR26[7] = VAR31;
assign VAR26[6] = VAR85;
assign VAR26[5] = VAR68;
assign VAR26[4] = VAR83;
assign VAR26[3] = VAR89;
assign VAR26[2] = VAR71;
assign VAR26[1] = VAR65;
assign VAR26[0] = VAR36;
assign VAR73 = VAR53 - 1'b1;
assign VAR79 = VAR35 - 1'b1;
always @(posedge VAR12) begin
VAR72 <= VAR70;
VAR61 <= VAR72;
VAR74 <= VAR61;
VAR34 <= VAR74;
if ((VAR61 == 1'b1) && (VAR74 == 1'b0)) begin
VAR67 <= VAR54;
VAR8 <= VAR10;
VAR4 <= VAR43;
VAR53 <= VAR1;
VAR19 <= VAR96;
VAR2 <= VAR81;
VAR5 <= VAR92;
VAR35 <= VAR27;
VAR100 <= VAR84;
VAR95 <= VAR29;
end
VAR6 <= VAR52;
VAR3 <= VAR6;
VAR75 <= VAR3;
VAR16 <= VAR75;
if ((VAR3 == 1'b1) && (VAR75 == 1'b0)) begin
VAR48 <= VAR33;
end
end
assign VAR31 = ((VAR40 == 1) && (VAR87 == VAR5)) ?
VAR34 : 1'b0;
assign VAR68 = (VAR40 < VAR4) ? VAR34 : 1'b0;
assign VAR89 = (VAR87 < VAR5) ? VAR34 : 1'b0;
assign VAR83 = ((VAR40 < VAR19) ||
(VAR40 >= VAR2)) ? 1'b0 : VAR34;
assign VAR71 = ((VAR87 < VAR100) ||
(VAR87 >= VAR95)) ? 1'b0 : VAR34;
always @(posedge VAR12) begin
if (VAR40 >= VAR73) begin
VAR40 <= 0;
end else begin
VAR40 <= VAR40 + 1'b1;
end
if (VAR40 >= VAR73) begin
if (VAR87 >= VAR79) begin
VAR87 <= 0;
end else begin
VAR87 <= VAR87 + 1'b1;
end
end
end
assign VAR85 = VAR45 ^ VAR49;
always @(posedge VAR12) begin
VAR21 <= VAR39;
VAR45 <= VAR21;
VAR49 <= VAR45;
VAR50 <= VAR85;
if (VAR85 == 1'b1) begin
VAR64 <= VAR28;
end
if (VAR31 == 1'b1) begin
VAR56 <= ~VAR56;
end
VAR44 <= VAR23(VAR94[9:1]);
end
always @(posedge VAR12) begin
VAR30 <= VAR89;
VAR97 <= VAR68;
VAR78 <= VAR83 & VAR71;
if (VAR50 == 1'b1) begin
VAR94 <= {VAR64, 1'b0};
end else if (VAR78 == 1'b1) begin
VAR94 <= VAR94 + 1;
end
VAR13 <= VAR30;
VAR9 <= VAR97;
VAR14 <= VAR78;
VAR22 <= VAR94[0];
VAR20 <= VAR13;
VAR86 <= VAR9;
VAR38 <= VAR14;
VAR58 <= VAR22;
VAR57 <= VAR62;
end
assign VAR42 = (VAR58 == 1'b1) ? VAR57[47:24] : VAR57[23:0];
assign VAR65 = (VAR42 == VAR77) ? 1'b0 : VAR38;
assign VAR88 = VAR77;
always @(posedge VAR12) begin
if (VAR50 == 1'b1) begin
VAR77 <= 'd0;
end else if (VAR38 == 1'b1) begin
VAR77 <= VAR77 + 1'b1;
end
if (VAR65 == 1'b1) begin
VAR98 <= 5'h10;
end else if (VAR98[4] == 1'b1) begin
VAR98 <= VAR98 + 1'b1;
end
VAR37 <= VAR98[4];
end
always @(posedge VAR12) begin
VAR82 <= VAR20;
VAR25 <= VAR86;
VAR93 <= VAR38;
if (VAR16 == 1'b1) begin
VAR32 <= VAR48;
end else if (VAR67 == 1'b1) begin
VAR32 <= VAR88;
end else begin
VAR32 <= VAR42;
end
if (VAR8 == 1'b1) begin
end else begin
end
VAR17 <= VAR82;
VAR55 <= VAR25;
VAR36 <= VAR93;
VAR15 <= {VAR32[23:16], VAR32[23:20],
VAR32[15:8], VAR32[15:12],
VAR32[7:0], VAR32[7:4]};
end
VAR69 VAR99 (
.VAR11 (VAR66),
.VAR18 (VAR90),
.VAR60 (VAR80),
.VAR59 (VAR46),
.VAR91 (VAR12),
.VAR76 (VAR94[9:1]),
.VAR7 (VAR62));
endmodule | mit |
MeshSr/onetswitch20 | ons20-app52-ref_ofshw/vivado/onets_7020_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/core/pulse_synchronizer.v | 2,307 | module MODULE1
( input VAR15,
input VAR12,
output VAR6,
input VAR8,
input VAR14,
input VAR4
);
reg VAR10;
reg VAR13;
reg VAR3;
reg VAR2;
reg VAR1;
reg VAR11;
reg VAR5;
reg VAR9;
reg VAR7;
always @(posedge VAR12) begin
if(VAR14) begin
VAR10 <= 0;
end
else if(!VAR5 & VAR15) begin
VAR10 <= 1;
end
else if(VAR11) begin
VAR10 <= 0;
end
end
always @(posedge VAR8) begin
if(VAR4) begin
VAR13 <= 0;
end
else if(!VAR9 & VAR2) begin
VAR13 <= 1;
end
else if(!VAR2) begin
VAR13 <= 0;
end
end
assign VAR6 = VAR13 & !VAR7;
always @(posedge VAR12) begin
if(VAR14) begin
VAR5 <= 0;
VAR1 <= 0;
VAR11 <= 0;
end
else begin
VAR5 <= VAR15;
VAR1 <= VAR13;
VAR11 <= VAR1;
end
end
always @(posedge VAR8) begin
if(VAR4) begin
VAR7 <= 0;
VAR3 <= 0;
VAR2 <= 0;
VAR9 <= 0;
end
else begin
VAR7 <= VAR13;
VAR3 <= VAR10;
VAR2 <= VAR3;
VAR9 <= VAR2;
end
end
endmodule | lgpl-2.1 |
google/skywater-pdk-libs-sky130_fd_io | cells/top_power_hvc_wpad/sky130_fd_io__top_power_hvc_wpad.blackbox.v | 1,601 | module MODULE1 (
VAR4 ,
VAR12,
VAR14
);
inout VAR4 ;
inout VAR12;
inout VAR14;
supply1 VAR10 ;
supply1 VAR16 ;
supply0 VAR2;
supply1 VAR15 ;
supply1 VAR7 ;
supply1 VAR1 ;
supply1 VAR17 ;
supply1 VAR11 ;
supply1 VAR5 ;
supply1 VAR13 ;
supply0 VAR3 ;
supply0 VAR6 ;
supply0 VAR9 ;
supply0 VAR8 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/and2/sky130_fd_sc_hs__and2.functional.pp.v | 1,681 | module MODULE1 (
VAR4,
VAR8,
VAR10 ,
VAR6 ,
VAR5
);
input VAR4;
input VAR8;
output VAR10 ;
input VAR6 ;
input VAR5 ;
wire VAR2 ;
wire VAR3;
and VAR11 (VAR2 , VAR6, VAR5 );
VAR9 VAR7 (VAR3, VAR2, VAR4, VAR8);
buf VAR1 (VAR10 , VAR3 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/nand4bb/sky130_fd_sc_ls__nand4bb.behavioral.pp.v | 2,000 | module MODULE1 (
VAR17 ,
VAR4 ,
VAR16 ,
VAR7 ,
VAR15 ,
VAR2,
VAR10,
VAR5 ,
VAR6
);
output VAR17 ;
input VAR4 ;
input VAR16 ;
input VAR7 ;
input VAR15 ;
input VAR2;
input VAR10;
input VAR5 ;
input VAR6 ;
wire VAR12 ;
wire VAR13 ;
wire VAR3;
nand VAR14 (VAR12 , VAR15, VAR7 );
or VAR9 (VAR13 , VAR16, VAR4, VAR12 );
VAR11 VAR8 (VAR3, VAR13, VAR2, VAR10);
buf VAR1 (VAR17 , VAR3 );
endmodule | apache-2.0 |
aneez/nexys-fpga-exp | vSevenSegmentDisplay/clkEnable_gen.v | 1,035 | module MODULE1
parameter VAR7 = 5000000)
(
output reg enable,
input VAR2,
input VAR6
);
reg [31:0]VAR3;
reg VAR5;
wire rst;
VAR1 VAR3 =31'b1;
VAR1 VAR5 = 1;
VAR1 enable = 0;
assign rst = VAR6 | VAR5;
always @(posedge VAR2)
begin
if(rst)
VAR3 <= 1;
end
else
VAR3 <= VAR3+1;
end
always @*
begin
if(VAR3==VAR4)
begin
enable = 1;
VAR5 = 1;
end
else
begin
enable = 0;
VAR5 =0;
end
end
endmodule | gpl-2.0 |
freecores/raggedstone | source/pcipargen.v | 1,871 | module MODULE1 (VAR8, VAR4, VAR11, VAR5, VAR6);
input VAR8;
input [31:0] VAR4;
input [3:0] VAR11;
input VAR5;
output VAR6;
wire [31:0] VAR1;
wire VAR9;
wire VAR3;
wire VAR7;
wire VAR2;
assign VAR1 = VAR4;
assign VAR9 = VAR1[0] ^ VAR1[1] ^ VAR1[2] ^ VAR1[3] ^ VAR1[4] ^ VAR1[5] ^ VAR1[6] ^ VAR1[7] ^
VAR1[8] ^ VAR1[9] ^ VAR1[10] ^ VAR1[11] ^ VAR1[12] ^ VAR1[13] ^ VAR1[14] ^ VAR1[15] ^
VAR1[16] ^ VAR1[17] ^ VAR1[18] ^ VAR1[19] ^ VAR1[20] ^ VAR1[21] ^ VAR1[22] ^ VAR1[23] ^
VAR1[24] ^ VAR1[25] ^ VAR1[26] ^ VAR1[27] ^ VAR1[28] ^ VAR1[29] ^ VAR1[30] ^ VAR1[31];
assign VAR3 = VAR11[0] ^ VAR11[1] ^ VAR11[2] ^ VAR11[3];
assign VAR7 = VAR9 ^ VAR3;
assign VAR6 = ( VAR5 == 1 ) ? VAR2 : 1'VAR10;
endmodule | gpl-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/clkinv/gf180mcu_fd_sc_mcu9t5v0__clkinv_20.behavioral.pp.v | 1,187 | module MODULE1( VAR6, VAR4, VAR5, VAR1 );
input VAR6;
inout VAR5, VAR1;
output VAR4;
VAR2 VAR3(.VAR6(VAR6),.VAR4(VAR4),.VAR5(VAR5),.VAR1(VAR1));
VAR2 VAR7(.VAR6(VAR6),.VAR4(VAR4),.VAR5(VAR5),.VAR1(VAR1)); | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sdfrtp/sky130_fd_sc_lp__sdfrtp.functional.v | 1,972 | module MODULE1 (
VAR15 ,
VAR9 ,
VAR10 ,
VAR3 ,
VAR6 ,
VAR14
);
output VAR15 ;
input VAR9 ;
input VAR10 ;
input VAR3 ;
input VAR6 ;
input VAR14;
wire VAR4 ;
wire VAR1 ;
wire VAR2;
not VAR11 (VAR1 , VAR14 );
VAR13 VAR12 (VAR2, VAR10, VAR3, VAR6 );
VAR16 VAR5 VAR8 (VAR4 , VAR2, VAR9, VAR1);
buf VAR7 (VAR15 , VAR4 );
endmodule | apache-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.