repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlymetal6s6s/sky130_fd_sc_ls__dlymetal6s6s.pp.symbol.v
1,358
module MODULE1 ( input VAR2 , output VAR1 , input VAR3 , input VAR5, input VAR4, input VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/and4bb/sky130_fd_sc_ms__and4bb_2.v
2,323
module MODULE2 ( VAR10 , VAR3 , VAR9 , VAR8 , VAR5 , VAR4, VAR1, VAR7 , VAR2 ); output VAR10 ; input VAR3 ; input VAR9 ; input VAR8 ; input VAR5 ; input VAR4; input VAR1; input VAR7 ; input VAR2 ; VAR6 VAR11 ( .VAR10(VAR10), .VAR3(VAR3), .VAR9(VAR9), .VAR8(VAR8), .VAR5(VAR5), .VAR4(VAR4), .VAR1(VAR1), .VAR7(VAR7), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR10 , VAR3, VAR9, VAR8 , VAR5 ); output VAR10 ; input VAR3; input VAR9; input VAR8 ; input VAR5 ; supply1 VAR4; supply0 VAR1; supply1 VAR7 ; supply0 VAR2 ; VAR6 VAR11 ( .VAR10(VAR10), .VAR3(VAR3), .VAR9(VAR9), .VAR8(VAR8), .VAR5(VAR5) ); endmodule
apache-2.0
ShirmanXia/EE469SPRING16
lab4/nios_system/synthesis/submodules/nios_system_sram_addr.v
2,228
module MODULE1 ( address, VAR8, clk, VAR3, VAR4, VAR5, VAR9, VAR6 ) ; output [ 10: 0] VAR9; output [ 31: 0] VAR6; input [ 1: 0] address; input VAR8; input clk; input VAR3; input VAR4; input [ 31: 0] VAR5; wire VAR1; reg [ 10: 0] VAR2; wire [ 10: 0] VAR9; wire [ 10: 0] VAR7; wire [ 31: 0] VAR6; assign VAR1 = 1; assign VAR7 = {11 {(address == 0)}} & VAR2; always @(posedge clk or negedge VAR3) begin if (VAR3 == 0) VAR2 <= 0; end else if (VAR8 && ~VAR4 && (address == 0)) VAR2 <= VAR5[10 : 0]; end assign VAR6 = {32'b0 | VAR7}; assign VAR9 = VAR2; endmodule
gpl-3.0
Triple-Z/COExperiment_Repo
Project_2_OC/RegisterFileOnBoard/regfile_display.v
6,801
module MODULE1( input clk, input VAR43, input VAR42, input [1:0] VAR11, output VAR15, output VAR6, output VAR32, output VAR1, output VAR5, output VAR8, output VAR28, output VAR35, output VAR12, output VAR31, inout[15:0] VAR7, output VAR13, inout VAR29, inout VAR34, output VAR41, output VAR26 ); assign VAR15 = VAR42; assign VAR1 = (VAR11==2'd0); assign VAR5 = (VAR11==2'd1); assign VAR6 = (VAR11==2'd2); assign VAR32 = (VAR11==2'd3); wire [31:0] VAR20; wire [4 :0] VAR21; reg [4 :0] VAR3; reg [4 :0] VAR2; reg [4 :0] VAR24; reg [31:0] VAR25; wire [31:0] VAR9; wire [31:0] VAR18; VAR22 VAR27( .clk (clk ), .VAR42 (VAR42 ), .VAR3(VAR3), .VAR2(VAR2), .VAR24 (VAR24 ), .VAR25 (VAR25 ), .VAR9(VAR9), .VAR18(VAR18), .VAR21(VAR21), .VAR20(VAR20) ); reg VAR17; reg [39:0] VAR14; reg [31:0] VAR23; wire [5 :0] VAR38; wire VAR39; wire [31:0] VAR40; VAR4 VAR4( .clk (clk ), .VAR43 (VAR43 ), .VAR17 (VAR17 ), .VAR14 (VAR14 ), .VAR23 (VAR23 ), .VAR38 (VAR38), .VAR39 (VAR39 ), .VAR40 (VAR40 ), .VAR8 (VAR8 ), .VAR28 (VAR28 ), .VAR35 (VAR35 ), .VAR12 (VAR12 ), .VAR31 (VAR31 ), .VAR7 (VAR7 ), .VAR13 (VAR13 ), .VAR29 (VAR29 ), .VAR34 (VAR34 ), .VAR41 (VAR41 ), .VAR26 (VAR26 ) ); assign VAR21 = VAR38-5'd7; always @(posedge clk) begin if (!VAR43) begin VAR3 <= 5'd0; end else if (VAR39 && VAR11==2'd0) begin VAR3 <= VAR40[4:0]; end end always @(posedge clk) begin if (!VAR43) begin VAR2 <= 5'd0; end else if (VAR39 && VAR11==2'd1) begin VAR2 <= VAR40[4:0]; end end always @(posedge clk) begin if (!VAR43) begin VAR24 <= 5'd0; end else if (VAR39 && VAR11==2'd2) begin VAR24 <= VAR40[4:0]; end end always @(posedge clk) begin if (!VAR43) begin VAR25 <= 32'd0; end else if (VAR39 && VAR11==2'd3) begin VAR25 <= VAR40; end end always @(posedge clk) begin if (VAR38 >6'd6 && VAR38 <6'd39 ) begin VAR17 <= 1'b1; VAR14[39:16] <= "VAR37"; VAR14[15: 8] <= {4'b0011,3'b000,VAR21[4]}; VAR14[7 : 0] <= {4'b0011,VAR21[3:0]}; VAR23 <= VAR20; end else begin case(VAR38) 6'd1 : begin VAR17 <= 1'b1; VAR14 <= "VAR33"; VAR23 <= VAR3; end 6'd2 : begin VAR17 <= 1'b1; VAR14 <= "VAR10"; VAR23 <= VAR9; end 6'd3 : begin VAR17 <= 1'b1; VAR14 <= "VAR16"; VAR23 <= VAR2; end 6'd4 : begin VAR17 <= 1'b1; VAR14 <= "VAR19"; VAR23 <= VAR18; end 6'd5 : begin VAR17 <= 1'b1; VAR14 <= "VAR30"; VAR23 <= VAR24; end 6'd6 : begin VAR17 <= 1'b1; VAR14 <= "VAR36"; VAR23 <= VAR25; end default : begin VAR17 <= 1'b0; VAR14 <= 40'd0; VAR23 <= 32'd0; end endcase end end endmodule
mit
calee0219/Course
DLAB/Lab07/ipcore_dir/Lab07_IP.v
24,885
module MODULE2 ( clk, VAR246, VAR265 ); input clk; output [4 : 0] VAR246; input [7 : 0] VAR265; wire VAR7; wire VAR292; wire VAR302; wire VAR82; wire VAR320; wire VAR103; wire VAR52; wire VAR14; wire VAR28; wire VAR175; wire VAR11; wire VAR105; wire VAR291; wire VAR144; wire VAR303; wire VAR153; wire VAR172; wire VAR73; wire VAR47; wire VAR77; wire VAR48; wire VAR224; wire VAR135; wire VAR98; wire VAR183; wire VAR282; wire VAR91; wire VAR299; wire VAR67; wire VAR75; wire VAR118; wire VAR215; wire VAR229; wire VAR199; wire VAR20; wire VAR64; wire VAR40; wire VAR210; wire VAR68; wire VAR231; wire VAR271; wire VAR61; wire VAR117; wire VAR62; wire VAR298; wire VAR295; wire \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<0> ; wire \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<1> ; wire \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<2> ; wire \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<3> ; wire \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<4> ; wire VAR10; wire VAR88; wire VAR50; wire VAR200; wire VAR97; wire VAR18; wire VAR145; wire VAR84; wire VAR276; wire VAR15; wire VAR240; wire VAR194; wire VAR266; wire VAR278; wire VAR293; wire VAR205; wire VAR212; wire VAR6; wire VAR34; wire VAR155; wire VAR147; wire \VAR272/VAR277 ; wire \VAR272/VAR270 ; wire \VAR272/VAR310 ; wire \VAR272/VAR59 ; wire \VAR272/VAR126 ; wire \VAR272/VAR178 ; wire \VAR272/VAR26 ; wire \VAR272/VAR57 ; wire \VAR272/VAR251 ; wire \VAR272/VAR69 ; wire \VAR272/VAR81 ; wire \VAR272/VAR120 ; wire \VAR272/VAR30 ; wire \VAR272/VAR51 ; wire \VAR272/VAR76 ; wire \VAR272/VAR203 ; wire \VAR272/VAR219 ; wire \VAR243/VAR318 ; wire \VAR243/VAR181 ; wire \VAR243/VAR202 ; wire \VAR243/VAR234 ; wire \VAR243/VAR256 ; wire \VAR243/VAR146 ; wire \VAR243/VAR158 ; wire \VAR243/VAR254 ; wire \VAR243/VAR269 ; wire \VAR243/VAR237 ; wire \VAR243/VAR264 ; wire \VAR243/VAR173 ; wire \VAR243/VAR2 ; wire \VAR243/VAR275 ; wire \VAR25/VAR87 ; wire \VAR25/VAR284 ; wire \VAR25/VAR5 ; wire \VAR25/VAR17 ; wire \VAR25/VAR163 ; wire \VAR25/VAR216 ; wire \VAR25/VAR9 ; wire \VAR25/VAR4 ; wire \VAR25/VAR225 ; wire \VAR25/VAR133 ; wire \VAR25/VAR304 ; wire \VAR25/VAR326 ; wire \VAR25/VAR245 ; wire \VAR25/VAR179 ; wire \VAR25/VAR119 ; wire \VAR25/VAR186 ; wire \VAR25/VAR184 ; wire \VAR25/VAR260 ; wire \VAR25/VAR209 ; wire \VAR25/VAR49 ; wire \VAR25/VAR1 ; wire \VAR25/VAR252 ; wire \VAR25/VAR204 ; wire \VAR25/VAR201 ; wire \VAR25/VAR21 ; assign VAR246[4] = \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<4> , VAR246[3] = \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<3> , VAR246[2] = \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<2> , VAR246[1] = \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<1> , VAR246[0] = \VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<0> ; VAR180 VAR313 ( .VAR232(VAR7) ); VAR122 VAR108 ( .VAR92(VAR292) ); VAR221 #( .VAR273 ( 1'b0 )) VAR116 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR75), .VAR150(VAR7), .VAR86(VAR47) ); VAR221 #( .VAR273 ( 1'b0 )) VAR137 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR67), .VAR150(VAR7), .VAR86(VAR73) ); VAR221 #( .VAR273 ( 1'b0 )) VAR151 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR299), .VAR150(VAR7), .VAR86(VAR172) ); VAR221 #( .VAR273 ( 1'b0 )) VAR90 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR91), .VAR150(VAR7), .VAR86(VAR153) ); VAR221 #( .VAR273 ( 1'b0 )) VAR109 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR282), .VAR150(VAR7), .VAR86(VAR303) ); VAR221 #( .VAR273 ( 1'b0 )) VAR255 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR229), .VAR150(VAR7), .VAR86(VAR144) ); VAR221 #( .VAR273 ( 1'b0 )) VAR198 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR215), .VAR150(VAR7), .VAR86(VAR291) ); VAR221 #( .VAR273 ( 1'b0 )) VAR13 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR118), .VAR150(VAR7), .VAR86(VAR105) ); VAR221 #( .VAR273 ( 1'b0 )) VAR143 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR183), .VAR150(VAR7), .VAR86(VAR11) ); VAR319 #( .VAR273 ( 1'b0 )) VAR250 ( .VAR78(clk), .VAR315(VAR292), .VAR86(VAR229) ); VAR319 #( .VAR273 ( 1'b0 )) VAR258 ( .VAR78(clk), .VAR315(VAR278), .VAR86(VAR215) ); VAR319 #( .VAR273 ( 1'b0 )) VAR223 ( .VAR78(clk), .VAR315(VAR61), .VAR86(VAR118) ); VAR221 #( .VAR273 ( 1'b0 )) VAR102 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR295), .VAR150(VAR7), .VAR86(VAR40) ); VAR221 #( .VAR273 ( 1'b0 )) VAR157 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR298), .VAR150(VAR7), .VAR86(VAR64) ); VAR221 #( .VAR273 ( 1'b0 )) VAR45 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR62), .VAR150(VAR7), .VAR86(VAR20) ); VAR221 #( .VAR273 ( 1'b0 )) VAR165 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR117), .VAR150(VAR7), .VAR86(VAR199) ); VAR319 #( .VAR273 ( 1'b0 )) VAR327 ( .VAR78(clk), .VAR315(VAR265[4]), .VAR86(VAR302) ); VAR319 #( .VAR273 ( 1'b0 )) VAR74 ( .VAR78(clk), .VAR315(VAR265[5]), .VAR86(VAR82) ); VAR319 #( .VAR273 ( 1'b0 )) VAR226 ( .VAR78(clk), .VAR315(VAR265[6]), .VAR86(VAR320) ); VAR319 #( .VAR273 ( 1'b0 )) VAR253 ( .VAR78(clk), .VAR315(VAR265[7]), .VAR86(VAR103) ); VAR221 #( .VAR273 ( 1'b0 )) VAR166 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR144), .VAR150(VAR7), .VAR86(VAR276) ); VAR221 #( .VAR273 ( 1'b0 )) VAR248 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR291), .VAR150(VAR7), .VAR86(VAR84) ); VAR221 #( .VAR273 ( 1'b0 )) VAR214 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR105), .VAR150(VAR7), .VAR86(VAR145) ); VAR221 #( .VAR273 ( 1'b0 )) VAR217 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR11), .VAR150(VAR7), .VAR86(VAR18) ); VAR221 #( .VAR273 ( 1'b0 )) VAR23 ( .VAR78(clk), .VAR56(VAR292), .VAR315(VAR15), .VAR150(VAR7), .VAR86(VAR97) ); VAR221 #( .VAR273 ( 1'b0 )) VAR65 ( .VAR78(clk), .VAR56(VAR266), .VAR315(VAR293), .VAR150(VAR7), .VAR86(\VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<0> ) ); VAR221 #( .VAR273 ( 1'b0 )) VAR187 ( .VAR78(clk), .VAR56(VAR266), .VAR315(VAR205), .VAR150(VAR7), .VAR86(\VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<1> ) ); VAR221 #( .VAR273 ( 1'b0 )) VAR228 ( .VAR78(clk), .VAR56(VAR266), .VAR315(VAR212), .VAR150(VAR7), .VAR86(\VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<2> ) ); VAR221 #( .VAR273 ( 1'b0 )) VAR305 ( .VAR78(clk), .VAR56(VAR266), .VAR315(VAR6), .VAR150(VAR7), .VAR86(\VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<3> ) ); VAR221 #( .VAR273 ( 1'b0 )) VAR63 ( .VAR78(clk), .VAR56(VAR266), .VAR315(VAR34), .VAR150(VAR7), .VAR86(\VAR95/VAR193/VAR43.VAR53/VAR128[5].VAR328.VAR148.VAR262/VAR132<4> ) ); VAR319 #( .VAR273 ( 1'b0 )) VAR125 ( .VAR78(clk), .VAR315(VAR229), .VAR86(VAR240) ); VAR319 #( .VAR273 ( 1'b0 )) VAR242 ( .VAR78(clk), .VAR315(VAR240), .VAR86(VAR194) ); VAR319 #( .VAR273 ( 1'b0 )) VAR139 ( .VAR78(clk), .VAR315(VAR194), .VAR86(VAR266) ); VAR162 #( .VAR273 ( 8'hAC )) VAR289 ( .VAR308(VAR64), .VAR99(VAR98), .VAR94(VAR183), .VAR31(VAR75) ); VAR162 #( .VAR273 ( 8'hAC )) VAR27 ( .VAR308(VAR20), .VAR99(VAR135), .VAR94(VAR183), .VAR31(VAR67) ); VAR162 #( .VAR273 ( 8'hAC )) VAR110 ( .VAR308(VAR199), .VAR99(VAR224), .VAR94(VAR183), .VAR31(VAR299) ); VAR162 #( .VAR273 ( 8'hAC )) VAR174 ( .VAR308(VAR175), .VAR99(VAR48), .VAR94(VAR183), .VAR31(VAR91) ); VAR162 #( .VAR273 ( 8'hAC )) VAR197 ( .VAR308(VAR28), .VAR99(VAR77), .VAR94(VAR183), .VAR31(VAR282) ); VAR220 #( .VAR273 ( 4'h8 )) VAR280 ( .VAR308(VAR320), .VAR99(VAR103), .VAR31(VAR147) ); VAR220 #( .VAR273 ( 4'h1 )) VAR79 ( .VAR308(VAR320), .VAR99(VAR103), .VAR31(VAR278) ); VAR220 #( .VAR273 ( 4'h4 )) VAR259 ( .VAR308(VAR320), .VAR99(VAR103), .VAR31(VAR155) ); VAR162 #( .VAR273 ( 8'hAC )) VAR324 ( .VAR308(VAR147), .VAR99(VAR271), .VAR94(VAR61), .VAR31(VAR295) ); VAR162 #( .VAR273 ( 8'hAC )) VAR38 ( .VAR308(VAR155), .VAR99(VAR231), .VAR94(VAR61), .VAR31(VAR298) ); VAR162 #( .VAR273 ( 8'hAC )) VAR268 ( .VAR308(VAR82), .VAR99(VAR68), .VAR94(VAR61), .VAR31(VAR62) ); VAR162 #( .VAR273 ( 8'hAC )) VAR160 ( .VAR308(VAR302), .VAR99(VAR210), .VAR94(VAR61), .VAR31(VAR117) ); VAR3 VAR296 ( .VAR176(VAR276), .VAR31(VAR34) ); VAR3 VAR177 ( .VAR176(VAR84), .VAR31(VAR6) ); VAR3 VAR104 ( .VAR176(VAR145), .VAR31(VAR212) ); VAR3 VAR138 ( .VAR176(VAR18), .VAR31(VAR205) ); VAR3 VAR283 ( .VAR176(VAR97), .VAR31(VAR293) ); VAR227 #( .VAR273 ( 16'h0000 )) VAR329 ( .VAR171(VAR7), .VAR211(VAR7), .VAR161(VAR7), .VAR152(VAR7), .VAR249(clk), .VAR315(VAR265[3]), .VAR86(VAR14) ); VAR319 #( .VAR273 ( 1'b0 )) VAR244 ( .VAR78(clk), .VAR315(VAR14), .VAR86(VAR175) ); VAR227 #( .VAR273 ( 16'h0000 )) VAR114 ( .VAR171(VAR7), .VAR211(VAR7), .VAR161(VAR7), .VAR152(VAR7), .VAR249(clk), .VAR315(VAR265[2]), .VAR86(VAR52) ); VAR319 #( .VAR273 ( 1'b0 )) VAR222 ( .VAR78(clk), .VAR315(VAR52), .VAR86(VAR28) ); VAR227 #( .VAR273 ( 16'h0000 )) VAR113 ( .VAR171(VAR292), .VAR211(VAR7), .VAR161(VAR7), .VAR152(VAR7), .VAR249(clk), .VAR315(VAR265[1]), .VAR86(VAR88) ); VAR319 #( .VAR273 ( 1'b0 )) VAR16 ( .VAR78(clk), .VAR315(VAR88), .VAR86(VAR200) ); VAR227 #( .VAR273 ( 16'h0000 )) VAR309 ( .VAR171(VAR292), .VAR211(VAR7), .VAR161(VAR7), .VAR152(VAR7), .VAR249(clk), .VAR315(VAR265[0]), .VAR86(VAR10) ); VAR319 #( .VAR273 ( 1'b0 )) VAR33 ( .VAR78(clk), .VAR315(VAR10), .VAR86(VAR50) ); VAR3 \VAR272/VAR46 ( .VAR176(VAR28), .VAR31(\VAR272/VAR26 ) ); VAR3 \VAR272/VAR22 ( .VAR176(VAR175), .VAR31(\VAR272/VAR178 ) ); VAR3 \VAR272/VAR170 ( .VAR176(VAR40), .VAR31(\VAR272/VAR270 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR272/VAR300 ( .VAR308(VAR118), .VAR99(VAR199), .VAR31(\VAR272/VAR126 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR272/VAR134 ( .VAR308(VAR215), .VAR99(VAR20), .VAR31(\VAR272/VAR59 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR272/VAR136 ( .VAR308(VAR229), .VAR99(VAR64), .VAR31(\VAR272/VAR310 ) ); VAR36 \VAR272/VAR85 ( .VAR54(\VAR272/VAR219 ), .VAR41(VAR28), .VAR141(\VAR272/VAR26 ), .VAR31(\VAR272/VAR51 ) ); VAR93 \VAR272/VAR236 ( .VAR54(\VAR272/VAR219 ), .VAR121(\VAR272/VAR26 ), .VAR31(VAR77) ); VAR93 \VAR272/VAR322 ( .VAR54(\VAR272/VAR57 ), .VAR121(\VAR272/VAR277 ), .VAR31(\VAR272/VAR76 ) ); VAR36 \VAR272/VAR286 ( .VAR54(\VAR272/VAR51 ), .VAR41(VAR175), .VAR141(\VAR272/VAR178 ), .VAR31(\VAR272/VAR30 ) ); VAR93 \VAR272/VAR167 ( .VAR54(\VAR272/VAR51 ), .VAR121(\VAR272/VAR178 ), .VAR31(VAR48) ); VAR36 \VAR272/VAR35 ( .VAR54(\VAR272/VAR30 ), .VAR41(VAR199), .VAR141(\VAR272/VAR126 ), .VAR31(\VAR272/VAR120 ) ); VAR93 \VAR272/VAR130 ( .VAR54(\VAR272/VAR30 ), .VAR121(\VAR272/VAR126 ), .VAR31(VAR224) ); VAR36 \VAR272/VAR213 ( .VAR54(\VAR272/VAR120 ), .VAR41(VAR20), .VAR141(\VAR272/VAR59 ), .VAR31(\VAR272/VAR81 ) ); VAR93 \VAR272/VAR140 ( .VAR54(\VAR272/VAR120 ), .VAR121(\VAR272/VAR59 ), .VAR31(VAR135) ); VAR36 \VAR272/VAR314 ( .VAR54(\VAR272/VAR81 ), .VAR41(VAR64), .VAR141(\VAR272/VAR310 ), .VAR31(\VAR272/VAR69 ) ); VAR93 \VAR272/VAR55 ( .VAR54(\VAR272/VAR81 ), .VAR121(\VAR272/VAR310 ), .VAR31(VAR98) ); VAR36 \VAR272/VAR307 ( .VAR54(\VAR272/VAR69 ), .VAR41(VAR40), .VAR141(\VAR272/VAR270 ), .VAR31(\VAR272/VAR251 ) ); VAR93 \VAR272/VAR247 ( .VAR54(\VAR272/VAR69 ), .VAR121(\VAR272/VAR270 ), .VAR31(\VAR272/VAR203 ) ); VAR36 \VAR272/VAR191 ( .VAR54(\VAR272/VAR251 ), .VAR41(VAR7), .VAR141(\VAR272/VAR277 ), .VAR31(\VAR272/VAR57 ) ); VAR93 \VAR272/VAR72 ( .VAR54(\VAR272/VAR251 ), .VAR121(\VAR272/VAR277 ), .VAR31(VAR183) ); VAR122 \VAR272/VAR241 ( .VAR92(\VAR272/VAR277 ) ); VAR180 \VAR272/VAR159 ( .VAR232(\VAR272/VAR219 ) ); VAR3 \VAR243/VAR131 ( .VAR176(VAR147), .VAR31(\VAR243/VAR181 ) ); VAR3 \VAR243/VAR285 ( .VAR176(VAR302), .VAR31(\VAR243/VAR256 ) ); VAR3 \VAR243/VAR230 ( .VAR176(VAR82), .VAR31(\VAR243/VAR234 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR243/VAR12 ( .VAR308(VAR278), .VAR99(VAR155), .VAR31(\VAR243/VAR202 ) ); VAR36 \VAR243/VAR182 ( .VAR54(\VAR243/VAR275 ), .VAR41(VAR302), .VAR141(\VAR243/VAR256 ), .VAR31(\VAR243/VAR264 ) ); VAR93 \VAR243/VAR71 ( .VAR54(\VAR243/VAR275 ), .VAR121(\VAR243/VAR256 ), .VAR31(VAR210) ); VAR93 \VAR243/VAR164 ( .VAR54(\VAR243/VAR146 ), .VAR121(\VAR243/VAR318 ), .VAR31(\VAR243/VAR173 ) ); VAR36 \VAR243/VAR39 ( .VAR54(\VAR243/VAR264 ), .VAR41(VAR82), .VAR141(\VAR243/VAR234 ), .VAR31(\VAR243/VAR237 ) ); VAR93 \VAR243/VAR257 ( .VAR54(\VAR243/VAR264 ), .VAR121(\VAR243/VAR234 ), .VAR31(VAR68) ); VAR36 \VAR243/VAR196 ( .VAR54(\VAR243/VAR237 ), .VAR41(VAR155), .VAR141(\VAR243/VAR202 ), .VAR31(\VAR243/VAR269 ) ); VAR93 \VAR243/VAR127 ( .VAR54(\VAR243/VAR237 ), .VAR121(\VAR243/VAR202 ), .VAR31(VAR231) ); VAR36 \VAR243/VAR261 ( .VAR54(\VAR243/VAR269 ), .VAR41(VAR147), .VAR141(\VAR243/VAR181 ), .VAR31(\VAR243/VAR254 ) ); VAR93 \VAR243/VAR239 ( .VAR54(\VAR243/VAR269 ), .VAR121(\VAR243/VAR181 ), .VAR31(VAR271) ); VAR36 \VAR243/VAR267 ( .VAR54(\VAR243/VAR254 ), .VAR41(VAR7), .VAR141(\VAR243/VAR318 ), .VAR31(\VAR243/VAR158 ) ); VAR93 \VAR243/VAR317 ( .VAR54(\VAR243/VAR254 ), .VAR121(\VAR243/VAR318 ), .VAR31(\VAR243/VAR2 ) ); VAR36 \VAR243/VAR235 ( .VAR54(\VAR243/VAR158 ), .VAR41(VAR7), .VAR141(\VAR243/VAR318 ), .VAR31(\VAR243/VAR146 ) ); VAR93 \VAR243/VAR66 ( .VAR54(\VAR243/VAR158 ), .VAR121(\VAR243/VAR318 ), .VAR31(VAR61) ); VAR122 \VAR243/VAR189 ( .VAR92(\VAR243/VAR318 ) ); VAR180 \VAR243/VAR70 ( .VAR232(\VAR243/VAR275 ) ); VAR3 \VAR25/VAR290 ( .VAR176(VAR50), .VAR31(\VAR25/VAR4 ) ); VAR3 \VAR25/VAR44 ( .VAR176(VAR200), .VAR31(\VAR25/VAR9 ) ); VAR3 \VAR25/VAR297 ( .VAR176(VAR47), .VAR31(\VAR25/VAR284 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR25/VAR233 ( .VAR308(VAR11), .VAR99(VAR303), .VAR31(\VAR25/VAR216 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR25/VAR42 ( .VAR308(VAR105), .VAR99(VAR153), .VAR31(\VAR25/VAR163 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR25/VAR316 ( .VAR308(VAR291), .VAR99(VAR172), .VAR31(\VAR25/VAR17 ) ); VAR220 #( .VAR273 ( 4'h6 )) \VAR25/VAR101 ( .VAR308(VAR144), .VAR99(VAR73), .VAR31(\VAR25/VAR5 ) ); VAR36 \VAR25/VAR207 ( .VAR54(\VAR25/VAR21 ), .VAR41(VAR50), .VAR141(\VAR25/VAR4 ), .VAR31(\VAR25/VAR186 ) ); VAR93 \VAR25/VAR321 ( .VAR54(\VAR25/VAR21 ), .VAR121(\VAR25/VAR4 ), .VAR31(\VAR25/VAR201 ) ); VAR93 \VAR25/VAR106 ( .VAR54(\VAR25/VAR225 ), .VAR121(\VAR25/VAR87 ), .VAR31(\VAR25/VAR184 ) ); VAR36 \VAR25/VAR129 ( .VAR54(\VAR25/VAR186 ), .VAR41(VAR200), .VAR141(\VAR25/VAR9 ), .VAR31(\VAR25/VAR119 ) ); VAR93 \VAR25/VAR123 ( .VAR54(\VAR25/VAR186 ), .VAR121(\VAR25/VAR9 ), .VAR31(\VAR25/VAR204 ) ); VAR36 \VAR25/VAR8 ( .VAR54(\VAR25/VAR119 ), .VAR41(VAR303), .VAR141(\VAR25/VAR216 ), .VAR31(\VAR25/VAR179 ) ); VAR93 \VAR25/VAR288 ( .VAR54(\VAR25/VAR119 ), .VAR121(\VAR25/VAR216 ), .VAR31(\VAR25/VAR252 ) ); VAR36 \VAR25/VAR24 ( .VAR54(\VAR25/VAR179 ), .VAR41(VAR153), .VAR141(\VAR25/VAR163 ), .VAR31(\VAR25/VAR245 ) ); VAR93 \VAR25/VAR190 ( .VAR54(\VAR25/VAR179 ), .VAR121(\VAR25/VAR163 ), .VAR31(\VAR25/VAR1 ) ); VAR36 \VAR25/VAR323 ( .VAR54(\VAR25/VAR245 ), .VAR41(VAR172), .VAR141(\VAR25/VAR17 ), .VAR31(\VAR25/VAR326 ) ); VAR93 \VAR25/VAR154 ( .VAR54(\VAR25/VAR245 ), .VAR121(\VAR25/VAR17 ), .VAR31(\VAR25/VAR49 ) ); VAR36 \VAR25/VAR274 ( .VAR54(\VAR25/VAR326 ), .VAR41(VAR73), .VAR141(\VAR25/VAR5 ), .VAR31(\VAR25/VAR304 ) ); VAR93 \VAR25/VAR312 ( .VAR54(\VAR25/VAR326 ), .VAR121(\VAR25/VAR5 ), .VAR31(\VAR25/VAR209 ) ); VAR36 \VAR25/VAR100 ( .VAR54(\VAR25/VAR304 ), .VAR41(VAR47), .VAR141(\VAR25/VAR284 ), .VAR31(\VAR25/VAR133 ) ); VAR93 \VAR25/VAR281 ( .VAR54(\VAR25/VAR304 ), .VAR121(\VAR25/VAR284 ), .VAR31(\VAR25/VAR260 ) ); VAR36 \VAR25/VAR195 ( .VAR54(\VAR25/VAR133 ), .VAR41(VAR7), .VAR141(\VAR25/VAR87 ), .VAR31(\VAR25/VAR225 ) ); VAR93 \VAR25/VAR301 ( .VAR54(\VAR25/VAR133 ), .VAR121(\VAR25/VAR87 ), .VAR31(VAR15) ); VAR122 \VAR25/VAR208 ( .VAR92(\VAR25/VAR87 ) ); VAR180 \VAR25/VAR37 ( .VAR232(\VAR25/VAR21 ) ); endmodule module MODULE1 (); parameter VAR206 = 100000; parameter VAR29 = 0; wire VAR188; wire VAR263; wire VAR325; wire VAR19; tri1 VAR112; tri (weak1, strong0) VAR156 = VAR112; wire VAR115; wire VAR142; reg VAR294; reg VAR80; reg VAR149; wire VAR192; wire VAR60; wire VAR111; wire VAR58; wire VAR287; reg VAR107; reg VAR124; reg VAR238; reg VAR32; reg VAR89; reg VAR96 = 0; reg VAR168 = 0 ; reg VAR306 = 0; reg VAR218 = 0; reg VAR279 = 1'VAR311; reg VAR83 = 1'VAR311; reg VAR169 = 1'VAR311; reg VAR185 = 1'VAR311; assign (weak1, weak0) VAR188 = VAR294; assign (weak1, weak0) VAR263 = VAR80; assign (weak1, weak0) VAR19 = VAR149;
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlymetal6s4s/sky130_fd_sc_ms__dlymetal6s4s.functional.v
1,345
module MODULE1 ( VAR1, VAR4 ); output VAR1; input VAR4; wire VAR2; buf VAR3 (VAR2, VAR4 ); buf VAR5 (VAR1 , VAR2 ); endmodule
apache-2.0
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
bin_Dilation_Operation/system/synthesis/submodules/system_mm_interconnect_1.v
16,432
module MODULE1 ( input wire VAR64, input wire VAR62, input wire [29:0] VAR1, output wire VAR31, input wire [0:0] VAR17, input wire [7:0] VAR86, input wire VAR11, output wire [63:0] VAR46, output wire VAR56, input wire VAR61, input wire [63:0] VAR100, input wire VAR85, output wire [3:0] VAR19, output wire VAR32, output wire VAR44, input wire [63:0] VAR102, output wire [63:0] VAR50, output wire [7:0] VAR48, input wire VAR72, input wire VAR96 ); wire VAR91; wire [3:0] VAR12; wire [63:0] VAR60; wire [29:0] VAR52; wire VAR4; wire VAR3; wire VAR34; wire [63:0] VAR75; wire VAR23; wire [7:0] VAR8; wire VAR73; VAR54 #( .VAR30 (30), .VAR80 (64), .VAR81 (1), .VAR29 (8), .VAR98 (30), .VAR99 (4), .VAR36 (1), .VAR69 (1), .VAR71 (0), .VAR10 (0), .VAR45 (0), .VAR65 (1), .VAR55 (1), .VAR76 (1), .VAR90 (0), .VAR88 (0), .VAR39 (8), .VAR78 (1), .VAR22 (0), .VAR83 (0), .VAR27 (0), .VAR82 (0), .VAR51 (0) ) VAR67 ( .clk (VAR64), .reset (VAR62), .VAR37 (VAR52), .VAR74 (VAR12), .VAR77 (VAR34), .VAR59 (VAR3), .VAR21 (VAR91), .VAR92 (VAR73), .VAR58 (VAR8), .VAR84 (VAR75), .VAR70 (VAR60), .VAR25 (VAR4), .VAR89 (VAR23), .VAR26 (VAR1), .VAR93 (VAR31), .VAR24 (VAR17), .VAR13 (VAR86), .VAR35 (VAR11), .VAR15 (VAR46), .VAR87 (VAR56), .VAR103 (VAR61), .VAR9 (VAR100), .VAR16 (VAR85), .VAR53 (1'b0), .VAR6 (1'b0), .VAR33 (1'b0), .VAR28 (1'b0), .VAR94 (), .VAR5 (1'b1), .VAR20 (2'b00), .VAR68 (), .VAR47 (), .VAR101 (1'b0), .VAR7 (1'b0), .VAR42 () ); VAR38 #( .VAR30 (4), .VAR80 (64), .VAR97 (64), .VAR81 (1), .VAR29 (8), .VAR14 (8), .VAR98 (30), .VAR99 (4), .VAR41 (0), .VAR55 (1), .VAR76 (1), .VAR2 (0), .VAR90 (0), .VAR88 (0), .VAR39 (8), .VAR78 (0), .VAR22 (0), .VAR83 (0), .VAR27 (0), .VAR18 (0), .VAR66 (0), .VAR95 (1), .VAR79 (0), .VAR49 (0), .VAR57 (0) ) VAR43 ( .clk (VAR64), .reset (VAR62), .VAR37 (VAR52), .VAR74 (VAR12), .VAR77 (VAR34), .VAR59 (VAR3), .VAR21 (VAR91), .VAR92 (VAR73), .VAR58 (VAR8), .VAR84 (VAR75), .VAR70 (VAR60), .VAR25 (VAR4), .VAR89 (VAR23), .VAR26 (VAR19), .VAR103 (VAR32), .VAR35 (VAR44), .VAR15 (VAR102), .VAR9 (VAR50), .VAR13 (VAR48), .VAR87 (VAR72), .VAR93 (VAR96), .VAR6 (), .VAR53 (), .VAR24 (), .VAR40 (), .VAR28 (), .VAR33 (), .VAR5 (), .VAR94 (1'b0), .VAR16 (), .VAR63 (), .VAR20 (), .VAR68 (2'b00), .VAR47 (1'b0), .VAR101 (), .VAR7 (), .VAR42 (1'b0) ); endmodule
mit
cheehieu/qm-fir-digital-filter-core
ISAAC/qmfir/qmfir_uart/qmfir_240MHz/ISE_project/sasc_brg.v
1,705
module MODULE1( VAR7, VAR5, clk, VAR2 ); output VAR7; output VAR5; input clk; input VAR2; reg VAR7; reg VAR5; parameter VAR1 = 103; parameter VAR11 = 319; parameter VAR4 = 479; parameter VAR6 = 173; parameter VAR9 = 260; reg [8:0] VAR10; reg [1:0] VAR8; always @ (posedge clk or negedge VAR2) if (~VAR2) VAR10 <= 0; else if (VAR3) VAR10 <= 0; else VAR10 <= VAR10 + 1'b1; always @ (posedge clk or negedge VAR2) if (~VAR2) VAR8 <= 0; else if (VAR3) VAR8 <= VAR8 + 1'b1; always @ (posedge clk or negedge VAR2) if (~VAR2) begin VAR5 <= 1'b0; VAR7 <= 1'b0; end else begin VAR5 <= VAR3; VAR7 <= (&VAR8) & (VAR3); end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/conb/sky130_fd_sc_ms__conb.blackbox.v
1,238
module MODULE1 ( VAR3, VAR5 ); output VAR3; output VAR5; supply1 VAR6; supply0 VAR2; supply1 VAR1 ; supply0 VAR4 ; endmodule
apache-2.0
efabless/openlane
designs/aes128/src/aes128.v
23,152
module MODULE1(clk, state, VAR62, out); input clk; input [127:0] state, VAR62; output [127:0] out; reg [127:0] VAR10, VAR99; wire [127:0] VAR79, VAR61, VAR64, VAR95, VAR48, VAR89, VAR46, VAR32, VAR66, VAR81, VAR100, VAR12, VAR18, VAR78, VAR94, VAR50, VAR29, VAR3, VAR73, VAR43, VAR68, VAR42, VAR21, VAR15, VAR5, VAR24, VAR96, VAR38; always @ (posedge clk) begin VAR10 <= state ^ VAR62; VAR99 <= VAR62; end MODULE5 MODULE4 (clk, VAR99, VAR81, VAR73, 8'h1), VAR74 (clk, VAR81, VAR100, VAR43, 8'h2), VAR30 (clk, VAR100, VAR12, VAR68, 8'h4), VAR33 (clk, VAR12, VAR18, VAR42, 8'h8), VAR53 (clk, VAR18, VAR78, VAR21, 8'h10), VAR35 (clk, VAR78, VAR94, VAR15, 8'h20), VAR13 (clk, VAR94, VAR50, VAR5, 8'h40), VAR93 (clk, VAR50, VAR29, VAR24, 8'h80), VAR90 (clk, VAR29, VAR3, VAR96, 8'h1b), VAR88 (clk, VAR3, , VAR38, 8'h36); MODULE4 MODULE1 (clk, VAR10, VAR73, VAR79), VAR28 (clk, VAR79, VAR43, VAR61), VAR11 (clk, VAR61, VAR68, VAR64), VAR57 (clk, VAR64, VAR42, VAR95), VAR86 (clk, VAR95, VAR21, VAR48), VAR83 (clk, VAR48, VAR15, VAR89), VAR41 (clk, VAR89, VAR5, VAR46), VAR45 (clk, VAR46, VAR24, VAR32), VAR4 (clk, VAR32, VAR96, VAR66); MODULE7 MODULE2 (clk, VAR66, VAR38, out); endmodule module MODULE5(clk, in, VAR49, VAR52, VAR91); input clk; input [127:0] in; input [7:0] VAR91; output reg [127:0] VAR49; output [127:0] VAR52; wire [31:0] VAR99, VAR81, VAR100, VAR12, VAR1, VAR72, VAR70, VAR69; reg [31:0] VAR22, VAR19, VAR51, VAR102; wire [31:0] VAR73, VAR43, VAR68, VAR42, VAR23; assign {VAR99, VAR81, VAR100, VAR12} = in; assign VAR1 = {VAR99[31:24] ^ VAR91, VAR99[23:0]}; assign VAR72 = VAR1 ^ VAR81; assign VAR70 = VAR72 ^ VAR100; assign VAR69 = VAR70 ^ VAR12; always @ (posedge clk) {VAR22, VAR19, VAR51, VAR102} <= {VAR1, VAR72, VAR70, VAR69}; MODULE2 VAR6 (clk, {VAR12[23:0], VAR12[31:24]}, VAR23); assign VAR73 = VAR22 ^ VAR23; assign VAR43 = VAR19 ^ VAR23; assign VAR68 = VAR51 ^ VAR23; assign VAR42 = VAR102 ^ VAR23; always @ (posedge clk) VAR49 <= {VAR73, VAR43, VAR68, VAR42}; assign VAR52 = {VAR73, VAR43, VAR68, VAR42}; endmodule module MODULE4 (clk, VAR47, VAR62, VAR77); input clk; input [127:0] VAR47, VAR62; output reg [127:0] VAR77; wire [31:0] VAR10, VAR79, VAR61, VAR64, VAR76, VAR44, VAR9, VAR2, VAR80, VAR82, VAR26, VAR55, VAR63, VAR36, VAR75, VAR7, VAR17, VAR14, VAR39, VAR84, VAR56, VAR27, VAR8, VAR60, VAR99, VAR81, VAR100, VAR12; assign {VAR99, VAR81, VAR100, VAR12} = VAR62; assign {VAR10, VAR79, VAR61, VAR64} = VAR47; VAR85 VAR16 (clk, VAR10, VAR80, VAR82, VAR26, VAR55), VAR40 (clk, VAR79, VAR63, VAR36, VAR75, VAR7), VAR65 (clk, VAR61, VAR17, VAR14, VAR39, VAR84), VAR92 (clk, VAR64, VAR56, VAR27, VAR8, VAR60); assign VAR76 = VAR80 ^ VAR36 ^ VAR39 ^ VAR60 ^ VAR99; assign VAR44 = VAR55 ^ VAR63 ^ VAR14 ^ VAR8 ^ VAR81; assign VAR9 = VAR26 ^ VAR7 ^ VAR17 ^ VAR27 ^ VAR100; assign VAR2 = VAR82 ^ VAR75 ^ VAR84 ^ VAR56 ^ VAR12; always @ (posedge clk) VAR77 <= {VAR76, VAR44, VAR9, VAR2}; endmodule module MODULE7 (clk, VAR47, VAR59, VAR77); input clk; input [127:0] VAR47; input [127:0] VAR59; output reg [127:0] VAR77; wire [31:0] VAR10, VAR79, VAR61, VAR64, VAR76, VAR44, VAR9, VAR2, VAR99, VAR81, VAR100, VAR12; wire [7:0] VAR80, VAR82, VAR26, VAR55, VAR63, VAR36, VAR75, VAR7, VAR17, VAR14, VAR39, VAR84, VAR56, VAR27, VAR8, VAR60; assign {VAR99, VAR81, VAR100, VAR12} = VAR59; assign {VAR10, VAR79, VAR61, VAR64} = VAR47; MODULE2 VAR98 (clk, VAR10, {VAR80, VAR82, VAR26, VAR55}), VAR25 (clk, VAR79, {VAR63, VAR36, VAR75, VAR7}), VAR37 (clk, VAR61, {VAR17, VAR14, VAR39, VAR84}), VAR67 (clk, VAR64, {VAR56, VAR27, VAR8, VAR60}); assign VAR76 = {VAR80, VAR36, VAR39, VAR60} ^ VAR99; assign VAR44 = {VAR63, VAR14, VAR8, VAR55} ^ VAR81; assign VAR9 = {VAR17, VAR27, VAR26, VAR7} ^ VAR100; assign VAR2 = {VAR56, VAR82, VAR75, VAR84} ^ VAR12; always @ (posedge clk) VAR77 <= {VAR76, VAR44, VAR9, VAR2}; endmodule module MODULE2 (clk, in, out); input clk; input [31:0] in; output [31:0] out; VAR97 VAR31 (clk, in[31:24], out[31:24]), VAR34 (clk, in[23:16], out[23:16]), VAR87 (clk, in[15:8], out[15:8] ), VAR101 (clk, in[7:0], out[7:0] ); endmodule module MODULE6 (clk, in, out); input clk; input [7:0] in; output [31:0] out; VAR97 VAR10 (clk, in, out[31:24]); assign out[23:16] = out[31:24]; VAR58 VAR95 (clk, in, out[7:0]); assign out[15:8] = out[23:16] ^ out[7:0]; endmodule module MODULE8 (clk, in, out); input clk; input [7:0] in; output reg [7:0] out; always @ (posedge clk) case (in) 8'h00: out <= 8'h63; 8'h01: out <= 8'h7c; 8'h02: out <= 8'h77; 8'h03: out <= 8'h7b; 8'h04: out <= 8'hf2; 8'h05: out <= 8'h6b; 8'h06: out <= 8'h6f; 8'h07: out <= 8'hc5; 8'h08: out <= 8'h30; 8'h09: out <= 8'h01; 8'h0a: out <= 8'h67; 8'h0b: out <= 8'h2b; 8'h0c: out <= 8'hfe; 8'h0d: out <= 8'hd7; 8'h0e: out <= 8'hab; 8'h0f: out <= 8'h76; 8'h10: out <= 8'hca; 8'h11: out <= 8'h82; 8'h12: out <= 8'hc9; 8'h13: out <= 8'h7d; 8'h14: out <= 8'hfa; 8'h15: out <= 8'h59; 8'h16: out <= 8'h47; 8'h17: out <= 8'hf0; 8'h18: out <= 8'had; 8'h19: out <= 8'hd4; 8'h1a: out <= 8'ha2; 8'h1b: out <= 8'haf; 8'h1c: out <= 8'h9c; 8'h1d: out <= 8'ha4; 8'h1e: out <= 8'h72; 8'h1f: out <= 8'hc0; 8'h20: out <= 8'hb7; 8'h21: out <= 8'hfd; 8'h22: out <= 8'h93; 8'h23: out <= 8'h26; 8'h24: out <= 8'h36; 8'h25: out <= 8'h3f; 8'h26: out <= 8'hf7; 8'h27: out <= 8'hcc; 8'h28: out <= 8'h34; 8'h29: out <= 8'ha5; 8'h2a: out <= 8'he5; 8'h2b: out <= 8'hf1; 8'h2c: out <= 8'h71; 8'h2d: out <= 8'hd8; 8'h2e: out <= 8'h31; 8'h2f: out <= 8'h15; 8'h30: out <= 8'h04; 8'h31: out <= 8'hc7; 8'h32: out <= 8'h23; 8'h33: out <= 8'hc3; 8'h34: out <= 8'h18; 8'h35: out <= 8'h96; 8'h36: out <= 8'h05; 8'h37: out <= 8'h9a; 8'h38: out <= 8'h07; 8'h39: out <= 8'h12; 8'h3a: out <= 8'h80; 8'h3b: out <= 8'he2; 8'h3c: out <= 8'heb; 8'h3d: out <= 8'h27; 8'h3e: out <= 8'hb2; 8'h3f: out <= 8'h75; 8'h40: out <= 8'h09; 8'h41: out <= 8'h83; 8'h42: out <= 8'h2c; 8'h43: out <= 8'h1a; 8'h44: out <= 8'h1b; 8'h45: out <= 8'h6e; 8'h46: out <= 8'h5a; 8'h47: out <= 8'ha0; 8'h48: out <= 8'h52; 8'h49: out <= 8'h3b; 8'h4a: out <= 8'hd6; 8'h4b: out <= 8'hb3; 8'h4c: out <= 8'h29; 8'h4d: out <= 8'he3; 8'h4e: out <= 8'h2f; 8'h4f: out <= 8'h84; 8'h50: out <= 8'h53; 8'h51: out <= 8'hd1; 8'h52: out <= 8'h00; 8'h53: out <= 8'hed; 8'h54: out <= 8'h20; 8'h55: out <= 8'hfc; 8'h56: out <= 8'hb1; 8'h57: out <= 8'h5b; 8'h58: out <= 8'h6a; 8'h59: out <= 8'hcb; 8'h5a: out <= 8'hbe; 8'h5b: out <= 8'h39; 8'h5c: out <= 8'h4a; 8'h5d: out <= 8'h4c; 8'h5e: out <= 8'h58; 8'h5f: out <= 8'hcf; 8'h60: out <= 8'hd0; 8'h61: out <= 8'hef; 8'h62: out <= 8'haa; 8'h63: out <= 8'hfb; 8'h64: out <= 8'h43; 8'h65: out <= 8'h4d; 8'h66: out <= 8'h33; 8'h67: out <= 8'h85; 8'h68: out <= 8'h45; 8'h69: out <= 8'hf9; 8'h6a: out <= 8'h02; 8'h6b: out <= 8'h7f; 8'h6c: out <= 8'h50; 8'h6d: out <= 8'h3c; 8'h6e: out <= 8'h9f; 8'h6f: out <= 8'ha8; 8'h70: out <= 8'h51; 8'h71: out <= 8'ha3; 8'h72: out <= 8'h40; 8'h73: out <= 8'h8f; 8'h74: out <= 8'h92; 8'h75: out <= 8'h9d; 8'h76: out <= 8'h38; 8'h77: out <= 8'hf5; 8'h78: out <= 8'hbc; 8'h79: out <= 8'hb6; 8'h7a: out <= 8'hda; 8'h7b: out <= 8'h21; 8'h7c: out <= 8'h10; 8'h7d: out <= 8'hff; 8'h7e: out <= 8'hf3; 8'h7f: out <= 8'hd2; 8'h80: out <= 8'hcd; 8'h81: out <= 8'h0c; 8'h82: out <= 8'h13; 8'h83: out <= 8'hec; 8'h84: out <= 8'h5f; 8'h85: out <= 8'h97; 8'h86: out <= 8'h44; 8'h87: out <= 8'h17; 8'h88: out <= 8'hc4; 8'h89: out <= 8'ha7; 8'h8a: out <= 8'h7e; 8'h8b: out <= 8'h3d; 8'h8c: out <= 8'h64; 8'h8d: out <= 8'h5d; 8'h8e: out <= 8'h19; 8'h8f: out <= 8'h73; 8'h90: out <= 8'h60; 8'h91: out <= 8'h81; 8'h92: out <= 8'h4f; 8'h93: out <= 8'hdc; 8'h94: out <= 8'h22; 8'h95: out <= 8'h2a; 8'h96: out <= 8'h90; 8'h97: out <= 8'h88; 8'h98: out <= 8'h46; 8'h99: out <= 8'hee; 8'h9a: out <= 8'hb8; 8'h9b: out <= 8'h14; 8'h9c: out <= 8'hde; 8'h9d: out <= 8'h5e; 8'h9e: out <= 8'h0b; 8'h9f: out <= 8'hdb; 8'ha0: out <= 8'he0; 8'ha1: out <= 8'h32; 8'ha2: out <= 8'h3a; 8'ha3: out <= 8'h0a; 8'ha4: out <= 8'h49; 8'ha5: out <= 8'h06; 8'ha6: out <= 8'h24; 8'ha7: out <= 8'h5c; 8'ha8: out <= 8'hc2; 8'ha9: out <= 8'hd3; 8'haa: out <= 8'hac; 8'hab: out <= 8'h62; 8'hac: out <= 8'h91; 8'had: out <= 8'h95; 8'hae: out <= 8'he4; 8'haf: out <= 8'h79; 8'hb0: out <= 8'he7; 8'hb1: out <= 8'hc8; 8'hb2: out <= 8'h37; 8'hb3: out <= 8'h6d; 8'hb4: out <= 8'h8d; 8'hb5: out <= 8'hd5; 8'hb6: out <= 8'h4e; 8'hb7: out <= 8'ha9; 8'hb8: out <= 8'h6c; 8'hb9: out <= 8'h56; 8'hba: out <= 8'hf4; 8'hbb: out <= 8'hea; 8'hbc: out <= 8'h65; 8'hbd: out <= 8'h7a; 8'hbe: out <= 8'hae; 8'hbf: out <= 8'h08; 8'hc0: out <= 8'hba; 8'hc1: out <= 8'h78; 8'hc2: out <= 8'h25; 8'hc3: out <= 8'h2e; 8'hc4: out <= 8'h1c; 8'hc5: out <= 8'ha6; 8'hc6: out <= 8'hb4; 8'hc7: out <= 8'hc6; 8'hc8: out <= 8'he8; 8'hc9: out <= 8'hdd; 8'hca: out <= 8'h74; 8'hcb: out <= 8'h1f; 8'hcc: out <= 8'h4b; 8'hcd: out <= 8'hbd; 8'hce: out <= 8'h8b; 8'hcf: out <= 8'h8a; 8'hd0: out <= 8'h70; 8'hd1: out <= 8'h3e; 8'hd2: out <= 8'hb5; 8'hd3: out <= 8'h66; 8'hd4: out <= 8'h48; 8'hd5: out <= 8'h03; 8'hd6: out <= 8'hf6; 8'hd7: out <= 8'h0e; 8'hd8: out <= 8'h61; 8'hd9: out <= 8'h35; 8'hda: out <= 8'h57; 8'hdb: out <= 8'hb9; 8'hdc: out <= 8'h86; 8'hdd: out <= 8'hc1; 8'hde: out <= 8'h1d; 8'hdf: out <= 8'h9e; 8'he0: out <= 8'he1; 8'he1: out <= 8'hf8; 8'he2: out <= 8'h98; 8'he3: out <= 8'h11; 8'he4: out <= 8'h69; 8'he5: out <= 8'hd9; 8'he6: out <= 8'h8e; 8'he7: out <= 8'h94; 8'he8: out <= 8'h9b; 8'he9: out <= 8'h1e; 8'hea: out <= 8'h87; 8'heb: out <= 8'he9; 8'hec: out <= 8'hce; 8'hed: out <= 8'h55; 8'hee: out <= 8'h28; 8'hef: out <= 8'hdf; 8'hf0: out <= 8'h8c; 8'hf1: out <= 8'ha1; 8'hf2: out <= 8'h89; 8'hf3: out <= 8'h0d; 8'hf4: out <= 8'hbf; 8'hf5: out <= 8'he6; 8'hf6: out <= 8'h42; 8'hf7: out <= 8'h68; 8'hf8: out <= 8'h41; 8'hf9: out <= 8'h99; 8'hfa: out <= 8'h2d; 8'hfb: out <= 8'h0f; 8'hfc: out <= 8'hb0; 8'hfd: out <= 8'h54; 8'hfe: out <= 8'hbb; 8'hff: out <= 8'h16; endcase endmodule module MODULE3 (clk, in, out); input clk; input [7:0] in; output reg [7:0] out; always @ (posedge clk) case (in) 8'h00: out <= 8'hc6; 8'h01: out <= 8'hf8; 8'h02: out <= 8'hee; 8'h03: out <= 8'hf6; 8'h04: out <= 8'hff; 8'h05: out <= 8'hd6; 8'h06: out <= 8'hde; 8'h07: out <= 8'h91; 8'h08: out <= 8'h60; 8'h09: out <= 8'h02; 8'h0a: out <= 8'hce; 8'h0b: out <= 8'h56; 8'h0c: out <= 8'he7; 8'h0d: out <= 8'hb5; 8'h0e: out <= 8'h4d; 8'h0f: out <= 8'hec; 8'h10: out <= 8'h8f; 8'h11: out <= 8'h1f; 8'h12: out <= 8'h89; 8'h13: out <= 8'hfa; 8'h14: out <= 8'hef; 8'h15: out <= 8'hb2; 8'h16: out <= 8'h8e; 8'h17: out <= 8'hfb; 8'h18: out <= 8'h41; 8'h19: out <= 8'hb3; 8'h1a: out <= 8'h5f; 8'h1b: out <= 8'h45; 8'h1c: out <= 8'h23; 8'h1d: out <= 8'h53; 8'h1e: out <= 8'he4; 8'h1f: out <= 8'h9b; 8'h20: out <= 8'h75; 8'h21: out <= 8'he1; 8'h22: out <= 8'h3d; 8'h23: out <= 8'h4c; 8'h24: out <= 8'h6c; 8'h25: out <= 8'h7e; 8'h26: out <= 8'hf5; 8'h27: out <= 8'h83; 8'h28: out <= 8'h68; 8'h29: out <= 8'h51; 8'h2a: out <= 8'hd1; 8'h2b: out <= 8'hf9; 8'h2c: out <= 8'he2; 8'h2d: out <= 8'hab; 8'h2e: out <= 8'h62; 8'h2f: out <= 8'h2a; 8'h30: out <= 8'h08; 8'h31: out <= 8'h95; 8'h32: out <= 8'h46; 8'h33: out <= 8'h9d; 8'h34: out <= 8'h30; 8'h35: out <= 8'h37; 8'h36: out <= 8'h0a; 8'h37: out <= 8'h2f; 8'h38: out <= 8'h0e; 8'h39: out <= 8'h24; 8'h3a: out <= 8'h1b; 8'h3b: out <= 8'hdf; 8'h3c: out <= 8'hcd; 8'h3d: out <= 8'h4e; 8'h3e: out <= 8'h7f; 8'h3f: out <= 8'hea; 8'h40: out <= 8'h12; 8'h41: out <= 8'h1d; 8'h42: out <= 8'h58; 8'h43: out <= 8'h34; 8'h44: out <= 8'h36; 8'h45: out <= 8'hdc; 8'h46: out <= 8'hb4; 8'h47: out <= 8'h5b; 8'h48: out <= 8'ha4; 8'h49: out <= 8'h76; 8'h4a: out <= 8'hb7; 8'h4b: out <= 8'h7d; 8'h4c: out <= 8'h52; 8'h4d: out <= 8'hdd; 8'h4e: out <= 8'h5e; 8'h4f: out <= 8'h13; 8'h50: out <= 8'ha6; 8'h51: out <= 8'hb9; 8'h52: out <= 8'h00; 8'h53: out <= 8'hc1; 8'h54: out <= 8'h40; 8'h55: out <= 8'he3; 8'h56: out <= 8'h79; 8'h57: out <= 8'hb6; 8'h58: out <= 8'hd4; 8'h59: out <= 8'h8d; 8'h5a: out <= 8'h67; 8'h5b: out <= 8'h72; 8'h5c: out <= 8'h94; 8'h5d: out <= 8'h98; 8'h5e: out <= 8'hb0; 8'h5f: out <= 8'h85; 8'h60: out <= 8'hbb; 8'h61: out <= 8'hc5; 8'h62: out <= 8'h4f; 8'h63: out <= 8'hed; 8'h64: out <= 8'h86; 8'h65: out <= 8'h9a; 8'h66: out <= 8'h66; 8'h67: out <= 8'h11; 8'h68: out <= 8'h8a; 8'h69: out <= 8'he9; 8'h6a: out <= 8'h04; 8'h6b: out <= 8'hfe; 8'h6c: out <= 8'ha0; 8'h6d: out <= 8'h78; 8'h6e: out <= 8'h25; 8'h6f: out <= 8'h4b; 8'h70: out <= 8'ha2; 8'h71: out <= 8'h5d; 8'h72: out <= 8'h80; 8'h73: out <= 8'h05; 8'h74: out <= 8'h3f; 8'h75: out <= 8'h21; 8'h76: out <= 8'h70; 8'h77: out <= 8'hf1; 8'h78: out <= 8'h63; 8'h79: out <= 8'h77; 8'h7a: out <= 8'haf; 8'h7b: out <= 8'h42; 8'h7c: out <= 8'h20; 8'h7d: out <= 8'he5; 8'h7e: out <= 8'hfd; 8'h7f: out <= 8'hbf; 8'h80: out <= 8'h81; 8'h81: out <= 8'h18; 8'h82: out <= 8'h26; 8'h83: out <= 8'hc3; 8'h84: out <= 8'hbe; 8'h85: out <= 8'h35; 8'h86: out <= 8'h88; 8'h87: out <= 8'h2e; 8'h88: out <= 8'h93; 8'h89: out <= 8'h55; 8'h8a: out <= 8'hfc; 8'h8b: out <= 8'h7a; 8'h8c: out <= 8'hc8; 8'h8d: out <= 8'hba; 8'h8e: out <= 8'h32; 8'h8f: out <= 8'he6; 8'h90: out <= 8'hc0; 8'h91: out <= 8'h19; 8'h92: out <= 8'h9e; 8'h93: out <= 8'ha3; 8'h94: out <= 8'h44; 8'h95: out <= 8'h54; 8'h96: out <= 8'h3b; 8'h97: out <= 8'h0b; 8'h98: out <= 8'h8c; 8'h99: out <= 8'hc7; 8'h9a: out <= 8'h6b; 8'h9b: out <= 8'h28; 8'h9c: out <= 8'ha7; 8'h9d: out <= 8'hbc; 8'h9e: out <= 8'h16; 8'h9f: out <= 8'had; 8'ha0: out <= 8'hdb; 8'ha1: out <= 8'h64; 8'ha2: out <= 8'h74; 8'ha3: out <= 8'h14; 8'ha4: out <= 8'h92; 8'ha5: out <= 8'h0c; 8'ha6: out <= 8'h48; 8'ha7: out <= 8'hb8; 8'ha8: out <= 8'h9f; 8'ha9: out <= 8'hbd; 8'haa: out <= 8'h43; 8'hab: out <= 8'hc4; 8'hac: out <= 8'h39; 8'had: out <= 8'h31; 8'hae: out <= 8'hd3; 8'haf: out <= 8'hf2; 8'hb0: out <= 8'hd5; 8'hb1: out <= 8'h8b; 8'hb2: out <= 8'h6e; 8'hb3: out <= 8'hda; 8'hb4: out <= 8'h01; 8'hb5: out <= 8'hb1; 8'hb6: out <= 8'h9c; 8'hb7: out <= 8'h49; 8'hb8: out <= 8'hd8; 8'hb9: out <= 8'hac; 8'hba: out <= 8'hf3; 8'hbb: out <= 8'hcf; 8'hbc: out <= 8'hca; 8'hbd: out <= 8'hf4; 8'hbe: out <= 8'h47; 8'hbf: out <= 8'h10; 8'hc0: out <= 8'h6f; 8'hc1: out <= 8'hf0; 8'hc2: out <= 8'h4a; 8'hc3: out <= 8'h5c; 8'hc4: out <= 8'h38; 8'hc5: out <= 8'h57; 8'hc6: out <= 8'h73; 8'hc7: out <= 8'h97; 8'hc8: out <= 8'hcb; 8'hc9: out <= 8'ha1; 8'hca: out <= 8'he8; 8'hcb: out <= 8'h3e; 8'hcc: out <= 8'h96; 8'hcd: out <= 8'h61; 8'hce: out <= 8'h0d; 8'hcf: out <= 8'h0f; 8'hd0: out <= 8'he0; 8'hd1: out <= 8'h7c; 8'hd2: out <= 8'h71; 8'hd3: out <= 8'hcc; 8'hd4: out <= 8'h90; 8'hd5: out <= 8'h06; 8'hd6: out <= 8'hf7; 8'hd7: out <= 8'h1c; 8'hd8: out <= 8'hc2; 8'hd9: out <= 8'h6a; 8'hda: out <= 8'hae; 8'hdb: out <= 8'h69; 8'hdc: out <= 8'h17; 8'hdd: out <= 8'h99; 8'hde: out <= 8'h3a; 8'hdf: out <= 8'h27; 8'he0: out <= 8'hd9; 8'he1: out <= 8'heb; 8'he2: out <= 8'h2b; 8'he3: out <= 8'h22; 8'he4: out <= 8'hd2; 8'he5: out <= 8'ha9; 8'he6: out <= 8'h07; 8'he7: out <= 8'h33; 8'he8: out <= 8'h2d; 8'he9: out <= 8'h3c; 8'hea: out <= 8'h15; 8'heb: out <= 8'hc9; 8'hec: out <= 8'h87; 8'hed: out <= 8'haa; 8'hee: out <= 8'h50; 8'hef: out <= 8'ha5; 8'hf0: out <= 8'h03; 8'hf1: out <= 8'h59; 8'hf2: out <= 8'h09; 8'hf3: out <= 8'h1a; 8'hf4: out <= 8'h65; 8'hf5: out <= 8'hd7; 8'hf6: out <= 8'h84; 8'hf7: out <= 8'hd0; 8'hf8: out <= 8'h82; 8'hf9: out <= 8'h29; 8'hfa: out <= 8'h5a; 8'hfb: out <= 8'h1e; 8'hfc: out <= 8'h7b; 8'hfd: out <= 8'ha8; 8'hfe: out <= 8'h6d; 8'hff: out <= 8'h2c; endcase endmodule
apache-2.0
jotego/jt51
hdl/jt51_lfo.v
6,495
module MODULE1( input rst, input clk, input VAR19, input [4:0] VAR50, input [7:0] VAR9, input [6:0] VAR24, input [6:0] VAR56, input [1:0] VAR26, input VAR34, input VAR5, output reg VAR30, output reg [7:0] VAR8, output reg [7:0] VAR7 ); localparam [1:0] VAR39 = 2'd0, VAR23 = 2'd1, VAR25 = 2'd2, VAR40 = 2'd3; reg [14:0] VAR17[0:15]; reg [ 3:0] VAR59, VAR16, VAR41; reg [14:0] VAR54; reg [15:0] VAR55; reg [ 1:0] VAR36, VAR31; reg [15:0] VAR38, VAR45; reg [ 6:0] VAR3; wire VAR60; reg VAR43, VAR57; reg VAR58, VAR29, VAR27; wire VAR44; reg VAR12; wire VAR53 = VAR50[3:0]==4'h5; wire VAR13 = VAR50[3:0]==4'h6; wire VAR62 = VAR50[3:0]==4'hc; wire VAR18 = VAR50[3:0]==4'hd; wire VAR48 = VAR50[3:0]==4'he; wire VAR20 = VAR50[3:0]==4'hf; reg VAR47; wire VAR22 = VAR41[3]; wire VAR10 = &VAR41[2:0]; reg VAR37; assign VAR60 = VAR26==VAR25 ? VAR43 : VAR57; always @ begin VAR49 = !VAR30 || VAR26==VAR40 || !VAR20; VAR46 = VAR12 && VAR26==VAR40; VAR11 = !VAR49 && VAR26==VAR25; VAR35 = !VAR49 || ( !VAR20 && VAR26!=VAR40 && VAR4); VAR14 = {1'b0, VAR11} + {1'b0, VAR51} + {1'b0, VAR35}; VAR6 = VAR14[0] || (VAR26==VAR40 && VAR12 && VAR5); VAR33 = VAR22 ? VAR57 : (!VAR43 || VAR26!=VAR25); VAR52 = VAR33 ^ VAR51; VAR32 = VAR50[3:0]<4'd7 || VAR50[3:0]==4'd15; VAR1 = VAR26 == VAR23 ? (VAR22?VAR13 : !VAR57) : VAR52; VAR1 = ~(VAR1 & VAR32); VAR21 = (VAR22 ? VAR56 : VAR24) &~VAR3; VAR28 = ~(VAR41[2:0]+3'd1); VAR61 = VAR21[ VAR28 ] & ~VAR10; VAR15 = {1'b0, VAR61} + {1'b0, VAR45[0] && VAR41[2:0]!=0} + {1'b0, VAR2 & ~VAR20 }; end always @(posedge clk, posedge rst) begin if( rst ) begin VAR38 <= 16'd0; VAR4 <= 0; VAR43 <= 0; VAR57 <= 0; VAR3 <= ~7'd0; VAR45 <= 16'd0; VAR2 <= 0; end else if( VAR19 ) begin VAR38 <= {VAR38[14:0], VAR6 }; VAR4 <= VAR14[1]; if( VAR20 ) begin VAR43 <= VAR38[7]; VAR57 <= VAR38[8]; end VAR3 <= {VAR3[5:0], VAR1}; VAR2 <= VAR15[1]; VAR45 <= { VAR15[0], VAR45[15:1] }; if( VAR10 & VAR20 ) begin if( VAR22 ) VAR7 <= VAR56==7'd0 ? 8'd0 : { VAR42[7]^VAR60, VAR42[6:0]}; end else VAR8 <= VAR42; end end end
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a31oi/sky130_fd_sc_hd__a31oi.pp.blackbox.v
1,391
module MODULE1 ( VAR6 , VAR7 , VAR8 , VAR3 , VAR1 , VAR4, VAR5, VAR2 , VAR9 ); output VAR6 ; input VAR7 ; input VAR8 ; input VAR3 ; input VAR1 ; input VAR4; input VAR5; input VAR2 ; input VAR9 ; endmodule
apache-2.0
YoelRP/PROYECTO
bin/example/minialu.v
6,579
module MODULE1 ( input wire VAR32, input wire VAR21, output wire [7:0] VAR36 output wire VAR74, output wire VAR52, output wire VAR46, output wire VAR23, output wire VAR1 ); wire [15:0] VAR62,VAR40,VAR11; reg VAR69,VAR64,VAR42,VAR63; wire [27:0] VAR34; wire [3:0] VAR24; reg [15:0] VAR39; wire [7:0] VAR30,VAR15,VAR71, VAR47; wire [15:0] VAR10,VAR18,VAR14,VAR54,VAR5,VAR37,VAR8,VAR55; wire VAR73, VAR19, VAR9, VAR29,VAR13; VAR31 VAR65 ( .VAR59( VAR62 ), .VAR3( VAR34 ) ); VAR6 VAR48 ( .VAR32( VAR32 ), .VAR61( VAR69 ), .VAR35( VAR34[7:0] ), .VAR49( VAR34[15:8] ), .VAR70( VAR71 ), .VAR17( VAR39 ), .VAR44( VAR14 ), .VAR43( VAR54 ) ); assign VAR8 = (VAR42) ? VAR11 : VAR71; assign VAR37 = (VAR21) ? 8'b0 : VAR8; VAR45 VAR33 ( .VAR32( VAR32 ), .VAR21( VAR21 | VAR64 ), .VAR27( VAR37 + 16'd1 ), .VAR51( 1'b1 ), .VAR56( VAR40 ) ); assign VAR62 = (VAR64) ? VAR37 : VAR40; VAR4 # ( 4 ) VAR72 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51(1'b1), .VAR57(VAR34[27:24]), .VAR56(VAR24) ); VAR4 # ( 8 ) VAR12 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51(1'b1), .VAR57(VAR34[7:0]), .VAR56(VAR30) ); VAR4 # ( 8 ) VAR7 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51(1'b1), .VAR57(VAR34[15:8]), .VAR56(VAR15) ); VAR4 # ( 8 ) VAR53 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51(1'b1), .VAR57(VAR34[23:16]), .VAR56(VAR71) ); reg VAR67; VAR4 # ( 8 ) VAR75 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51( VAR67 ), .VAR57( VAR18[7:0] ), .VAR56( VAR36 ) ); assign VAR55 = {VAR15,VAR30}; VAR4 # ( 8 ) VAR68 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51(1'b1), .VAR57(VAR71), .VAR56(VAR47) ); VAR4 # ( 16 ) VAR41 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51(VAR69), .VAR57(VAR39), .VAR56(VAR5) ); VAR4 # ( 1 ) VAR60 ( .VAR32(VAR32), .VAR21(VAR21), .VAR51(1'b1), .VAR57( {VAR69} ), .VAR56( {VAR9} ) ); assign VAR29 = VAR24[3] && VAR24[2]; assign VAR73 = ((VAR47 == VAR30) && VAR9 && ~VAR29 ) ? 1'b1 : 1'b0; assign VAR19 = ((VAR47 == VAR15) && VAR9 && ~VAR29 ) ? 1'b1 : 1'b0; assign VAR10 = (VAR73) ? VAR5 : VAR14; assign VAR18 = (VAR19) ? VAR5 : VAR54; VAR4 # ( 16 ) VAR26 ( .VAR32(~VAR32), .VAR21(VAR21), .VAR51( VAR63 ), .VAR57( VAR40 ), .VAR56( VAR11 ) ); VAR38 VAR66 ( .VAR32(VAR32), .VAR51(1'b1), .VAR21(VAR21), .VAR50(VAR16), .VAR2(VAR74), .VAR20(VAR52), .VAR22(VAR46), .VAR28(VAR23), .VAR58(VAR1) ); always @ ( * ) begin case (VAR24) begin VAR67 <= 1'b0; VAR64 <= 1'b0; VAR69 <= 1'b0; VAR39 <= 0; VAR42 <= 1'b0; VAR63 <= 1'b0; end begin VAR67 <= 1'b0; VAR64 <= 1'b0; VAR69 <= 1'b1; VAR39 <= VAR18 + VAR10; VAR42 <= 1'b0; VAR63 <= 1'b0; end begin VAR67 <= 1'b0; VAR64 <= 1'b0; VAR69 <= 1'b1; VAR39 <= VAR18 - VAR10; VAR42 <= 1'b0; VAR63 <= 1'b0; end begin VAR67 <= 1'b0; VAR69 <= 1'b1; VAR64 <= 1'b0; VAR39 <= VAR55; VAR42 <= 1'b0; VAR63 <= 1'b0; end begin VAR67 <= 1'b0; VAR69 <= 1'b0; VAR39 <= 0; if (VAR18 <= VAR10 ) VAR64 <= 1'b1; end else VAR64 <= 1'b0; VAR42 <= 1'b0; VAR63 <= 1'b0; end begin VAR67 <= 1'b0; VAR69 <= 1'b0; VAR39 <= 0; VAR64 <= 1'b1; VAR42 <= 1'b0; VAR63 <= 1'b0; end begin VAR67 <= 1'b0; VAR69 <= 1'b0; VAR39 <= 0; VAR64 <= 1'b1; VAR42 <= 1'b0; VAR63 <= 1'b1; end begin VAR67 <= 1'b0; VAR69 <= 1'b0; VAR39 <= 0; VAR64 <= 1'b1; VAR42 <= 1'b1; VAR63 <= 1'b0; end begin VAR67 <= 1'b1; VAR69 <= 1'b0; VAR39 <= 0; VAR64 <= 1'b0; VAR42 <= 1'b0; VAR63 <= 1'b0; end begin if (VAR25 <= 200){ end }else if(VAR25 <= 400){ }else if (VAR25 <= 600) { }else if(VAR25 <= 800) {} end default: begin VAR67 <= 1'b1; VAR69 <= 1'b0; VAR39 <= 0; VAR64 <= 1'b0; VAR42 <= 1'b0; VAR63 <= 1'b0; end endcase end endmodule
gpl-3.0
bfarago/xmos_cpld_slice
doc/xmos_cpld_slice_empty.v
2,063
module MODULE1 ( VAR5, VAR9, VAR34, VAR31, VAR24, VAR46, VAR17, VAR38, VAR47, VAR4, VAR52, VAR49, VAR23, VAR10, VAR21, VAR3, VAR48, VAR11, VAR16, VAR53, VAR26, VAR35, VAR2, VAR41, VAR18, VAR8, VAR6, VAR43, VAR36, VAR28, VAR30, VAR19, VAR44, VAR25, VAR29, VAR27, VAR51, VAR13, VAR1, VAR54, VAR45, VAR50, VAR32, VAR20, VAR15, VAR42, VAR12, VAR37, VAR14, VAR39, VAR7, VAR33, VAR40, VAR22 ); inout VAR5; inout VAR9; inout VAR34; inout VAR31; inout VAR24; inout VAR46; input VAR17; inout VAR38; inout VAR47; inout VAR4; inout VAR52; inout VAR49; input VAR23; input VAR10; inout VAR21; inout VAR3; inout VAR48; inout VAR11; inout VAR16; inout VAR53; inout VAR26; inout VAR35; inout VAR2; inout VAR41; inout VAR18; inout VAR8; input VAR6; input VAR43; input VAR36; input VAR28; input VAR30; input VAR19; input VAR44; input VAR25; input VAR29; input VAR27; input VAR51; input VAR13; input VAR1; input VAR54; input VAR45; input VAR50; input VAR32; input VAR20; input VAR15; input VAR42; input VAR12; input VAR37; input VAR14; input VAR39; input VAR7; inout VAR33; input VAR40; input VAR22; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a31oi/sky130_fd_sc_ms__a31oi.pp.blackbox.v
1,391
module MODULE1 ( VAR6 , VAR2 , VAR1 , VAR4 , VAR8 , VAR7, VAR9, VAR5 , VAR3 ); output VAR6 ; input VAR2 ; input VAR1 ; input VAR4 ; input VAR8 ; input VAR7; input VAR9; input VAR5 ; input VAR3 ; endmodule
apache-2.0
fabianz66/cursos-tec
taller-digital/Proyecto Final/Referencias/mpx/mpx_alu.v
4,241
module MODULE1 ( VAR1, VAR6, VAR3, VAR4 ); input [31:0] VAR1 ; input [31:0] VAR6 ; input [3:0] VAR3 ; output [31:0] VAR4 ; reg [31:0] VAR4; always @ (VAR3 or VAR1 or VAR6 ) begin case (VAR3) begin if (VAR2(VAR1, VAR6) == 1'b1) VAR4 = 32'h00000001; end else VAR4 = 32'h00000000; end begin if ((VAR2(VAR1, VAR6) == 1'b1) || (VAR1 == VAR6)) VAR4 = 32'h00000001; end else VAR4 = 32'h00000000; end begin if (VAR5(VAR1, VAR6) == 1'b1) VAR4 = 32'h00000001; end else VAR4 = 32'h00000000; end default : VAR4 = 32'h00000000; endcase end endmodule
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_2/embedded_lab_2.cache/ip/2017.2/b9c82e235214f825/zynq_design_1_auto_pc_0_stub.v
4,653
module MODULE1(VAR60, VAR11, VAR42, VAR7, VAR20, VAR39, VAR6, VAR3, VAR17, VAR33, VAR51, VAR1, VAR29, VAR28, VAR10, VAR57, VAR2, VAR24, VAR15, VAR46, VAR58, VAR8, VAR36, VAR9, VAR4, VAR49, VAR50, VAR54, VAR37, VAR19, VAR22, VAR38, VAR56, VAR27, VAR21, VAR16, VAR26, VAR41, VAR34, VAR40, VAR47, VAR55, VAR53, VAR32, VAR45, VAR44, VAR30, VAR31, VAR25, VAR35, VAR13, VAR14, VAR59, VAR23, VAR52, VAR48, VAR5, VAR43, VAR18, VAR12) ; input VAR60; input VAR11; input [11:0]VAR42; input [31:0]VAR7; input [7:0]VAR20; input [2:0]VAR39; input [1:0]VAR6; input [0:0]VAR3; input [3:0]VAR17; input [2:0]VAR33; input [3:0]VAR51; input [3:0]VAR1; input VAR29; output VAR28; input [31:0]VAR10; input [3:0]VAR57; input VAR2; input VAR24; output VAR15; output [11:0]VAR46; output [1:0]VAR58; output VAR8; input VAR36; input [11:0]VAR9; input [31:0]VAR4; input [7:0]VAR49; input [2:0]VAR50; input [1:0]VAR54; input [0:0]VAR37; input [3:0]VAR19; input [2:0]VAR22; input [3:0]VAR38; input [3:0]VAR56; input VAR27; output VAR21; output [11:0]VAR16; output [31:0]VAR26; output [1:0]VAR41; output VAR34; output VAR40; input VAR47; output [31:0]VAR55; output [2:0]VAR53; output VAR32; input VAR45; output [31:0]VAR44; output [3:0]VAR30; output VAR31; input VAR25; input [1:0]VAR35; input VAR13; output VAR14; output [31:0]VAR59; output [2:0]VAR23; output VAR52; input VAR48; input [31:0]VAR5; input [1:0]VAR43; input VAR18; output VAR12; endmodule
mit
monotone-RK/FACE
IEICE-Trans/data_compression/8-way_2-tree/src/riffa/interrupt_controller.v
5,437
module MODULE1 ( input VAR15, input VAR9, input VAR10, input VAR16, output VAR7, input VAR13, output VAR12, input VAR8, output VAR3 ); reg [2:0] VAR14=VAR18; reg [2:0] VAR11=VAR18; reg VAR19=0; reg VAR2=0; assign VAR7 = (VAR14 == VAR5); assign VAR3 = VAR19; assign VAR12 = VAR2; always @(*) begin case (VAR14) if (VAR10) begin VAR19 = 1; VAR2 = !VAR13; VAR11 = (VAR8 ? VAR1 : VAR17); end else begin VAR19 = 0; VAR2 = 0; VAR11 = VAR18; end end VAR19 = 1; VAR2 = !VAR13; VAR11 = (VAR8 ? VAR1 : VAR17); end VAR19 = 0; VAR2 = !VAR13; VAR11 = (VAR13 ? VAR5 : VAR6); end if (VAR16) begin VAR19 = 1; VAR2 = 0; VAR11 = (VAR8 ? VAR5 : VAR4); end else begin VAR19 = 0; VAR2 = 1; VAR11 = VAR6; end end VAR19 = 1; VAR2 = 0; VAR11 = (VAR8 ? VAR5 : VAR4); end VAR19 = 0; VAR2 = 0; VAR11 = VAR18; end default: begin VAR19 = 0; VAR2 = 0; VAR11 = VAR18; end endcase end always @(posedge VAR15) begin if (VAR9) VAR14 <= VAR18; end else VAR14 <= VAR11; end endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/iop/ccx/rtl/cpx_dp_maca_r.v
4,559
module MODULE1( VAR13, VAR3, VAR6, VAR21, VAR28, VAR24, VAR31, VAR2, VAR7, VAR17, VAR33, VAR12 ); output [149:0] VAR13; output VAR3; output VAR6; input VAR21; input VAR28; input VAR24; input VAR31; input VAR2; input [149:0] VAR7; input VAR17; input VAR33; input VAR12; wire VAR19; wire [149:0] VAR32; wire [149:0] VAR26, VAR5; wire VAR9, VAR15; reg VAR22, VAR25; assign VAR6 = VAR12; wire sel ; assign sel = ~VAR12 ; VAR30 VAR27 ( .clk (VAR9), .VAR17 (VAR17), .VAR11(~VAR2), .VAR8(sel)); VAR30 VAR4 ( .clk (VAR15), .VAR17 (VAR17), .VAR11(~VAR21), .VAR8(sel)); VAR18 #(1) VAR20( .din (VAR24), .VAR16 (VAR19), .clk (VAR17), .VAR1 (1'b0), .VAR10 (1'b0), .VAR29 ()); VAR18 #(150) VAR14( .din (VAR7[149:0]), .VAR16 (VAR26[149:0]), .clk (VAR15), .VAR1 (1'b0), .VAR10 (), .VAR29 ()); assign VAR32[149:0] = (VAR28 ? VAR7[149:0] : 150'd0) | (VAR31 ? VAR26[149:0] : 150'd0) ; VAR18 #(150) VAR23( .din (VAR32[149:0]), .VAR16 (VAR5[149:0]), .clk (VAR9), .VAR1 (1'b0), .VAR10 (), .VAR29 ()); assign VAR13[149:0] = ~(VAR19 ? VAR5[149:0] : 150'd0); endmodule
gpl-2.0
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/pixelq_op_v1_0/0d718de5/hdl/verilog/pixelq_op_INPUT_STREAM_if.v
11,201
module MODULE1 ( input wire VAR84, input wire VAR67, input wire VAR29, output wire VAR56, input wire [23:0] VAR81, input wire [2:0] VAR52, input wire [2:0] VAR71, input wire [0:0] VAR60, input wire [0:0] VAR53, input wire [0:0] VAR68, input wire [0:0] VAR28, output wire [23:0] VAR83, output wire VAR72, input wire VAR17, output wire [2:0] VAR85, output wire VAR66, input wire VAR19, output wire [2:0] VAR38, output wire VAR80, input wire VAR9, output wire [0:0] VAR62, output wire VAR30, input wire VAR76, output wire [0:0] VAR4, output wire VAR59, input wire VAR10, output wire [0:0] VAR16, output wire VAR77, input wire VAR79, output wire [0:0] VAR43, output wire VAR15, input wire VAR61 ); wire [0:0] VAR7; wire [0:0] VAR58; wire [23:0] VAR45; wire [0:0] VAR37; wire [2:0] VAR44; wire [0:0] VAR6; wire [2:0] VAR49; wire [0:0] VAR74; wire [0:0] VAR70; wire [0:0] VAR42; wire [0:0] VAR2; wire [0:0] VAR5; wire [0:0] VAR63; wire [0:0] VAR64; wire [0:0] VAR41; wire [0:0] VAR40; wire [0:0] VAR82; wire [0:0] VAR11; wire [33:0] VAR27; wire [0:0] VAR22; wire [0:0] VAR50; wire [33:0] VAR23; MODULE3 #( .VAR3 ( 34 ) ) VAR39 ( .clk ( VAR84 ), .reset ( VAR67 ), .VAR27 ( VAR27 ), .VAR82 ( VAR82 ), .VAR11 ( VAR11 ), .VAR23 ( VAR23 ), .VAR22 ( VAR22 ), .VAR50 ( VAR50 ) ); MODULE2 #( .VAR57 ( 24 ), .VAR26 ( 4 ) ) VAR51 ( .clk ( VAR84 ), .VAR47 ( ~VAR67 ), .VAR1 ( VAR72 ), .VAR8 ( VAR37 ), .read ( VAR17 ), .write ( VAR7 ), .dout ( VAR83 ), .din ( VAR45 ) ); MODULE2 #( .VAR57 ( 3 ), .VAR26 ( 4 ) ) VAR34 ( .clk ( VAR84 ), .VAR47 ( ~VAR67 ), .VAR1 ( VAR66 ), .VAR8 ( VAR6 ), .read ( VAR19 ), .write ( VAR7 ), .dout ( VAR85 ), .din ( VAR44 ) ); MODULE2 #( .VAR57 ( 3 ), .VAR26 ( 4 ) ) VAR78 ( .clk ( VAR84 ), .VAR47 ( ~VAR67 ), .VAR1 ( VAR80 ), .VAR8 ( VAR74 ), .read ( VAR9 ), .write ( VAR7 ), .dout ( VAR38 ), .din ( VAR49 ) ); MODULE2 #( .VAR57 ( 1 ), .VAR26 ( 4 ) ) VAR33 ( .clk ( VAR84 ), .VAR47 ( ~VAR67 ), .VAR1 ( VAR30 ), .VAR8 ( VAR42 ), .read ( VAR76 ), .write ( VAR7 ), .dout ( VAR62 ), .din ( VAR70 ) ); MODULE2 #( .VAR57 ( 1 ), .VAR26 ( 4 ) ) VAR55 ( .clk ( VAR84 ), .VAR47 ( ~VAR67 ), .VAR1 ( VAR59 ), .VAR8 ( VAR5 ), .read ( VAR10 ), .write ( VAR7 ), .dout ( VAR4 ), .din ( VAR2 ) ); MODULE2 #( .VAR57 ( 1 ), .VAR26 ( 4 ) ) VAR46 ( .clk ( VAR84 ), .VAR47 ( ~VAR67 ), .VAR1 ( VAR77 ), .VAR8 ( VAR64 ), .read ( VAR79 ), .write ( VAR7 ), .dout ( VAR16 ), .din ( VAR63 ) ); MODULE2 #( .VAR57 ( 1 ), .VAR26 ( 4 ) ) VAR12 ( .clk ( VAR84 ), .VAR47 ( ~VAR67 ), .VAR1 ( VAR15 ), .VAR8 ( VAR40 ), .read ( VAR61 ), .write ( VAR7 ), .dout ( VAR43 ), .din ( VAR41 ) ); assign VAR56 = VAR11; assign VAR82 = VAR29; assign VAR50 = VAR58; assign VAR27 = {VAR28[0:0], VAR68[0:0], VAR53[0:0], VAR60[0:0], VAR71[2:0], VAR52[2:0], VAR81[23:0]}; assign VAR7 = VAR58 & VAR22; assign VAR45 = VAR23[23:0]; assign VAR44 = VAR23[26:24]; assign VAR49 = VAR23[29:27]; assign VAR70 = VAR23[30:30]; assign VAR2 = VAR23[31:31]; assign VAR63 = VAR23[32:32]; assign VAR41 = VAR23[33:33]; assign VAR58 = VAR37 & VAR6 & VAR74 & VAR42 & VAR5 & VAR64 & VAR40; endmodule module MODULE2 VAR57 = 8, VAR26 = 4 )( input wire clk, input wire VAR47, output wire VAR1, output wire VAR8, input wire read, input wire write, output wire [VAR57-1:0] dout, input wire [VAR57-1:0] din ); localparam VAR31 = 1 << VAR26; reg VAR73; reg VAR20; reg [VAR26-1:0] VAR24; reg [VAR57-1:0] VAR36[0:VAR31-1]; assign VAR1 = ~VAR73; assign VAR8 = ~VAR20; assign dout = VAR36[VAR24]; always @(posedge clk or posedge VAR47) begin if (VAR47) VAR73 <= 1'b1; end else if (VAR73 & write & ~read) VAR73 <= 1'b0; else if (~VAR73 & ~write & read & (VAR24==1'b0)) VAR73 <= 1'b1; end always @(posedge clk or posedge VAR47) begin if (VAR47) VAR20 <= 1'b0; end else if (VAR20 & read & ~write) VAR20 <= 1'b0; else if (~VAR20 & ~read & write & (VAR24==VAR31-2'd2)) VAR20 <= 1'b1; end always @(posedge clk or posedge VAR47) begin if (VAR47) VAR24 <= {VAR26{1'b1}}; end else if (~VAR73 & ~write & read) VAR24 <= VAR24 - 1'b1; else if (~VAR20 & ~read & write) VAR24 <= VAR24 + 1'b1; end always @(posedge clk) begin if (~VAR20 & write) VAR36[0] <= din; end genvar VAR75; generate for (VAR75 = 1; VAR75 < VAR31; VAR75 = VAR75 + 1) begin : VAR14 always @(posedge clk) begin if (~VAR20 & write) VAR36[VAR75] <= VAR36[VAR75-1]; end end endgenerate endmodule module MODULE3 VAR3 = 8 ) ( input wire clk, input wire reset, input wire [VAR3-1:0] VAR27, input wire VAR82, output wire VAR11, output wire [VAR3-1:0] VAR23, output wire VAR22, input wire VAR50 ); localparam [1:0] VAR69 = 2'b10, VAR18 = 2'b11, VAR35 = 2'b01; reg [VAR3-1:0] VAR13; reg [VAR3-1:0] VAR65; wire VAR25; wire VAR54; wire VAR21; reg VAR48; reg [1:0] state; reg [1:0] VAR32; assign VAR11 = VAR48; assign VAR23 = VAR13; assign VAR22 = state[0]; assign VAR25 = (state == VAR69 && VAR82) || (state == VAR18 && VAR82 && VAR50) || (state == VAR35 && VAR50); assign VAR54 = VAR82 & VAR11; assign VAR21 = (state == VAR35); always @(posedge clk) begin if (VAR25) begin if (VAR21) VAR13 <= VAR65; end else VAR13 <= VAR27; end end always @(posedge clk) begin if (VAR54) VAR65 <= VAR27; end always @(posedge clk) begin if (~reset) VAR48 <= 1'b0; end else if (state == VAR69) VAR48 <= 1'b1; else if (state == VAR18 && VAR32 == VAR35) VAR48 <= 1'b0; else if (state == VAR35 && VAR32 == VAR18) VAR48 <= 1'b1; end always @(posedge clk) begin if (~reset) state <= VAR69; end else state <= VAR32; end always @(*) begin case (state) VAR69: if (VAR82 & VAR11) VAR32 = VAR18; end else VAR32 = VAR69; VAR18: if (~VAR82 & VAR50) VAR32 = VAR69; else if (VAR82 & ~VAR50) VAR32 = VAR35; else VAR32 = VAR18; VAR35: if (VAR50) VAR32 = VAR18; else VAR32 = VAR35; default: VAR32 = VAR69; endcase end endmodule
gpl-2.0
Microsoft/Sora
FPGA/MIMO/rtl/pcie_userapp_wrapper/pcie_dma_engine/Clock_module_FRL.v
2,749
module MODULE1( input VAR14, input rst, output VAR19, output VAR12 ); wire VAR2, VAR6; wire VAR8, VAR18; assign VAR12 = (~VAR2) & (~VAR6); VAR16 VAR1( .VAR5(VAR14), .VAR7(rst), .VAR15(VAR18), .VAR3(VAR6) ); VAR11 VAR9( .VAR17(VAR18), .VAR13(VAR19), .VAR4(VAR8), .VAR7(rst), .VAR3(VAR2), .VAR10() ); endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfstp/sky130_fd_sc_ls__dfstp.behavioral.pp.v
2,157
module MODULE1 ( VAR7 , VAR8 , VAR10 , VAR13, VAR6 , VAR16 , VAR12 , VAR18 ); output VAR7 ; input VAR8 ; input VAR10 ; input VAR13; input VAR6 ; input VAR16 ; input VAR12 ; input VAR18 ; wire VAR1 ; wire VAR2 ; reg VAR14 ; wire VAR11 ; wire VAR3; wire VAR21 ; wire VAR9 ; wire VAR20 ; wire VAR5 ; not VAR17 (VAR2 , VAR3 ); VAR4 VAR19 (VAR1 , VAR11, VAR21, VAR2, VAR14, VAR6, VAR16); assign VAR9 = ( VAR6 === 1'b1 ); assign VAR20 = ( VAR3 === 1'b1 ); assign VAR5 = ( VAR13 === 1'b1 ); buf VAR15 (VAR7 , VAR1 ); endmodule
apache-2.0
sh-chris110/chris
FPGA/HPS.bak/Qsys/hps_design/synthesis/submodules/hps_design_SMP_CORE.v
7,937
module MODULE1 #( parameter VAR31 = 0, parameter VAR36 = 0 ) ( output wire VAR38, input wire VAR50, output wire [11:0] VAR16, output wire [20:0] VAR15, output wire [3:0] VAR28, output wire [2:0] VAR37, output wire [1:0] VAR26, output wire [1:0] VAR25, output wire [3:0] VAR45, output wire [2:0] VAR44, output wire VAR40, input wire VAR10, output wire [11:0] VAR7, output wire [31:0] VAR55, output wire [3:0] VAR39, output wire VAR1, output wire VAR49, input wire VAR5, input wire [11:0] VAR56, input wire [1:0] VAR53, input wire VAR47, output wire VAR30, output wire [11:0] VAR22, output wire [20:0] VAR23, output wire [3:0] VAR42, output wire [2:0] VAR20, output wire [1:0] VAR54, output wire [1:0] VAR14, output wire [3:0] VAR46, output wire [2:0] VAR21, output wire VAR18, input wire VAR4, input wire [11:0] VAR9, input wire [31:0] VAR34, input wire [1:0] VAR6, input wire VAR33, input wire VAR12, output wire VAR3, output wire [12:0] VAR19, output wire [2:0] VAR17, output wire VAR41, output wire VAR13, output wire VAR8, output wire VAR29, output wire VAR32, output wire VAR52, output wire VAR24, output wire VAR48, inout wire [7:0] VAR35, inout wire VAR2, inout wire VAR27, output wire VAR11, output wire VAR43, input wire VAR51 ); generate if (VAR31 != 0) begin begin
gpl-2.0
somethingnew2-0/CS552-CPU
RoadRunner/off_by_one.tar.gz_extracted/CacheController.v
3,947
module MODULE1(clk, VAR3, VAR8, VAR14, VAR4, VAR28, VAR24, read, write, VAR20, VAR18, VAR13, VAR16, VAR17, VAR30, VAR1, VAR23, VAR15, VAR10, VAR6, VAR19, VAR5, VAR12, VAR9, VAR21, VAR25, VAR27); input clk, VAR3, VAR20, VAR18, VAR13, VAR16, VAR28, VAR24, read, write; input [7:0] VAR17; input [15:0] VAR8, VAR14, VAR4; input [63:0] VAR30, VAR1; output reg VAR15, VAR19, VAR5, VAR9, VAR12, VAR6, VAR27; output reg [13:0] VAR21; output reg [63:0] VAR23, VAR10, VAR25; reg [1:0] state, VAR31; reg [63:0] VAR2; localparam VAR7 = 16'hFFFF; localparam VAR26 = 2'b00; localparam VAR29 = 2'b01; localparam VAR11 = 2'b10; localparam VAR22 = 2'b11; always @(posedge clk, negedge VAR3) if(!VAR3) begin state <= VAR26; end else state <= VAR31; always @(*) begin VAR15 = 1'b0; VAR19 = 1'b0; VAR9 = 1'b0; VAR5 = 1'b0; VAR12 = 1'b0; VAR21 = 14'd0; VAR23 = 64'd0; VAR10 = 64'd0; VAR25 = VAR30; VAR6 = 1'b0; VAR27 = 1'b0; VAR31 = VAR26; case(state) VAR26: begin if(VAR24 & !VAR18) begin if(VAR13) begin VAR21 = {VAR17, VAR14[7:2]}; VAR9 = 1'b1; VAR31 = VAR22; end else begin VAR21 = VAR14[15:2]; VAR12 = 1'b1; VAR31 = VAR11; end end else if(VAR28 & !VAR20) begin VAR21 = VAR8[15:2]; VAR12 = 1'b1; VAR31 = VAR11; end else begin if(write) begin VAR19 = 1'b1; VAR2 = VAR30 & ~(VAR7 << 16 * VAR14[1:0]); VAR10 = VAR2 | (VAR4 << 16 * VAR14[1:0]); VAR6 = 1'b1; end VAR27 = 1'b1; end end VAR11: begin if(VAR16) begin if(VAR24 & !VAR18) begin if(write) begin VAR19 = 1'b1; VAR2 = VAR1 & ~(VAR7 << 16 * VAR14[1:0]); VAR10 = VAR2 | (VAR4 << 16 * VAR14[1:0]); VAR6 = 1'b1; end else begin VAR19 = 1'b1; VAR10 = VAR1; end end else begin VAR15 = 1'b1; VAR23 = VAR1; end VAR31 = VAR26; end else if(VAR24 & !VAR18) begin VAR21 = VAR14[15:2]; VAR12 = 1'b1; VAR31 = VAR11; end else if(VAR28 & !VAR20) begin VAR21 = VAR8[15:2]; VAR12 = 1'b1; VAR31 = VAR11; end end VAR22: begin VAR21 = {VAR17, VAR14[7:2]}; VAR9 = 1'b1; if(VAR16) begin VAR31 = VAR29; end else begin VAR31 = VAR22; end end VAR29: begin VAR12 = 1'b1; VAR21 = VAR14[15:2]; VAR31 = VAR11; end default: begin end endcase end endmodule
mit
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_17.v
14,058
module MODULE4 ( clk, reset, VAR99, VAR73, VAR105, VAR35, VAR48 ); parameter VAR64 = 18; parameter VAR7 = 17; parameter VAR43 = 9; localparam VAR57 = 18; input clk; input reset; input VAR99; input VAR73; input [VAR64-1:0] VAR105; output VAR35; output [VAR64-1:0] VAR48; localparam VAR66 = 18; localparam VAR93 = 36; localparam VAR77 = 17; localparam VAR33 = 17; reg [VAR64-1:0] VAR19; reg [VAR64-1:0] VAR120; reg [VAR64-1:0] VAR18; reg [VAR64-1:0] VAR89; reg [VAR64-1:0] VAR28; reg [VAR64-1:0] VAR106; reg [VAR64-1:0] VAR8; reg [VAR64-1:0] VAR61; reg [VAR64-1:0] VAR40; always@(posedge clk) begin VAR19 <= 18'd88; VAR120 <= 18'd0; VAR18 <= -18'd97; VAR89 <= -18'd197; VAR28 <= -18'd294; VAR106 <= -18'd380; VAR8 <= -18'd447; VAR61 <= -18'd490; VAR40 <= -18'd504; end reg [VAR57-1:0] VAR88; always@(posedge clk or posedge reset) begin if(reset) begin VAR88 <= 0; end else begin if(VAR99) begin VAR88 <= {VAR88[VAR57-2:0], VAR73}; end else begin VAR88 <= VAR88; end end end wire [VAR64-1:0] VAR56; wire [VAR64-1:0] VAR92; wire [VAR64-1:0] VAR81; wire [VAR64-1:0] VAR49; wire [VAR64-1:0] VAR97; wire [VAR64-1:0] VAR82; wire [VAR64-1:0] VAR46; wire [VAR64-1:0] VAR86; wire [VAR64-1:0] VAR101; wire [VAR64-1:0] VAR115; wire [VAR64-1:0] VAR14; wire [VAR64-1:0] VAR102; wire [VAR64-1:0] VAR60; wire [VAR64-1:0] VAR44; wire [VAR64-1:0] VAR107; wire [VAR64-1:0] VAR4; wire [VAR64-1:0] VAR15; MODULE5 MODULE27( .clk(clk), .VAR99(VAR99), .VAR119(VAR105), .VAR76(VAR56), .VAR3(VAR92), .VAR26(VAR81), .VAR52(VAR49), .VAR58(VAR97), .VAR1(VAR82), .VAR37(VAR46), .VAR2(VAR86), .VAR113(VAR101), .VAR69(VAR115), .VAR94(VAR14), .VAR90(VAR102), .VAR21(VAR60), .VAR59(VAR44), .VAR121(VAR107), .VAR108(VAR4), .VAR27(VAR15), .reset(reset) ); wire [VAR64-1:0] VAR47; wire [VAR64-1:0] VAR24; wire [VAR64-1:0] VAR42; wire [VAR64-1:0] VAR53; wire [VAR64-1:0] VAR50; wire [VAR64-1:0] VAR51; wire [VAR64-1:0] VAR32; wire [VAR64-1:0] VAR116; wire [VAR64-1:0] VAR95; MODULE3 VAR79( .VAR17 (VAR56), .VAR65 (VAR15), .VAR54(VAR47) ); MODULE3 VAR9( .VAR17 (VAR92), .VAR65 (VAR4), .VAR54(VAR24) ); MODULE3 VAR39( .VAR17 (VAR81), .VAR65 (VAR107), .VAR54(VAR42) ); MODULE3 VAR118( .VAR17 (VAR49), .VAR65 (VAR44), .VAR54(VAR53) ); MODULE3 VAR63( .VAR17 (VAR97), .VAR65 (VAR60), .VAR54(VAR50) ); MODULE3 VAR55( .VAR17 (VAR82), .VAR65 (VAR102), .VAR54(VAR51) ); MODULE3 VAR85( .VAR17 (VAR46), .VAR65 (VAR14), .VAR54(VAR32) ); MODULE3 VAR68( .VAR17 (VAR86), .VAR65 (VAR115), .VAR54(VAR116) ); MODULE2 VAR67( .VAR17 (VAR101), .VAR54(VAR95) ); wire [VAR64-1:0] VAR10; wire [VAR64-1:0] VAR104; wire [VAR64-1:0] VAR38; wire [VAR64-1:0] VAR96; wire [VAR64-1:0] VAR111; wire [VAR64-1:0] VAR80; wire [VAR64-1:0] VAR70; wire [VAR64-1:0] VAR12; wire [VAR64-1:0] VAR25; MODULE1 VAR36( .VAR17 (VAR47), .VAR65 (VAR19), .VAR54(VAR10) ); MODULE1 VAR74( .VAR17 (VAR24), .VAR65 (VAR120), .VAR54(VAR104) ); MODULE1 VAR23( .VAR17 (VAR42), .VAR65 (VAR18), .VAR54(VAR38) ); MODULE1 VAR87( .VAR17 (VAR53), .VAR65 (VAR89), .VAR54(VAR96) ); MODULE1 VAR84( .VAR17 (VAR50), .VAR65 (VAR28), .VAR54(VAR111) ); MODULE1 VAR98( .VAR17 (VAR51), .VAR65 (VAR106), .VAR54(VAR80) ); MODULE1 VAR30( .VAR17 (VAR32), .VAR65 (VAR8), .VAR54(VAR70) ); MODULE1 VAR13( .VAR17 (VAR116), .VAR65 (VAR61), .VAR54(VAR12) ); MODULE1 VAR22( .VAR17 (VAR95), .VAR65 (VAR40), .VAR54(VAR25) ); wire [VAR64-1:0] VAR72; wire [VAR64-1:0] VAR20; wire [VAR64-1:0] VAR71; wire [VAR64-1:0] VAR78; wire [VAR64-1:0] VAR34; MODULE3 VAR75( .VAR17 (VAR10), .VAR65 (VAR104), .VAR54(VAR72) ); MODULE3 VAR11( .VAR17 (VAR38), .VAR65 (VAR96), .VAR54(VAR20) ); MODULE3 VAR31( .VAR17 (VAR111), .VAR65 (VAR80), .VAR54(VAR71) ); MODULE3 VAR83( .VAR17 (VAR70), .VAR65 (VAR12), .VAR54(VAR78) ); MODULE2 VAR16( .VAR17 (VAR25), .VAR54(VAR34) ); wire [VAR64-1:0] VAR103; wire [VAR64-1:0] VAR110; wire [VAR64-1:0] VAR45; MODULE3 VAR5( .VAR17 (VAR72), .VAR65 (VAR20), .VAR54(VAR103) ); MODULE3 VAR41( .VAR17 (VAR71), .VAR65 (VAR78), .VAR54(VAR110) ); MODULE2 VAR6( .VAR17 (VAR34), .VAR54(VAR45) ); wire [VAR64-1:0] VAR62; wire [VAR64-1:0] VAR112; MODULE3 VAR29( .VAR17 (VAR103), .VAR65 (VAR110), .VAR54(VAR62) ); MODULE2 VAR117( .VAR17 (VAR45), .VAR54(VAR112) ); wire [VAR64-1:0] VAR91; MODULE3 VAR100( .VAR17 (VAR62), .VAR65 (VAR112), .VAR54(VAR91) ); reg [17:0] VAR48; always @(posedge clk) begin if(VAR99) begin VAR48 <= VAR91; end end assign VAR35 = VAR88[VAR57-1]; endmodule module MODULE5 ( clk, VAR99, VAR119, VAR76, VAR3, VAR26, VAR52, VAR58, VAR1, VAR37, VAR2, VAR113, VAR69, VAR94, VAR90, VAR21, VAR59, VAR121, VAR108, VAR27, reset); parameter VAR114 = 1; input clk; input VAR99; input [VAR114-1:0] VAR119; output [VAR114-1:0] VAR76; output [VAR114-1:0] VAR3; output [VAR114-1:0] VAR26; output [VAR114-1:0] VAR52; output [VAR114-1:0] VAR58; output [VAR114-1:0] VAR1; output [VAR114-1:0] VAR37; output [VAR114-1:0] VAR2; output [VAR114-1:0] VAR113; output [VAR114-1:0] VAR69; output [VAR114-1:0] VAR94; output [VAR114-1:0] VAR90; output [VAR114-1:0] VAR21; output [VAR114-1:0] VAR59; output [VAR114-1:0] VAR121; output [VAR114-1:0] VAR108; output [VAR114-1:0] VAR27; reg [VAR114-1:0] VAR76; reg [VAR114-1:0] VAR3; reg [VAR114-1:0] VAR26; reg [VAR114-1:0] VAR52; reg [VAR114-1:0] VAR58; reg [VAR114-1:0] VAR1; reg [VAR114-1:0] VAR37; reg [VAR114-1:0] VAR2; reg [VAR114-1:0] VAR113; reg [VAR114-1:0] VAR69; reg [VAR114-1:0] VAR94; reg [VAR114-1:0] VAR90; reg [VAR114-1:0] VAR21; reg [VAR114-1:0] VAR59; reg [VAR114-1:0] VAR121; reg [VAR114-1:0] VAR108; reg [VAR114-1:0] VAR27; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR76 <= 0; VAR3 <= 0; VAR26 <= 0; VAR52 <= 0; VAR58 <= 0; VAR1 <= 0; VAR37 <= 0; VAR2 <= 0; VAR113 <= 0; VAR69 <= 0; VAR94 <= 0; VAR90 <= 0; VAR21 <= 0; VAR59 <= 0; VAR121 <= 0; VAR108 <= 0; VAR27 <= 0; end else begin if(VAR99) begin VAR76 <= VAR119; VAR3 <= VAR76; VAR26 <= VAR3; VAR52 <= VAR26; VAR58 <= VAR52; VAR1 <= VAR58; VAR37 <= VAR1; VAR2 <= VAR37; VAR113 <= VAR2; VAR69 <= VAR113; VAR94 <= VAR69; VAR90 <= VAR94; VAR21 <= VAR90; VAR59 <= VAR21; VAR121 <= VAR59; VAR108 <= VAR121; VAR27 <= VAR108; end end end endmodule module MODULE3 ( VAR17, VAR65, VAR54); input clk; input VAR99; input [17:0] VAR17; input [17:0] VAR65; output [17:0] VAR54; assign VAR54 = VAR17 + VAR65; endmodule module MODULE1 ( VAR17, VAR65, VAR54); input clk; input VAR99; input [17:0] VAR17; input [17:0] VAR65; output [17:0] VAR54; assign VAR54 = VAR17 * VAR65; endmodule module MODULE2 ( VAR17, VAR54); input clk; input VAR99; input [17:0] VAR17; output [17:0] VAR54; assign VAR54 = VAR17; endmodule
mit
theapi/de0-nano
vga/vga_800x480_buffered/vga.v
2,288
module MODULE1( VAR25, VAR21, VAR34, VAR12, VAR6, VAR37, VAR24 ); input wire VAR25; input wire [0:0] VAR21; output wire VAR34; output wire VAR12; output wire VAR6; output wire VAR37; output wire VAR24; wire VAR22; wire VAR9; wire VAR7; wire VAR27; wire [15:0] VAR30; wire [10:0] VAR8; wire [10:0] VAR11; wire [15:0] VAR20; VAR26 VAR1( .VAR14(VAR22), .VAR15(VAR9), .VAR18(VAR7), .VAR34(VAR34), .VAR12(VAR12), .VAR6(VAR6), .VAR29(VAR37), .VAR31(VAR24), .VAR10(VAR8), .VAR28(VAR11)); VAR3 VAR35( .clk(VAR25), .VAR2(VAR27), .VAR17(VAR30), .VAR33(VAR8), .VAR23(VAR11), .VAR19(VAR20), .VAR4(VAR7)); VAR36 VAR5( .clk(VAR25), .reset(VAR9), .VAR2(VAR27), .address(VAR20), .out(VAR30)); VAR32 VAR16( .VAR25(VAR25), .VAR13(VAR22)); assign VAR9 = ~VAR21; endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/iop/iobdg/i2c/rtl/i2c_buf.v
5,851
module MODULE1 ( VAR30, VAR29, VAR9, VAR40, VAR25, VAR22, clk, VAR26, VAR16, VAR24, VAR15 ); parameter VAR36 = 8; parameter VAR1 = 64; parameter VAR12 = 128; parameter VAR34 = 64; input VAR22; input clk; input VAR26; input [VAR36-1:0] VAR16; output VAR30; input VAR24; output [VAR12-1:0] VAR29; output VAR9; input VAR15; output [VAR34-1:0] VAR40; output VAR25; wire VAR7; wire [VAR1+63:0] VAR17; wire VAR14; wire VAR13; wire VAR4; wire VAR8; wire VAR19; wire VAR3; wire VAR11; wire VAR2; VAR38 #(VAR36, VAR1) VAR38 (.VAR22(VAR22), .clk(clk), .VAR39(VAR26), .VAR27(VAR16), .VAR6(VAR30), .VAR7(VAR7), .VAR17(VAR17), .VAR31(VAR14)); assign VAR4 = ~VAR13 & VAR7; assign VAR13 = ((VAR17[VAR33:VAR5] == VAR18) | (VAR17[VAR33:VAR5] == VAR23) | (VAR17[VAR33:VAR5] == VAR28) | (VAR17[VAR33:VAR5] == VAR35) | (VAR17[VAR33:VAR5] == VAR37)); assign VAR8 = VAR13 & VAR7; assign VAR14 = (VAR4 & VAR3) | (VAR8 & VAR2); assign VAR19 = VAR4 & ~VAR3; VAR20 #(VAR12) VAR10 (.VAR22(VAR22), .clk(clk), .wr(VAR19), .din(VAR17[VAR12-1:0]), .rd(VAR24), .dout(VAR29), .VAR39(VAR9), .VAR21(VAR3)); assign VAR11 = VAR8 & ~VAR2; VAR20 #(VAR34) VAR32 (.VAR22(VAR22), .clk(clk), .wr(VAR11), .din(VAR17[VAR34-1:0]), .rd(VAR15), .dout(VAR40), .VAR39(VAR25), .VAR21(VAR2)); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkdlyinv5sd1/sky130_fd_sc_hs__clkdlyinv5sd1.behavioral.v
1,759
module MODULE1 ( VAR2 , VAR8 , VAR3, VAR10 ); output VAR2 ; input VAR8 ; input VAR3; input VAR10; wire VAR6 ; wire VAR1; not VAR9 (VAR6 , VAR8 ); VAR5 VAR7 (VAR1, VAR6, VAR3, VAR10); buf VAR4 (VAR2 , VAR1 ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpmult_arch2/DW_mult.v
1,758
module MODULE1 ( input wire clk, input wire rst, input wire VAR7, input wire [VAR4-1:0] VAR3, input wire [VAR4-1:0] VAR5, output wire [2*VAR4-1:0] VAR10 ); reg [2*VAR4-1:0] VAR2; always @* begin VAR2 = VAR3 *VAR5 ; end VAR6 #(.VAR8(2*VAR4)) VAR11 ( .clk(clk), .rst(rst), .VAR1(VAR7), .VAR12(VAR2), .VAR9(VAR10) ); endmodule
gpl-3.0
antmicro/yosys
techlibs/coolrunner2/cells_counter_map.v
4,884
module \VAR4 (VAR15, VAR10, VAR3, VAR14, VAR6, VAR9); input wire VAR15; input wire VAR10; output wire VAR3; output wire[VAR12-1:0] VAR14; input wire VAR6; input wire VAR9; parameter VAR13 = 1; parameter VAR11 = "VAR5"; parameter VAR2 = 0; parameter VAR1 = 0; parameter VAR7 = 0; parameter VAR12 = 8; parameter VAR8 = "VAR16"; if (VAR8 == "VAR9") begin if (VAR12 < 2) begin
isc
mlarouche/sd2snes
verilog/sd2snes_cx4/dcm.v
2,983
module MODULE1 ( input VAR4, output VAR20, output VAR29, input VAR25, output[7:0] VAR8 ); VAR12 #( .VAR21("VAR31"), .VAR22(2.0), .VAR23(1), .VAR40(4), .VAR39("VAR19"), .VAR7(41.667), .VAR35("VAR24"), .VAR11("VAR24"), .VAR30("VAR17"), .VAR15("VAR37"), .VAR36("VAR37"), .VAR14("VAR1"), .VAR34(16'hFFFF), .VAR9(0), .VAR10("VAR1") ) VAR33 ( .VAR16(VAR16), .VAR28(VAR28), .VAR6(VAR6), .VAR2(VAR2), .VAR38(VAR38), .VAR41(VAR41), .VAR3(VAR3), .VAR20(VAR20), .VAR27(VAR27), .VAR29(VAR29), .VAR26(VAR26), .VAR8(VAR8), .VAR13(VAR13), .VAR4(VAR4), .VAR18(VAR18), .VAR32(VAR32), .VAR5(VAR5), .VAR25(VAR25) ); endmodule
gpl-2.0
ShepardSiegel/ocpi
coregen/pcie_4243_hip_s4gx_gen2_x8_128/pci_express_compiler-library/altpcie_pll_15625_125.v
10,115
module MODULE1 ( VAR49, VAR22, VAR23); input VAR49; input VAR22; output VAR23; wire [5:0] VAR26; wire [0:0] VAR10 = 1'h0; wire [0:0] VAR51 = 1'h1; wire [0:0] VAR25 = VAR26[0:0]; wire VAR23 = VAR25; wire [5:0] VAR42 = {VAR10, VAR10, VAR10, VAR10, VAR10, VAR51}; wire VAR52 = VAR22; wire [1:0] VAR47 = {VAR10, VAR52}; wire [3:0] VAR17 = {VAR10, VAR10, VAR10, VAR10}; VAR46 VAR50 ( .VAR37 (VAR42), .VAR35 (VAR47), .VAR33 (VAR17), .VAR49 (VAR49), .clk (VAR26) , .VAR15 (), .VAR1 (), .VAR48 (), .VAR9 (), .VAR8 (), .VAR55 (), .VAR12 (), .VAR53 (), .VAR40 (), .VAR32 (), .VAR44 (), .VAR20 (), .VAR45 (), .VAR19 (), .VAR6 (), .VAR2 (), .VAR28 (), .VAR36 (), .VAR54 (), .VAR5 () ); VAR50.VAR39 = 500000, VAR50.VAR13 = "VAR41", VAR50.VAR4 = 5, VAR50.VAR11 = 50, VAR50.VAR24 = 4, VAR50.VAR3 = "0", VAR50.VAR18 = "VAR21", VAR50.VAR7 = 6400, VAR50.VAR16 = "VAR38 VAR34", VAR50.VAR43 = "VAR46", VAR50.VAR14 = "VAR31", VAR50.VAR29 = "VAR30", VAR50.VAR27 = 0; endmodule
lgpl-3.0
varunnagpaal/Digital-Hardware-Modelling
tutorials/xilinx/hls/ug871-design-files/Using_IP_with_Zynq/lab1/hls_macc/vhls_prj/solution1/impl/ip/hdl/verilog/hls_macc.v
8,249
module MODULE1 ( VAR23, VAR30, VAR7, VAR25, VAR50, VAR14, VAR54, VAR17, VAR19, VAR39, VAR21, VAR24, VAR22, VAR16, VAR44, VAR4, VAR27, VAR41, VAR29, interrupt ); parameter VAR36 = 9'd1; parameter VAR20 = 9'd2; parameter VAR48 = 9'd4; parameter VAR15 = 9'd8; parameter VAR12 = 9'd16; parameter VAR8 = 9'd32; parameter VAR5 = 9'd64; parameter VAR47 = 9'd128; parameter VAR2 = 9'd256; parameter VAR45 = 32; parameter VAR28 = 6; parameter VAR53 = 32; parameter VAR9 = (32 / 8); parameter VAR1 = (32 / 8); input VAR23; input VAR30; input VAR7; output VAR25; input [VAR28 - 1:0] VAR50; input VAR14; output VAR54; input [VAR45 - 1:0] VAR17; input [VAR9 - 1:0] VAR19; input VAR39; output VAR21; input [VAR28 - 1:0] VAR24; output VAR22; input VAR16; output [VAR45 - 1:0] VAR44; output [1:0] VAR4; output VAR27; input VAR41; output [1:0] VAR29; output interrupt; reg VAR3; wire VAR18; reg VAR37; reg VAR33; reg [8:0] VAR35; wire VAR10; reg VAR31; wire signed [31:0] VAR43; wire signed [31:0] VAR11; reg VAR55; wire [0:0] VAR40; reg [31:0] VAR49; reg [0:0] VAR51; wire [31:0] VAR32; reg [31:0] VAR38; wire VAR13; wire [31:0] VAR26; reg [31:0] VAR46; wire VAR34; wire VAR52; wire [31:0] VAR42; reg [8:0] VAR6;
mit
swallat/yosys
techlibs/intel/common/brams_map.v
4,325
module \VAR1 (VAR8, VAR43, VAR60, VAR28, VAR13, VAR26, VAR16, VAR15); parameter VAR6 = 8; parameter VAR66 = 36; parameter VAR69 = "1"; parameter VAR37 = "1"; parameter VAR72 = 1; parameter VAR25 = 1; input VAR8; input VAR43; output [VAR66-1:0] VAR28; input [VAR6-1:0] VAR60; input VAR13; output [VAR66-1:0] VAR16; input [VAR6-1:0] VAR26; input VAR15; wire [VAR66-1:0] VAR50; localparam VAR10 = VAR66 == 1 ? 1: VAR66 == 2 ? 2: VAR66 == 4 ? 3: VAR66 == 8 ? 4: VAR66 == 9 ? 5: VAR66 == 16 ? 6: VAR66 == 18 ? 7: VAR66 == 32 ? 8: VAR66 == 36 ? 9: 'VAR22; localparam VAR67 = VAR66 == 1 ? 8192: VAR66 == 2 ? 4096: VAR66 == 4 ? 2048: VAR66 == 8 ? 1024: VAR66 == 9 ? 1024: VAR66 == 16 ? 512: VAR66 == 18 ? 512: VAR66 == 32 ? 256: VAR66 == 36 ? 256: 'VAR22; VAR39 #(.VAR48 ("VAR27" ), .VAR4 ("VAR27" ), .VAR77 ("VAR24" ), .VAR56 ("VAR24" ), .VAR33 ("VAR78" ), .VAR21 ("VAR78" ), .VAR14 ("VAR78" ), .VAR59 ("VAR78" ), .VAR63 ("VAR52"), .VAR32 ("VAR45" ), .VAR36 ("VAR3 VAR38" ), .VAR63 ("VAR52"), .VAR73 ("VAR39" ), .VAR47 ("VAR44" ), .VAR18 ("VAR42" ), .VAR68 ("VAR11=VAR49"), .VAR51 ("VAR70"), .VAR76 ("VAR71"), .VAR19 (1), .VAR53 ( VAR67 ), .VAR61 ( VAR67 ), .VAR65 ( VAR6 ), .VAR2 ( VAR66 ), .VAR62 ( VAR6 ), .VAR9 ( VAR66 ) ) VAR46 ( .VAR17(VAR16), .VAR35(VAR26), .VAR64(VAR15), .VAR75(VAR13), .VAR31(VAR28), .VAR74(1'b0), .VAR30(0), .VAR57(1'b0), .VAR54(1'b0), .VAR20(1'b0), .VAR55(VAR8), .VAR7(1'b1), .VAR23(1'b1), .VAR12(1'b1), .VAR58(1'b1), .VAR40(1'b1), .VAR5(1'b0), .VAR29(1'b0), .VAR41(1'b0), .VAR34(1'b0)); endmodule
isc
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/or1200/or1200_mem2reg.v
12,626
module MODULE1(addr, VAR24, VAR10, VAR12); parameter VAR19 = VAR8; input [1:0] addr; input [VAR4-1:0] VAR24; input [VAR19-1:0] VAR10; output [VAR19-1:0] VAR12; reg [7:0] VAR28; reg [7:0] VAR6; reg [7:0] VAR14; reg [7:0] VAR25; reg [VAR19-1:0] VAR1; reg [3:0] VAR27, VAR20, VAR13, VAR2; assign VAR12 = {VAR28, VAR6, VAR14, VAR25}; always @(addr or VAR24) begin casex({VAR24[2:0], addr}) {3'VAR21, 2'b00}: VAR27 = VAR22; {3'VAR21, 2'b01}, {3'VAR26, 2'b00}: VAR27 = VAR3; {3'VAR21, 2'b10}: VAR27 = VAR15; default: VAR27 = VAR11; endcase end always @(addr or VAR24) begin casex({VAR24[2:0], addr}) {3'b010, 2'VAR7}: VAR20 = VAR5; {3'b011, 2'b00}: VAR20 = VAR9; {3'b011, 2'b01}: VAR20 = VAR23; {3'b011, 2'b10}: VAR20 = VAR16; {3'b011, 2'b11}: VAR20 = VAR18; {3'VAR26, 2'b00}: VAR20 = VAR22; default: VAR20 = VAR15; endcase end always @(addr or VAR24) begin casex({VAR24[2:0], addr}) {3'b010, 2'VAR7}, {3'b100, 2'VAR7}: VAR13 = VAR5; {3'b011, 2'b00}, {3'b101, 2'b00}: VAR13 = VAR9; {3'b011, 2'b01}: VAR13 = VAR23; {3'b011, 2'b10}, {3'b101, 2'b10}: VAR13 = VAR16; {3'b011, 2'b11}: VAR13 = VAR18; default: VAR13 = VAR3; endcase end always @(addr or VAR24) begin casex({VAR24[2:0], addr}) {3'b010, 2'VAR7}, {3'b100, 2'VAR7}: VAR2 = VAR5; {3'b011, 2'b00}, {3'b101, 2'b00}: VAR2 = VAR9; {3'b011, 2'b01}: VAR2 = VAR23; {3'b011, 2'b10}, {3'b101, 2'b10}: VAR2 = VAR16; {3'b011, 2'b11}: VAR2 = VAR18; default: VAR2 = VAR22; endcase end always @(VAR27 or VAR10) begin end case(VAR27) else case(VAR27) VAR17 case(VAR27) else case(VAR27) VAR17 VAR25 = VAR10[7:0]; end VAR25 = VAR10[15:8]; end VAR25 = VAR10[23:16]; end default: begin VAR25 = VAR10[31:24]; end endcase end always @(VAR20 or VAR10) begin end case(VAR20) else case(VAR20) VAR17 case(VAR20) else case(VAR20) VAR17 VAR14 = 8'h00; end VAR14 = VAR10[15:8]; end VAR14 = VAR10[31:24]; end VAR14 = {8{VAR10[7]}}; end VAR14 = {8{VAR10[15]}}; end VAR14 = {8{VAR10[23]}}; end default: begin VAR14 = {8{VAR10[31]}}; end endcase end always @(VAR13 or VAR10) begin end case(VAR13) else case(VAR13) VAR17 case(VAR13) else case(VAR13) VAR17 VAR6 = 8'h00; end VAR6 = VAR10[23:16]; end VAR6 = {8{VAR10[7]}}; end VAR6 = {8{VAR10[15]}}; end VAR6 = {8{VAR10[23]}}; end default: begin VAR6 = {8{VAR10[31]}}; end endcase end always @(VAR2 or VAR10) begin end case(VAR2) else case(VAR2) VAR17 case(VAR2) else case(VAR2) VAR17 VAR28 = 8'h00; end VAR28 = VAR10[31:24]; end VAR28 = {8{VAR10[7]}}; end VAR28 = {8{VAR10[15]}}; end VAR28 = {8{VAR10[23]}}; end VAR28 = {8{VAR10[31]}}; end endcase end reg [VAR19-1:0] VAR12; reg [VAR19-1:0] VAR1; always @(addr or VAR10) begin end case(addr) else case(addr) VAR17 2'b00: VAR1 = VAR10; 2'b01: VAR1 = {VAR10[23:0], 8'b0}; 2'b10: VAR1 = {VAR10[15:0], 16'b0}; 2'b11: VAR1 = {VAR10[7:0], 24'b0}; endcase end always @(VAR24 or VAR1) begin end case(VAR24) else case(VAR24) VAR17 VAR12[7:0] = VAR1[31:24]; VAR12[31:8] = 24'b0; end VAR12[7:0] = VAR1[31:24]; VAR12[31:8] = {24{VAR1[31]}}; end VAR12[15:0] = VAR1[31:16]; VAR12[31:16] = 16'b0; end VAR12[15:0] = VAR1[31:16]; VAR12[31:16] = {16{VAR1[31]}}; end default: VAR12 = VAR1; endcase end endmodule
gpl-3.0
mshr-h/stopwatch_verilog
stopwatch.v
1,456
module MODULE1 ( input wire clk, input wire VAR31, input wire [1:0] VAR7, output wire [7:0] VAR16, output wire [7:0] VAR30, output wire [7:0] VAR8, output wire [7:0] VAR2 ); wire VAR6; wire VAR23; wire VAR17; wire VAR32; wire en; wire [3:0] VAR10; wire [3:0] VAR20; wire [3:0] VAR5; wire [3:0] VAR25; wire VAR26; wire VAR12; wire VAR11; VAR21 VAR9 ( .VAR31(VAR31), .clk(clk), .VAR24(VAR7[0]), .VAR3(VAR6) ); VAR21 VAR22 ( .VAR31(VAR31), .clk(clk), .VAR24(VAR7[1]), .VAR3(VAR23) ); VAR1 VAR1 ( .VAR31(VAR31), .clk(clk), .VAR17(VAR17) ); VAR27 VAR27 ( .VAR31(VAR31), .clk(clk), .VAR6(VAR6), .VAR23(VAR23), .en(en), .VAR32(VAR32) ); VAR28 10msec ( .VAR31(VAR31), .clk(VAR17), .en(en), .VAR32(VAR32), .VAR29(VAR20), .VAR13(VAR26) ); VAR28 100msec ( .VAR31(VAR31), .clk(VAR17), .en(VAR26), .VAR32(VAR32), .VAR29(VAR10), .VAR13(VAR12) ); VAR28 1sec ( .VAR31(VAR31), .clk(VAR17), .en(VAR12), .VAR32(VAR32), .VAR29(VAR25), .VAR13(VAR11) ); VAR28 10sec ( .VAR31(VAR31), .clk(VAR17), .en(VAR11), .VAR32(VAR32), .VAR29(VAR5) ); VAR4 VAR19 ( .din(VAR20), .VAR33(VAR16[7:1]) ); VAR4 VAR15 ( .din(VAR10), .VAR33(VAR30[7:1]) ); VAR4 VAR18 ( .din(VAR25), .VAR33(VAR8[7:1]) ); VAR4 VAR14 ( .din(VAR5), .VAR33(VAR2[7:1]) ); assign VAR16[0] = 1'b0; assign VAR30[0] = 1'b0; assign VAR8[0] = 1'b1; assign VAR2[0] = 1'b0; endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/common/up_hdmi_rx.v
10,584
module MODULE1 ( VAR18, VAR10, VAR41, VAR26, VAR45, VAR68, VAR40, VAR25, VAR61, VAR20, VAR33, VAR58, VAR64, VAR56, VAR3, VAR43, VAR35, VAR14, VAR38, VAR59, VAR62, VAR46, VAR69, VAR72, VAR66, VAR53, VAR22, VAR60); localparam VAR65 = 32'h00040063; parameter VAR1 = 0; input VAR18; output VAR10; output VAR41; output VAR26; output VAR45; output VAR68; output [15:0] VAR40; output [15:0] VAR25; input VAR61; input VAR20; input VAR33; input VAR58; input VAR64; input VAR56; input VAR3; input [15:0] VAR43; input [15:0] VAR35; input [31:0] VAR14; input VAR38; input VAR59; input VAR62; input [13:0] VAR46; input [31:0] VAR69; output VAR72; input VAR66; input [13:0] VAR53; output [31:0] VAR22; output VAR60; reg VAR72 = 'd0; reg [31:0] VAR63 = 'd0; reg VAR31 = 'd0; reg VAR6 = 'd0; reg VAR36 = 'd0; reg VAR16 = 'd0; reg VAR30 = 'd0; reg VAR19 = 'd0; reg VAR73 = 'd0; reg VAR5 = 'd0; reg VAR49 = 'd0; reg VAR70 = 'd0; reg VAR34 = 'd0; reg VAR55 = 'd0; reg [15:0] VAR74 = 'd0; reg [15:0] VAR9 = 'd0; reg VAR60 = 'd0; reg [31:0] VAR22 = 'd0; wire VAR44; wire VAR57; wire VAR11; wire VAR75; wire VAR48; wire VAR50; wire VAR21; wire VAR76; wire VAR12; wire [15:0] VAR67; wire [15:0] VAR7; wire [31:0] VAR47; assign VAR44 = (VAR46[13:12] == 2'd0) ? VAR62 : 1'b0; assign VAR57 = (VAR53[13:12] == 2'd0) ? VAR66 : 1'b0; assign VAR11 = ~VAR31; always @(negedge VAR38 or posedge VAR59) begin if (VAR38 == 0) begin VAR72 <= 'd0; VAR63 <= 'd0; VAR31 <= 'd0; VAR6 <= 'd0; VAR36 <= 'd0; VAR16 <= 'd0; VAR30 <= 'd0; VAR19 <= 'd0; VAR73 <= 'd0; VAR5 <= 'd0; VAR49 <= 'd0; VAR70 <= 'd0; VAR34 <= 'd0; VAR55 <= 'd0; VAR74 <= 'd0; VAR9 <= 'd0; end else begin VAR72 <= VAR44; if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h002)) begin VAR63 <= VAR69; end if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h010)) begin VAR31 <= VAR69[0]; end if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h011)) begin VAR6 <= VAR69[3]; VAR36 <= VAR69[2]; VAR16 <= VAR69[1]; VAR30 <= VAR69[0]; end if (VAR75 == 1'b1) begin VAR19 <= 1'b1; end else if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h018)) begin VAR19 <= VAR19 & ~VAR69[1]; end if (VAR48 == 1'b1) begin VAR73 <= 1'b1; end else if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h018)) begin VAR73 <= VAR73 & ~VAR69[0]; end if (VAR29 == 1'b1) begin VAR5 <= 1'b1; end else if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h019)) begin VAR5 <= VAR5 & ~VAR69[1]; end if (VAR50 == 1'b1) begin VAR49 <= 1'b1; end else if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h020)) begin VAR49 <= VAR49 & ~VAR69[3]; end if (VAR21 == 1'b1) begin VAR70 <= 1'b1; end else if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h020)) begin VAR70 <= VAR70 & ~VAR69[2]; end if (VAR76 == 1'b1) begin VAR34 <= 1'b1; end else if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h020)) begin VAR34 <= VAR34 & ~VAR69[1]; end if (VAR12 == 1'b1) begin VAR55 <= 1'b1; end else if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h020)) begin VAR55 <= VAR55 & ~VAR69[0]; end if ((VAR44 == 1'b1) && (VAR46[11:0] == 12'h100)) begin VAR74 <= VAR69[31:16]; VAR9 <= VAR69[15:0]; end end end always @(negedge VAR38 or posedge VAR59) begin if (VAR38 == 1'b0) begin VAR60 <= 'd0; VAR22 <= 'd0; end else begin VAR60 <= VAR57; if(VAR57 == 1'b1) begin case (VAR53[11:0]) 12'h000: VAR22 <= VAR65; 12'h001: VAR22 <= VAR1; 12'h002: VAR22 <= VAR63; 12'h010: VAR22 <= {31'h0, VAR31}; 12'h011: VAR22 <= {28'h0, VAR6, VAR36, VAR16, VAR30}; 12'h015: VAR22 <= VAR47; 12'h016: VAR22 <= VAR14; 12'h018: VAR22 <= {30'h0, VAR19, VAR73}; 12'h019: VAR22 <= {30'h0, VAR5, 1'b0}; 12'h020: VAR22 <= {28'h0, VAR49, VAR70, VAR34, VAR55}; 12'h100: VAR22 <= {VAR74, VAR9}; 12'h101: VAR22 <= {VAR67, VAR7}; default: VAR22 <= 0; endcase end end end VAR27 VAR4 ( .VAR51 (VAR11), .clk (VAR18), .rst (VAR10)); VAR15 #(.VAR52(36)) VAR2 ( .VAR38 (VAR38), .VAR59 (VAR59), .VAR24 ({ VAR6, VAR36, VAR16, VAR30, VAR74, VAR9}), .VAR32 (), .VAR37 (VAR10), .VAR17 (VAR18), .VAR54 ({ VAR41, VAR26, VAR45, VAR68, VAR40, VAR25})); VAR8 #(.VAR52(39)) VAR71 ( .VAR38 (VAR38), .VAR59 (VAR59), .VAR42 ({ VAR75, VAR48, VAR29, VAR50, VAR21, VAR76, VAR12, VAR67, VAR7}), .VAR37 (VAR10), .VAR17 (VAR18), .VAR23 ({ VAR61, VAR20, VAR33, VAR58, VAR64, VAR56, VAR3, VAR43, VAR35})); VAR13 VAR39 ( .VAR38 (VAR38), .VAR59 (VAR59), .VAR28 (VAR47), .VAR37 (VAR10), .VAR17 (VAR18)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or4bb/sky130_fd_sc_hd__or4bb_4.v
2,314
module MODULE1 ( VAR11 , VAR5 , VAR3 , VAR2 , VAR1 , VAR9, VAR10, VAR7 , VAR6 ); output VAR11 ; input VAR5 ; input VAR3 ; input VAR2 ; input VAR1 ; input VAR9; input VAR10; input VAR7 ; input VAR6 ; VAR4 VAR8 ( .VAR11(VAR11), .VAR5(VAR5), .VAR3(VAR3), .VAR2(VAR2), .VAR1(VAR1), .VAR9(VAR9), .VAR10(VAR10), .VAR7(VAR7), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR11 , VAR5 , VAR3 , VAR2, VAR1 ); output VAR11 ; input VAR5 ; input VAR3 ; input VAR2; input VAR1; supply1 VAR9; supply0 VAR10; supply1 VAR7 ; supply0 VAR6 ; VAR4 VAR8 ( .VAR11(VAR11), .VAR5(VAR5), .VAR3(VAR3), .VAR2(VAR2), .VAR1(VAR1) ); endmodule
apache-2.0
archlabo/Frix
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/phy/mig_7series_v2_0_ddr_if_post_fifo.v
8,567
module MODULE1 # ( parameter VAR18 = 100, parameter VAR19 = 4, parameter VAR9 = 32 ) ( input clk, input rst, input [3:0] VAR7, input VAR16, input [VAR9-1:0] din, output VAR31, output VAR6, output [VAR9-1:0] dout ); localparam VAR23 = (VAR19 == 2) ? 1 : (((VAR19 == 3) || (VAR19 == 4)) ? 2 : 'VAR10); integer VAR24; reg [VAR9-1:0] VAR1[0:VAR19-1]; reg [4:0] VAR3 ; reg [1:0] VAR11 ; reg [VAR23-1:0] VAR13 ; reg [VAR23-1:0] VAR8 ; reg [VAR23-1:0] VAR2 ; wire [VAR9-1:0] VAR28; wire VAR21 ; task VAR4; input rd; input wr; reg [1:0] VAR14; reg [1:0] VAR27; begin VAR14 = (VAR13 + 1'b1)%VAR19; VAR27 = (VAR2 + 1'b1)%VAR19; casez ({rd, wr, VAR3[1], VAR11[1]}) 4'VAR17: ; 4'b0100: begin end 4'b0110: begin end 4'b1000: begin end 4'b1001: begin end 4'b1100, 4'b1101, 4'b1110: begin end 4'b0101, 4'b1010: ; default: begin VAR5("VAR22 %VAR20 @%VAR29: VAR25 VAR15: rd:%VAR26,wr:%VAR26,VAR12:%VAR26,VAR30:%VAR26", , rd, wr, VAR3[1], VAR11[1]); end endcase end endtask assign dout = VAR3[4] ? din : VAR28; assign VAR31 = VAR7[0] & VAR3[0]; assign VAR6 = !VAR7[3] || !VAR3[3]; always @(posedge clk) if (rst) begin end else begin if (VAR3[1] && !VAR11[1] && VAR16 && !VAR7[1]) ; end else VAR4(VAR16, !VAR7[1]); end assign VAR21 = (!VAR7[2] & ((!VAR16 & !VAR11[0]) | (VAR16 & !VAR3[2]))); always @ (posedge clk) begin if (VAR21) end assign VAR28 = VAR1[VAR8]; endmodule
bsd-2-clause
SeanZarzycki/openSPARC-FPU
dc_compiler/iscas_benchmarks/s420.v
8,957
module MODULE1 (VAR208,VAR376,VAR455); input VAR208,VAR455; output VAR376; wire VAR349,VAR66; trireg VAR451,VAR109; nmos VAR371 (VAR109,VAR455,VAR66); not VAR7 (VAR349,VAR109); nmos VAR421 (VAR451,VAR349,VAR208); not VAR217 (VAR376,VAR451); not VAR71 (VAR66,VAR208); endmodule module MODULE2(VAR86,VAR6,VAR208,VAR12,VAR1,VAR412,VAR44,VAR459,VAR102,VAR467,VAR393,VAR438,VAR78,VAR274,VAR315, VAR245,VAR257,VAR441,VAR235,VAR85,VAR287,VAR184); input VAR86,VAR6,VAR208,VAR287,VAR438,VAR393,VAR467,VAR102,VAR459,VAR44,VAR412,VAR85,VAR235,VAR441,VAR257,VAR245, VAR315,VAR274,VAR78,VAR1,VAR12; output VAR184; wire VAR180,VAR355,VAR460,VAR306,VAR345,VAR454,VAR117,VAR145,VAR36,VAR474,VAR49,VAR25,VAR48,VAR281,VAR26,VAR197, VAR134,VAR59,VAR112,VAR314,VAR121,VAR347,VAR105,VAR409,VAR425,VAR138,VAR140,VAR418,VAR296,VAR250,VAR219, VAR261,VAR171,VAR17,VAR370,VAR260,VAR265,VAR84,VAR300,VAR142,VAR397,VAR175,VAR478,VAR186,VAR282,VAR83, VAR254,VAR377,VAR267,VAR423,VAR356,VAR324,VAR355,VAR432,VAR272,VAR82,VAR58,VAR407, VAR278,VAR153,VAR244,VAR361,VAR95,VAR483,VAR363,VAR306,VAR233,VAR21,VAR34,VAR234, VAR228,VAR54,VAR101,VAR333,VAR2,VAR454,VAR313,VAR35,VAR399,VAR201,VAR87,VAR259,VAR226, VAR182,VAR453,VAR97,VAR218,VAR367,VAR210,VAR248,VAR57,VAR391,VAR165,VAR353,VAR386,VAR178,VAR8,VAR120, VAR246,VAR405,VAR485,VAR170,VAR332,VAR440,VAR430,VAR352,VAR155,VAR344,VAR256,VAR338,VAR449,VAR195,VAR7, VAR106,VAR263,VAR42,VAR148,VAR209,VAR136,VAR290,VAR74,VAR368,VAR330,VAR128,VAR194,VAR348,VAR446,VAR469, VAR39,VAR424,VAR94,VAR468,VAR464,VAR223,VAR9,VAR258,VAR364,VAR328,VAR141,VAR357,VAR220, VAR341,VAR18,VAR76,VAR47,VAR157,VAR318,VAR346,VAR118,VAR126,VAR20, VAR65,VAR189,VAR466,VAR156,VAR433,VAR462,VAR100,VAR96,VAR14,VAR212,VAR319, VAR415,VAR251,VAR217,VAR119,VAR419,VAR13,VAR475,VAR488,VAR183,VAR230,VAR339,VAR295, VAR320,VAR350,VAR161,VAR22,VAR150,VAR91,VAR32,VAR420,VAR202,VAR71,VAR269,VAR75, VAR63,VAR37,VAR125,VAR400,VAR471,VAR382,VAR491,VAR354,VAR312,VAR476,VAR481, VAR299,VAR152,VAR359,VAR40,VAR486,VAR442,VAR358,VAR249,VAR169,VAR68,VAR304, VAR238,VAR172,VAR402,VAR50,VAR303,VAR207,VAR196,VAR130,VAR191,VAR98, VAR436,VAR427,VAR90,VAR398,VAR38,VAR253,VAR297,VAR429,VAR410,VAR185,VAR288,VAR70,VAR335,VAR311, VAR422; MODULE1 VAR482(VAR208,VAR180,VAR355); MODULE1 VAR417(VAR208,VAR460,VAR306); MODULE1 VAR237(VAR208,VAR345,VAR454); MODULE1 VAR187(VAR208,VAR117,VAR145); MODULE1 VAR390(VAR208,VAR36,VAR474); MODULE1 VAR317(VAR208,VAR49,VAR25); MODULE1 VAR221(VAR208,VAR48,VAR281); MODULE1 VAR16(VAR208,VAR26,VAR197); MODULE1 VAR294(VAR208,VAR134,VAR59); MODULE1 VAR472(VAR208,VAR112,VAR314); MODULE1 VAR280(VAR208,VAR121,VAR347); MODULE1 VAR247(VAR208,VAR105,VAR409); MODULE1 VAR162(VAR208,VAR425,VAR138); MODULE1 VAR88(VAR208,VAR140,VAR418); MODULE1 VAR236(VAR208,VAR296,VAR250); MODULE1 VAR107(VAR208,VAR219,VAR261); not VAR239(VAR171,VAR17); not VAR473(VAR370,VAR460); not VAR198(VAR260,VAR265); not VAR450(VAR84,VAR345); not VAR81(VAR300,VAR117); not VAR11(VAR142,VAR287); not VAR385(VAR397,VAR287); not VAR452(VAR175,VAR180); not VAR463(VAR478,VAR460); not VAR414(VAR186,VAR17); not VAR3(VAR282,VAR83); not VAR394(VAR254,VAR49); not VAR33(VAR377,VAR267); not VAR484(VAR423,VAR48); not VAR428(VAR356,VAR26); not VAR326(VAR324,VAR355); not VAR396(VAR432,VAR355); not VAR395(VAR272,VAR36); not VAR310(VAR82,VAR49); not VAR29(VAR58,VAR83); not VAR443(VAR407,VAR278); not VAR379(VAR153,VAR112); not VAR457(VAR244,VAR361); not VAR193(VAR95,VAR121); not VAR174(VAR483,VAR105); not VAR55(VAR363,VAR306); not VAR216(VAR233,VAR306); not VAR80(VAR21,VAR134); not VAR116(VAR34,VAR112); not VAR316(VAR234,VAR278); not VAR372(VAR228,VAR54); not VAR27(VAR101,VAR296); not VAR123(VAR333,VAR219); not VAR289(VAR2,VAR454); not VAR298(VAR313,VAR140); not VAR89(VAR35,VAR296); not VAR406(VAR399,VAR201); not VAR366(VAR87,VAR54); not VAR268(VAR259,VAR287); not VAR305(VAR226,VAR117); not VAR164(VAR182,VAR345); not VAR146(VAR453,VAR460); not VAR113(VAR97,VAR180); not VAR456(VAR218,VAR367); not VAR133(VAR210,VAR287); not VAR46(VAR248,VAR26); not VAR375(VAR57,VAR48); not VAR231(VAR391,VAR49); not VAR222(VAR165,VAR36); not VAR53(VAR353,VAR386); not VAR322(VAR178,VAR287); not VAR5(VAR8,VAR105); not VAR166(VAR120,VAR121); not VAR41(VAR246,VAR112); not VAR264(VAR405,VAR134); not VAR351(VAR485,VAR170); not VAR64(VAR332,VAR287); not VAR277(VAR440,VAR219); not VAR252(VAR430,VAR296); not VAR403(VAR352,VAR140); not VAR276(VAR155,VAR425); not VAR411(VAR344,VAR256); not VAR362(VAR338,VAR449); not VAR273(VAR195,VAR7); not VAR292(VAR106,VAR78); not VAR458(VAR263,VAR274); not VAR381(VAR42,VAR148); not VAR15(VAR209,VAR136); not VAR327(VAR290,VAR257); not VAR241(VAR74,VAR441); not VAR204(VAR368,VAR330); not VAR213(VAR128,VAR194); not VAR435(VAR348,VAR412); not VAR28(VAR446,VAR44); not VAR374(VAR469,VAR39); not VAR188(VAR424,VAR94); not VAR168(VAR468,VAR467); not VAR285(VAR464,VAR393); and VAR392(VAR223,VAR17,VAR370); and VAR215(VAR9,VAR460,VAR171); and VAR445(VAR258,VAR265,VAR84); and VAR334(VAR364,VAR345,VAR260); and VAR489(VAR328,VAR117,VAR142); and VAR103(VAR141,VAR287,VAR300); and VAR115(VAR357,VAR83,VAR254); and VAR173(VAR220,VAR49,VAR282); and VAR439(VAR341,VAR267,VAR423); and VAR181(VAR18,VAR48,VAR377); and VAR211(VAR76,VAR26,VAR324); and VAR72(VAR47,VAR355,VAR356); and VAR10(VAR157,VAR278,VAR153); and VAR262(VAR318,VAR112,VAR407); and VAR308(VAR346,VAR361,VAR95); and VAR240(VAR118,VAR121,VAR244); and VAR286(VAR126,VAR105,VAR363); and VAR77(VAR20,VAR306,VAR483); and VAR154(VAR65,VAR54,VAR101); and VAR192(VAR189,VAR296,VAR228); and VAR329(VAR466,VAR219,VAR2); and VAR30(VAR156,VAR454,VAR333); and VAR494(VAR433,VAR87,VAR296); and VAR135(VAR355,VAR462,VAR287); and VAR284(VAR306,VAR100,VAR355); and VAR331(VAR454,VAR96,VAR306); and VAR51(VAR14,VAR212,VAR319); and VAR275(VAR415,VAR14,VAR251); and VAR158(VAR217,VAR212,VAR119); and VAR19(VAR148,VAR212,VAR419); and VAR490(VAR136,VAR212,VAR13); and VAR45(VAR475,VAR212,VAR488); and VAR160(VAR183,VAR14,VAR230); and VAR243(VAR330,VAR14,VAR339); and VAR43(VAR194,VAR14,VAR295); and VAR325(VAR320,VAR14,VAR350); and VAR271(VAR161,VAR415,VAR22); and VAR31(VAR39,VAR415,VAR150); and VAR4(VAR94,VAR415,VAR91); and VAR447(VAR32,VAR415,VAR420); and VAR307(VAR202,VAR71,VAR1); and VAR24(VAR269,VAR287,VAR12); and VAR369(VAR75,VAR217,VAR245); and VAR131(VAR63,VAR37,VAR315); and VAR336(VAR125,VAR183,VAR85); and VAR321(VAR400,VAR475,VAR235); and VAR404(VAR471,VAR161,VAR102); and VAR477(VAR382,VAR320,VAR459); and VAR465(VAR491,VAR32,VAR438); or VAR151(VAR354,VAR186,VAR180,VAR478); or VAR309(VAR306,VAR223,VAR9); or VAR127(VAR145,VAR328,VAR141); or VAR266(VAR312,VAR476,VAR478,VAR397); or VAR206(VAR481,VAR58,VAR36,VAR82); or VAR69(VAR25,VAR357,VAR220); or VAR110(VAR197,VAR76,VAR47); or VAR323(VAR299,VAR152,VAR82,VAR432); or VAR413(VAR359,VAR234,VAR134,VAR34); or VAR137(VAR314,VAR157,VAR318); or VAR337(VAR409,VAR126,VAR20); or VAR190(VAR40,VAR486,VAR34,VAR233); or VAR384(VAR442,VAR358,VAR425,VAR313); or VAR177(VAR249,VAR358,VAR140); or VAR301(VAR261,VAR466,VAR156); or VAR291(VAR169,VAR54,VAR35,VAR313); or VAR431(VAR68,VAR195,VAR263); or VAR92(VAR304,VAR338,VAR106); or VAR434(VAR238,VAR209,VAR74); or VAR139(VAR172,VAR42,VAR290); or VAR73(VAR402,VAR128,VAR446); or VAR225(VAR50,VAR368,VAR348); or VAR214(VAR303,VAR424,VAR464); or VAR340(VAR207,VAR469,VAR468); or VAR108(VAR196,VAR130,VAR191); or VAR163(VAR98,VAR196,VAR436); or VAR229(VAR427,VAR98,VAR90); or VAR104(VAR184,VAR427,VAR491); nand VAR426(VAR355,VAR354,VAR398); nand VAR199(VAR398,VAR312,VAR180); nand VAR62(VAR476,VAR117,VAR345); nand VAR448(VAR265,VAR117,VAR287); nand VAR342(VAR474,VAR481,VAR38); nand VAR387(VAR38,VAR299,VAR36); nand VAR480(VAR152,VAR26,VAR48); nand VAR203(VAR267,VAR26,VAR355); nand VAR129(VAR59,VAR359,VAR253); nand VAR283(VAR253,VAR40,VAR134); nand VAR111(VAR486,VAR105,VAR121); nand VAR302(VAR361,VAR105,VAR306); nand VAR479(VAR138,VAR442,VAR297); nand VAR232(VAR418,VAR249,VAR399); nand VAR365(VAR297,VAR169,VAR425); nand VAR93(VAR54,VAR219,VAR454); nand VAR389(VAR358,VAR87,VAR296); nand VAR242(VAR429,VAR218,VAR182); nand VAR293(VAR367,VAR226,VAR287); nand VAR444(VAR410,VAR353,VAR57); nand VAR487(VAR386,VAR248,VAR287); nand VAR79(VAR185,VAR485,VAR120); nand VAR270(VAR170,VAR8,VAR287); nand VAR388(VAR288,VAR344,VAR430); nand VAR122(VAR256,VAR440,VAR287); nand VAR124(VAR130,VAR68,VAR304,VAR70); nand VAR23(VAR191,VAR238,VAR172,VAR335); nand VAR416(VAR436,VAR402,VAR50,VAR311); nand VAR493(VAR90,VAR303,VAR207,VAR422); nor VAR149(VAR454,VAR258,VAR364); nor VAR461(VAR462,VAR476,VAR175,VAR478); nor VAR373(VAR17,VAR476,VAR397); nor VAR144(VAR281,VAR341,VAR18); nor VAR159(VAR100,VAR152,VAR272,VAR82); nor VAR176(VAR83,VAR152,VAR432); nor VAR99(VAR347,VAR346,VAR118); nor VAR179(VAR96,VAR486,VAR21,VAR34); nor VAR147(VAR278,VAR486,VAR233); nor VAR408(VAR250,VAR65,VAR189); nor VAR224(VAR201,VAR313,VAR433); nor VAR56(VAR71,VAR259,VAR226); nor VAR60(VAR449,VAR182,VAR367); nor VAR360(VAR7,VAR453,VAR429); nor VAR279(VAR37,VAR460,VAR429,VAR97); nor VAR401(VAR212,VAR180,VAR345,VAR460,VAR117); nor VAR380(VAR119,VAR210,VAR248); nor VAR67(VAR419,VAR57,VAR386); nor VAR492(VAR13,VAR391,VAR410); nor VAR61(VAR488,VAR49,VAR410,VAR165); nor VAR132(VAR319,VAR36,VAR48,VAR49,VAR26); nor VAR227(VAR230,VAR178,VAR8); nor VAR378(VAR339,VAR120,VAR170); nor VAR205(VAR295,VAR246,VAR185); nor VAR114(VAR350,VAR112,VAR185,VAR405); nor VAR52(VAR251,VAR134,VAR121,VAR112,VAR105); nor VAR470(VAR22,VAR332,VAR440); nor VAR343(VAR150,VAR430,VAR256); nor VAR437(VAR91,VAR352,VAR288); nor VAR383(VAR420,VAR140,VAR288,VAR155); nor VAR200(VAR70,VAR202,VAR269); nor VAR255(VAR335,VAR75,VAR63); nor VAR143(VAR311,VAR125,VAR400); nor VAR167(VAR422,VAR471,VAR382); endmodule
gpl-3.0
bgelb/digilite_zl
rtl/zl_lfsr.v
1,772
module MODULE1 # ( parameter VAR8 = 0, parameter VAR14 = 0, parameter VAR3 = 0, parameter VAR5 = 0 ) ( input clk, input VAR6, input VAR11, input VAR4, output [VAR14-1:0] VAR13, output [VAR5-1:0] VAR2 ); reg [VAR14-1:0] VAR10; reg [VAR14-1:0] VAR1; reg [VAR5-1:0] VAR15; integer VAR7; function [VAR14-1:0] VAR12; input [VAR14-1:0] VAR9; begin VAR12 = {VAR9[VAR14-2:0], ^(VAR9 & VAR8[VAR14:1])}; end endfunction always @(*) begin VAR1 = VAR10; for(VAR7=0;VAR7<VAR5;VAR7=VAR7+1) begin VAR1 = VAR12(VAR1); VAR15[VAR5-VAR7-1] = VAR1[0]; end end always @(posedge clk or negedge VAR6) begin if(!VAR6) begin VAR10 <= VAR3; end else if (VAR4 && !VAR11) begin VAR10 <= VAR3; end else if (!VAR11) begin VAR10 <= VAR1; end end assign VAR13 = VAR10; assign VAR2 = VAR15; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/fahcin/sky130_fd_sc_hd__fahcin.functional.pp.v
2,782
module MODULE1 ( VAR19, VAR21 , VAR8 , VAR12 , VAR16 , VAR28, VAR4, VAR26 , VAR22 ); output VAR19; output VAR21 ; input VAR8 ; input VAR12 ; input VAR16 ; input VAR28; input VAR4; input VAR26 ; input VAR22 ; wire VAR7 ; wire VAR11 ; wire VAR17 ; wire VAR18 ; wire VAR23 ; wire VAR2 ; wire VAR10 ; wire VAR5; not VAR27 (VAR7 , VAR16 ); xor VAR6 (VAR11 , VAR8, VAR12, VAR7 ); VAR14 VAR20 (VAR17 , VAR11, VAR28, VAR4); buf VAR3 (VAR21 , VAR17 ); and VAR15 (VAR18 , VAR8, VAR12 ); and VAR24 (VAR23 , VAR8, VAR7 ); and VAR25 (VAR2 , VAR12, VAR7 ); or VAR1 (VAR10 , VAR18, VAR23, VAR2 ); VAR14 VAR13 (VAR5, VAR10, VAR28, VAR4); buf VAR9 (VAR19 , VAR5 ); endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v
3,224
if ( VAR16 == VAR1 && VAR10 == VAR30 \ && VAR5 == VAR13 && VAR9 == VAR20 && VAR31 == VAR6) \ begin : VAR33 \ VAR11 VAR3 (.*); \ end module MODULE1 #(parameter [31:0] VAR1=1 ,parameter VAR30=0 ,parameter VAR13=0 ,parameter VAR20=0 ,parameter VAR6=0 ) ( input [4:0][2:0] VAR19 , input VAR18 , input [VAR1-1:0][3:0][1:0] VAR29 , output VAR4 , output [VAR1-1:0] VAR25 , output [VAR1-1:0] VAR2 ); genvar VAR32; wire [VAR1:0] VAR28; else VAR26(VAR8,6,0,0,0,0) else VAR26(VAR8,7,0,0,0,0) else VAR26(VAR8,8,0,0,0,0) else VAR26(VAR15,8,32'b00001000000001000000001000000001,32'b00000000000000000000000000000000,32'b10000000110010001110110011111110,32'b00000000000000000000000000000000) else VAR26(VAR15,6,24'b000010000000010000000010,24'b000000000000000000000000,24'b100000001100100011101100,24'b000000000000000000000000) else VAR26(VAR17,7,28'b0000000000000000000000000000,28'b1000000001000000001000000001,28'b0111001100110001000100000000,28'b0000010000000010000000010000) else VAR26(VAR17,8,32'b00000000000000000000000000000000,32'b00001000000001000000001000000001,32'b01110111001100110001000100000000,32'b10000000010000000010000000010000) else begin: VAR23 for (VAR32 = 0; VAR32 < VAR1; VAR32=VAR32+1) begin: VAR7 localparam VAR21 = (VAR30 >> (VAR32 << 2)) & 4'hf; localparam VAR27 = (VAR13 >> (VAR32 << 2)) & 4'hf; localparam VAR12 = (VAR20 >> (VAR32 << 2)) & 4'hf; localparam VAR24 = (VAR6 >> (VAR32 << 2)) & 4'hf; VAR22 #( .VAR30(VAR21) ,.VAR13(VAR27) ,.VAR20(VAR12) ,.VAR6(VAR24) ) VAR3 (.VAR19(VAR19), .VAR14 (VAR29[VAR32]) , .VAR18(VAR28[VAR32]), .VAR4(VAR28[VAR32+1]), .VAR25 (VAR25[VAR32]), .VAR2 (VAR2[VAR32])); end assign VAR28[0] = VAR18; assign VAR4 = VAR28[VAR1]; end endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o311a/sky130_fd_sc_ls__o311a_2.v
2,422
module MODULE2 ( VAR7 , VAR5 , VAR11 , VAR9 , VAR4 , VAR6 , VAR3, VAR2, VAR12 , VAR10 ); output VAR7 ; input VAR5 ; input VAR11 ; input VAR9 ; input VAR4 ; input VAR6 ; input VAR3; input VAR2; input VAR12 ; input VAR10 ; VAR8 VAR1 ( .VAR7(VAR7), .VAR5(VAR5), .VAR11(VAR11), .VAR9(VAR9), .VAR4(VAR4), .VAR6(VAR6), .VAR3(VAR3), .VAR2(VAR2), .VAR12(VAR12), .VAR10(VAR10) ); endmodule module MODULE2 ( VAR7 , VAR5, VAR11, VAR9, VAR4, VAR6 ); output VAR7 ; input VAR5; input VAR11; input VAR9; input VAR4; input VAR6; supply1 VAR3; supply0 VAR2; supply1 VAR12 ; supply0 VAR10 ; VAR8 VAR1 ( .VAR7(VAR7), .VAR5(VAR5), .VAR11(VAR11), .VAR9(VAR9), .VAR4(VAR4), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a211oi/sky130_fd_sc_hd__a211oi_4.v
2,361
module MODULE1 ( VAR9 , VAR8 , VAR2 , VAR10 , VAR4 , VAR1, VAR3, VAR7 , VAR6 ); output VAR9 ; input VAR8 ; input VAR2 ; input VAR10 ; input VAR4 ; input VAR1; input VAR3; input VAR7 ; input VAR6 ; VAR11 VAR5 ( .VAR9(VAR9), .VAR8(VAR8), .VAR2(VAR2), .VAR10(VAR10), .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3), .VAR7(VAR7), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR9 , VAR8, VAR2, VAR10, VAR4 ); output VAR9 ; input VAR8; input VAR2; input VAR10; input VAR4; supply1 VAR1; supply0 VAR3; supply1 VAR7 ; supply0 VAR6 ; VAR11 VAR5 ( .VAR9(VAR9), .VAR8(VAR8), .VAR2(VAR2), .VAR10(VAR10), .VAR4(VAR4) ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_k7_mig11/mig_7series_v1_1/user_design/rtl/controller/rank_common.v
15,522
module MODULE1 # ( parameter VAR68 = 100, parameter VAR44 = "VAR40", parameter VAR70 = 40, parameter VAR11 = 4, parameter VAR61 = 20, parameter VAR52 = 2, parameter VAR42 = 4, parameter VAR37 = 39, parameter VAR7 = 640000 ) ( VAR3, VAR13, VAR41, VAR28, VAR83, VAR55, VAR35, VAR12, clk, rst, VAR16, VAR22, VAR63, VAR1, VAR30, VAR29, VAR56, VAR73, VAR4 ); function integer VAR36 (input integer VAR54); begin VAR54 = VAR54 - 1; for (VAR36=1; VAR54>1; VAR36=VAR36+1) VAR54 = VAR54 >> 1; end endfunction input clk; input rst; localparam VAR67 = 1; localparam VAR50 = VAR36(VAR70 + 1); input VAR16; reg VAR75; generate begin : VAR80 reg [VAR50-1:0] VAR60; reg [VAR50-1:0] VAR84; wire VAR45 = (VAR60 == VAR67[VAR50-1:0]); always @(VAR16 or VAR60 or VAR45) begin VAR84 = VAR60; if (~VAR16 || VAR45) VAR84 = VAR70[VAR50-1:0]; end else if (|VAR60) VAR84 = VAR60 - VAR67[VAR50-1:0]; end always @(posedge clk) VAR75 <= end endgenerate output wire VAR3; assign VAR3 = VAR75; localparam VAR25 = VAR36(VAR37 + 1); wire VAR62; generate begin : VAR8 reg [VAR25-1:0] VAR39; reg [VAR25-1:0] VAR32; always @(VAR16 or VAR75 or VAR62 or VAR39) begin VAR32 = VAR39; if (~VAR16 || VAR62) VAR32 = VAR37[VAR25-1:0]; end else if (|VAR39 && VAR75) VAR32 = VAR39 - VAR67[VAR25-1:0]; end assign VAR62 = (VAR39 == VAR67[VAR25-1:0]) && VAR75; end endgenerate output wire VAR13; assign VAR13 = VAR62; localparam VAR34 = VAR36(VAR7 + 1); input VAR22; input VAR63; reg VAR71; reg VAR14 = 1'b0; generate if (VAR44 == "VAR40") begin : VAR69 reg VAR48 = 1'b0; if (VAR7 !=0) begin : VAR57 reg [VAR34-1:0] VAR31; reg [VAR34-1:0] VAR66; always @(VAR16 or VAR75 or VAR48 or VAR31) begin VAR66 = VAR31; if (~VAR16 || VAR48) VAR66 = VAR7[VAR34-1:0]; end else if (|VAR31 && VAR75) VAR66 = VAR31 - VAR67[VAR34-1:0]; end always @(VAR75 or VAR31) VAR48 = (VAR31 == VAR67[VAR34-1:0] && VAR75); end begin : VAR46 wire VAR26 = VAR63 && VAR71; reg VAR51; wire VAR27 = ~rst && (VAR44 == "VAR40") && ((~VAR16 && (VAR7 != 0)) || (VAR51 && ~VAR26) || VAR48 || (VAR22 && VAR16)); always @(VAR16 or VAR51) VAR14 = VAR16 && VAR51; end end endgenerate input[VAR42-1:0] VAR1; input VAR30; reg VAR64; reg [VAR52-1:0] VAR79; input [7:0] VAR29; input [7:0] VAR56; generate begin : VAR9 reg VAR17; reg VAR24; wire VAR19 = VAR17 || VAR24 || VAR64 || VAR30; wire [VAR42:0] VAR43 = {VAR14, VAR1[VAR42-1:0]}; wire VAR10 = |VAR43 && ~VAR19; wire [VAR42:0] VAR74; wire [VAR42:0] VAR72; VAR20 # (.VAR5 (VAR42+1)) VAR77 (.VAR33 (VAR74), .VAR49 (VAR72), .VAR18 (VAR17), .VAR15 (VAR72), .req (VAR43), .VAR21 (1'b0), .clk (clk), .rst (rst)); wire [7:0] VAR38 = VAR29 | VAR56; integer VAR2; reg [VAR52-1:0] VAR53; wire VAR76 = ~rst && (VAR17 ? VAR72[VAR42] : VAR71); always @(VAR72 or VAR79 or VAR76 or VAR38 or rst or VAR17) begin if (rst) VAR53 = {VAR52{1'b0}}; end else begin VAR53 = VAR79; if (VAR76) begin VAR53 = VAR79 + VAR67[VAR52-1:0]; for (VAR2=0; VAR2<8; VAR2=VAR2+1) if (~VAR38[VAR53]) VAR53 = VAR53 + VAR67[VAR52-1:0]; end else if (VAR17) for (VAR2=0; VAR2<VAR42; VAR2=VAR2+1) if (VAR72[VAR2]) VAR53 = VAR2[VAR52-1:0]; end end end endgenerate output wire VAR41; assign VAR41 = VAR71; output wire VAR28; assign VAR28 = VAR64; output wire [VAR52-1:0] VAR83; assign VAR83 = VAR79; input [VAR42-1:0] VAR73; reg VAR82; reg [VAR52-1:0] VAR78; input VAR4; output wire [VAR42-1:0] VAR55; output wire VAR35; output wire [VAR52-1:0] VAR12; generate if ( VAR61 != 0 ) begin : VAR23 reg VAR17; wire VAR65 = VAR17 || VAR82; wire VAR10 = VAR16 && (|VAR73 && ~VAR65); wire VAR81 = VAR16 && (VAR17 || (VAR82 && ~VAR4)); wire [VAR42-1:0] VAR47; reg [VAR42-1:0] VAR6; VAR20 # (.VAR5 (VAR42)) VAR58 (.VAR33 (VAR47[VAR42-1:0]), .VAR49 (), .VAR18 (VAR17), .VAR15 (VAR6[VAR42-1:0]), .req (VAR73[VAR42-1:0]), .VAR21 (1'b0), .clk (clk), .rst (rst)); always @(posedge clk) VAR6 = VAR10 ? VAR47 : VAR6; integer VAR2; reg [VAR52-1:0] VAR59; always @(VAR6 or VAR78 or VAR17) begin VAR59 = VAR78; if (VAR17) for (VAR2=0; VAR2<VAR42; VAR2=VAR2+1) if (VAR6[VAR2]) VAR59 = VAR2[VAR52-1:0]; end always @(posedge clk) VAR78 <= assign VAR55 = VAR6 & {VAR42{VAR4}}; assign VAR35 = VAR82; assign VAR12 = VAR78; end else begin assign VAR55 = {VAR42{1'b0}}; assign VAR35 = 1'b0; assign VAR12 = {VAR52{1'b0}}; end endgenerate endmodule
lgpl-3.0
DreamSourceLab/DSLogic-hdl
src/sdramc/sdram_ctl.v
29,653
module MODULE1( VAR144, VAR75, VAR102, VAR129, VAR16, VAR72, VAR160, VAR35, VAR115, VAR4, VAR134, VAR121, VAR162, VAR51, VAR155, VAR66, VAR33, VAR142, VAR135, VAR79, VAR39, VAR19, VAR11, VAR20, VAR74, VAR38, VAR34, VAR46, VAR43, VAR112, VAR118, VAR150, VAR77, VAR147, VAR107, VAR17, VAR67, VAR131, VAR3 ); input VAR144, VAR75; input VAR102, VAR129, VAR16; input [2:0] VAR72; input VAR160, VAR35; input [1:0] VAR115, VAR121; input VAR134; input [1:0] VAR4; input [1:0] VAR162, VAR51, VAR66; input [2:0] VAR155; input [11:0] VAR33; input [3:0] VAR142; input [31:0] VAR135; input VAR79, VAR39; input [15:0] VAR19; output [15:0] VAR11; output VAR20, VAR74; output VAR38; input VAR34; output VAR46; output VAR43, VAR118, VAR150, VAR77; output VAR112; output VAR147; output [1:0] VAR107; output [12:0] VAR17; input [15:0] VAR67; output [15:0] VAR131; output VAR3; parameter VAR87 = 9'b000000001; parameter VAR145 = 9'b000000010; parameter VAR81 = 9'b000000100; parameter VAR18 = 9'b000001000; parameter VAR153 = 9'b000010000; parameter VAR55 = 9'b000100000; parameter VAR111 = 9'b001000000; parameter VAR149 = 9'b010000000; parameter VAR65 = 9'b100000000; reg [8:0] VAR127, VAR125; reg VAR88, VAR90, VAR159, VAR26, VAR23, VAR9, VAR1, VAR101, VAR76; reg VAR49, VAR132, VAR141, VAR140, VAR130; wire VAR42 = VAR26 | VAR23; wire VAR122 = (VAR127 == VAR55); wire VAR22 = (VAR127 == VAR18); reg VAR146 = 1'b0, VAR32; reg VAR83 = 1'b0, VAR21; wire VAR163; always @(posedge VAR144) VAR83 <= VAR60 VAR21; always @( VAR83 or VAR146 or VAR9 or VAR163 ) begin VAR21 = VAR83; if( !VAR146 & VAR9 & VAR163 ) VAR21 = 1; end always @(posedge VAR144) VAR146 <= VAR60 VAR32; always @(VAR146 or VAR83 or VAR9 or VAR163 ) begin VAR32 = VAR146; if( VAR83 & VAR9 & VAR163 ) VAR32 = 1; end reg VAR71 = 1'b0; always @(posedge VAR144) if(VAR101) VAR71 <= 1; wire VAR120; reg VAR109 = 1'b0; wire VAR53 = VAR109; assign VAR38 = VAR79 | VAR39; reg VAR128 = 1'b0; wire VAR27 = VAR128; wire VAR10 = !VAR38 & VAR27; always @(posedge VAR144) VAR128 <= VAR38; reg VAR152 = 1'b0; always @(posedge VAR144) if( VAR1 & VAR10 ) VAR152 <= 1; else if( VAR132 | VAR88 & VAR22 ) VAR152 <= 0; wire VAR156; reg [1:0] VAR47 = 2'b0; wire [1:0] VAR14 = (VAR47 - 1'b1); wire VAR44 = (VAR47 == 2'b0); wire VAR97 = (VAR47 == 2'd1); wire VAR41 = ( VAR90 | VAR88 & VAR156 ) & !VAR53 & VAR38; always @(posedge VAR144) if(VAR41) VAR47 <= VAR162; else if(!VAR44) VAR47 <= VAR14; reg VAR158 = 1'b0; wire VAR54 = VAR129 & !VAR158; always @( posedge VAR144 ) VAR158 <= VAR60 VAR129; reg [1:0] VAR80 = 2'b0; wire [1:0] VAR40 = (VAR80 - 1'b1); assign VAR156 = (VAR80 == 2'b0); wire VAR37 = ( VAR26 | VAR159 | VAR1 | VAR76 ) & VAR49; wire VAR100 = VAR54 | VAR37; always @(posedge VAR144) if(!VAR75) VAR80 <= 2'h3; else if(VAR100) VAR80 <= VAR66; else if(!VAR156) VAR80 <= VAR40; reg [3:0] VAR48 = 4'b0; wire [3:0] VAR15 = (VAR48 - 1'b1); assign VAR163 = (VAR48 == 4'b0); always @( posedge VAR144) if(VAR120) VAR48 <= VAR142; else if( !VAR163 ) VAR48 <= VAR15; reg [2:0] VAR78 = 3'b0; wire [2:0] VAR63 = (VAR78 - 1'b1); wire VAR89 = (VAR78 == 3'b0); wire VAR57 = VAR89; always @(posedge VAR144) if(VAR41) VAR78 <= VAR155; else if(!VAR89) VAR78 <= VAR63; reg [2:0] VAR94 = 3'b0; wire [2:0] VAR114 = (VAR94 - 1'b1); wire VAR157 = (VAR94 == 3'd0); wire VAR56 = (VAR94 == 3'd1); wire VAR5 = VAR79 & VAR44 & VAR1 & !VAR152; wire VAR119 = VAR39 & VAR44 & VAR1 & !VAR152; always @(posedge VAR144) if( VAR5 ) VAR94 <= VAR51 + 2'b10; else if( !VAR157 ) VAR94 <= VAR114; reg [11:0] VAR148 = 12'b0; wire [11:0] VAR136 = VAR148; wire [11:0] VAR164 = (VAR148 - 1'b1); reg VAR110 = 1'b0; always @(posedge VAR144) if(VAR148 == 12'b0) VAR110 <= VAR60 1'b1; else if (VAR130) VAR110 <= VAR60 1'b0; assign VAR120 = (VAR110 & VAR130) | (!VAR146 & VAR90 & VAR130); always @( posedge VAR144) if( !VAR129 ) VAR148 <= VAR60 12'hFFF; else if( VAR110 ) VAR148 <= VAR60 VAR33; else VAR148 <= VAR60 VAR164; always @( posedge VAR144) if( VAR136 == 10 ) VAR109 <= 1; else if( VAR136 == (VAR33 - 3) ) VAR109 <= 0; reg VAR123 = 1'b0; wire VAR45 = VAR123; always @(posedge VAR144) if( VAR42 & VAR53 ) VAR123 <= 1'b1; else VAR123 <= 1'b0; reg VAR113 = 1'b0; wire VAR62 = !VAR113 & VAR146; always @( posedge VAR144 ) VAR113 <= VAR60 VAR146; reg [5:0] VAR96; wire VAR99 = VAR96 == 0; wire VAR46 = VAR99 & VAR90; always @( posedge VAR144) if( !VAR75 ) VAR96 <= VAR60 6'h2F; else if( VAR62 ) VAR96 <= VAR60 6'h00; else if( VAR148 == 32) VAR96 <= VAR60 6'h2F; else if( !VAR99 ) VAR96 <= VAR60 (VAR96 - 1'b1); wire [2:0] VAR31 = VAR72 == 3'b000 ? 0 : VAR72 == 3'b001 ? 1 : VAR72 == 3'b010 ? 3 : 7; wire [2:0] VAR8 = VAR72 == 3'b000 ? 0 : VAR72 == 3'b001 ? VAR135[2] : VAR72 == 3'b010 ? VAR135[3:2] : VAR135[4:2]; wire VAR116 = VAR72 == 3'b111; wire VAR106; reg [2:0] VAR103 = 3'b0; wire VAR154 = ((VAR162 == 0) & VAR41) | ((VAR162 == 1 | VAR162 == 2) & VAR97 & VAR1 ); wire [2:0] VAR117 = (VAR103 - 1'b1); wire VAR143 = (VAR103 == 3'd0); wire VAR30 = (VAR103 == 3'd1); wire VAR137 = VAR143 & !VAR116; always @( posedge VAR144) if( VAR1 & VAR44 | VAR38 & VAR143 & VAR42 ) VAR103 <= VAR31 - VAR8; else if( !VAR143 & VAR74 & !VAR116 ) VAR103 <= VAR117; reg VAR104; always @( VAR135 or VAR4 or VAR34 ) begin case( VAR4 ) 2'b00: VAR104 = ( VAR135[ 9:2] == 8'hFF ); 2'b01: VAR104 = ( VAR135[10:2] == 9'h1FF ); 2'b10: VAR104 = ( VAR135[11:2] == 10'h3FF ); 2'b11: VAR104 = ( VAR135[12:2] == 11'h7FF ); endcase end reg VAR86 = 1'b0; assign VAR106 = VAR86; always @(posedge VAR144) if( VAR74 & VAR104 ) VAR86 <= 1; else VAR86 <= 0; wire VAR29 = VAR79 & VAR143 & !VAR106 & !VAR45 & VAR26; wire VAR161 = VAR39 & VAR143 & !VAR106 & !VAR45 & VAR23; reg VAR69 = 1'b0; wire VAR74 = VAR38 & VAR69 ; always @(posedge VAR144) if( VAR154 & !( VAR10 | VAR152 ) ) VAR69 <= 1'b1; else if( !VAR38 | VAR42 & VAR53 | VAR104 ) VAR69 <= 1'b0; reg VAR3 = 1'b0; wire VAR82 = VAR74; always @(posedge VAR144) if( VAR1 & VAR122 ) VAR3 <= VAR60 1'b1; else if( VAR23 & VAR140 ) VAR3 <= VAR60 1'b0; reg [2:0] VAR126 = 3'b0; wire VAR124 = (VAR126 == 3'b0); wire VAR139 = (VAR126 == 3'd1); wire [2:0] VAR92 = (VAR126 - 1'b1); wire VAR133 = VAR26 & ( !VAR79 | VAR106 | VAR45 ); reg VAR98 = 1'b0; wire VAR28 = VAR133 & !VAR98; always @(posedge VAR144) VAR98 <= VAR133; always @(posedge VAR144) if(VAR28) VAR126 <= VAR51 + 2'b10; else if(!VAR124) VAR126 <= VAR92; reg VAR2 = 1'b0; wire VAR91 = VAR2; always @(posedge VAR144) if(VAR139) VAR2 <= 1'b0; else if(VAR56) VAR2 <= 1'b1; reg VAR105 = 1'b0; wire VAR20 = VAR105; always @(posedge VAR144) VAR105 <= VAR91; reg [15:0] VAR151 = 16'b0; wire [15:0] VAR11 = VAR151; wire [15:0] VAR131 = VAR151; always @(posedge VAR144) if( VAR91 ) VAR151 <= VAR60 VAR67; else if( VAR122 | VAR23 ) VAR151 <= VAR60 VAR19; reg VAR43 = 1'b0; always @(posedge VAR144) begin if(!VAR75 ) VAR43 <= 1; end else if(VAR102 & VAR90) VAR43 <= 0; end reg VAR165, VAR59; wire VAR118 = VAR165; wire VAR7 = VAR140 & !VAR159; wire VAR25 = VAR5 | VAR29; wire VAR73 = VAR119 | VAR161; wire VAR6 = VAR25 | VAR73; always @( VAR165 or VAR100 or VAR120 or VAR6 or VAR41 or VAR141 or VAR7 ) begin VAR59 = VAR165; if(VAR100 | VAR120 | VAR41 | VAR141 ) VAR59 = 1'b0; end else if(VAR6 | VAR7 ) VAR59 = 1'b1; end wire VAR93 = VAR59; always @(posedge VAR144) if(!VAR75) VAR165 <= VAR60 1'b1; else VAR165 <= VAR60 VAR93; reg VAR61, VAR84; wire VAR150 = VAR61; always @( VAR61 or VAR100 or VAR120 or VAR6 or VAR41 or VAR141 or VAR7 ) begin VAR84 = VAR61; if( VAR120 | VAR6 | VAR141 ) VAR84 = 1'b0; end else if( VAR100 | VAR41 | VAR7 ) VAR84 = 1'b1; end wire VAR64 = VAR84; always @(posedge VAR144) if(!VAR75) VAR61 <= VAR60 1; else VAR61 <= VAR60 VAR64; reg VAR24, VAR70; wire VAR77 = VAR24; always @( VAR24 or VAR100 or VAR120 or VAR25 or VAR73 or VAR41 or VAR132 or VAR141 or VAR7 ) begin VAR70 = VAR24; if(VAR100 | VAR73 | VAR141 | VAR7) VAR70 = 1'b0; end else if(VAR120 | VAR41 | VAR25 | VAR132) VAR70 = 1'b1; end wire VAR12 = VAR70; always @(posedge VAR144) if(!VAR75) VAR24 <= VAR60 1'b1; else VAR24 <= VAR60 VAR12; reg VAR36; wire VAR147 = VAR36; always @(posedge VAR144) if( !VAR75 ) VAR36 <= VAR60 1; else if( VAR22 ) VAR36 <= VAR60 0; reg [12:0] VAR95 = 13'b0, VAR52; reg VAR68 = 1'b0, VAR85; reg [1:0] VAR13 = 2'b0, VAR108; assign VAR17 = VAR95; assign VAR112 = VAR68; assign VAR107 = VAR13; always @(posedge VAR144) begin VAR95 <= VAR60 VAR52; VAR68 <= VAR60 VAR85; VAR13 <= VAR60 VAR108; end always @(VAR13 or VAR68 or VAR95 or VAR129 or VAR134 or VAR115 or VAR41 or VAR54 or VAR121 or VAR141 or VAR100 or VAR120 or VAR6 or VAR37 or VAR135 or VAR4 or VAR7 or VAR51 or VAR160 or VAR72) begin VAR52 = VAR95; VAR85 = VAR68; VAR108 = VAR13; case({VAR134,VAR115,VAR4,VAR121}) default: begin if(VAR54 | VAR37 | VAR141 | VAR120 | !VAR129) VAR85 = 1'b0; end else if( VAR41 | VAR6 | VAR7 ) VAR85 = 1'b0; end else VAR85 = 1'b1; if( VAR41 | VAR6 ) VAR108 = VAR135[25:24]; casex({VAR41, VAR6, VAR141, VAR100}) 4'b0001: VAR52[10] = 1'b1; 4'VAR58: VAR52 ={ 6'b0, 1'b0, VAR51, VAR160, VAR72 }; 4'VAR50: VAR52 = VAR135[10:2]; default: VAR52 = VAR135[23:11]; endcase end endcase end always @( VAR125 or VAR146 or VAR54 or VAR129 or VAR16 or VAR156 or VAR39 or VAR44 or VAR106 or VAR79 or VAR137 or VAR53 or VAR38 or VAR71 or VAR110 or VAR152 or VAR45 or VAR57 or VAR163 ) begin VAR90 = 0; VAR26 = 0; VAR88 = 0; VAR23 = 0; VAR1 = 0; VAR9 = 0; VAR159 = 0; VAR101 = 0; VAR76 = 0; VAR132 = 0; VAR49 = 0; VAR141 = 0; VAR140 = 0; VAR130 = 0; VAR127 = VAR125; case (VAR125) VAR87: begin VAR90 = 1; if( VAR54 ) begin VAR49 = 1; VAR127 = VAR149; end else if( VAR110 & VAR71 | VAR129 & !VAR146 ) begin VAR130 = 1; VAR127 = VAR81; end else if(VAR16 & VAR146 & !VAR71) begin VAR141 = 1; VAR127 = VAR145; end else if(VAR38 & !VAR53) begin VAR127 = VAR18; end end VAR145: begin VAR101 = 1; VAR132 = 1; VAR127 = VAR87; end VAR81: begin VAR9 = 1; if( VAR163 ) begin VAR132 = 1; VAR127 = VAR87; end end VAR18: begin VAR1 = 1; if( VAR44 ) begin if( VAR79 & !VAR152 ) VAR127 = VAR153; end else if( VAR39 & !VAR152 ) VAR127 = VAR55; end else if( VAR152 & VAR57 ) begin VAR49 = 1; VAR127 = VAR149; end end end VAR153: begin VAR26 = 1; if( VAR137 & ( !VAR79 | VAR45 ) | VAR106 ) begin if( VAR57 ) begin VAR49 = 1; VAR127 = VAR149; end else VAR127 = VAR65; end else if(!VAR79 | VAR45 ) begin VAR140 = 1; VAR127 = VAR111; end end VAR55: begin VAR23 = 1; if( VAR106 |!VAR39 | VAR45 ) begin VAR140 = 1; VAR127 = VAR111; end end VAR111: begin VAR159 = 1; VAR49 = 1; VAR127 = VAR149; end VAR65 : begin VAR76 = 1; if( VAR57 ) begin VAR49 = 1; VAR127 = VAR149; end end VAR149: begin VAR88 = 1; if( VAR156 ) begin if(VAR38 & !VAR53) begin VAR127 = VAR18; end else begin VAR132 = 1; VAR127 = VAR87; end end end default: begin VAR132 = 1; VAR127 = VAR87; end endcase end wire [8:0] VAR138 = VAR127; always @(posedge VAR144) begin if(!VAR75) VAR125 <= VAR60 VAR87; end else VAR125 <= VAR60 VAR138; end endmodule
gpl-2.0
cmos3511/cmos_linux
python/pj/proj/rtl/LP/csa.v
1,673
module MODULE1(VAR10,VAR3,VAR11,VAR7,VAR9,VAR6,VAR5,VAR1); parameter VAR2 = 16 ; output [VAR2-1:0] VAR10,VAR3; output VAR11; input [VAR2-1:0] VAR7,VAR9,VAR6,VAR5; input VAR1; wire [VAR2:0] VAR13 = {1'b0, VAR7 ^ VAR9 ^ VAR6 }; wire [VAR2:0] VAR4 = { VAR7&VAR9 | VAR7&VAR6 | VAR9&VAR6, VAR1 } ; wire [VAR2:0] VAR14 = { 1'b0, VAR5 }; wire [VAR2:0] VAR8 = VAR13 ^ VAR4 ^ VAR5; wire [VAR2+1:0] VAR12 = { VAR13&VAR4 | VAR13&VAR5 | VAR4&VAR5 , 1'b0 } ; assign VAR10[VAR2-1:0] = VAR8[VAR2-1:0]; assign VAR3[VAR2-1:0] = VAR12[VAR2-1:0]; assign VAR11 = VAR12[VAR2+1] | VAR12[VAR2] | VAR8[VAR2] ; endmodule
gpl-3.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v
2,037
module MODULE1 #(parameter VAR4(VAR10)) (input VAR9 , input VAR19 , input [1:0] VAR2 , input [1:0][VAR10-1:0] VAR18 , output VAR12 , output VAR16 , output VAR8 , output [VAR10-1:0] VAR11 , input VAR7 ); wire VAR1; wire VAR3; logic VAR17; wire VAR5 = ~VAR2[0] | VAR17; wire VAR20 = (VAR3 & VAR2[1]) & VAR5; assign VAR16 = VAR20; VAR13 @(posedge VAR9) VAR17 <= VAR19 ? 1'b0 : (VAR3 ? (VAR2[1] & ~VAR5) : VAR17 ); VAR14 #(.VAR10(VAR10)) VAR6 (.VAR9 (VAR9) ,.VAR19 (VAR19) ,.VAR18 (VAR18[VAR5]) ,.VAR11 (VAR11) ,.VAR8 (VAR1) ,.VAR15 (VAR1 & VAR7) ,.VAR12 (VAR3) ,.VAR2 (| VAR2) ); assign VAR8 = VAR1; assign VAR12 = VAR3 & ~VAR17; endmodule
bsd-3-clause
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/niosII_microc_lab1/niosII_system/synthesis/submodules/niosII_system_sysid_qsys_0.v
1,415
module MODULE1 ( address, VAR1, VAR3, VAR2 ) ; output [ 31: 0] VAR2; input address; input VAR1; input VAR3; wire [ 31: 0] VAR2; assign VAR2 = address ? 1422916617 : 0; endmodule
gpl-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src_previous/lr0.v
2,010
module MODULE1(clk, addr, VAR20, VAR1, VAR24, en, reset); input clk; input [13:2] addr; input [31:0] VAR20; output [31:0] VAR1; input [3:0] VAR24; input en; input reset; VAR26 VAR10( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[3:0]), .VAR7 (VAR24[0]), .VAR14 (VAR1[3:0]) ); VAR26 VAR19( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[7:4]), .VAR7 (VAR24[0]), .VAR14 (VAR1[7:4]) ); VAR26 VAR3( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[11:8]), .VAR7 (VAR24[1]), .VAR14 (VAR1[11:8]) ); VAR26 VAR28( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[15:12]), .VAR7 (VAR24[1]), .VAR14 (VAR1[15:12]) ); VAR26 VAR16( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[19:16]), .VAR7 (VAR24[2]), .VAR14 (VAR1[19:16]) ); VAR26 VAR27( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[23:20]), .VAR7 (VAR24[2]), .VAR14 (VAR1[23:20]) ); VAR26 VAR23( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[27:24]), .VAR7 (VAR24[3]), .VAR14 (VAR1[27:24]) ); VAR26 VAR8( .VAR21 (reset), .address (addr[13:2]), .VAR17 (en), .VAR13 (clk), .VAR18 (VAR20[31:28]), .VAR7 (VAR24[3]), .VAR14 (VAR1[31:28]) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a221oi/sky130_fd_sc_lp__a221oi.pp.blackbox.v
1,436
module MODULE1 ( VAR5 , VAR6 , VAR1 , VAR8 , VAR2 , VAR3 , VAR9, VAR10, VAR4 , VAR7 ); output VAR5 ; input VAR6 ; input VAR1 ; input VAR8 ; input VAR2 ; input VAR3 ; input VAR9; input VAR10; input VAR4 ; input VAR7 ; endmodule
apache-2.0
kevintownsend/convey_spmv
rtl/mac/intermediator.v
11,029
module MODULE1(clk, rst, VAR88, VAR83, VAR98, VAR72, VAR87, VAR114, VAR47, VAR96, VAR20, VAR8, VAR6, VAR48, VAR4, VAR1, VAR74); parameter VAR46 = 1024; parameter VAR9 = VAR19(VAR46 - 1); input clk, rst, VAR88; input [VAR9 - 1:0] VAR83; input [65:0] VAR98; input VAR72; input [VAR9 - 1:0] VAR87; input [65:0] VAR114; output VAR47; output [VAR9 - 1:0] VAR96; output [65:0] VAR20; output [65:0] VAR8; output VAR6; output [65:0] VAR48; input VAR4; output reg VAR1; input VAR74; reg VAR85; reg [65:0] VAR67; reg [VAR9 - 1:0] VAR59; reg VAR32; reg [65:0] VAR102; reg [VAR9 - 1:0] VAR58; always @(posedge clk) begin VAR85 <= VAR88; VAR67 <= VAR98; VAR59 <= VAR83; VAR32 <= VAR72; VAR102 <= VAR114; VAR58 <= VAR87; if(rst) begin VAR85 <= 0; VAR32 <= 0; end end reg VAR51; reg [VAR9 - 1:0] VAR95; reg [65:0] VAR2; reg VAR54; reg [VAR9 - 1:0] VAR76; reg [65:0] VAR21; reg VAR111; reg VAR104; wire [66 + VAR9 - 1:0] VAR79; always @(posedge clk) begin VAR51 <= VAR85; VAR95 <= VAR59; VAR2 <= VAR67; VAR54 <= VAR32; VAR76 <= VAR58; VAR21 <= VAR102; VAR111 <= 0; if(VAR59 == VAR58 && VAR85 && VAR32) begin VAR51 <= 0; VAR54 <= 0; VAR111 <= 1; end if(VAR104) begin VAR51 <= 1; VAR95 <= VAR79[VAR9 - 1:0]; VAR2 <= VAR79[66 + VAR9 - 1 -:66]; end end reg [VAR9 - 1:0] VAR97; reg [VAR9 - 1:0] VAR61; reg [7:0] VAR71; VAR17 VAR71 = 0; reg VAR34; reg [VAR9 - 1:0] VAR109; reg [65:0] VAR23; reg VAR45; reg [VAR9 - 1:0] VAR110; reg [65:0] VAR82; reg VAR44; reg VAR18; reg VAR28; always @(posedge clk) VAR28 <= VAR74; wire VAR10 = !VAR54 && VAR97[VAR9 - 1] != VAR61[VAR9 - 1] && !VAR71[7] && !VAR28; reg VAR89; reg [10:0] VAR64; VAR17 VAR64 = 0; always @(posedge clk) begin if(VAR4) VAR64[9] <= 1; if(VAR64[9] && VAR89) VAR64 <= VAR64 + 1; if(VAR64[10]) VAR64[10] <= 0; if(rst) VAR64 <= 0; end always @(posedge clk) VAR89 <= VAR97 == VAR61; reg VAR92; wire VAR69; wire VAR84; always @* VAR92 = VAR51 && ((!VAR89 && VAR95[VAR9 - 1] != VAR61[VAR9 - 1])); reg VAR27; always @* VAR27 = !VAR69 && VAR89 && !VAR88; always @(posedge clk) VAR104 <= VAR27; VAR90 #(66 + VAR9, 32) VAR112(rst, clk, VAR92, VAR27, {VAR2, VAR95}, VAR79, , VAR69, , , ); always @(posedge clk) VAR1 <= !VAR69 || VAR84; always @(posedge clk) begin VAR34 <= VAR51; VAR109 <= VAR95; VAR23 <= VAR2; VAR45 <= VAR54; VAR110 <= VAR76; VAR82 <= VAR21; VAR44 <= VAR111; VAR18 <= 0; if(VAR10) begin VAR18 <= 1; VAR97 <= VAR97 + 1; VAR110 <= VAR97; end if(VAR92) VAR34 <= 0; if(VAR89 && VAR95[VAR9 - 1] != VAR61[VAR9 - 1] && VAR51 || VAR64[10]) begin if(VAR97 != VAR61) begin end VAR71[7] <= 1; VAR61[VAR9 - 1] <= !VAR61[VAR9 - 1]; end if(VAR71[7]) VAR71 <= VAR71 + 1; if(rst) begin VAR97 <= 0; VAR61 <= 0; end end wire VAR105; wire VAR50; VAR99 #(VAR46) VAR49(clk, rst, VAR34, VAR109, VAR105, VAR45 || (VAR18 && VAR110), VAR110, VAR50); reg VAR53; reg [VAR9 - 1:0] VAR15; reg [65:0] VAR24; reg VAR77; reg [VAR9 - 1:0] VAR103; reg [65:0] VAR36; reg VAR66, VAR65; reg VAR16; reg VAR13; always @(posedge clk) begin VAR53 <= VAR34; VAR15 <= VAR109; VAR24 <= VAR23; VAR77 <= VAR45; VAR103 <= VAR110; VAR36 <= VAR82; VAR66 <= VAR105; VAR65 <= VAR50; VAR13 <= VAR44; VAR16 <= VAR18; end reg VAR75; reg VAR41; reg [VAR9 - 1:0] VAR38; reg [65:0] VAR30; reg VAR31; reg VAR93; reg [VAR9 - 1:0] VAR3; reg [65:0] VAR86; reg VAR42; reg VAR25; always @(posedge clk) begin VAR75 <= VAR53 && ! VAR66; VAR41 <= VAR53 && VAR66; VAR38 <= VAR15; VAR30 <= VAR24; VAR31 <= VAR77 && ! VAR65; VAR93 <= VAR77 && VAR65; VAR3 <= VAR103; VAR86 <= VAR36; VAR42 <= VAR13; VAR25 <= VAR16; end wire [65:0] VAR68, VAR107; reg VAR43; reg [VAR9 - 1:0] VAR29; reg [65:0] VAR35; reg VAR108; reg [VAR9 - 1:0] VAR70; reg [65:0] VAR39; reg VAR81; reg VAR26; VAR55 #(66, VAR46) VAR106(clk, VAR75, VAR38, VAR30, VAR68, VAR31, VAR3, VAR86, VAR107); always @(posedge clk) begin VAR43 <= VAR41; VAR29 <= VAR38; VAR35 <= VAR30; VAR108 <= VAR93; VAR70 <= VAR3; VAR39 <= VAR86; VAR81 <= VAR42; VAR26 <= VAR25; end reg VAR56; reg [VAR9 - 1:0] VAR11; reg [65:0] VAR94; reg [65:0] VAR22; reg VAR78; reg [VAR9 - 1:0] VAR73; reg [65:0] VAR60; reg [65:0] VAR113; reg VAR5; always @(posedge clk) begin VAR56 <= VAR43; VAR11 <= VAR29; VAR94 <= VAR35; VAR22 <= VAR68; VAR78 <= VAR108; VAR73 <= VAR70; VAR60 <= VAR39; VAR113 <= VAR107; VAR5 <= VAR26; if(VAR81) begin VAR56 <= 1; VAR22 <= VAR39; end end assign VAR6 = VAR5; assign VAR48 = VAR113; wire VAR14; wire VAR7 = !VAR14 && !VAR108; reg VAR40; always @(posedge clk) VAR40 <= VAR7; wire [66 + 66 + VAR9 - 1:0] VAR12; wire [VAR9 - 1:0] VAR101 = VAR12[VAR9 - 1:0]; wire [65:0] VAR115 = VAR12[66 + VAR9 - 1 -:66]; wire [65:0] VAR91 = VAR12[66 + 66 + VAR9 - 1 -:66]; VAR90 #(.VAR37(66 + 66 + VAR9), .VAR63(32), .VAR33(16)) VAR80(rst, clk, VAR56, VAR7, {VAR22, VAR94, VAR11}, VAR12, , VAR14, , , VAR84); reg VAR57; reg [VAR9 - 1:0] VAR52; reg [65:0] VAR62; reg [65:0] VAR100; always @(posedge clk) begin VAR57 <= VAR78; VAR52 <= VAR73; VAR62 <= VAR60; VAR100 <= VAR113; if(VAR40) begin VAR57 <= 1; VAR52 <= VAR101; VAR62 <= VAR115; VAR100 <= VAR91; end end assign VAR47 = VAR57; assign VAR96 = VAR52; assign VAR20 = VAR62; assign VAR8 = VAR100; endmodule
apache-2.0
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
bin_Sobel_Filter/ip/Sobel/acl_pipeline.v
4,429
module MODULE1 ( VAR8, VAR38, VAR9, VAR13, VAR31, VAR47, VAR22, VAR36, VAR12, VAR23, VAR5, VAR30, VAR21, VAR17, VAR43, VAR41, VAR16 ); parameter VAR15 = 1; parameter string VAR14 = "VAR19"; input VAR8, VAR38, VAR31, VAR22, VAR5, VAR17, VAR41; output VAR47, VAR13, VAR23, VAR21, VAR43; input VAR9, VAR12, VAR30; output VAR36; output VAR16; generate if (VAR14 == "VAR19") begin wire VAR3, VAR33; wire VAR39, VAR2; wire VAR37, VAR24; VAR32 VAR48( .VAR8(VAR8), .VAR38(VAR38), .VAR20(VAR9), .VAR11(1'b0), .VAR9(1'b1), .VAR13(VAR3), .VAR31(VAR2), .VAR47(VAR47), .VAR22(VAR22), .VAR36(VAR37), .VAR29(VAR12), .VAR10(VAR5), .VAR40(VAR23) ); VAR32 VAR18( .VAR8(VAR8), .VAR38(VAR38), .VAR20(VAR37), .VAR11(1'b0), .VAR9(1'b0), .VAR13(VAR33), .VAR31(VAR39), .VAR47(VAR2), .VAR22(VAR3), .VAR36(VAR24), .VAR29(~VAR30), .VAR10(VAR17), .VAR40(VAR21) ); wire VAR7, VAR25, VAR27; VAR45 VAR28( .VAR8(VAR8), .VAR38(VAR38), .VAR20(1'b1), .VAR11(1'b0), .VAR9(~VAR24), .VAR13(VAR13), .VAR31(VAR31), .VAR47(VAR39), .VAR22(VAR33), .VAR36(VAR36), .VAR35(VAR7), .VAR49(VAR25), .VAR4(VAR27) ); assign VAR43 = VAR7 & VAR25; assign VAR27 = VAR41; assign VAR16 = ~VAR7 & VAR25 & ~VAR41; end else begin wire VAR33; wire VAR39; wire VAR24; wire VAR7, VAR25, VAR27; assign VAR7 = VAR30; assign VAR25 = VAR17 ; assign VAR21 = VAR27; VAR46 VAR34( .clk(VAR8), .reset(~VAR38), .VAR1( VAR22 ), .VAR44(VAR47), .VAR42( VAR13), .VAR6(VAR31) ); assign VAR43 = VAR7 & VAR25; assign VAR27 = VAR41; assign VAR16 = ~VAR7 & VAR25 & ~VAR41; assign VAR23 = 1'b0; end endgenerate endmodule
mit
varunnagpaal/Digital-Hardware-Modelling
xilinx-vivado/gcd/gcd.srcs/sources_1/bd/gcd_block_design/ip/gcd_block_design_rst_ps7_0_100M_0/gcd_block_design_rst_ps7_0_100M_0_stub.v
1,909
module MODULE1(VAR2, VAR7, VAR8, VAR10, VAR5, VAR9, VAR3, VAR6, VAR1, VAR4) ; input VAR2; input VAR7; input VAR8; input VAR10; input VAR5; output VAR9; output [0:0]VAR3; output [0:0]VAR6; output [0:0]VAR1; output [0:0]VAR4; endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/latsnq/gf180mcu_fd_sc_mcu9t5v0__latsnq_2.behavioral.v
2,924
module MODULE1( VAR3, VAR15, VAR11, VAR25 ); input VAR15, VAR3, VAR11; output VAR25; reg VAR19; VAR2 VAR14(.VAR3(VAR3),.VAR15(VAR15),.VAR11(VAR11),.VAR25(VAR25),.VAR19(VAR19)); VAR2 VAR26(.VAR3(VAR3),.VAR15(VAR15),.VAR11(VAR11),.VAR25(VAR25),.VAR19(VAR19)); buf VAR8(VAR24,VAR11); not VAR17(VAR13,VAR15); and VAR12(VAR10,VAR11,VAR13); and VAR23(VAR9,VAR11,VAR15); not VAR5(VAR20,VAR15); not VAR16(VAR21,VAR3); and VAR6(VAR22,VAR21,VAR20); not VAR18(VAR7,VAR3); and VAR4(VAR1,VAR7,VAR15);
apache-2.0
alexforencich/xfcp
lib/eth/rtl/ssio_ddr_in_diff.v
3,193
module MODULE1 # ( parameter VAR13 = "VAR30", parameter VAR10 = "VAR12", parameter VAR24 = "VAR2", parameter VAR22 = 1 ) ( input wire VAR32, input wire VAR25, input wire [VAR22-1:0] VAR11, input wire [VAR22-1:0] VAR20, output wire VAR33, output wire [VAR22-1:0] VAR4, output wire [VAR22-1:0] VAR29 ); wire VAR21; wire [VAR22-1:0] VAR16; genvar VAR23; generate if (VAR13 == "VAR15") begin VAR19 VAR28 ( .VAR18(VAR32), .VAR26(VAR25), .VAR17(VAR21) ); for (VAR23 = 0; VAR23 < VAR22; VAR23 = VAR23 + 1) begin VAR19 VAR5 ( .VAR18(VAR11[VAR23]), .VAR26(VAR20[VAR23]), .VAR17(VAR16[VAR23]) ); end end else if (VAR13 == "VAR9") begin VAR7 VAR14 ( .VAR31(VAR32), .VAR27(VAR25), .VAR6(VAR21) ); for (VAR23 = 0; VAR23 < VAR22; VAR23 = VAR23 + 1) begin VAR7 VAR3 ( .VAR31(VAR11[VAR23]), .VAR27(VAR20[VAR23]), .VAR6(VAR16[VAR23]) ); end end else begin assign VAR21 = VAR32; assign VAR16 = VAR11; end endgenerate VAR8 #( .VAR13(VAR13), .VAR10(VAR10), .VAR24(VAR24), .VAR22(VAR22) ) VAR1( .VAR21(VAR21), .VAR16(VAR16), .VAR33(VAR33), .VAR4(VAR4), .VAR29(VAR29) ); endmodule
mit
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src_previous/tmp/spree/mul_shift_pipe1.v
3,271
module MODULE1(clk, VAR26, VAR19, VAR7, VAR10, VAR20, en, VAR2, VAR3, VAR11, VAR27); parameter VAR24=32; input clk; input VAR26; input [VAR24-1:0] VAR19; input [VAR24-1:0] VAR7; input [5-1:0] VAR10; input [2:0] VAR20; input en; input VAR2; output [VAR24-1:0] VAR3; output [VAR24-1:0] VAR11; output [VAR24-1:0] VAR27; wire VAR8,VAR21, VAR9; assign VAR9=VAR20[2]; assign VAR8=VAR20[1]; assign VAR21=VAR20[0]; wire VAR1,VAR25,VAR14; wire [VAR24:0] VAR18; wire [5-1:0] VAR13; reg [VAR24:0] VAR16; assign VAR18= (VAR9) ? {VAR8&VAR7[VAR24-1],VAR7} : VAR16; VAR28 VAR23 ( .VAR17 (~VAR26), .VAR12 (1'b1), .clk (clk), .VAR22 ({VAR8&VAR19[VAR24-1],VAR19}), .VAR5 (VAR18), .VAR15 ({VAR25,VAR1,VAR11,VAR27}) ); wire VAR4; register VAR6((VAR21 && |VAR10),clk,VAR26,1'b1,VAR4); assign VAR3= (VAR4) ? VAR11 : VAR27; assign {VAR14, VAR13}= (VAR21) ? 32-VAR10 : {1'b0,VAR10}; always@(VAR13 or VAR21) begin VAR16=0; case(VAR13) 0: VAR16[0]=1; 1: VAR16[1]=1; 2: VAR16[2]=1; 3: VAR16[3]=1; 4: VAR16[4]=1; 5: VAR16[5]=1; 6: VAR16[6]=1; 7: VAR16[7]=1; 8: VAR16[8]=1; 9: VAR16[9]=1; 10: VAR16[10]=1; 11: VAR16[11]=1; 12: VAR16[12]=1; 13: VAR16[13]=1; 14: VAR16[14]=1; 15: VAR16[15]=1; 16: VAR16[16]=1; 17: VAR16[17]=1; 18: VAR16[18]=1; 19: VAR16[19]=1; 20: VAR16[20]=1; 21: VAR16[21]=1; 22: VAR16[22]=1; 23: VAR16[23]=1; 24: VAR16[24]=1; 25: VAR16[25]=1; 26: VAR16[26]=1; 27: VAR16[27]=1; 28: VAR16[28]=1; 29: VAR16[29]=1; 30: VAR16[30]=1; 31: VAR16[31]=1; endcase end endmodule
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_f2sdram0_m/altera_jtag_dc_streaming_171/synth/altera_jtag_streaming.v
26,240
module MODULE1 #( parameter VAR105 = 0, parameter VAR60 = 0, parameter VAR29 = 0, parameter VAR20 = 0 ) ( input wire VAR34, input wire VAR47, output reg VAR85, input wire [2:0] VAR119, input wire VAR31, input wire VAR81, input wire VAR2, input wire VAR109, output wire [7:0] VAR79, output wire VAR44, input wire [7:0] VAR58, input wire VAR19, output wire VAR54, input wire VAR15, input wire VAR62, output reg VAR99, output wire VAR75, output reg VAR4, output reg [(VAR20>0?VAR20:1)-1:0] VAR117, output reg VAR106 ); function integer VAR67; input [31:0] VAR115; integer VAR18; begin VAR18 = VAR115; if ( VAR18 <= 0 ) VAR67 = 0; end else begin for(VAR67 = -1; VAR18 > 0; VAR67 = VAR67 + 1) VAR18 = VAR18 >> 1; end end endfunction localparam VAR71 = VAR67(VAR60); localparam VAR32 = VAR67(VAR29); localparam VAR116 = 8; localparam VAR108 = 3; localparam VAR102 = 0; localparam VAR69 = 1; localparam VAR65 = 2; localparam VAR23 = 3; localparam VAR63 = 4; localparam VAR12 = 5; localparam VAR28 = 3; localparam VAR24 = 'h0; localparam VAR9 = 'h1; localparam VAR57 = 'h2; localparam VAR39 = 'h3; localparam VAR33 = 'h0; localparam VAR94 = 'h1; localparam VAR8 = 'h2; reg [1:0] VAR114 = VAR24; reg [1:0] VAR36 = VAR33; reg [ 7:0] VAR37 = 'b0; reg [ 7:0] VAR83 = 'b0; reg VAR93 = 'b0; reg [ 2:0] VAR77 = 'b0; reg [10:0] VAR86 = 'b0; reg [ 8:0] VAR10 = 'b0; reg [VAR20+2:0] VAR21 = 'b0; reg [ 8:0] VAR30 = 'b0; reg [ 7:0] VAR27 = 'b0; reg [ 2:0] VAR48 = 'b0; reg [ 2:0] VAR66 = 'b0; reg [ 3:0] VAR1 = 'b0; reg [ 3:0] VAR49 = 'b0; reg [18:0] VAR55 = 'b0; reg [18:0] VAR101 = 'b0; reg VAR5 = 'b0; reg VAR110 = 'b0; reg VAR41 = 'b0; reg VAR107 = 'b0; reg VAR61 = 'b0; wire VAR51; wire VAR35; wire VAR68; wire VAR16; assign VAR51 = (VAR48 == 1); assign VAR35 = (VAR66 == 1); assign VAR68 = (VAR30[2:0] == 'b0); assign VAR16 = (VAR55 == 'b0); reg [ 7:0] VAR42 = 'b0; reg [15:0] VAR74 = 'b0; reg [9:0] VAR53 = 'b0; reg [2:0] VAR78 = 'b0; reg [2:0] VAR22 = 'b0; wire [7:0] VAR80; wire VAR45; wire VAR17; wire [7:0] VAR92; reg VAR70 = 'b0; reg [7:0] VAR104 = 'b0; reg VAR90 = 'b0; wire [7:0] VAR89; wire VAR113; assign VAR79 = VAR89; assign VAR44 = VAR113; assign VAR54 = VAR17; assign VAR80 = VAR58; assign VAR45 = VAR19; reg VAR25 = 'b0; reg VAR100 = 'b0; reg VAR50 = 'b1; wire VAR14; assign VAR14 = VAR19; wire [18:0] VAR88; wire [18:0] VAR97; wire [18:0] VAR120; assign VAR88 = { VAR53, {8{1'b1}} }; assign VAR97 = (VAR22 == 0) ? 19'h0 : (19'h00080 << VAR22); assign VAR120 = (VAR78 == 0) ? 19'h0 : (19'h00080 << VAR78); wire VAR43; wire VAR87; wire VAR118; wire VAR82; VAR40 #(.VAR112(VAR108)) VAR13 ( .clk(VAR34), .VAR109(1'b1), .din(VAR25), .dout(VAR43)); VAR40 #(.VAR112(VAR108)) VAR84 ( .clk(VAR34), .VAR109(1'b1), .din(VAR62), .dout(VAR87)); VAR40 #(.VAR112(VAR108)) VAR73 ( .clk(VAR34), .VAR109(1'b1), .din(VAR100), .dout(VAR118)); VAR40 #(.VAR112(VAR116)) VAR38 ( .clk(VAR15), .VAR109(VAR50), .din(1'b1), .dout(VAR82)); always @ (posedge VAR15 or negedge VAR82) begin if (~VAR82) begin VAR25 <= 1'b0; end else begin VAR25 <= 1'b1; end end always @ (posedge VAR15) begin VAR100 <= ~VAR100; end always @ (posedge VAR34) begin VAR90 <= 1'b0; VAR70 <= 1'b0; if (VAR119 == VAR102) begin if (VAR31) begin if (VAR42 == 'b0) begin VAR114 <= VAR9; end else begin VAR114 <= VAR24; end VAR27 <= VAR42; VAR1 <= 15; VAR48 <= 0; VAR107 <= 1'b0; VAR61 <= 1'b0; VAR41 <= 1'b0; VAR101 <= 0; end if (VAR81) begin case (VAR114) VAR24: begin VAR27 <= VAR27 - 1'b1; if (VAR27 == 1) begin VAR114 <= VAR9; end end VAR9: begin VAR74 <= {VAR47, VAR74[15:1]}; VAR1 <= VAR1 - 1'b1; if (VAR1 == 3) begin VAR78 <= {VAR47, VAR74[15:14]}; VAR53 <= VAR74[13:4]; VAR114 <= VAR57; VAR107 <= 1'b1; end end VAR57: begin VAR74 <= {VAR47, VAR74[15:1]}; VAR1 <= VAR1 - 1'b1; if (VAR107) begin VAR107 <= 1'b0; if (VAR78 == 3'b111) begin VAR41 <= 1'b1; end VAR55 <= VAR88; end if (VAR1 == 0) begin VAR22 <= {VAR47, VAR74[15:14]}; VAR114 <= VAR39; VAR61 <= 1'b1; end end VAR39: begin VAR37 <= {VAR47, VAR37[7:1]}; if (VAR61) begin VAR61 <= 1'b0; case (VAR22) 3'b111: VAR101 <= VAR88 + 1'b1; 3'b000: VAR101 <= 'b0; default: VAR101 <= VAR97; endcase end VAR48 <= VAR48 - 1'b1; VAR5 <= (VAR101 != 0); if (VAR51 && VAR5) begin VAR101 <= VAR101 - 1'b1; VAR90 <= 1'b1; VAR104 <= {VAR47, VAR37[7:1]}; end end endcase end end if (VAR119 == VAR102) begin if (VAR31) begin VAR36 <= VAR33; if (|VAR42[2:0]) begin VAR30[8:3] <= VAR42[7:3] + 1'b1; VAR30[2:0] <= 3'b0; end else begin VAR30 <= {1'b0, VAR42}; end VAR49 <= 0; VAR66 <= 0; VAR83 <= {{7{1'b0}}, VAR14}; VAR110 <= 0; end if (VAR81) begin VAR83 <= {1'b0, VAR83[7:1]}; case (VAR36) VAR33: begin VAR49 <= VAR49 - 1'b1; if (VAR49 == 2) begin if (VAR30 == 0) begin VAR70 <= VAR41; end end if (VAR49 == 1) begin if (VAR30 == 0) begin VAR36 <= VAR8; VAR110 <= VAR41 || (VAR55<=VAR120+1); VAR83 <= VAR41 ? VAR92 : 8'h4a; end else begin VAR36 <= VAR94; VAR30 <= VAR30 - 1'b1; VAR70 <= 1'b0; VAR83 <= 8'h4a; end end end VAR94: begin VAR30 <= VAR30 - 1'b1; if (VAR68) begin VAR83 <= 8'h4a; end if (VAR30 == 1) begin VAR70 <= VAR41; end if (VAR30 == 0) begin VAR36 <= VAR8; VAR110 <= VAR41 || (VAR55<=VAR120+1); VAR83 <= VAR41 ? VAR92 : 8'h4a; end end VAR8: begin VAR66 <= VAR66 - 1'b1; if (VAR66 == 2) begin VAR70 <= VAR16 ? 1'b0 : VAR110; end if (VAR35) begin if (~VAR16) begin VAR55 <= VAR55 - 1'b1; end VAR110 <= VAR41 || (VAR55<=VAR120+1); VAR83 <= (VAR110 & ~VAR16) ? VAR92 : 8'h4a; end end endcase end end if (VAR119 == VAR69) begin if (VAR31) begin VAR93 <= 1'b0; end if (VAR81) begin VAR93 <= VAR47; end end if (VAR119 == VAR65) begin if (VAR31) begin VAR77 <= {VAR43, VAR118, VAR87}; end if (VAR81) begin VAR77 <= {1'b0, VAR77[2:1]}; end if (VAR2) begin VAR50 <= 1'b0; end else begin VAR50 <= 1'b1; end end if (VAR119 == VAR23) begin if (VAR31) begin VAR86 <= {VAR105[2:0], VAR71[3:0], VAR32[3:0]}; end if (VAR81) begin VAR86 <= {1'b0, VAR86[10:1]}; end end if (VAR119 == VAR63) begin if (VAR31) begin VAR10 <= 'b0; end if (VAR81) begin VAR10 <= {VAR47, VAR10[8:1]}; end if (VAR2) begin {VAR99, VAR42} <= VAR10; end end end always @ * begin if (VAR81) begin case (VAR119) VAR102: VAR85 <= VAR83[0]; VAR69: VAR85 <= VAR93; VAR65: VAR85 <= VAR77[0]; VAR23: VAR85 <= VAR86[0]; VAR63: VAR85 <= VAR10[0]; VAR12: VAR85 <= VAR21[0]; default: VAR85 <= 1'b0; endcase end else begin VAR85 <= 1'b0; end end VAR95 VAR59 ( .clk (VAR34), .VAR109 (VAR109), .VAR6 (), .VAR3 (VAR90), .VAR76 (VAR104), .VAR72 (1'b1), .VAR111 (VAR113), .VAR103 (VAR89) ); VAR26 VAR98 ( .clk (VAR34), .VAR109 (VAR109), .VAR6 (VAR17), .VAR3 (VAR45), .VAR76 (VAR80), .VAR72 (VAR70), .VAR111 (), .VAR103 (VAR92) ); generate if (VAR20 > 0) begin : VAR46 reg [VAR20+2:0] VAR96 = 'b0; reg VAR91 = 1'b0; wire VAR7; reg VAR64; always @ (posedge VAR34) begin if (VAR119 == VAR12) begin if (VAR31) begin VAR21 <= 'b0; VAR21[VAR20+2] <= 1'b1; end if (VAR81) begin VAR21 <= {VAR47, VAR21[VAR20+2:1]}; end if (VAR2) begin VAR96 <= VAR21; VAR91 <= VAR96[VAR20+2] ? 1'b0 : ~VAR91; end end end VAR40 #(.VAR112(VAR116)) VAR11 ( .clk(VAR15), .VAR109(1'b1), .din(VAR96[VAR20+2]), .dout(VAR75)); VAR40 #(.VAR112(VAR116)) VAR52 ( .clk(VAR15), .VAR109(1'b1), .din(VAR91), .dout(VAR7)); always @ (posedge VAR15 or posedge VAR75) begin if (VAR75) begin VAR4 <= 1'b0; VAR64 <= 1'b0; end else begin if ((VAR7 ^ VAR64) && VAR96[VAR20+1]) begin VAR4 <= 1'b1; VAR117 <= VAR96[VAR20:1]; VAR106 <= VAR96[0]; end else begin VAR4 <= 1'b0; end VAR64 <= VAR7; end end end else begin : VAR56 always @ (posedge VAR34) begin VAR21[0] <= 1'b0; end assign VAR75 = 1'b0; always @ (posedge VAR15) begin VAR4 <= 1'b0; VAR106 <= 'b0; VAR117 <= 'b0; end end endgenerate endmodule
mit
trnewman/VT-USRP-daughterboard-drivers_python
usrp/fpga/megacells/pll_bb.v
1,498
module MODULE1 ( VAR2, VAR1); input VAR2; output VAR1; endmodule
gpl-3.0
nyaxt/dmix
resampler_t.v
2,970
module MODULE1; parameter VAR5 = 100000; reg [15:0] VAR16 [VAR5-1:0]; reg [16:0] VAR33; wire [15:0] VAR3 = VAR16[VAR33]; wire [23:0] VAR1 = {VAR3, 8'b0}; reg [23:0] VAR2; parameter VAR13 = 10; reg clk; reg rst; wire [(VAR34-1):0] VAR30 = 2'b00; reg [(VAR34-1):0] VAR31; reg [(24*VAR34-1):0] VAR32; reg [(24*VAR34-1):0] VAR4; reg [(VAR34-1):0] VAR28; wire [23:0] VAR15; wire [5:0] VAR27; VAR9 VAR12(.clk(clk), .addr(VAR27), .VAR24(VAR15)); VAR17 #( .VAR34(VAR34), .VAR23(VAR23), .VAR25(16), .VAR22(4), .VAR10(3), .VAR19(2), .VAR26(2), .VAR21(64), .VAR20(6)) wire [3:0] VAR27; VAR6 VAR11(.clk(clk), .addr(VAR27), .VAR24(VAR15)); VAR17 #( .VAR34(VAR34), .VAR23(VAR23), .VAR25(8), .VAR22(3), .VAR10(2), .VAR19(1), .VAR26(1), .VAR21(32), .VAR20(5)) wire [11:0] VAR27; VAR14 VAR11(.clk(clk), .addr(VAR27), .VAR24(VAR15)); VAR17 #(.VAR34(VAR34), .VAR23(VAR23)) VAR7( .clk(clk), .rst(rst), .VAR30(VAR30), .VAR29(VAR27), .VAR8(VAR15), .VAR31(VAR31), .VAR32(VAR32), .VAR28(VAR28) ); integer VAR18;
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/edfxbp/sky130_fd_sc_ms__edfxbp.pp.blackbox.v
1,398
module MODULE1 ( VAR2 , VAR7 , VAR5 , VAR3 , VAR6 , VAR8, VAR9, VAR4 , VAR1 ); output VAR2 ; output VAR7 ; input VAR5 ; input VAR3 ; input VAR6 ; input VAR8; input VAR9; input VAR4 ; input VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a22o/sky130_fd_sc_hdll__a22o_2.v
2,355
module MODULE1 ( VAR5 , VAR10 , VAR7 , VAR6 , VAR1 , VAR2, VAR9, VAR11 , VAR8 ); output VAR5 ; input VAR10 ; input VAR7 ; input VAR6 ; input VAR1 ; input VAR2; input VAR9; input VAR11 ; input VAR8 ; VAR3 VAR4 ( .VAR5(VAR5), .VAR10(VAR10), .VAR7(VAR7), .VAR6(VAR6), .VAR1(VAR1), .VAR2(VAR2), .VAR9(VAR9), .VAR11(VAR11), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR5 , VAR10, VAR7, VAR6, VAR1 ); output VAR5 ; input VAR10; input VAR7; input VAR6; input VAR1; supply1 VAR2; supply0 VAR9; supply1 VAR11 ; supply0 VAR8 ; VAR3 VAR4 ( .VAR5(VAR5), .VAR10(VAR10), .VAR7(VAR7), .VAR6(VAR6), .VAR1(VAR1) ); endmodule
apache-2.0
quartushaters/project
M1/Part 1/raminout2.v
10,427
module MODULE1 ( VAR51, VAR24, VAR32, VAR15, VAR60, VAR25, VAR14, VAR17, VAR6); input [9:0] VAR51; input [9:0] VAR24; input VAR32; input [31:0] VAR15; input [31:0] VAR60; input VAR25; input VAR14; output [31:0] VAR17; output [31:0] VAR6; tri1 VAR32; tri0 VAR25; tri0 VAR14; wire [31:0] VAR39; wire [31:0] VAR53; wire [31:0] VAR17 = VAR39[31:0]; wire [31:0] VAR6 = VAR53[31:0]; VAR38 VAR47 ( .VAR44 (VAR32), .VAR25 (VAR25), .VAR24 (VAR24), .VAR60 (VAR60), .VAR14 (VAR14), .VAR51 (VAR51), .VAR15 (VAR15), .VAR17 (VAR39), .VAR6 (VAR53), .VAR29 (1'b0), .VAR28 (1'b0), .VAR37 (1'b0), .VAR64 (1'b0), .VAR54 (1'b1), .VAR22 (1'b1), .VAR35 (1'b1), .VAR55 (1'b1), .VAR43 (1'b1), .VAR62 (1'b1), .VAR21 (1'b1), .VAR20 (), .VAR10 (1'b1), .VAR57 (1'b1)); VAR47.VAR31 = "VAR13", VAR47.VAR30 = "VAR2", VAR47.VAR40 = "VAR2", VAR47.VAR36 = "VAR2", VAR47.VAR16 = "VAR2", VAR47.VAR8 = "VAR13", VAR47.VAR41 = "./VAR50/VAR52.VAR42", VAR47.VAR56 = "VAR34 VAR4", VAR47.VAR11 = "VAR38", VAR47.VAR1 = 1024, VAR47.VAR61 = 1024, VAR47.VAR18 = "VAR63", VAR47.VAR5 = "VAR26", VAR47.VAR3 = "VAR26", VAR47.VAR46 = "VAR13", VAR47.VAR49 = "VAR13", VAR47.VAR27 = "VAR7", VAR47.VAR33 = "VAR59", VAR47.VAR48 = 10, VAR47.VAR19 = 10, VAR47.VAR58 = 32, VAR47.VAR45 = 32, VAR47.VAR9 = 1, VAR47.VAR12 = 1, VAR47.VAR23 = "VAR13"; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/tapvgnd/sky130_fd_sc_hs__tapvgnd.blackbox.v
1,213
module MODULE1 (); supply1 VAR1; supply0 VAR2; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
verif/env/cmp/lsu_mon2.v
618,142
module MODULE1 (clk, VAR2); input clk; input VAR2; reg VAR1; begin end begin end begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-2.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/acme/prj/solution1/syn/verilog/image_filter_Loop_1_proc.v
80,818
module MODULE1 ( VAR387, VAR350, VAR137, VAR165, VAR418, VAR345, VAR81, VAR340, VAR414, VAR27, VAR235, VAR337, VAR31, VAR393, VAR228, VAR1, VAR22, VAR245, VAR212, VAR442, VAR45, VAR141, VAR211, VAR102, VAR395, VAR193, VAR128 ); parameter VAR142 = 1'b1; parameter VAR321 = 1'b0; parameter VAR351 = 4'b1; parameter VAR261 = 4'b10; parameter VAR160 = 4'b100; parameter VAR191 = 4'b1000; parameter VAR428 = 32'b00000000000000000000000000000000; parameter VAR157 = 1'b1; parameter VAR326 = 32'b1; parameter VAR155 = 1'b0; parameter VAR26 = 32'b10; parameter VAR312 = 11'b00000000000; parameter VAR322 = 32'b11; parameter VAR392 = 8'b00000000; parameter VAR28 = 11'b11111111111; parameter VAR176 = 11'b1; parameter VAR126 = 12'b111111111111; parameter VAR406 = 12'b000000000000; parameter VAR305 = 13'b11001; parameter VAR307 = 10'b10000000; parameter VAR348 = 6'b000000; parameter VAR396 = 7'b0000000; parameter VAR362 = 32'b1000; parameter VAR339 = 32'b1111; parameter VAR379 = 8'b10000; parameter VAR270 = 22'b10101010110; parameter VAR329 = 8'b110111; parameter VAR357 = 8'b11001101; parameter VAR436 = 32'b1100; parameter VAR192 = 32'b10100; parameter VAR39 = 32'b10011; parameter VAR300 = 8'b11111111; parameter VAR158 = 9'b111100; parameter VAR249 = 9'b100010; parameter VAR49 = 1'b1; input VAR387; input VAR350; input VAR137; output VAR165; input VAR418; output VAR345; output VAR81; input [31:0] VAR340; input [31:0] VAR414; input [7:0] VAR27; input VAR235; output VAR337; input [7:0] VAR31; input VAR393; output VAR228; input [7:0] VAR1; input VAR22; output VAR245; output [7:0] VAR212; input VAR442; output VAR45; output [7:0] VAR141; input VAR211; output VAR102; output [7:0] VAR395; input VAR193; output VAR128; reg VAR165; reg VAR345; reg VAR81; reg VAR337; reg VAR228; reg VAR245; reg VAR45; reg VAR102; reg VAR128; reg VAR10 = 1'b0; reg [3:0] VAR210 = 4'b1; reg VAR352; reg VAR37; reg [10:0] VAR21; wire [11:0] VAR237; reg VAR9; wire [11:0] VAR131; wire [10:0] VAR356; wire [10:0] VAR287; wire [11:0] VAR409; wire [11:0] VAR172; wire [0:0] VAR59; reg VAR320; reg VAR426; wire [10:0] VAR400; reg [10:0] VAR146; wire [0:0] VAR153; reg [0:0] VAR248; wire [0:0] VAR298; reg [0:0] VAR184; wire [0:0] VAR295; reg [0:0] VAR315; wire [0:0] VAR424; reg [0:0] VAR341; wire [0:0] VAR346; reg [0:0] VAR377; reg VAR91; reg VAR162; reg VAR78 = 1'b0; reg [0:0] VAR289; reg VAR284; reg VAR97 = 1'b0; reg VAR296 = 1'b0; reg VAR272 = 1'b0; reg VAR411 = 1'b0; reg VAR354 = 1'b0; reg VAR338 = 1'b0; reg VAR332 = 1'b0; reg VAR427 = 1'b0; reg VAR139 = 1'b0; reg [0:0] VAR234; reg [0:0] VAR304; reg VAR47; reg VAR308 = 1'b0; reg [0:0] VAR121; reg [0:0] VAR148; reg [0:0] VAR143; reg [0:0] VAR434; reg [0:0] VAR213; reg [0:0] VAR115; reg [0:0] VAR88; reg [0:0] VAR251; wire [10:0] VAR324; wire [0:0] VAR173; reg [10:0] VAR62; reg [10:0] VAR199; reg [10:0] VAR347; reg [10:0] VAR441; reg [10:0] VAR175; reg [10:0] VAR328; reg [10:0] VAR241; reg [10:0] VAR343; reg [10:0] VAR82; reg [10:0] VAR286; reg [10:0] VAR236; wire [0:0] VAR327; reg [0:0] VAR278; wire [0:0] VAR292; reg [0:0] VAR113; wire [0:0] VAR376; reg [0:0] VAR367; reg [0:0] VAR233; reg [0:0] VAR378; reg [0:0] VAR71; reg [0:0] VAR398; reg [0:0] VAR412; reg [0:0] VAR353; reg [0:0] VAR163; wire [7:0] VAR370; reg [7:0] VAR342; reg [7:0] VAR386; reg [7:0] VAR164; wire [0:0] VAR76; reg [0:0] VAR46; reg [0:0] VAR334; reg [0:0] VAR399; reg [0:0] VAR34; reg [0:0] VAR132; reg [0:0] VAR123; reg [0:0] VAR116; reg [0:0] VAR264; reg [7:0] VAR73; reg [7:0] VAR111; reg [7:0] VAR4; wire [7:0] VAR36; reg [7:0] VAR135; wire [7:0] VAR13; reg [7:0] VAR41; wire [9:0] VAR40; reg [9:0] VAR239; wire [7:0] VAR72; reg [7:0] VAR6; reg [7:0] VAR306; reg [7:0] VAR229; reg [7:0] VAR100; reg [7:0] VAR360; wire [7:0] VAR288; reg [7:0] VAR273; reg [7:0] VAR391; reg [7:0] VAR279; wire [9:0] VAR309; reg [9:0] VAR223; wire [14:0] VAR11; reg [14:0] VAR271; reg [7:0] VAR80; reg [7:0] VAR244; wire [7:0] VAR256; reg [7:0] VAR437; reg [7:0] VAR419; reg [7:0] VAR107; reg [7:0] VAR311; wire [8:0] VAR44; reg [8:0] VAR299; reg [8:0] VAR69; reg [8:0] VAR169; wire [7:0] VAR435; reg [7:0] VAR222; wire [8:0] VAR259; reg [8:0] VAR232; wire [9:0] VAR83; reg [9:0] VAR335; wire signed [10:0] VAR265; reg signed [10:0] VAR219; wire [10:0] VAR56; reg [10:0] VAR182; wire [7:0] VAR384; reg [7:0] VAR269; wire [7:0] VAR268; reg [7:0] VAR216; wire [7:0] VAR390; reg [7:0] VAR359; reg [7:0] VAR381; wire [0:0] VAR318; reg [0:0] VAR204; wire [0:0] VAR440; reg [0:0] VAR405; reg [8:0] VAR206; reg [7:0] VAR389; reg [7:0] VAR310; wire [7:0] VAR253; wire [7:0] VAR174; reg [7:0] VAR122; wire [7:0] VAR197; reg [7:0] VAR416; wire [10:0] VAR221; reg VAR403; wire [10:0] VAR24; reg VAR16; reg VAR374; wire [7:0] VAR333; wire [10:0] VAR19; reg VAR276; wire [10:0] VAR214; reg VAR369; reg VAR303; wire [7:0] VAR301; wire [10:0] VAR325; reg VAR364; wire [10:0] VAR200; reg VAR55; reg VAR186; wire [7:0] VAR151; wire [10:0] VAR283; reg VAR217; wire [10:0] VAR368; reg VAR54; reg VAR90; wire [7:0] VAR129; wire [10:0] VAR93; reg VAR444; wire [10:0] VAR96; reg VAR238; reg VAR87; wire [7:0] VAR291; wire [10:0] VAR317; reg VAR74; wire [7:0] VAR275; wire [10:0] VAR125; reg VAR20; reg VAR154; wire [7:0] VAR366; reg [10:0] VAR397; reg VAR94; reg VAR145; reg [7:0] VAR181; reg [7:0] VAR194; wire [7:0] VAR133; reg [7:0] VAR198; reg [7:0] VAR208; reg [7:0] VAR402; reg [7:0] VAR430; reg [7:0] VAR118; reg [7:0] VAR422; reg [7:0] VAR361; wire [63:0] VAR14; reg [7:0] VAR23; reg [7:0] VAR373; reg [7:0] VAR262; wire [7:0] VAR42; reg [7:0] VAR388; reg [7:0] VAR150; reg [7:0] VAR187; reg [7:0] VAR109; reg [7:0] VAR130; reg [7:0] VAR215; wire [10:0] VAR106; wire [10:0] VAR190; wire [10:0] VAR297; wire [10:0] VAR149; wire [11:0] VAR202; wire [11:0] VAR365; wire [11:0] VAR64; wire [0:0] VAR147; wire [11:0] VAR105; wire [0:0] VAR7; wire [0:0] VAR120; wire [0:0] VAR425; wire [7:0] VAR385; wire [5:0] VAR25; wire [8:0] VAR302; wire [9:0] VAR119; wire [7:0] VAR179; wire [5:0] VAR43; wire [7:0] VAR401; wire [5:0] VAR168; wire [13:0] VAR185; wire [14:0] VAR156; wire [14:0] VAR240; wire [14:0] VAR161; wire [14:0] VAR224; wire [15:0] VAR124; wire [15:0] VAR429; wire [12:0] VAR75; wire [12:0] VAR52; wire [12:0] VAR8; wire [15:0] VAR85; wire [15:0] VAR205; wire [15:0] VAR431; wire [8:0] VAR281; wire [9:0] VAR266; wire [13:0] VAR171; wire [8:0] VAR372; wire [9:0] VAR5; wire [9:0] VAR101; wire [14:0] VAR18; wire [14:0] VAR103; wire [13:0] VAR314; wire [14:0] VAR227; wire [14:0] VAR77; wire [14:0] VAR355; wire [14:0] VAR167; wire [15:0] VAR313; wire [15:0] VAR3; wire [12:0] VAR68; wire [12:0] VAR242; wire [12:0] VAR33; wire [15:0] VAR201; wire [15:0] VAR323; wire [15:0] VAR363; wire [14:0] VAR183; wire [15:0] VAR140; wire [15:0] VAR92; wire [12:0] VAR413; wire [12:0] VAR243; wire [12:0] VAR375; wire [15:0] VAR99; wire [15:0] VAR86; wire [15:0] VAR159; wire [8:0] VAR247; wire [8:0] VAR108; wire [8:0] VAR225; wire [8:0] VAR407; wire [8:0] VAR433; wire [8:0] VAR58; wire [9:0] VAR260; wire [9:0] VAR410; wire [8:0] VAR79; wire signed [10:0] VAR2; wire [10:0] VAR189; wire [8:0] VAR417; wire signed [10:0] VAR274; wire [10:0] VAR104; wire signed [10:0] VAR51; wire [10:0] VAR358; wire [10:0] VAR166; wire [8:0] VAR250; wire [10:0] VAR344; wire [10:0] VAR63; wire signed [10:0] VAR254; wire [10:0] VAR277; wire [10:0] VAR263; wire [0:0] VAR12; wire [7:0] VAR29; wire [0:0] VAR423; wire [7:0] VAR65; wire [7:0] VAR112; wire [7:0] VAR252; wire [9:0] VAR394; wire [9:0] VAR218; wire [9:0] VAR439; wire [9:0] VAR15; wire [11:0] VAR331; wire [21:0] VAR246; wire [0:0] VAR290; wire [0:0] VAR267; wire [7:0] VAR50; wire [7:0] VAR209; wire [8:0] VAR203; wire [0:0] VAR438; wire [7:0] VAR32; wire [8:0] VAR188; wire [0:0] VAR282; wire [7:0] VAR127; reg VAR177; reg VAR207; reg VAR180; reg VAR67; reg [3:0] VAR319; wire [21:0] VAR195; wire [12:0] VAR285; wire [12:0] VAR196; wire [12:0] VAR280; reg VAR258; reg VAR257; reg VAR178; reg VAR35; VAR415 #( .VAR170( 8 ), .VAR382( 1921 ), .VAR60( 11 )) VAR66( .clk( VAR387 ), .reset( VAR350 ), .VAR152( VAR221 ), .VAR38( VAR403 ), .VAR98( VAR72 ), .VAR330( VAR24 ), .VAR383( VAR16 ), .VAR57( VAR374 ), .VAR230( VAR333 ) ); VAR415 #( .VAR170( 8 ), .VAR382( 1921 ), .VAR60( 11 )) VAR371( .clk( VAR387 ), .reset( VAR350 ), .VAR152( VAR19 ), .VAR38( VAR276 ), .VAR98( VAR288 ), .VAR330( VAR214 ), .VAR383( VAR369 ), .VAR57( VAR303 ), .VAR230( VAR301 ) ); VAR415 #( .VAR170( 8 ), .VAR382( 1921 ), .VAR60( 11 )) VAR110( .clk( VAR387 ), .reset( VAR350 ), .VAR152( VAR325 ), .VAR38( VAR364 ), .VAR98( VAR370 ), .VAR330( VAR200 ), .VAR383( VAR55 ), .VAR57( VAR186 ), .VAR230( VAR151 ) ); VAR415 #( .VAR170( 8 ), .VAR382( 1921 ), .VAR60( 11 )) VAR95( .clk( VAR387 ), .reset( VAR350 ), .VAR152( VAR283 ), .VAR38( VAR217 ), .VAR98( VAR36 ), .VAR330( VAR368 ), .VAR383( VAR54 ), .VAR57( VAR90 ), .VAR230( VAR129 ) ); VAR415 #( .VAR170( 8 ), .VAR382( 1921 ), .VAR60( 11 )) VAR138( .clk( VAR387 ), .reset( VAR350 ), .VAR152( VAR93 ), .VAR38( VAR444 ), .VAR98( VAR13 ), .VAR330( VAR96 ), .VAR383( VAR238 ), .VAR57( VAR87 ), .VAR230( VAR291 ) ); VAR415 #( .VAR170( 8 ), .VAR382( 1921 ), .VAR60( 11 )) VAR255( .clk( VAR387 ), .reset( VAR350 ), .VAR152( VAR317 ), .VAR38( VAR74 ), .VAR98( VAR275 ), .VAR330( VAR125 ), .VAR383( VAR20 ), .VAR57( VAR154 ), .VAR230( VAR366 ) ); VAR336 #( .VAR117( 1 ), .VAR316( 3 ), .VAR408( 8 ), .VAR349( 6 ), .VAR231( 13 )) VAR432( .clk( VAR387 ), .reset( VAR350 ), .VAR136( VAR385 ), .VAR30( VAR25 ), .VAR420( VAR177 ), .dout( VAR75 ) ); VAR336 #( .VAR117( 1 ), .VAR316( 3 ), .VAR408( 8 ), .VAR349( 6 ), .VAR231( 13 )) VAR48( .clk( VAR387 ), .reset( VAR350 ), .VAR136( VAR179 ), .VAR30( VAR43 ), .VAR420( VAR207 ), .dout( VAR68 ) ); VAR336 #( .VAR117( 1 ), .VAR316( 3 ), .VAR408( 8 ), .VAR349( 6 ), .VAR231( 13 )) VAR17( .clk( VAR387 ), .reset( VAR350 ), .VAR136( VAR401 ), .VAR30( VAR168 ), .VAR420( VAR180 ), .dout( VAR413 ) ); VAR144 #( .VAR117( 1 ), .VAR316( 2 ), .VAR408( 10 ), .VAR349( 12 ), .VAR231( 22 )) VAR114( .clk( VAR387 ), .reset( VAR350 ), .VAR136( VAR15 ), .VAR30( VAR331 ), .VAR420( VAR67 ), .dout( VAR246 ) ); always @ (posedge VAR387) begin : VAR70 if (VAR350 == 1'b1) begin VAR210 <= VAR351; end else begin VAR210 <= VAR319; end end always @ (posedge VAR387) begin : VAR89 if (VAR350 == 1'b1) begin VAR10 <= VAR321; end else begin if ((VAR142 == VAR418)) begin VAR10 <= VAR321; end else if (((VAR142 == VAR320) & ~(VAR59 == VAR155))) begin VAR10 <= VAR142; end end end always @ (posedge VAR387) begin : VAR226 if (VAR350 == 1'b1) begin VAR78 <= VAR321; end else begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ~(VAR155 == VAR346))) begin VAR78 <= VAR321; end else if (((VAR142 == VAR320) & (VAR59 == VAR155))) begin VAR78 <= VAR142; end end end always @ (posedge VAR387) begin : VAR380 if (VAR350 == 1'b1) begin VAR97 <= VAR321; end else begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR97 <= VAR78; end else if (((VAR142 == VAR320) & (VAR59 == VAR155))) begin VAR97 <= VAR321; end end end always @ (posedge VAR387) begin : VAR134 if (VAR350 == 1'b1) begin VAR308 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR308 <= VAR139; end else if (((VAR142 == VAR320) & (VAR59 == VAR155))) begin VAR308 <= VAR321; end end end always @ (posedge VAR387) begin : VAR220 if (VAR350 == 1'b1) begin VAR296 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR296 <= VAR97; end end end always @ (posedge VAR387) begin : VAR293 if (VAR350 == 1'b1) begin VAR272 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR272 <= VAR296; end end end always @ (posedge VAR387) begin : VAR53 if (VAR350 == 1'b1) begin VAR411 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR411 <= VAR272; end end end always @ (posedge VAR387) begin : VAR404 if (VAR350 == 1'b1) begin VAR354 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin if (~(VAR142 == VAR272)) begin VAR354 <= VAR321; end else if ((VAR142 == VAR272)) begin VAR354 <= VAR411; end end end end always @ (posedge VAR387) begin : VAR61 if (VAR350 == 1'b1) begin VAR338 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR338 <= VAR354; end end end always @ (posedge VAR387) begin : VAR443 if (VAR350 == 1'b1) begin VAR332 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR332 <= VAR338; end end end always @ (posedge VAR387) begin : VAR421 if (VAR350 == 1'b1) begin VAR427 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR427 <= VAR332; end end end always @ (posedge VAR387) begin : VAR294 if (VAR350 == 1'b1) begin VAR139 <= VAR321; end else begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR139 <= VAR427; end end end always @(posedge VAR387) begin if (VAR257) begin if (VAR258) begin VAR194 <= VAR253; end else if ((VAR49 == VAR49)) begin VAR194 <= VAR181; end end end always @(posedge VAR387) begin if (VAR35) begin if (VAR178) begin VAR198 <= VAR392; end else if ((VAR49 == VAR49)) begin VAR198 <= VAR133; end end end always @(posedge VAR387) begin if (((VAR142 == VAR91) & (VAR142 == VAR78) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR346))) begin VAR21 <= VAR324; end else if (((VAR142 == VAR320) & (VAR59 == VAR155))) begin VAR21 <= VAR312; end end always @(posedge VAR387) begin if ((VAR142 == VAR94)) begin VAR397 <= VAR146; end else if (((VAR142 == VAR352) & ~VAR9)) begin VAR397 <= VAR312; end end always @(posedge VAR387) begin if (((VAR142 == VAR91) & (VAR155 == VAR377) & ~(VAR155 == VAR289) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR23 <= VAR1; VAR373 <= VAR31; VAR150 <= VAR27; end end always @(posedge VAR387) begin if (~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))) begin VAR206 <= {{VAR246[VAR192 : VAR436]}}; VAR111 <= VAR73; VAR381 <= VAR359; VAR148 <= VAR121; VAR143 <= VAR148; VAR434 <= VAR143; VAR213 <= VAR434; VAR115 <= VAR213; VAR88 <= VAR115; VAR251 <= VAR88; VAR233 <= VAR367; VAR378 <= VAR233; VAR71 <= VAR378; VAR398 <= VAR71; VAR412 <= VAR398; VAR353 <= VAR412; VAR163 <= VAR353; VAR304 <= VAR163; VAR334 <= VAR46; VAR399 <= VAR334; VAR34 <= VAR399; VAR132 <= VAR34; VAR123 <= VAR132; VAR116 <= VAR123; VAR264 <= VAR116; VAR306 <= VAR6; VAR229 <= VAR306; VAR100 <= VAR229; VAR360 <= VAR100; VAR391 <= VAR273; VAR386 <= VAR342; VAR164 <= VAR386; VAR310 <= VAR389; VAR69 <= VAR299; VAR169 <= VAR69; VAR419 <= VAR437; VAR244 <= VAR80; VAR389 <= {{VAR246[VAR39 : VAR436]}}; VAR416 <= VAR197; VAR122 <= VAR174; VAR80 <= VAR215; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR121))) begin VAR73 <= VAR373; VAR41 <= VAR13; VAR4 <= VAR150; VAR135 <= VAR36; VAR6 <= VAR72; VAR273 <= VAR288; VAR239[1] <= VAR40[1]; VAR239[2] <= VAR40[2]; VAR239[3] <= VAR40[3]; VAR239[4] <= VAR40[4]; VAR239[5] <= VAR40[5]; VAR239[6] <= VAR40[6]; VAR239[7] <= VAR40[7]; VAR239[8] <= VAR40[8]; VAR239[9] <= VAR40[9]; end end always @(posedge VAR387) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR208 <= VAR198; end end always @(posedge VAR387) begin if (((VAR142 == VAR272) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR402 <= VAR208; end end always @(posedge VAR387) begin if (((VAR142 == VAR411) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR430 <= VAR402; end end always @(posedge VAR387) begin if (((VAR142 == VAR354) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR118 <= VAR430; end end always @(posedge VAR387) begin if (((VAR142 == VAR338) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR422 <= VAR118; end end always @(posedge VAR387) begin if (((VAR142 == VAR332) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR361 <= VAR422; end end always @(posedge VAR387) begin if (((VAR142 == VAR427) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR181 <= VAR361; end end always @(posedge VAR387) begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR121 <= VAR377; VAR241 <= VAR328; VAR82 <= VAR343; VAR236 <= VAR286; VAR199 <= VAR62; VAR441 <= VAR347; VAR367 <= VAR234; VAR377 <= VAR346; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR115) & (VAR155 == VAR123))) begin VAR359 <= VAR390; end end always @(posedge VAR387) begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR346))) begin VAR328 <= VAR14; VAR343 <= VAR14; VAR286 <= VAR14; VAR62 <= VAR14; VAR347 <= VAR14; VAR175 <= VAR14; VAR234 <= VAR376; VAR289 <= VAR173; VAR278 <= VAR327; VAR113 <= VAR292; end end always @(posedge VAR387) begin if (((VAR142 == VAR91) & (VAR155 == VAR377) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR46 <= VAR76; VAR342 <= VAR370; end end always @(posedge VAR387) begin if ((VAR142 == VAR320)) begin VAR146 <= VAR400; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR148))) begin VAR223[1] <= VAR309[1]; VAR223[2] <= VAR309[2]; VAR223[3] <= VAR309[3]; VAR223[4] <= VAR309[4]; VAR223[5] <= VAR309[5]; VAR223[6] <= VAR309[6]; VAR223[7] <= VAR309[7]; VAR223[8] <= VAR309[8]; VAR223[9] <= VAR309[9]; VAR271[1] <= VAR11[1]; VAR271[2] <= VAR11[2]; VAR271[3] <= VAR11[3]; VAR271[4] <= VAR11[4]; VAR271[5] <= VAR11[5]; VAR271[6] <= VAR11[6]; VAR271[7] <= VAR11[7]; VAR271[8] <= VAR11[8]; VAR271[9] <= VAR11[9]; VAR271[10] <= VAR11[10]; VAR271[11] <= VAR11[11]; VAR271[12] <= VAR11[12]; VAR271[13] <= VAR11[13]; VAR271[14] <= VAR11[14]; VAR279 <= {{VAR431[VAR339 : VAR362]}}; end end always @(posedge VAR387) begin if (((VAR142 == VAR320) & (VAR59 == VAR155))) begin VAR248 <= VAR153; VAR184 <= VAR298; VAR315 <= VAR295; VAR341 <= VAR424; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR88) & (VAR155 == VAR116))) begin VAR204 <= VAR318; VAR405 <= VAR440; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR213) & (VAR155 == VAR132))) begin VAR269 <= VAR384; VAR216 <= VAR268; VAR219 <= VAR265; VAR182 <= VAR56; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR143))) begin VAR299 <= VAR44; VAR107 <= {{VAR363[VAR339 : VAR362]}}; VAR311 <= {{VAR159[VAR339 : VAR362]}}; VAR437 <= VAR256; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR434) & (VAR155 == VAR34))) begin VAR232 <= VAR259; VAR335 <= VAR83; end end always @(posedge VAR387) begin if (((VAR142 == VAR354) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR434))) begin VAR262 <= VAR42; VAR388 <= VAR262; end end always @(posedge VAR387) begin if ((~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR434))) begin VAR222 <= VAR435; end end always @(posedge VAR387) begin if (((VAR142 == VAR338) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR213))) begin VAR187 <= VAR222; VAR109 <= VAR187; VAR130 <= VAR244; end end always @(posedge VAR387) begin if (((VAR142 == VAR411) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR143))) begin VAR215 <= VAR256; end end always @ (VAR10 or VAR59 or VAR320) begin if (((VAR142 == VAR10) | ((VAR142 == VAR320) & ~(VAR59 == VAR155)))) begin VAR165 = VAR142; end else begin VAR165 = VAR321; end end always @ (VAR137 or VAR352) begin if ((~(VAR142 == VAR137) & (VAR142 == VAR352))) begin VAR345 = VAR142; end else begin VAR345 = VAR321; end end always @ (VAR59 or VAR320) begin if (((VAR142 == VAR320) & ~(VAR59 == VAR155))) begin VAR81 = VAR142; end else begin VAR81 = VAR321; end end always @ (VAR162) begin if (VAR162) begin VAR91 = VAR142; end else begin VAR91 = VAR321; end end always @ (VAR145) begin if (VAR145) begin VAR94 = VAR142; end else begin VAR94 = VAR321; end end always @ (VAR37) begin if (VAR37) begin VAR352 = VAR142; end else begin VAR352 = VAR321; end end always @ (VAR426) begin if (VAR426) begin VAR320 = VAR142; end else begin VAR320 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR67 = VAR142; end else begin VAR67 = VAR321; end end always @ (VAR377 or VAR91 or VAR284 or VAR97 or VAR47 or VAR308 or VAR121 or VAR148) begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ((VAR155 == VAR377) | (VAR155 == VAR121) | (VAR155 == VAR148)))) begin VAR177 = VAR142; end else begin VAR177 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308 or VAR121 or VAR148 or VAR143) begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ((VAR155 == VAR121) | (VAR155 == VAR148) | (VAR155 == VAR143)))) begin VAR207 = VAR142; end else begin VAR207 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308 or VAR121 or VAR148 or VAR143) begin if (((VAR142 == VAR91) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ((VAR155 == VAR121) | (VAR155 == VAR148) | (VAR155 == VAR143)))) begin VAR180 = VAR142; end else begin VAR180 = VAR321; end end always @ (VAR377 or VAR91 or VAR289 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR155 == VAR377) & ~(VAR155 == VAR289) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR337 = VAR142; end else begin VAR337 = VAR321; end end always @ (VAR377 or VAR91 or VAR289 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR155 == VAR377) & ~(VAR155 == VAR289) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR228 = VAR142; end else begin VAR228 = VAR321; end end always @ (VAR377 or VAR91 or VAR289 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR155 == VAR377) & ~(VAR155 == VAR289) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR245 = VAR142; end else begin VAR245 = VAR321; end end always @ (VAR284 or VAR97 or VAR304 or VAR47 or VAR308) begin if ((~(VAR155 == VAR304) & (VAR142 == VAR308) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR45 = VAR142; end else begin VAR45 = VAR321; end end always @ (VAR284 or VAR97 or VAR304 or VAR47 or VAR308) begin if ((~(VAR155 == VAR304) & (VAR142 == VAR308) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR102 = VAR142; end else begin VAR102 = VAR321; end end always @ (VAR284 or VAR97 or VAR304 or VAR47 or VAR308) begin if ((~(VAR155 == VAR304) & (VAR142 == VAR308) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR128 = VAR142; end else begin VAR128 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR403 = VAR142; end else begin VAR403 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR16 = VAR142; end else begin VAR16 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308 or VAR121) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR121))) begin VAR374 = VAR142; end else begin VAR374 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR276 = VAR142; end else begin VAR276 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR369 = VAR142; end else begin VAR369 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308 or VAR121) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR121))) begin VAR303 = VAR142; end else begin VAR303 = VAR321; end end always @ (VAR91 or VAR78 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR142 == VAR78) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR364 = VAR142; end else begin VAR364 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR55 = VAR142; end else begin VAR55 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308 or VAR121) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR121))) begin VAR186 = VAR142; end else begin VAR186 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR217 = VAR142; end else begin VAR217 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR54 = VAR142; end else begin VAR54 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308 or VAR121) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR121))) begin VAR90 = VAR142; end else begin VAR90 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR444 = VAR142; end else begin VAR444 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR238 = VAR142; end else begin VAR238 = VAR321; end end always @ (VAR284 or VAR97 or VAR296 or VAR47 or VAR308 or VAR121) begin if (((VAR142 == VAR296) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & (VAR155 == VAR121))) begin VAR87 = VAR142; end else begin VAR87 = VAR321; end end always @ (VAR91 or VAR78 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR142 == VAR78) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR74 = VAR142; end else begin VAR74 = VAR321; end end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR20 = VAR142; end else begin VAR20 = VAR321; end end always @ (VAR377 or VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin if (((VAR142 == VAR91) & (VAR155 == VAR377) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))))) begin VAR154 = VAR142; end else begin VAR154 = VAR321; end end always @ (VAR210 or VAR9 or VAR59 or VAR284 or VAR97 or VAR272 or VAR411 or VAR354 or VAR139 or VAR47 or VAR308) begin case (VAR210) VAR351 : begin if (~VAR9) begin VAR319 = VAR261; end else begin VAR319 = VAR351; end end VAR261 : begin if (~(VAR59 == VAR155)) begin VAR319 = VAR351; end else begin VAR319 = VAR160; end end VAR160 : begin if ((~((VAR142 == VAR308) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ~(VAR142 == VAR139)) & ~((VAR142 == VAR411) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ~(VAR142 == VAR272) & ~(VAR142 == VAR354)))) begin VAR319 = VAR160; end else if ((((VAR142 == VAR308) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ~(VAR142 == VAR139)) | ((VAR142 == VAR411) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308))) & ~(VAR142 == VAR272) & ~(VAR142 == VAR354)))) begin VAR319 = VAR191; end else begin VAR319 = VAR160; end end VAR191 : begin VAR319 = VAR261; end default : begin VAR319 = 'VAR84; end endcase end assign VAR188 = (VAR206 + VAR249); assign VAR203 = (VAR206 + VAR158); assign VAR133 = 'VAR84; always @ (VAR210) begin VAR162 = (VAR157 == VAR210[VAR26]); end always @ (VAR235 or VAR393 or VAR22 or VAR377 or VAR289) begin VAR284 = (((VAR235 == VAR321) & (VAR155 == VAR377) & ~(VAR155 == VAR289)) | ((VAR155 == VAR377) & ~(VAR155 == VAR289) & (VAR393 == VAR321)) | ((VAR155 == VAR377) & ~(VAR155 == VAR289) & (VAR22 == VAR321))); end always @ (VAR442 or VAR211 or VAR193 or VAR304) begin VAR47 = (((VAR442 == VAR321) & ~(VAR155 == VAR304)) | (~(VAR155 == VAR304) & (VAR211 == VAR321)) | (~(VAR155 == VAR304) & (VAR193 == VAR321))); end always @ (VAR210) begin VAR37 = (VAR210[VAR428] == VAR157); end always @ (VAR251 or VAR264) begin VAR258 = ((VAR155 == VAR251) & (VAR155 == VAR264)); end always @ (VAR210) begin VAR145 = (VAR157 == VAR210[VAR322]); end always @ (VAR284 or VAR97 or VAR139 or VAR47 or VAR308) begin VAR257 = ((VAR142 == VAR139) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))); end always @ (VAR91 or VAR284 or VAR97 or VAR47 or VAR308) begin VAR35 = ((VAR142 == VAR91) & (VAR142 == VAR97) & ~((VAR284 & (VAR142 == VAR97)) | (VAR47 & (VAR142 == VAR308)))); end always @ (VAR377 or VAR76) begin VAR178 = ((VAR155 == VAR377) & ~(VAR155 == VAR76)); end always @ (VAR137 or VAR10) begin VAR9 = ((VAR137 == VAR321) | (VAR10 == VAR142)); end always @ (VAR210) begin VAR426 = (VAR157 == VAR210[VAR326]); end assign VAR324 = (VAR21 + VAR176); assign VAR64 = VAR21; assign VAR253 = ((VAR267)? VAR50: VAR209); assign VAR209 = (VAR381 ^ VAR300); assign VAR390 = (VAR112 + VAR252); assign VAR59 = (VAR397 == VAR287? 1'b1: 1'b0); assign VAR346 = (VAR21 == VAR356? 1'b1: 1'b0); assign VAR15 = VAR195; assign VAR195 = VAR439; assign VAR331 = VAR270; assign VAR385 = VAR285; assign VAR285 = VAR275; assign VAR25 = VAR305; assign VAR179 = VAR196; assign VAR196 = VAR342; assign VAR43 = VAR305; assign VAR401 = VAR280; assign VAR280 = VAR23; assign VAR168 = VAR305; assign VAR212 = (VAR310 + VAR194); assign VAR141 = (VAR416 + VAR194); assign VAR395 = (VAR122 + VAR194); assign VAR221 = VAR328; assign VAR24 = VAR241; assign VAR333 = VAR150; assign VAR19 = VAR343; assign VAR214 = VAR82; assign VAR301 = VAR373; assign VAR325 = VAR14; assign VAR200 = VAR236; assign VAR151 = VAR23; assign VAR283 = VAR62; assign VAR368 = VAR199; assign VAR129 = VAR72; assign VAR93 = VAR347; assign VAR96 = VAR441; assign VAR291 = VAR288; assign VAR317 = VAR14; assign VAR125 = VAR175; assign VAR366 = VAR370; assign VAR290 = (VAR204 ^ VAR157); assign VAR376 = (VAR315 & VAR425); assign VAR76 = (VAR113 | VAR278); assign VAR173 = (VAR248 & VAR147); assign VAR105 = ((VAR64) + (VAR126)); assign VAR365 = ((VAR202) + (VAR126)); assign VAR50 = ((VAR290)? VAR300: VAR392); assign VAR103 = VAR171; assign VAR355 = VAR314; assign VAR161 = VAR185; assign VAR171 = {{VAR4}, {VAR348}}; assign VAR314 = {{VAR306}, {VAR348}}; assign VAR185 = {{VAR135}, {VAR348}}; assign VAR5 = VAR372; assign VAR266 = VAR281; assign VAR119 = VAR302; assign VAR372 = {{VAR4}, {VAR155}}; assign VAR281 = {{VAR6}, {VAR155}}; assign VAR302 = {{VAR36}, {VAR155}}; assign VAR189 = VAR79; assign VAR79 = {{VAR222}, {VAR155}}; assign VAR313 = VAR227; assign VAR140 = VAR183; assign VAR63 = VAR250; assign VAR124 = VAR156; assign VAR227 = {{VAR391}, {VAR396}}; assign VAR183 = {{VAR111}, {VAR396}}; assign VAR250 = {{VAR244}, {VAR155}}; assign VAR156 = {{VAR41}, {VAR396}}; assign VAR400 = (VAR397 + VAR176); assign VAR202 = VAR397; assign VAR197 = ((VAR282)? VAR300: VAR127); assign VAR174 = ((VAR438)? VAR300: VAR32); assign VAR327 = (VAR184 | VAR7); assign VAR292 = (VAR341 | VAR120); assign VAR58 = (VAR433 + VAR407); assign VAR40 = (VAR119 + VAR307); assign VAR205 = (VAR124 + VAR429); assign VAR8 = (VAR75 + VAR52); assign VAR309 = (VAR266 + VAR307); assign VAR240 = VAR239; assign VAR85 = VAR8; assign VAR77 = VAR223; assign VAR323 = (VAR313 + VAR3); assign VAR201 = VAR33; assign VAR18 = VAR101; assign VAR99 = VAR375; assign VAR260 = VAR58; assign VAR33 = (VAR68 + VAR242); assign VAR101 = (VAR5 + VAR307); assign VAR86 = (VAR140 + VAR92); assign VAR375 = (VAR413 + VAR243); assign VAR287 = (VAR106 + VAR176); assign VAR153 = ((VAR202) < (VAR409)? 1'b1: 1'b0); assign VAR423 = ((VAR182) > (11'b00000000000)? 1'b1: 1'b0); assign VAR298 = (VAR365 == VAR406? 1'b1: 1'b0); assign VAR295 = (VAR397 != VAR312? 1'b1: 1'b0); assign VAR147 = ((VAR64) < (VAR172)? 1'b1: 1'b0); assign VAR237 = VAR190; assign VAR318 = (VAR359 < VAR329? 1'b1: 1'b0); assign VAR131 = VAR149; assign VAR14 = VAR21; assign VAR7 = (VAR105 == VAR406? 1'b1: 1'b0); assign VAR440 = (VAR359 > VAR357? 1'b1: 1'b0); assign VAR120 = (VAR105 == VAR131? 1'b1: 1'b0); assign VAR407 = VAR388; assign VAR433 = VAR42; assign VAR277 = VAR130; assign VAR358 = VAR419; assign VAR425 = (VAR21 != VAR312? 1'b1: 1'b0); assign VAR218 = VAR360; assign VAR104 = VAR417; assign VAR417 = {{VAR109}, {VAR155}}; assign VAR409 = VAR340[11:0]; assign VAR29 = (VAR392 - VAR269); assign VAR410 = VAR225; assign VAR225 = {{VAR262}, {VAR155}}; assign VAR252 = ((VAR12)? VAR269: VAR29); assign VAR172 = VAR414[11:0]; assign VAR65 = (VAR392 - VAR216); assign VAR112 = ((VAR423)? VAR216: VAR65); assign VAR267 = (VAR204 | VAR405); assign VAR384 = VAR265[7:0]; assign VAR268 = VAR56[7:0]; assign VAR243 = VAR111; assign VAR242 = VAR391; assign VAR52 = VAR41; assign VAR394 = VAR169; assign VAR297 = VAR414[10:0]; assign VAR44 = (VAR247 + VAR108); assign VAR438 = VAR203[VAR362]; assign VAR32 = VAR203[7:0]; assign VAR282 = VAR188[VAR362]; assign VAR127 = VAR188[7:0]; assign VAR439 = (VAR394 + VAR218); assign VAR3 = VAR167; assign VAR92 = VAR271; assign VAR429 = VAR224; assign VAR167 = (VAR77 + VAR355); assign VAR11 = (VAR18 + VAR103); assign VAR224 = (VAR240 + VAR161); assign VAR190 = ((VAR106) + (VAR28)); assign VAR149 = ((VAR297) + (VAR28)); assign VAR363 = (VAR201 + VAR323); assign VAR159 = (VAR99 + VAR86); assign VAR431 = (VAR85 + VAR205); assign VAR356 = (VAR297 + VAR176); assign VAR108 = VAR164; assign VAR247 = VAR391; assign VAR106 = VAR340[10:0]; assign VAR12 = ((VAR219) > (11'b00000000000)? 1'b1: 1'b0); assign VAR424 = (VAR365 == VAR237? 1'b1: 1'b0); assign VAR2 = (VAR232); assign VAR259 = (VAR407 - VAR433); assign VAR274 = ((VAR2) - (VAR189)); assign VAR51 = ((VAR274) + (VAR104)); assign VAR265 = ((VAR254) + (VAR277)); assign VAR254 = ((VAR51) - (VAR358)); assign VAR166 = VAR335; assign VAR83 = (VAR260 + VAR410); assign VAR263 = (VAR344 - VAR63); assign VAR56 = (VAR263 - VAR277); assign VAR344 = (VAR166 - VAR358); assign VAR42 = (VAR311 + VAR379); assign VAR435 = (VAR107 + VAR379); assign VAR256 = (VAR279 + VAR379); always @ (posedge VAR387) begin VAR239[0] <= 1'b0; VAR223[0] <= 1'b0; VAR271[0] <= 1'b0; end endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/dffrnq/gf180mcu_fd_sc_mcu9t5v0__dffrnq_4.behavioral.pp.v
3,700
module MODULE1( VAR34, VAR19, VAR31, VAR5, VAR26, VAR14 ); input VAR34, VAR19, VAR31; inout VAR26, VAR14; output VAR5; reg VAR3; VAR30 VAR33(.VAR34(VAR34),.VAR19(VAR19),.VAR31(VAR31),.VAR5(VAR5),.VAR26(VAR26),.VAR14(VAR14),.VAR3(VAR3)); VAR30 VAR32(.VAR34(VAR34),.VAR19(VAR19),.VAR31(VAR31),.VAR5(VAR5),.VAR26(VAR26),.VAR14(VAR14),.VAR3(VAR3)); not VAR27(VAR21,VAR19); and VAR15(VAR23,VAR31,VAR21); and VAR7(VAR8,VAR31,VAR19); buf VAR2(VAR9,VAR31); not VAR28(VAR13,VAR34); not VAR10(VAR11,VAR19); and VAR12(VAR18,VAR11,VAR13); not VAR24(VAR4,VAR34); and VAR20(VAR25,VAR19,VAR4); not VAR6(VAR17,VAR19); and VAR1(VAR16,VAR17,VAR34); and VAR22(VAR29,VAR19,VAR34);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlrbp/sky130_fd_sc_ms__dlrbp.behavioral.pp.v
2,490
module MODULE1 ( VAR17 , VAR16 , VAR23, VAR15 , VAR10 , VAR13 , VAR19 , VAR7 , VAR24 ); output VAR17 ; output VAR16 ; input VAR23; input VAR15 ; input VAR10 ; input VAR13 ; input VAR19 ; input VAR7 ; input VAR24 ; wire VAR6 ; reg VAR8 ; wire VAR3 ; wire VAR14 ; wire VAR18 ; wire VAR20; wire VAR1 ; wire VAR21 ; wire VAR12 ; wire VAR4 ; not VAR11 (VAR6 , VAR20 ); VAR5 VAR9 (VAR1 , VAR3, VAR14, VAR6, VAR8, VAR13, VAR19); assign VAR21 = ( VAR13 === 1'b1 ); assign VAR12 = ( VAR21 && ( VAR20 === 1'b1 ) ); assign VAR4 = ( VAR21 && ( VAR23 === 1'b1 ) ); buf VAR22 (VAR17 , VAR1 ); not VAR2 (VAR16 , VAR1 ); endmodule
apache-2.0
manu3193/TextEditor
SVN/text_editor_top.v
24,091
module MODULE1( VAR78, VAR106, VAR17, VAR80, VAR20, VAR52, VAR187, VAR111, VAR158, VAR54, VAR148, VAR154, VAR41, VAR147, VAR11, VAR92, VAR95, VAR42, VAR77, VAR184, VAR22, VAR60 ); input VAR11, VAR92, VAR95, VAR42, VAR77; input VAR60; wire VAR171, VAR119, VAR137, VAR50, VAR155; input VAR52; input VAR187; inout VAR111, VAR158; output VAR78, VAR106, VAR17, VAR80, VAR20; output VAR54, VAR148, VAR154, VAR41, VAR147; wire VAR23, VAR52; wire VAR89, VAR88, VAR176, VAR99, VAR53; wire [1:0] VAR37; reg [26:0] VAR68; reg [3:0] VAR46; wire [3:0] VAR180, VAR139, VAR192, VAR170; reg [7:0] VAR105; wire [7:0] VAR10; wire VAR167; reg [7:0] VAR91; reg [8:0] VAR141; reg [8:0] VAR58; reg VAR185; wire [7:0] VAR182; wire [9:0] VAR38; reg VAR154, VAR41, VAR147; assign VAR23 = VAR187; assign {VAR78, VAR106, VAR17, VAR80, VAR20} = 5'b11111; VAR129 VAR2 (VAR89, VAR52); always @(posedge VAR89, posedge VAR23) begin if (VAR23) VAR68 <= 0; end else VAR68 <= VAR68 + 1'b1; end assign VAR88 = VAR89; assign VAR176 = VAR68[0]; assign VAR99 = VAR68[1]; assign VAR53 = VAR68[26]; parameter VAR19 = 10'd512; parameter VAR90 = VAR19 - 10'd2; parameter VAR193 = 10'd16; parameter VAR110 = 10'd2; parameter VAR183 = 10'd18; parameter VAR71 = 10'd29; wire VAR75, VAR127, VAR97; wire VAR109, VAR35, VAR66; wire [9:0] VAR188; wire [9:0] VAR108; wire VAR98, VAR117, VAR195, VAR151; wire VAR84; output wire [2:0] VAR184; output wire [2:0]VAR22; reg [9:0] VAR143; reg [9:0] VAR123; reg [9:0] VAR164; wire [2:0] VAR134, VAR135; wire VAR163, VAR125; wire VAR162; wire [9:0] VAR96; wire [9:0] VAR36; wire [9:0] VAR144; wire [9:0] VAR27; assign VAR144 = VAR96 / VAR188; assign VAR27 = VAR36 / VAR188; wire VAR166; assign VAR166 = VAR144 < VAR193 * VAR143 && VAR27 < VAR193 * VAR123; wire [0:255] VAR76; assign VAR76 = VAR144 % VAR193 + VAR27 % VAR193 * VAR193; wire VAR73; assign VAR73 = VAR38 == VAR141 && VAR118[VAR76] && VAR53; assign VAR38 = (VAR144 / VAR193 + VAR27 / VAR193 * VAR143 + VAR164 * VAR143) < VAR19 - 1'b1 ? (VAR144 / VAR193 + VAR27 / VAR193 * VAR143 + VAR164 * VAR143) : VAR19 - 1'b1; VAR131 VAR146( .clk(VAR99), .reset(VAR23), .VAR54(VAR54), .VAR148(VAR148), .VAR162(VAR162), .VAR96(VAR96), .VAR36(VAR36) ); VAR130 VAR6( .clk(VAR88), .VAR44(VAR96), .VAR177(VAR36), .VAR135(VAR135), .VAR149({VAR66,VAR35,VAR109}), .VAR178(VAR108), .VAR14(VAR84), .VAR125(VAR125), .VAR1(VAR60) ); VAR122 VAR55( .clk(VAR88), .reset(VAR23), .VAR128(VAR184), .VAR152(VAR96), .VAR132(VAR36), .VAR107(VAR163), .VAR39(VAR134), .VAR1(VAR60) ); always @(posedge VAR99) begin if(VAR162) begin if(VAR125) begin VAR154<=VAR135[0]; VAR41<=VAR135[1]; VAR147<=VAR135[2]; end else if (VAR163) begin VAR154<=VAR134[0]; VAR41<=VAR134[1]; VAR147<=VAR134[2]; end else begin VAR154 <= VAR62; VAR41 <= VAR113; VAR147 <= VAR79; end end else begin VAR154 <= 1'b0; VAR41 <= 1'b0; VAR147 <= 1'b0; end end wire VAR62 = !(VAR166 && ((~VAR73 && VAR75 && VAR5[VAR76]) || (VAR73 && !VAR75) || (VAR73 && VAR75 && VAR127 && VAR97))); wire VAR79 = !(VAR166 && ((~VAR73 && VAR97 && VAR5[VAR76]) || (VAR73 && !VAR97))); wire VAR113 = !(VAR166 && ((~VAR73 && VAR127 && VAR5[VAR76]) || (VAR73 && !VAR127))); wire [0:255] VAR5; assign VAR5 = VAR182 == 8'h70 ? VAR142 : VAR182 == 8'h49 ? VAR86 : VAR182 == 8'h41 ? VAR159 : VAR182 == 8'h52 ? VAR49 : (VAR182 == 8'h1C && VAR98)? VAR150 : (VAR182 == 8'h32 && VAR98)? VAR100 : (VAR182 == 8'h21 && VAR98)? VAR13 : (VAR182 == 8'h23 && VAR98)? VAR115 : (VAR182 == 8'h24 && VAR98)? VAR59 : (VAR182 == 8'h2B && VAR98)? VAR165 : (VAR182 == 8'h34 && VAR98)? VAR83 : (VAR182 == 8'h33 && VAR98)? VAR34 : (VAR182 == 8'h43 && VAR98)? VAR145 : (VAR182 == 8'h3B && VAR98)? VAR161 : (VAR182 == 8'h42 && VAR98)? VAR140 : (VAR182 == 8'h4B && VAR98)? VAR33 : (VAR182 == 8'h3A && VAR98)? VAR157 : (VAR182 == 8'h31 && VAR98)? VAR28 : (VAR182 == 8'h44 && VAR98)? VAR61 : (VAR182 == 8'h4D && VAR98)? VAR87 : (VAR182 == 8'h15 && VAR98)? VAR82 : (VAR182 == 8'h2D && VAR98)? VAR189 : (VAR182 == 8'h1B && VAR98)? VAR175 : (VAR182 == 8'h2C && VAR98)? VAR160 : (VAR182 == 8'h3C && VAR98)? VAR190 : (VAR182 == 8'h2A && VAR98)? VAR74 : (VAR182 == 8'h1D && VAR98)? VAR136 : (VAR182 == 8'h22 && VAR98)? VAR72 : (VAR182 == 8'h35 && VAR98)? VAR138 : (VAR182 == 8'h1A && VAR98)? VAR24 : (VAR182 == 8'h1C && !VAR98)? VAR120 : (VAR182 == 8'h32 && !VAR98)? VAR191 : (VAR182 == 8'h21 && !VAR98)? VAR57 : (VAR182 == 8'h23 && !VAR98)? VAR8 : (VAR182 == 8'h24 && !VAR98)? VAR85 : (VAR182 == 8'h2B && !VAR98)? VAR56 : (VAR182 == 8'h34 && !VAR98)? VAR156 : (VAR182 == 8'h33 && !VAR98)? VAR81 : (VAR182 == 8'h43 && !VAR98)? VAR3 : (VAR182 == 8'h3B && !VAR98)? VAR25 : (VAR182 == 8'h42 && !VAR98)? VAR186 : (VAR182 == 8'h4B && !VAR98)? VAR18 : (VAR182 == 8'h3A && !VAR98)? VAR7 : (VAR182 == 8'h31 && !VAR98)? VAR116 : (VAR182 == 8'h44 && !VAR98)? VAR70 : (VAR182 == 8'h4D && !VAR98)? VAR101 : (VAR182 == 8'h15 && !VAR98)? VAR64 : (VAR182 == 8'h2D && !VAR98)? VAR31 : (VAR182 == 8'h1B && !VAR98)? VAR4 : (VAR182 == 8'h2C && !VAR98)? VAR93 : (VAR182 == 8'h3C && !VAR98)? VAR9 : (VAR182 == 8'h2A && !VAR98)? VAR21 : (VAR182 == 8'h1D && !VAR98)? VAR172 : (VAR182 == 8'h22 && !VAR98)? VAR194 : (VAR182 == 8'h35 && !VAR98)? VAR121 : (VAR182 == 8'h1A && !VAR98)? VAR153 : VAR182 == 8'h16 ? VAR103 : VAR182 == 8'h1E ? VAR169 : VAR182 == 8'h26 ? VAR67 : VAR182 == 8'h25 ? VAR126 : VAR182 == 8'h2E ? VAR26 : VAR182 == 8'h36 ? VAR102 : VAR182 == 8'h3D ? VAR94 : VAR182 == 8'h3E ? VAR112 : VAR182 == 8'h46 ? VAR69 : VAR182 == 8'h45 ? VAR179 : 256'd0; parameter [0:255] VAR118 = 256'hC000C000C000C000C000C000C000C000C000C000C000C000C000C000C000C000; parameter [0:255] VAR142 = 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF; parameter [0:255] VAR86 = 256'h0000000000000000000000000000000000000000000000000000E000E000E000; parameter [0:255] VAR159 = 256'h000000000000000000000000000000000000000000000000000070007000E000; parameter [0:255] VAR49 = 256'h070007000E000000000000000000000000000000000000000000000000000000; parameter [0:255] VAR40 = 256'hF000F000F000F000F000F000F000F000F000F000F00000000000F000F000F000; parameter [0:255] VAR150 = 256'h00001FE03870387070387038E01CE01CE01CFFFCFFFCE01CE01CE01CE01CE01C; parameter [0:255] VAR100 = 256'h0000FFC0FFF0F078F03CF03CF038FFE0FFE0F038F03CF03CF03CF07CFFF8FFE0; parameter [0:255] VAR13 = 256'h00001FF07FFCF81EF01EE000E000E000E000E000E000E000E01EF01E7FFC1FF0; parameter [0:255] VAR115 = 256'h0000FFE0FFF8F03CF01CF00EF00EF00EF00EF00EF00EF00EF01CF03CFFF8FFE0; parameter [0:255] VAR59 = 256'h0000FFFEFFFEE000E000E000E000FFFEFFFEE000E000E000E000E000FFFEFFFE; parameter [0:255] VAR165 = 256'h0000FFFEFFFEF000F000F000F000FFFEFFFEF000F000F000F000F000F000F000; parameter [0:255] VAR83 = 256'h00003FF07FF8F01EE00EC000C000C000C000C07EC07EC00EC00EF01E7FF83FF0; parameter [0:255] VAR34 = 256'h0000E00EE00EE00EE00EE00EE00EFFFEFFFEE00EE00EE00EE00EE00EE00EE00E; parameter [0:255] VAR145 = 256'h0000FFFCFFFC07800780078007800780078007800780078007800780FFFCFFFC; parameter [0:255] VAR161 = 256'h00003FFC3FFC001C001C001C001C001C001C001CE01CE01CE01CF03C7FF83FF0; parameter [0:255] VAR140 = 256'h0000E00EE00EE01CE038E070E0E0FFC0FFC0E0E0E070E038E01CE00EE00EE00E; parameter [0:255] VAR33 = 256'h0000E000E000E000E000E000E000E000E000E000E000E000E000E000FFFCFFFC; parameter [0:255] VAR157 = 256'h0000F87CFCFCFCFCECDCEFDCE79CE31CE01CE01CE01CE01CE01CE01CE01CE01C; parameter [0:255] VAR28 = 256'h0000F81CF81CEC1CEC1CE61CE61CE31CE31CE31CE19CE19CE0DCE0DCE07CE07C; parameter [0:255] VAR61 = 256'h00003FF07878E01CE01CE01CE01CE01CE01CE01CE01CE01CE01CF03C78783FF0; parameter [0:255] VAR87 = 256'h0000FFC0FFF8F07CF03CF03CF03CF07CFFF8FFC0F000F000F000F000F000F000; parameter [0:255] VAR82 = 256'h00003FF07878E01CE01CE01CE01CE01CE01CE01CE01CE01CE01CF03C787C0FDE; parameter [0:255] VAR189 = 256'h0000FFF0FFFCF01EF01EF01EF01EFFF0FFC0F0F0F078F03CF03CF01EF01EF01E; parameter [0:255] VAR175 = 256'h00000FF03FFCE01EE00EE00EF0007FF01FFC001EE00EE00EF00E781E3FFC07F8; parameter [0:255] VAR160 = 256'h0000FFFEFFFE0380038003800380038003800380038003800380038003800380; parameter [0:255] VAR190 = 256'h0000E00EE00EE00EE00EE00EE00EE00EE00EE00EE00EE00EE00EE00E783C1FF0; parameter [0:255] VAR74 = 256'h0000E00EF01EF01E783C783C3C783C783C781EF01EF00FE00FE007C003800100; parameter [0:255] VAR136 = 256'h0000E01CE01CE01CE01CE01CE01CE01CE01CE31CE79CEFDCECDCFCFCFCFCF87C; parameter [0:255] VAR72 = 256'h0000F01EF01E78783CF03CF01FE00FC007800FC01FE03CF03CF07878F03CF03C; parameter [0:255] VAR138 = 256'h0000E00EE00E701C781C3C780FE007C003800380038003800380038003800380; parameter [0:255] VAR24 = 256'h0000FFFEFFFE001E003C007800F001E003C00F001E003C007800F000FFFEFFFE; parameter [0:255] VAR103 = 256'h0000003C00FC01DC039C071C001C001C001C001C001C001C001C001C001C001C; parameter [0:255] VAR169 = 256'h00000FF01FF8381C001C001C001C0038007000E001C0038007001C003FFC3FFC; parameter [0:255] VAR67 = 256'h00003FFC3FFC000C00180060018003C000E0003000380038003800383FF03FC0; parameter [0:255] VAR126 = 256'h0000007C00DC019C031C061C0C1C181C301C3FFC001C001C001C001C001C001C; parameter [0:255] VAR26 = 256'h00003FFC3FFC3000300030003FC001E00070003000380038007000E03FC03F00; parameter [0:255] VAR102 = 256'h000000E003800E0018003800380038003FE03FF03C18381838181C181FF00FE0; parameter [0:255] VAR94 = 256'h00003FFC3FFC000C000C000C00180030006000C00180030006000C0018003000; parameter [0:255] VAR112 = 256'h00001FF83FFC381C381C381C3FFC3FFC381C381C381C381C381C381C3FFC1FF8; parameter [0:255] VAR69 = 256'h00001FFC3FFC381C300C300C381C1FFC0FFC000C000C000C000C000C007C007C; parameter [0:255] VAR179 = 256'h00001FF83FFC381C381C381C381C381C381C381C381C381C381C381C3FFC1FF8; parameter [0:255] VAR120 = 256'h00000000000000000000000007F007F80018000C03FC0FFC0C0C0C0C0FFC07FC; parameter [0:255] VAR191 = 256'h0000E000E000E000E000E000FFF8FFFCE01CE01CE01CE01CE01CE01CFFFCFFFC; parameter [0:255] VAR57 = 256'h000000000000000000001FF07FFCF81EF01EE000E000E000E01EF01E7FFC1FF0; parameter [0:255] VAR8 = 256'h0000000E000E000E000E000E000E1FFE7FFE781E700E700E701E383E1FFE0FCE; parameter [0:255] VAR85 = 256'h000000000000000000001FF03FF8701CE01CE01CFFFCFFFCE000E0007FFC3FFC; parameter [0:255] VAR56 = 256'h0000000000003FFE7FFE7000F000F000F000FFFEFFFEF000F000F000F000F000; parameter [0:255] VAR156 = 256'h00000000000007E00FF01818380C300C300C1C0C0FFC001C001C381C1FFC0FF8; parameter [0:255] VAR81 = 256'h0000E000E000E000E000E000E000FFFEFFFEE00EE00EE00EE00EE00EE00EE00E; parameter [0:255] VAR3 = 256'h0000000000000000078007800780000000000780078007800780078007800780; parameter [0:255] VAR25 = 256'h000000000000001C001C001C00000000001C001CE01CE01CE01CF03C7FF83FF0; parameter [0:255] VAR186 = 256'h0000000000000000E00EE00EE01CE038E070E0E0FFC0FFE0E070E070E070E070; parameter [0:255] VAR18 = 256'h0000038003800380038003800380038003800380038003800380038003800380; parameter [0:255] VAR7 = 256'h00000000000000000000000000000000FCFCFCDCEFDCE79CE31CE01CE01CE01C; parameter [0:255] VAR116 = 256'h00000000000000000000000000000000E7F8FFFCF01CE01CE01CE01CE01CE01C; parameter [0:255] VAR70 = 256'h00000000000000000000000000003FF07878E01CE01CE01CE01CF03C78783FF0; parameter [0:255] VAR101 = 256'h0000000000000000FFC0FFF8F07CF03CF03CF03CF07CFFF8FFC0F000F000F000; parameter [0:255] VAR64 = 256'h000000000000000003FF1FFF3E0F3C0F3C0F3C0F3E0F1FFF03FF000F000F000F; parameter [0:255] VAR31 = 256'h0000000000000000000000000000F3FCF7FCFE00FC00F800F000F000F000F000; parameter [0:255] VAR4 = 256'h0000000000000000000000000FF03FFCE01EF0007FF01FFC000E781E3FFC07F8; parameter [0:255] VAR93 = 256'h00000380038003800380FFFEFFFE038003800380038003800380038003800380; parameter [0:255] VAR9 = 256'h00000000000000000000000000000000E00EE00EE00EE00EE00EE00E783C1FF0; parameter [0:255] VAR21 = 256'h0000000000000000000000003C783C783C781EF01EF00FE00FE007C003800100; parameter [0:255] VAR172 = 256'h0000000000000000000000000000E01CE01CE31CE79CEFDCECDCFCFCFCFCF87C; parameter [0:255] VAR194 = 256'h00000000000000000000000000003CF03CF01FE00FC007800FC01FE03CF03CF0; parameter [0:255] VAR121 = 256'h000000000000000000000000E00F701E781C3C780FE007C007800F001E003C00; parameter [0:255] VAR153 = 256'h000000000000000000000000FFFEFFFE001E007801E007801E007800FFFEFFFE; VAR15 VAR124( .VAR173(VAR88), .VAR104(VAR176), .VAR23(VAR23), .VAR111(VAR111), .VAR158(VAR158), .VAR10(VAR10), .VAR167(VAR167) ); VAR114 VAR32( .clk(VAR88), .VAR23(VAR23), .write(VAR185), .VAR181(VAR58), .VAR65(VAR91), .VAR38(VAR38[8:0]), .VAR168(VAR182) ); VAR43( .clk (VAR88), .reset (VAR23), .VAR11(VAR11), .VAR92(VAR92), .VAR95(VAR95), .VAR42(VAR42), .VAR77(VAR77), .VAR75(VAR75), .VAR127(VAR127), .VAR97(VAR97), .VAR188(VAR188), .VAR98(VAR98), .VAR109(VAR109), .VAR35(VAR35), .VAR66(VAR66), .VAR108(VAR108), .VAR84(VAR84), .VAR117(VAR117), .VAR195(VAR195), .VAR151(VAR151), .VAR184(VAR184), .VAR22(VAR22) ); reg [1:0] state; localparam VAR30 = 2'b00, VAR45 = 2'b01, VAR133 = 2'b10, VAR16 = 2'b11, VAR174 = 2'VAR63; always @ (posedge VAR88, posedge VAR23) begin: VAR47 if (VAR23 || !VAR60 || VAR117 ) begin VAR91 <= 8'VAR48; VAR141 <= 9'VAR51; VAR58 <= 9'VAR51; VAR185 <= 1'VAR12; VAR143 <= 10'VAR29; VAR123 <= 10'VAR29; VAR164 <= 10'VAR29; state <= VAR30; end else begin case (state) VAR30: begin state <= VAR45; VAR91 <= 8'h29; VAR185 <= 1'b0; VAR141 <= 10'd0; VAR58 <= 10'd0; VAR143 <= VAR183; VAR123 <= VAR71; VAR164 <= 10'd0; end VAR45: begin if (VAR167) begin state <= VAR133; end VAR91 <= VAR10; case(VAR188) 2'd1: begin VAR143 <= 10'd36; VAR123 <= 10'd15; end 2'd2: begin VAR143 <= 10'd18; VAR123 <= 10'd29; end 2'd3: begin VAR143 <= 10'd12; VAR123 <= 10'd43; end default: begin VAR143 <= 10'd18; VAR123 <= 10'd29; end endcase end VAR133: begin state <= VAR16; VAR185 <= 1'b1; VAR58 <= VAR141; case (VAR91) 8'h66: begin if (VAR141 > 10'd0) begin VAR141 <= VAR141 - 1'b1; VAR58 <= VAR141 - 1'b1; end VAR91 <= 8'h29; end 8'h6B: begin VAR185 <= 1'b0; if (VAR141 > 10'd0) begin VAR141 <= VAR141 - 1'b1; end end 8'h74: begin VAR185 <= 1'b0; if (VAR141 < VAR90) begin VAR141 <= VAR141 + 1'b1; end end 8'h75: begin VAR185 <= 1'b0; if (VAR141 >= VAR143) begin VAR141 <= VAR141 - VAR143; end end 8'h72: begin VAR185 <= 1'b0; if (VAR141 <= VAR90 - VAR143) begin VAR141 <= VAR141 + VAR143; end end 8'h7D: begin VAR185 <= 1'b0; if (VAR164 < VAR123 - 2'd2) begin VAR164 <= VAR164 + 1'b1; end end 8'h7A: begin VAR185 <= 1'b0; if (VAR164 > 10'd0) begin VAR164 <= VAR164 - 1'b1; end end 8'h71: begin VAR91 <= 8'h29; end default: begin if (VAR141 < VAR90) begin VAR141 <= VAR141 + 1'b1; end end endcase end VAR16: begin state <= VAR45; VAR185 <= 1'b0; end default: begin state <= VAR174; end endcase end end endmodule
mit
ShepardSiegel/ocpi
coregen/pcie_4243_hip_s4gx_gen2_x4_128/pci_express_compiler-library/altpcie_pll_100_125.v
10,521
module MODULE1 ( VAR17, VAR15, VAR53, VAR48); input VAR17; input VAR15; output VAR53; output VAR48; wire [5:0] VAR33; wire VAR57; wire [0:0] VAR43 = 1'h0; wire [0:0] VAR10 = 1'h1; wire [0:0] VAR56 = VAR33[0:0]; wire VAR53 = VAR56; wire VAR48 = VAR57; wire [5:0] VAR24 = {VAR43, VAR43, VAR43, VAR43, VAR43, VAR10}; wire VAR37 = VAR15; wire [1:0] VAR31 = {VAR43, VAR37}; wire [3:0] VAR12 = {VAR43, VAR43, VAR43, VAR43}; VAR49 VAR35 ( .VAR11 (VAR24), .VAR30 (VAR31), .VAR26 (VAR12), .VAR17 (VAR17), .clk (VAR33), .VAR48 (VAR57) , .VAR58 (), .VAR44 (), .VAR20 (), .VAR29 (), .VAR5 (), .VAR21 (), .VAR19 (), .VAR3 (), .VAR40 (), .VAR41 (), .VAR27 (), .VAR54 (), .VAR7 (), .VAR6 (), .VAR18 (), .VAR36 (), .VAR28 (), .VAR38 (), .VAR51 () ); VAR35.VAR9 = 500000, VAR35.VAR34 = "VAR22", VAR35.VAR13 = 4, VAR35.VAR39 = 50, VAR35.VAR2 = 5, VAR35.VAR45 = "0", VAR35.VAR50 = "VAR23", VAR35.VAR1 = 10000, VAR35.VAR32 = "VAR46 VAR8", VAR35.VAR47 = 5, VAR35.VAR52 = "VAR49", VAR35.VAR4 = "VAR14", VAR35.VAR42 = "VAR25", VAR35.VAR16 = 0, VAR35.VAR55 = 1; endmodule
lgpl-3.0
hanw/connectal
contrib/importverilog/regfile.v
1,036
module MODULE1 (VAR9, VAR12, VAR8, VAR5, VAR10, VAR11, VAR4); input VAR9; input VAR12; input [1:0] VAR8; input [7:0] VAR5; input VAR10; input [1:0] VAR11; output [7:0] VAR4; reg [7:0] VAR2; reg [7:0] VAR3; reg [7:0] VAR7; reg [7:0] VAR1; reg [1:0] VAR6; wire [7:0] VAR4; assign VAR4 = (VAR6 == 0) ? VAR2 : (VAR6 == 1) ? VAR3 : (VAR6 == 2) ? VAR7 : (VAR6 == 3) ? VAR1 : 0; always @ (posedge VAR9) VAR6 <= VAR11; always @ (posedge VAR9) if (VAR12 == 0) begin VAR2 <= 0; VAR3 <= 0; VAR7 <= 0; VAR1 <= 0; end else begin if (VAR10) begin case(VAR8) 0: VAR2 <= VAR5; 1: VAR3 <= VAR5; 2: VAR7 <= VAR5; 3: VAR1 <= VAR5; endcase end end endmodule
mit
The-OpenROAD-Project/asap7
asap7sc7p5t_27/Verilog/asap7sc7p5t_SEQ_RVT_TT_201020.v
73,237
module MODULE1 (VAR4, VAR24, VAR9, VAR16, VAR15); output VAR4; input VAR24, VAR9, VAR16, VAR15; reg VAR2; wire VAR18, VAR26, VAR1, VAR6; wire VAR8, VAR28, VAR20; not (VAR8, VAR18); VAR10 (VAR20, VAR6, VAR8, VAR1, VAR26); VAR19 (VAR28, VAR2, VAR6, VAR8, VAR1, VAR26, VAR20); buf (VAR4, VAR28); wire VAR13, VAR7, VAR5; wire VAR11, VAR17, VAR14; wire VAR25, VAR3, VAR22; wire VAR21, VAR23, VAR12; wire VAR27; not (VAR27, VAR16); not (VAR12, VAR9); and (VAR13, VAR12, VAR27); not (VAR23, VAR24); and (VAR7, VAR23, VAR27); and (VAR5, VAR15, VAR27); not (VAR21, VAR15); and (VAR11, VAR21, VAR27); and (VAR17, VAR24, VAR12); and (VAR14, VAR15, VAR12); and (VAR25, VAR21, VAR12); and (VAR3, VAR24, VAR12, VAR27); and (VAR22, VAR23, VAR12, VAR27);
bsd-3-clause
hcabrera-/lancetfish
RTL/router/rtl/control_path.v
18,780
module MODULE1 #( parameter VAR8 = 2, parameter VAR9 = 2, parameter VAR97 = 2, parameter VAR6 = 2 ) ( input wire clk, input wire reset, output wire VAR23, input wire [31:24] VAR32, input wire [29:24] VAR5, input wire VAR92, output wire VAR82, input wire [31:24] VAR85, input wire [29:24] VAR94, input wire VAR84, output wire VAR22, input wire [31:24] VAR15, input wire [29:24] VAR78, input wire VAR24, output wire VAR96, input wire [31:24] VAR76, input wire [29:24] VAR83, input wire VAR66, output wire VAR18, input wire [31:24] VAR69, input wire [29:24] VAR49, input wire VAR47, input wire VAR41, input wire VAR75, input wire VAR63, input wire VAR58, input wire VAR110, output wire [4:0] VAR100, output wire [4:0] VAR4, output wire [3:0] VAR91, output wire [3:0] VAR90, output wire [3:0] VAR43, output wire [3:0] VAR53, output wire [3:0] VAR72 ); localparam VAR31 = VAR73(VAR97); localparam VAR3 = VAR73(VAR6); wire [4:0] VAR30; assign VAR30[VAR57] = VAR32[31]; assign VAR30[VAR77] = VAR85[31]; assign VAR30[VAR54] = VAR15[31]; assign VAR30[VAR86] = VAR76[31]; assign VAR30[VAR16] = VAR69 [31]; wire [4:0] VAR102; assign VAR102[VAR57] = VAR32[30]; assign VAR102[VAR77] = VAR85[30]; assign VAR102[VAR54] = VAR15[30]; assign VAR102[VAR86] = VAR76[30]; assign VAR102[VAR16] = VAR69 [30]; wire [4:0] VAR29; assign VAR29[VAR57] = VAR92; assign VAR29[VAR77] = VAR84; assign VAR29[VAR54] = VAR24; assign VAR29[VAR86] = VAR66; assign VAR29[VAR16] = VAR47; wire [VAR13-1:0] VAR11 [4:0]; assign VAR11[VAR57] = VAR32[29-:VAR13]; assign VAR11[VAR77] = VAR85[29-:VAR13]; assign VAR11[VAR54] = VAR15[29-:VAR13]; assign VAR11[VAR86] = VAR76[29-:VAR13]; assign VAR11[VAR16] = VAR69 [29-:VAR13]; wire [VAR13-1:0] VAR44 [4:0]; assign VAR44[VAR57] = VAR32[(29-VAR13)-:VAR13]; assign VAR44[VAR77] = VAR85[(29-VAR13)-:VAR13]; assign VAR44[VAR54] = VAR15[(29-VAR13)-:VAR13]; assign VAR44[VAR86] = VAR76[(29-VAR13)-:VAR13]; assign VAR44[VAR16] = VAR69 [(29-VAR13)-:VAR13]; wire [VAR13-1:0] VAR60 [4:0]; assign VAR60[VAR57] = VAR5[29-:VAR13]; assign VAR60[VAR77] = VAR94[29-:VAR13]; assign VAR60[VAR54] = VAR78[29-:VAR13]; assign VAR60[VAR86] = VAR83[29-:VAR13]; assign VAR60[VAR16] = VAR49 [29-:VAR13]; wire [VAR13-1:0] VAR28 [4:0]; assign VAR28[VAR57] = VAR5[(29-VAR13)-:VAR13]; assign VAR28[VAR77] = VAR94[(29-VAR13)-:VAR13]; assign VAR28[VAR54] = VAR78[(29-VAR13)-:VAR13]; assign VAR28[VAR86] = VAR83[(29-VAR13)-:VAR13]; assign VAR28[VAR16] = VAR49 [(29-VAR13)-:VAR13]; wire [4:0] VAR39; wire [4:0] VAR46; assign VAR23 = VAR46[VAR57]; assign VAR82 = VAR46[VAR77]; assign VAR22 = VAR46[VAR54]; assign VAR96 = VAR46[VAR86]; assign VAR18 = VAR46[VAR16]; wire [3:0] VAR56 [4:0]; genvar VAR42; generate for (VAR42 = VAR57; VAR42 < (VAR16 + 1); VAR42=VAR42 + 1) begin: VAR67 VAR67 .VAR45 (VAR42), .VAR8 (VAR8), .VAR9 (VAR9), .VAR97 (VAR97), .VAR6 (VAR6) ) VAR80 ( .clk (clk), .reset (reset), .VAR33(VAR39[VAR42]), .VAR48 (VAR30[VAR42]), .VAR105 (VAR102[VAR42]), .VAR51 (VAR29[VAR42]), .VAR95 (VAR11[VAR42]), .VAR109 (VAR44[VAR42]), .VAR93 (VAR60[VAR42]), .VAR74 (VAR28[VAR42]), .VAR100 (VAR100[VAR42]), .VAR4 (VAR4[VAR42]), .VAR107 (VAR46[VAR42]), .VAR21(VAR56[VAR42]) ); end endgenerate wire [3:0] VAR20 [4:0]; wire [3:0] VAR59 [4:0]; generate for (VAR42 = VAR57; VAR42 < (VAR16 + 1); VAR42=VAR42 + 1) begin: VAR104 VAR17 .VAR45 (VAR42) ) VAR17 ( .VAR106 (VAR56[VAR42]), .VAR33 (VAR39[VAR42]), .VAR61 (VAR20[VAR42]), .VAR79 (VAR59[VAR42]) ); end endgenerate wire [3:0] VAR52 [4:0]; assign VAR52[VAR57] = { VAR59[VAR86][VAR99], VAR59[VAR54][VAR19], VAR59[VAR77][VAR34], VAR59[VAR16][VAR1] }; assign VAR52[VAR77] = { VAR59[VAR86][VAR26], VAR59[VAR54][VAR101], VAR59[VAR57][VAR7], VAR59[VAR16][VAR37] }; assign VAR52[VAR54] = { VAR59[VAR86][VAR89], VAR59[VAR77][VAR12], VAR59[VAR57][VAR98], VAR59[VAR16][VAR64] }; assign VAR52[VAR86] = { VAR59[VAR54][VAR81], VAR59[VAR77][VAR108], VAR59[VAR57][VAR71], VAR59[VAR16][VAR55] }; assign VAR52[VAR16] = { VAR59[VAR86][VAR25], VAR59[VAR54][VAR88], VAR59[VAR77][VAR68], VAR59[VAR57][VAR70] }; wire [4:0] VAR87; assign VAR87[VAR57] = VAR41; assign VAR87[VAR77] = VAR75; assign VAR87[VAR54] = VAR63; assign VAR87[VAR86] = VAR58; assign VAR87[VAR16] = VAR110; wire [3:0] VAR40 [4:0]; wire [3:0] VAR14 [4:0]; wire [4:0] VAR103; generate for (VAR42 = VAR57; VAR42 < (VAR16 + 1); VAR42=VAR42 + 1) begin: VAR62 VAR36 .VAR45(VAR42) ) VAR65 ( .clk (clk), .reset (reset), .VAR2 (VAR52[VAR42]), .VAR27 (VAR87[VAR42]), .VAR38 (VAR14[VAR42]), .VAR10 (VAR103[VAR42]), .VAR35 (VAR40[VAR42]) ); end endgenerate assign VAR91 = VAR40[VAR57]; assign VAR90 = VAR40[VAR77]; assign VAR43 = VAR40[VAR54]; assign VAR53 = VAR40[VAR86]; assign VAR72 = VAR40[VAR16]; assign VAR20[VAR57] = { VAR103[VAR86], VAR103[VAR54], VAR103[VAR77], VAR103[VAR16] }; assign VAR20[VAR77] = { VAR103[VAR86], VAR103[VAR54], VAR103[VAR57], VAR103[VAR16] }; assign VAR20[VAR54] = { VAR103[VAR86], VAR103[VAR77], VAR103[VAR57], VAR103[VAR16] }; assign VAR20[VAR86] = { VAR103[VAR54], VAR103[VAR77], VAR103[VAR57], VAR103[VAR16] }; assign VAR20[VAR16] = { VAR103[VAR86], VAR103[VAR54], VAR103[VAR77], VAR103[VAR57] }; assign VAR39[VAR57] = VAR14[VAR77][VAR34] | VAR14[VAR54][VAR19] | VAR14[VAR86][VAR99] | VAR14[VAR16][VAR1]; assign VAR39[VAR77] = VAR14[VAR57][VAR7] | VAR14[VAR54][VAR101] | VAR14[VAR86][VAR26] | VAR14[VAR16][VAR37]; assign VAR39[VAR54] = VAR14[VAR57][VAR98] | VAR14[VAR77][VAR12] | VAR14[VAR86][VAR89] | VAR14[VAR16][VAR64]; assign VAR39[VAR86] = VAR14[VAR57][VAR71] | VAR14[VAR77][VAR108] | VAR14[VAR54][VAR81] | VAR14[VAR16][VAR55]; assign VAR39[VAR16] = VAR14[VAR57][VAR70] | VAR14[VAR77][VAR68] | VAR14[VAR54][VAR88] | VAR14[VAR86][VAR25]; function integer VAR73; input integer VAR50; for (VAR73=0; VAR50>0; VAR73=VAR73+1) VAR50 = VAR50 >> 1; endfunction endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/dffrnq/gf180mcu_fd_sc_mcu9t5v0__dffrnq_1.behavioral.pp.v
3,700
module MODULE1( VAR22, VAR21, VAR34, VAR4, VAR9, VAR31 ); input VAR22, VAR21, VAR34; inout VAR9, VAR31; output VAR4; reg VAR23; VAR8 VAR25(.VAR22(VAR22),.VAR21(VAR21),.VAR34(VAR34),.VAR4(VAR4),.VAR9(VAR9),.VAR31(VAR31),.VAR23(VAR23)); VAR8 VAR10(.VAR22(VAR22),.VAR21(VAR21),.VAR34(VAR34),.VAR4(VAR4),.VAR9(VAR9),.VAR31(VAR31),.VAR23(VAR23)); not VAR12(VAR1,VAR21); and VAR13(VAR27,VAR34,VAR1); and VAR17(VAR5,VAR34,VAR21); buf VAR11(VAR3,VAR34); not VAR7(VAR18,VAR22); not VAR20(VAR28,VAR21); and VAR2(VAR6,VAR28,VAR18); not VAR30(VAR26,VAR22); and VAR19(VAR15,VAR21,VAR26); not VAR14(VAR16,VAR21); and VAR32(VAR33,VAR16,VAR22); and VAR29(VAR24,VAR21,VAR22);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/lsbufhv2hv_lh/sky130_fd_sc_hvl__lsbufhv2hv_lh.functional.pp.v
1,980
module MODULE1 ( VAR6 , VAR13 , VAR11 , VAR10 , VAR7, VAR9 , VAR12 ); output VAR6 ; input VAR13 ; input VAR11 ; input VAR10 ; input VAR7; input VAR9 ; input VAR12 ; wire VAR5; wire VAR3 ; VAR2 VAR8 (VAR5, VAR13, VAR7, VAR10 ); buf VAR1 (VAR3 , VAR5 ); VAR2 VAR4 (VAR6 , VAR3, VAR11, VAR10); endmodule
apache-2.0
cr88192/bgbtech_bjx1core
bjx1c32b/RegFPR.v
4,882
module MODULE1( VAR34, reset, VAR5, VAR30, VAR37, VAR8, VAR10, VAR12, VAR19, VAR11, VAR38, VAR33, VAR18, VAR32, VAR9 ); input VAR34; input reset; input[6:0] VAR5; input[6:0] VAR37; input[6:0] VAR10; input[6:0] VAR19; output[63:0] VAR30; output[63:0] VAR8; output[63:0] VAR12; input[63:0] VAR11; input[1:0] VAR38; input[15:0] VAR33; input[1:0] VAR18; input[31:0] VAR32; output[31:0] VAR9; reg[31:0] VAR23[15:0]; reg[31:0] VAR35[15:0]; reg[31:0] VAR15; reg[31:0] VAR21; reg[31:0] VAR16; wire[63:0] VAR13; wire[63:0] VAR22; wire[63:0] VAR14; wire[31:0] VAR26; reg[31:0] VAR27; reg[63:0] VAR36; reg[63:0] VAR28; reg[63:0] VAR4; reg[31:0] VAR20; reg VAR39; reg VAR29; reg VAR1; reg VAR7; VAR31 VAR2(VAR34, VAR39, VAR15, VAR13); VAR31 VAR25(VAR34, VAR39, VAR21, VAR22); VAR31 VAR6(VAR34, VAR39, VAR16, VAR14); VAR17 VAR3(VAR34, VAR39, VAR11, VAR26); assign VAR30 = VAR36; assign VAR8 = VAR28; assign VAR12 = VAR4; assign VAR9 = VAR20; always @* begin VAR36=0; VAR28=0; VAR4=0; VAR15=0; VAR21=0; VAR16=0; VAR39=0; VAR29=1; VAR1=0; VAR7=1; if(VAR5[6:5]==2'b10) begin if(VAR39 || VAR29) begin VAR15=VAR5[0]? VAR35[VAR5[4:1]]: VAR23[VAR5[4:1]]; if(VAR29) VAR36[31:0]=VAR15; end else VAR36=VAR13; end else begin VAR36[31: 0]=VAR35[VAR5[4:1]]; VAR36[63:32]=VAR23[VAR5[4:1]]; end end else if(VAR5==VAR24) begin VAR15 = VAR32; VAR36 = VAR22; if(VAR29) VAR36[31:0]=VAR32[31:0]; end if(VAR37[6:5]==2'b10) begin if(VAR39 || VAR29) begin VAR21=VAR37[0]? VAR35[VAR37[4:1]]: VAR23[VAR37[4:1]]; if(VAR29) VAR28[31:0]=VAR21; end else VAR28=VAR22; end else begin VAR28[31: 0]=VAR35[VAR37[4:1]]; VAR28[63:32]=VAR23[VAR37[4:1]]; end end else if(VAR37==VAR24) begin VAR21 = VAR32; VAR28 = VAR22; if(VAR29) VAR28[31:0]=VAR32[31:0]; end if(VAR10[6:5]==2'b10) begin if(VAR39 || VAR29) begin VAR16=VAR5[0]? VAR35[VAR10[4:1]]: VAR23[VAR10[4:1]]; if(VAR29) VAR4[31:0]=VAR16; end else VAR4=VAR14; end else begin VAR4[31: 0]=VAR35[VAR10[4:1]]; VAR4[63:32]=VAR23[VAR10[4:1]]; end end if(VAR1 || VAR7) begin VAR27 = VAR7 ? VAR11[31:0] : VAR26; end end always @ (posedge VAR34) begin if(VAR19[6:5]==2'b10) begin if(VAR1 || VAR7) begin if(VAR19[0]) VAR35[VAR19[4:1]] <= VAR27; end else VAR23[VAR19[4:1]] <= VAR27; end else begin VAR35[VAR19[4:1]] <= VAR11[31: 0]; VAR23[VAR19[4:1]] <= VAR11[63:32]; end end if(VAR19==VAR24) begin case(VAR18) 2'b00: VAR20 <= VAR27; 2'b01: VAR20 <= VAR27; 2'b10: VAR20 <= VAR11[31:0]; 2'b11: VAR20 <= VAR11[31:0]; endcase end else VAR20 <= VAR32; end endmodule
mit
ymei/TMSPlane
Firmware/src/adc_cnv_sipo.v
6,709
module MODULE1 parameter VAR30 = 20 ) ( input VAR3, input VAR44, input VAR24, input [7:0] VAR27, input [4:0] VAR9, input VAR19, input [3:0] VAR62, output VAR59, output VAR38, input VAR32, input VAR40, output VAR26, output VAR71, output VAR5, output VAR2, input [VAR30-1:0] VAR74, input [VAR30-1:0] VAR31, output [VAR30-1:0] VAR10, output reg [VAR30*16-1:0] VAR29, output reg VAR36 ); localparam VAR39 = "VAR47"; reg VAR72; reg VAR58; wire [VAR30-1:0] VAR63; wire VAR23, VAR1; wire VAR45; reg VAR49; reg [4:0] VAR35; reg [3:0] VAR76; reg [15:0] VAR22[VAR30-1:0]; VAR37 VAR75 ( .VAR14(), .VAR24(VAR24), .VAR53(VAR3) ); VAR41 .VAR66(VAR30+1), .VAR8(1), .VAR46("VAR28"), .VAR61(VAR39) ) VAR21 ( .VAR3(VAR3), .VAR44(VAR44), .VAR27(VAR27), .VAR9(VAR9), .VAR19(VAR19), .VAR10({VAR45, VAR63}), .VAR74({VAR32, VAR74}), .VAR31({VAR40, VAR31}), .VAR64(0), .VAR60(), .VAR15() ); assign VAR10[VAR30-1:0] = VAR63; VAR33 .VAR77(32), .VAR69(4) ) VAR13 ( .VAR3(VAR3), .VAR44(VAR44), .VAR57(VAR62), .VAR68(VAR23) ); VAR25 .VAR79("VAR67"), .VAR12(1'b0), .VAR52("VAR65") ) VAR78 ( .VAR48(VAR1), .VAR43(VAR23), .VAR4(1), .VAR6(0), .VAR51(1), .VAR17(~VAR49), .VAR20(0) ); VAR18 .VAR42("VAR73"), .VAR7("VAR55") ) VAR56 ( .VAR11(VAR59), .VAR16(VAR38), .VAR34(VAR1) ); assign VAR26 = VAR45; VAR18 .VAR42("VAR73"), .VAR7("VAR55") ) VAR54 ( .VAR11(VAR71), .VAR16(VAR5), .VAR34(VAR72) ); assign VAR2 = VAR72; always @ (posedge VAR23 or posedge VAR3) begin if (VAR3) begin VAR35 <= 0; VAR72 <= 1; VAR58 <= 1; VAR49 <= 0; end else begin VAR35 <= VAR35 + 1; if (VAR35>=19) begin VAR35 <= 0; end VAR72 <= 0; if (0<=VAR35 && VAR35<3) begin VAR72 <= 1; end VAR58 <= 0; if (0<VAR35 && VAR35<3) begin VAR58 <= 1; end VAR49 <= 1; if ((0<=VAR35 && VAR35<3) || VAR35>=3+16) begin VAR49 <= 0; end end end reg VAR50; always @ (posedge VAR44 or posedge VAR3) begin if (VAR3) begin VAR50 <= 0; VAR36 <= 0; end else begin VAR50 <= VAR58; VAR36 <= 0; if (VAR50 == 0 && VAR58 == 1) begin VAR36 <= 1; end end end genvar VAR70; generate for (VAR70=0; VAR70<VAR30; VAR70=VAR70+1) begin always @ (posedge VAR45 or posedge VAR58 or posedge VAR3) begin if (VAR3) begin VAR22[VAR70] <= 0; end else begin if (VAR58) begin VAR76 <= 15; end else begin VAR22[VAR70][VAR76] <= VAR63[VAR70]; VAR76 <= VAR76 - 1; end end end always @ (posedge VAR44 or posedge VAR3) begin if (VAR3) begin VAR29[16*VAR70+15:16*VAR70] <= 0; end else begin if (VAR50 == 0 && VAR58 == 1) begin VAR29[16*VAR70+15:16*VAR70] <= VAR22[VAR70]; end end end end endgenerate endmodule
bsd-3-clause
GSejas/Aproximate-Arithmetic-Operators
add_approx_flow/integracion_fisica/front_end/db/SINGLE/Approx_adder_GeArN8R1P3_syn.v
7,403
module MODULE1 ( VAR232, VAR117, VAR167, VAR18 ); input [15:0] VAR117; input [15:0] VAR167; output [16:0] VAR18; input VAR232; wire VAR286, VAR221, VAR6, VAR261, VAR292, VAR13, VAR45, VAR136, VAR77, VAR234, VAR155, VAR32, VAR26, VAR241, VAR248, VAR126, VAR256, VAR42, VAR63, VAR132, VAR246, VAR105, VAR242, VAR93, VAR15, VAR137, VAR192, VAR289, VAR14, VAR129, VAR199, VAR194, VAR159, VAR79, VAR265, VAR170, VAR188, VAR88, VAR89, VAR249, VAR233, VAR142, VAR217, VAR47, VAR287, VAR228, VAR237, VAR257, VAR102, VAR138, VAR50, VAR74, VAR143, VAR20, VAR144, VAR202, VAR28, VAR207, VAR23, VAR278, VAR189, VAR153, VAR190, VAR187, VAR171, VAR268, VAR52, VAR38, VAR271, VAR51, VAR250, VAR151, VAR216, VAR86, VAR62, VAR203, VAR113, VAR108, VAR285, VAR164, VAR30, VAR39, VAR269, VAR280, VAR252, VAR240, VAR72, VAR175, VAR109, VAR64, VAR247, VAR127, VAR141, VAR98, VAR84, VAR222, VAR283, VAR57, VAR58, VAR12, VAR67, VAR33, VAR81, VAR205, VAR17; VAR213 VAR55 ( .VAR225(VAR102), .VAR2(VAR257), .VAR68(VAR50) ); VAR9 VAR75 ( .VAR225(VAR117[2]), .VAR2(VAR17), .VAR211(VAR205), .VAR35(VAR240), .VAR174(VAR18[2]) ); VAR284 VAR147 ( .VAR106(VAR109), .VAR230(VAR84), .VAR288(VAR62), .VAR68(VAR222) ); VAR275 VAR49 ( .VAR40(VAR72), .VAR2(VAR39), .VAR68(VAR17) ); VAR21 VAR96 ( .VAR225(VAR280), .VAR2(VAR117[3]), .VAR68(VAR109) ); VAR56 VAR253 ( .VAR225(VAR79), .VAR2(VAR117[12]), .VAR68(VAR199) ); VAR133 VAR244 ( .VAR106(VAR89), .VAR230(VAR167[14]), .VAR288(VAR221), .VAR68(VAR249) ); VAR213 VAR4 ( .VAR225(VAR89), .VAR2(VAR221), .VAR68(VAR15) ); VAR44 VAR218 ( .VAR225(VAR192), .VAR2(VAR137), .VAR68(VAR289) ); VAR275 VAR206 ( .VAR40(VAR167[13]), .VAR2(VAR192), .VAR68(VAR89) ); VAR213 VAR146 ( .VAR225(VAR14), .VAR2(VAR221), .VAR68(VAR129) ); VAR24 VAR262 ( .VAR225(VAR234), .VAR2(VAR137), .VAR68(VAR155) ); VAR48 VAR161 ( .VAR40(VAR167[11]), .VAR2(VAR93), .VAR68(VAR14) ); VAR238 VAR186 ( .VAR225(VAR232), .VAR68(VAR221) ); VAR135 VAR37 ( .VAR225(VAR232), .VAR68(VAR137) ); VAR92 VAR258 ( .VAR225(VAR42), .VAR2(VAR167[4]), .VAR68(VAR132) ); VAR176 VAR293 ( .VAR225(VAR167[0]), .VAR68(VAR13) ); VAR97 VAR291 ( .VAR225(VAR167[2]), .VAR68(VAR261) ); VAR176 VAR166 ( .VAR225(VAR167[1]), .VAR68(VAR292) ); VAR44 VAR158 ( .VAR225(VAR93), .VAR2(VAR137), .VAR68(VAR45) ); VAR21 VAR168 ( .VAR225(VAR117[0]), .VAR2(VAR167[0]), .VAR68(VAR164) ); VAR121 VAR152 ( .VAR106(VAR109), .VAR230(VAR57), .VAR288(VAR240), .VAR68(VAR252) ); VAR135 VAR54 ( .VAR225(VAR28), .VAR68(VAR265) ); VAR114 VAR139 ( .VAR225(VAR20), .VAR2(VAR143), .VAR68(VAR202) ); VAR66 VAR154 ( .VAR225(VAR233), .VAR2(VAR117[15]), .VAR68(VAR286) ); VAR114 VAR65 ( .VAR225(VAR79), .VAR2(VAR117[12]), .VAR68(VAR28) ); VAR27 VAR80 ( .VAR225(VAR129), .VAR2(VAR167[12]), .VAR68(VAR79) ); VAR92 VAR266 ( .VAR225(VAR32), .VAR2(VAR167[8]), .VAR68(VAR234) ); VAR92 VAR94 ( .VAR225(VAR126), .VAR2(VAR167[6]), .VAR68(VAR241) ); VAR114 VAR180 ( .VAR225(VAR286), .VAR2(VAR47), .VAR68(VAR228) ); VAR114 VAR99 ( .VAR225(VAR88), .VAR2(VAR117[14]), .VAR68(VAR257) ); VAR114 VAR185 ( .VAR225(VAR233), .VAR2(VAR117[15]), .VAR68(VAR47) ); VAR92 VAR226 ( .VAR225(VAR88), .VAR2(VAR117[14]), .VAR68(VAR237) ); VAR114 VAR82 ( .VAR225(VAR170), .VAR2(VAR117[13]), .VAR68(VAR143) ); VAR27 VAR78 ( .VAR225(VAR249), .VAR2(VAR167[15]), .VAR68(VAR233) ); VAR92 VAR239 ( .VAR225(VAR136), .VAR2(VAR167[10]), .VAR68(VAR93) ); VAR149 VAR73 ( .VAR225(VAR285), .VAR2(VAR108), .VAR68(VAR18[6]) ); VAR173 VAR120 ( .VAR225(VAR155), .VAR2(VAR167[9]), .VAR68(VAR190) ); VAR220 VAR277 ( .VAR288(VAR222), .VAR201(VAR203), .VAR267(VAR67), .VAR165(VAR117[5]), .VAR68(VAR285) ); VAR133 VAR182 ( .VAR106(VAR247), .VAR230(VAR57), .VAR288(VAR64), .VAR68(VAR98) ); VAR27 VAR130 ( .VAR225(VAR26), .VAR2(VAR167[8]), .VAR68(VAR171) ); VAR114 VAR61 ( .VAR225(VAR32), .VAR2(VAR221), .VAR68(VAR26) ); VAR121 VAR19 ( .VAR106(VAR30), .VAR230(VAR250), .VAR288(VAR51), .VAR68(VAR18[1]) ); VAR149 VAR87 ( .VAR225(VAR113), .VAR2(VAR117[6]), .VAR68(VAR108) ); VAR133 VAR148 ( .VAR106(VAR205), .VAR230(VAR117[2]), .VAR288(VAR175), .VAR68(VAR247) ); VAR275 VAR214 ( .VAR40(VAR72), .VAR2(VAR58), .VAR68(VAR175) ); VAR200 VAR224 ( .VAR225(VAR84), .VAR68(VAR283) ); VAR5 VAR157 ( .VAR267(VAR167[0]), .VAR165(VAR117[0]), .VAR288(VAR164), .VAR68(VAR18[0]) ); VAR198 VAR254 ( .VAR225(VAR167[3]), .VAR68(VAR6) ); VAR27 VAR34 ( .VAR225(VAR228), .VAR2(VAR287), .VAR68(VAR18[15]) ); VAR8 VAR101 ( .VAR225(VAR132), .VAR2(VAR137), .VAR68(VAR246) ); VAR209 VAR85 ( .VAR225(VAR117[10]), .VAR2(VAR189), .VAR11(VAR278), .VAR150(VAR194), .VAR174(VAR18[10]) ); VAR255 VAR115 ( .VAR40(VAR167[5]), .VAR2(VAR132), .VAR68(VAR126) ); VAR255 VAR163 ( .VAR40(VAR167[9]), .VAR2(VAR234), .VAR68(VAR136) ); VAR255 VAR46 ( .VAR40(VAR167[7]), .VAR2(VAR241), .VAR68(VAR32) ); VAR276 VAR36 ( .VAR106(VAR237), .VAR230(VAR138), .VAR288(VAR257), .VAR68(VAR287) ); VAR104 VAR273 ( .VAR225(VAR86), .VAR2(VAR117[4]), .VAR68(VAR84) ); VAR135 VAR204 ( .VAR225(VAR127), .VAR68(VAR62) ); VAR114 VAR112 ( .VAR225(VAR126), .VAR2(VAR232), .VAR68(VAR256) ); VAR8 VAR53 ( .VAR225(VAR170), .VAR2(VAR117[13]), .VAR68(VAR74) ); VAR91 VAR179 ( .VAR225(VAR289), .VAR2(VAR167[13]), .VAR68(VAR170) ); VAR116 VAR212 ( .VAR225(VAR117[4]), .VAR2(VAR86), .VAR68(VAR105) ); VAR213 VAR177 ( .VAR225(VAR84), .VAR2(VAR127), .VAR68(VAR141) ); VAR213 VAR191 ( .VAR225(VAR199), .VAR2(VAR28), .VAR68(VAR23) ); VAR59 VAR160 ( .VAR225(VAR202), .VAR2(VAR144), .VAR68(VAR18[13]) ); VAR135 VAR124 ( .VAR225(VAR74), .VAR68(VAR20) ); VAR135 VAR119 ( .VAR225(VAR237), .VAR68(VAR102) ); VAR281 VAR178 ( .VAR267(VAR287), .VAR165(VAR286), .VAR288(VAR47), .VAR68(VAR18[16]) ); VAR213 VAR29 ( .VAR225(VAR205), .VAR2(VAR117[2]), .VAR68(VAR58) ); VAR27 VAR7 ( .VAR225(VAR269), .VAR2(VAR167[2]), .VAR68(VAR205) ); VAR107 VAR16 ( .VAR225(VAR13), .VAR2(VAR292), .VAR211(VAR261), .VAR229(VAR6), .VAR68(VAR42) ); VAR173 VAR236 ( .VAR225(VAR45), .VAR2(VAR167[11]), .VAR68(VAR159) ); VAR41 VAR169 ( .VAR140(VAR153), .VAR2(VAR190), .VAR225(VAR117[9]), .VAR174(VAR18[9]), .VAR35(VAR278) ); VAR27 VAR128 ( .VAR225(VAR77), .VAR2(VAR167[10]), .VAR68(VAR189) ); VAR290 VAR243 ( .VAR225(VAR217), .VAR2(VAR117[7]), .VAR11(VAR142), .VAR35(VAR187), .VAR174(VAR18[7]) ); VAR290 VAR251 ( .VAR225(VAR113), .VAR2(VAR117[6]), .VAR11(VAR242), .VAR35(VAR142) ); VAR149 VAR259 ( .VAR225(VAR50), .VAR2(VAR138), .VAR68(VAR18[14]) ); VAR208 VAR215 ( .VAR225(VAR248), .VAR2(VAR167[7]), .VAR68(VAR217) ); VAR209 VAR270 ( .VAR225(VAR117[8]), .VAR2(VAR171), .VAR11(VAR187), .VAR150(VAR153), .VAR174(VAR18[8]) ); VAR69 VAR145 ( .VAR225(VAR86), .VAR2(VAR117[4]), .VAR68(VAR127) ); VAR31 VAR118 ( .VAR225(VAR256), .VAR2(VAR167[6]), .VAR68(VAR113) ); VAR208 VAR235 ( .VAR225(VAR246), .VAR2(VAR167[5]), .VAR68(VAR67) ); VAR31 VAR210 ( .VAR225(VAR63), .VAR2(VAR167[4]), .VAR68(VAR86) ); VAR71 VAR125 ( .VAR225(VAR42), .VAR2(VAR232), .VAR68(VAR63) ); VAR213 VAR95 ( .VAR225(VAR136), .VAR2(VAR221), .VAR68(VAR77) ); VAR24 VAR162 ( .VAR225(VAR241), .VAR2(VAR137), .VAR68(VAR248) ); VAR290 VAR156 ( .VAR225(VAR105), .VAR2(VAR117[5]), .VAR11(VAR67), .VAR35(VAR242) ); VAR92 VAR196 ( .VAR225(VAR14), .VAR2(VAR167[12]), .VAR68(VAR192) ); VAR31 VAR122 ( .VAR225(VAR15), .VAR2(VAR167[14]), .VAR68(VAR88) ); VAR41 VAR282 ( .VAR140(VAR194), .VAR2(VAR159), .VAR225(VAR117[11]), .VAR174(VAR18[11]), .VAR35(VAR207) ); VAR184 VAR260 ( .VAR106(VAR199), .VAR230(VAR207), .VAR288(VAR265), .VAR68(VAR144) ); VAR135 VAR10 ( .VAR225(VAR143), .VAR68(VAR188) ); VAR181 VAR76 ( .VAR267(VAR74), .VAR165(VAR144), .VAR288(VAR188), .VAR68(VAR138) ); VAR245 VAR227 ( .VAR225(VAR23), .VAR2(VAR207), .VAR68(VAR18[12]) ); VAR213 VAR25 ( .VAR225(VAR221), .VAR2(VAR167[0]), .VAR68(VAR268) ); VAR91 VAR100 ( .VAR225(VAR268), .VAR2(VAR167[1]), .VAR68(VAR38) ); VAR135 VAR110 ( .VAR225(VAR38), .VAR68(VAR30) ); VAR245 VAR274 ( .VAR225(VAR164), .VAR2(VAR117[1]), .VAR68(VAR250) ); VAR135 VAR111 ( .VAR225(VAR117[1]), .VAR68(VAR52) ); VAR8 VAR134 ( .VAR225(VAR38), .VAR2(VAR52), .VAR68(VAR72) ); VAR83 VAR223 ( .VAR225(VAR164), .VAR2(VAR117[1]), .VAR211(VAR38), .VAR68(VAR271) ); VAR284 VAR219 ( .VAR106(VAR164), .VAR230(VAR72), .VAR288(VAR271), .VAR68(VAR51) ); VAR279 VAR183 ( .VAR225(VAR167[2]), .VAR2(VAR167[0]), .VAR211(VAR167[1]), .VAR68(VAR151) ); VAR213 VAR22 ( .VAR225(VAR221), .VAR2(VAR151), .VAR68(VAR216) ); VAR245 VAR264 ( .VAR225(VAR216), .VAR2(VAR167[3]), .VAR68(VAR280) ); VAR213 VAR193 ( .VAR225(VAR67), .VAR2(VAR117[5]), .VAR68(VAR203) ); VAR133 VAR197 ( .VAR106(VAR30), .VAR230(VAR117[1]), .VAR288(VAR164), .VAR68(VAR39) ); VAR133 VAR263 ( .VAR106(VAR167[0]), .VAR230(VAR167[1]), .VAR288(VAR221), .VAR68(VAR269) ); VAR8 VAR43 ( .VAR225(VAR280), .VAR2(VAR117[3]), .VAR68(VAR57) ); VAR272 VAR131 ( .VAR106(VAR109), .VAR230(VAR240), .VAR123(VAR57), .VAR288(VAR252), .VAR68(VAR18[3]) ); VAR135 VAR195 ( .VAR225(VAR109), .VAR68(VAR64) ); VAR245 VAR90 ( .VAR225(VAR98), .VAR2(VAR141), .VAR68(VAR18[4]) ); VAR272 VAR70 ( .VAR106(VAR58), .VAR230(VAR57), .VAR123(VAR283), .VAR288(VAR222), .VAR68(VAR81) ); VAR135 VAR103 ( .VAR225(VAR117[5]), .VAR68(VAR12) ); VAR149 VAR231 ( .VAR225(VAR67), .VAR2(VAR12), .VAR68(VAR33) ); VAR245 VAR1 ( .VAR225(VAR81), .VAR2(VAR33), .VAR68(VAR18[5]) ); VAR3 ("VAR172.VAR60"); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nor3/sky130_fd_sc_ms__nor3.functional.v
1,309
module MODULE1 ( VAR3, VAR2, VAR4, VAR1 ); output VAR3; input VAR2; input VAR4; input VAR1; wire VAR7; nor VAR5 (VAR7, VAR1, VAR2, VAR4 ); buf VAR6 (VAR3 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfrtn/sky130_fd_sc_ls__dfrtn.pp.symbol.v
1,436
module MODULE1 ( input VAR7 , output VAR1 , input VAR6, input VAR3 , input VAR2 , input VAR4 , input VAR5 , input VAR8 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/xor3/gf180mcu_fd_sc_mcu7t5v0__xor3_1.behavioral.v
2,510
module MODULE1( VAR7, VAR3, VAR6, VAR1 ); input VAR3, VAR7, VAR6; output VAR1; VAR2 VAR5(.VAR7(VAR7),.VAR3(VAR3),.VAR6(VAR6),.VAR1(VAR1)); VAR2 VAR4(.VAR7(VAR7),.VAR3(VAR3),.VAR6(VAR6),.VAR1(VAR1));
apache-2.0
rurume/openrisc_vision_hardware
ISE/or1200_spram_1024x32.v
11,716
module MODULE1( VAR57, VAR30, VAR12, clk, rst, VAR59, VAR11, VAR8, addr, VAR33, VAR49 ); parameter VAR32 = 10; parameter VAR52 = 32; input VAR57; input [VAR37 - 1:0] VAR12; output VAR30; input clk; input rst; input VAR59; input VAR11; input VAR8; input [VAR32-1:0] addr; input [VAR52-1:0] VAR33; output [VAR52-1:0] VAR49; assign VAR30 = VAR57; VAR61 #(VAR52, 1<<VAR32, VAR32) VAR5( VAR34 VAR5( VAR61 VAR5( .VAR57(VAR57), .VAR30(VAR30), .VAR12(VAR12), .VAR46(clk), .VAR24(~VAR59), .VAR21(~VAR11), .VAR63(addr), .VAR40(VAR33), .VAR42(~VAR8), .VAR27(VAR49) ); VAR39 VAR39( .VAR9(~VAR11), .VAR55(), .VAR35(~VAR8), .VAR14(), .VAR36(), .VAR16(addr), .VAR54(addr), .VAR33(VAR33), .VAR49(VAR49) ); VAR38 VAR38( .clk(clk), .VAR43(addr), .VAR47(VAR33), .VAR11(VAR11), .VAR8(VAR8), .VAR6(VAR59), .VAR17(VAR49) ); VAR10 #(1<<VAR32, VAR32-1, VAR52-1) VAR25( VAR22 VAR25( VAR10 VAR25( .VAR57(VAR57), .VAR30(VAR30), .VAR12(VAR12), .VAR62(clk), .VAR56(addr), .VAR45(VAR33), .VAR21(~VAR11), .VAR24(~VAR59), .VAR42(~VAR8), .VAR51(VAR49) ); VAR15 VAR60( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[3:0]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[3:0]) ); VAR15 VAR44( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[7:4]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[7:4]) ); VAR15 VAR7( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[11:8]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[11:8]) ); VAR15 VAR26( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[15:12]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[15:12]) ); VAR15 VAR2( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[19:16]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[19:16]) ); VAR15 VAR23( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[23:20]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[23:20]) ); VAR15 VAR28( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[27:24]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[27:24]) ); VAR15 VAR4( .VAR46(clk), .VAR41(rst), .VAR19(addr), .VAR45(VAR33[31:28]), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[31:28]) ); VAR18 VAR50( .VAR46(clk), .VAR3(rst), .VAR19({1'b0,addr}), .VAR45(VAR33[7:0]), .VAR58(1'b0), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[7:0]), .VAR53() ); VAR18 VAR29( .VAR46(clk), .VAR3(rst), .VAR19({1'b0,addr}), .VAR45(VAR33[15:8]), .VAR58(1'b0), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[15:8]), .VAR53() ); VAR18 VAR20( .VAR46(clk), .VAR3(rst), .VAR19({1'b0,addr}), .VAR45(VAR33[23:16]), .VAR58(1'b0), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[23:16]), .VAR53() ); VAR18 VAR31( .VAR46(clk), .VAR3(rst), .VAR19({1'b0,addr}), .VAR45(VAR33[31:24]), .VAR58(1'b0), .VAR48(VAR59), .VAR13(VAR11), .VAR1(VAR49[31:24]), .VAR53() ); wire wr; assign wr = VAR59 & VAR11;
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dfrtp/sky130_fd_sc_hd__dfrtp.pp.symbol.v
1,402
module MODULE1 ( input VAR1 , output VAR4 , input VAR8, input VAR5 , input VAR7 , input VAR2 , input VAR3 , input VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/einvn/sky130_fd_sc_ms__einvn.symbol.v
1,335
module MODULE1 ( input VAR3 , output VAR6 , input VAR1 ); supply1 VAR5; supply0 VAR7; supply1 VAR2 ; supply0 VAR4 ; endmodule
apache-2.0
ipburbank/Raster-Laser-Projector
src/Video_In/synthesis/submodules/Raster_Laser_Projector_Video_In_video_csc.v
6,995
module MODULE1 ( clk, reset, VAR25, VAR12, VAR1, VAR23, VAR20, VAR22, VAR6, VAR30, VAR2, VAR5, VAR28, VAR19 ); parameter VAR17 = 23; parameter VAR3 = 23; parameter VAR34 = 1; parameter VAR31 = 1; input clk; input reset; input [VAR17: 0] VAR25; input VAR12; input VAR1; input [VAR34:0] VAR23; input VAR20; input VAR22; output VAR6; output reg [VAR3: 0] VAR30; output reg VAR2; output reg VAR5; output reg [VAR31:0] VAR28; output reg VAR19; wire VAR16; wire [VAR3: 0] VAR4; wire VAR33; wire VAR27; wire [VAR31:0] VAR11; wire VAR21; reg [VAR17: 0] VAR13; reg VAR15; reg VAR9; reg [VAR34:0] VAR32; reg valid; always @(posedge clk) begin if (reset) begin VAR30 <= 'h0; VAR2 <= 1'b0; VAR5 <= 1'b0; VAR28 <= 2'h0; VAR19 <= 1'b0; end else if (VAR16) begin VAR30 <= VAR4; VAR2 <= VAR33; VAR5 <= VAR27; VAR28 <= VAR11; VAR19 <= VAR21; end end always @(posedge clk) begin if (reset) begin VAR13 <= 'h0; VAR15 <= 1'b0; VAR9 <= 1'b0; VAR32 <= 'h0; valid <= 1'b0; end else if (VAR6) begin VAR13 <= VAR25; VAR15 <= VAR12; VAR9 <= VAR1; VAR32 <= VAR23; valid <= VAR20; end else if (VAR16) begin VAR13 <= 'b0; VAR15 <= 1'b0; VAR9 <= 1'b0; VAR32 <= 'h0; valid <= 1'b0; end end assign VAR6 = VAR20 & (~valid | VAR16); assign VAR16 = ~VAR19 | (VAR22 & VAR19); VAR29 VAR35 ( .clk (clk), .VAR18 (VAR16), .reset (reset), .VAR14 (VAR13[ 7: 0]), .VAR8 (VAR13[23:16]), .VAR10 (VAR13[15: 8]), .VAR12 (VAR15), .VAR1 (VAR9), .VAR23 (VAR32), .VAR20 (valid), .VAR7 (VAR4[23:16]), .VAR24 (VAR4[15: 8]), .VAR26 (VAR4[ 7: 0]), .VAR2 (VAR33), .VAR5 (VAR27), .VAR28 (VAR11), .VAR19 (VAR21) ); endmodule
gpl-3.0
archlabo/Frix
fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/controller/mig_7series_v2_0_rank_mach.v
12,347
module MODULE1 # ( parameter VAR58 = "8", parameter VAR32 = 4, parameter VAR9 = "VAR14", parameter VAR31 = 40, parameter VAR46 = 4, parameter VAR27 = 4, parameter VAR22 = 2, parameter VAR4 = 5, parameter VAR18 = 5, parameter VAR43 = 2, parameter VAR24 = 30, parameter VAR21 = 8, parameter VAR13 = 4, parameter VAR29 = 4, parameter VAR59 = 20, parameter VAR7 = 16, parameter VAR26 = 2, parameter VAR30 = 4, parameter VAR20 = 39, parameter VAR19 = 640000 ) ( VAR11, VAR1, VAR57, VAR33, VAR10, VAR48, VAR41, VAR5, VAR44, VAR49, VAR28, VAR62, VAR39, VAR38, VAR40, VAR8, VAR50, VAR53, VAR16, VAR63, rst, VAR15, VAR23, VAR36, VAR52, VAR12, VAR25, clk, VAR35, VAR34, VAR45, VAR55, VAR17 ); input [VAR7-1:0] VAR17; input VAR55; input VAR45; input VAR35; input VAR34; input clk; input VAR38; input VAR25; input VAR12; input VAR52; input VAR36; input [(VAR30*VAR46)-1:0] VAR23; input [VAR7-1:0] VAR15; input rst; input [VAR46-1:0] VAR63; input [VAR46-1:0] VAR16; input [7:0] VAR53; input [7:0] VAR50; input [VAR7-1:0] VAR8; output VAR57; output VAR1; output [VAR26-1:0] VAR11; wire VAR51; wire VAR37; output [VAR30-1:0] VAR33; output [VAR30-1:0] VAR10; output [VAR30-1:0] VAR48; output [VAR26-1:0] VAR41; output VAR5; output VAR44; output VAR49; output VAR28; output VAR62; output VAR39; output VAR40; wire [VAR30-1:0] VAR2; wire [VAR30-1:0] VAR3; wire [VAR30-1:0] VAR60; genvar VAR54; generate for (VAR54=0; VAR54<VAR30; VAR54=VAR54+1) begin:VAR47 VAR61 # ( .VAR58 (VAR58), .VAR54 (VAR54), .VAR46 (VAR46), .VAR22 (VAR22), .VAR4 (VAR4), .VAR18 (VAR18), .VAR43 (VAR43), .VAR24 (VAR24), .VAR21 (VAR21), .VAR13 (VAR13), .VAR29 (VAR29), .VAR59 (VAR59), .VAR7 (VAR7), .VAR26 (VAR26), .VAR30 (VAR30), .VAR20 (VAR20)) VAR56 (.VAR60 (VAR60[VAR54]), .VAR33 (VAR33[VAR54]), .VAR10 (VAR10[VAR54]), .VAR48 (VAR48[VAR54]), .VAR3 (VAR3[VAR54]), .VAR2 (VAR2[VAR54]), .clk (clk), .rst (rst), .VAR38 (VAR38), .VAR16 (VAR16[VAR46-1:0]), .VAR17 (VAR17[VAR7-1:0]), .VAR63 (VAR63[VAR46-1:0]), .VAR8 (VAR8[VAR7-1:0]), .VAR45 (VAR45), .VAR25 (VAR25), .VAR23 (VAR23[(VAR30*VAR46)-1:0]), .VAR37 (VAR37), .VAR12 (VAR12), .VAR5 (VAR5), .VAR44 (VAR44), .VAR49 (VAR49), .VAR41 (VAR41[VAR26-1:0]), .VAR55 (VAR55), .VAR51 (VAR51), .VAR15 (VAR15[VAR7-1:0])); end endgenerate VAR6 # ( .VAR9 (VAR9), .VAR31 (VAR31), .VAR46 (VAR46), .VAR27 (VAR27), .VAR22 (VAR22), .VAR59 (VAR59), .VAR26 (VAR26), .VAR30 (VAR30), .VAR20 (VAR20), .VAR19 (VAR19)) VAR42 (.VAR60 (VAR60[VAR30-1:0]), .VAR51 (VAR51), .VAR37 (VAR37), .VAR5 (VAR5), .VAR44 (VAR44), .VAR49 (VAR49), .VAR57 (VAR57), .VAR41 (VAR41[VAR26-1:0]), .VAR40 (VAR40), .VAR1 (VAR1), .VAR11 (VAR11[VAR26-1:0]), .clk (clk), .rst (rst), .VAR25 (VAR25), .VAR45 (VAR45), .VAR62 (VAR62), .VAR35 (VAR35), .VAR39 (VAR39), .VAR34 (VAR34), .VAR28 (VAR28), .VAR12 (VAR12), .VAR2 (VAR2[VAR30-1:0]), .VAR52 (VAR52), .VAR53 (VAR53[7:0]), .VAR50 (VAR50[7:0]), .VAR3 (VAR3[VAR30-1:0]), .VAR36 (VAR36)); endmodule
bsd-2-clause
Digilent/vivado-library
ip/video_scaler/hdl/verilog/start_for_Mat2AXImb6.v
3,014
module MODULE1 ( clk, VAR5, VAR12, VAR20, VAR11); parameter VAR7 = 32'd1; parameter VAR21 = 32'd2; parameter VAR27 = 3'd3; input clk; input [VAR7-1:0] VAR5; input VAR12; input [VAR21-1:0] VAR20; output [VAR7-1:0] VAR11; reg[VAR7-1:0] VAR8 [0:VAR27-1]; integer VAR16; always @ (posedge clk) begin if (VAR12) begin for (VAR16=0;VAR16<VAR27-1;VAR16=VAR16+1) VAR8[VAR16+1] <= VAR8[VAR16]; VAR8[0] <= VAR5; end end assign VAR11 = VAR8[VAR20]; endmodule module MODULE2 ( clk, reset, VAR15, VAR25, VAR10, VAR17, VAR18, VAR24, VAR26, VAR3); parameter VAR2 = "VAR13"; parameter VAR7 = 32'd1; parameter VAR21 = 32'd2; parameter VAR27 = 3'd3; input clk; input reset; output VAR15; input VAR25; input VAR10; output[VAR7 - 1:0] VAR17; output VAR18; input VAR24; input VAR26; input[VAR7 - 1:0] VAR3; wire[VAR21 - 1:0] VAR1 ; wire[VAR7 - 1:0] VAR4, VAR14; wire VAR6; reg[VAR21:0] VAR22 = ~{(VAR21+1){1'b0}}; reg VAR19 = 0, VAR9 = 1; assign VAR15 = VAR19; assign VAR18 = VAR9; assign VAR4 = VAR3; assign VAR17 = VAR14; always @ (posedge clk) begin if (reset == 1'b1) begin VAR22 <= ~{VAR21+1{1'b0}}; VAR19 <= 1'b0; VAR9 <= 1'b1; end else begin if (((VAR10 & VAR25) == 1 & VAR19 == 1) && ((VAR26 & VAR24) == 0 | VAR9 == 0)) begin VAR22 <= VAR22 - 3'd1; if (VAR22 == 3'd0) VAR19 <= 1'b0; VAR9 <= 1'b1; end else if (((VAR10 & VAR25) == 0 | VAR19 == 0) && ((VAR26 & VAR24) == 1 & VAR9 == 1)) begin VAR22 <= VAR22 + 3'd1; VAR19 <= 1'b1; if (VAR22 == VAR27 - 3'd2) VAR9 <= 1'b0; end end end assign VAR1 = VAR22[VAR21] == 1'b0 ? VAR22[VAR21-1:0]:{VAR21{1'b0}}; assign VAR6 = (VAR26 & VAR24) & VAR9; MODULE1 .VAR7(VAR7), .VAR21(VAR21), .VAR27(VAR27)) VAR23 ( .clk(clk), .VAR5(VAR4), .VAR12(VAR6), .VAR20(VAR1), .VAR11(VAR14)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/or3/sky130_fd_sc_ls__or3.functional.v
1,265
module MODULE1 ( VAR6, VAR7, VAR4, VAR5 ); output VAR6; input VAR7; input VAR4; input VAR5; wire VAR1; or VAR2 (VAR1, VAR4, VAR7, VAR5 ); buf VAR3 (VAR6 , VAR1 ); endmodule
apache-2.0
olgirard/openmsp430
fpga/xilinx_avnet_lx9microbard/bench/verilog/glbl.v
1,172
module MODULE1 (); parameter VAR7 = 100000; parameter VAR8 = 0; wire VAR14; wire VAR19; wire VAR20; reg VAR23; reg VAR25; reg VAR1; wire VAR24; wire VAR5; wire VAR3; wire VAR16; wire VAR15; reg VAR13; reg VAR9; reg VAR17; reg VAR18; reg VAR6 = 0; reg VAR4 = 0 ; reg VAR10 = 0; reg VAR21 = 0; reg VAR11 = 1'VAR12; reg VAR26 = 1'VAR12; reg VAR2 = 1'VAR12; reg VAR22 = 1'VAR12; assign (weak1, weak0) VAR14 = VAR23; assign (weak1, weak0) VAR19 = VAR25; assign (weak1, weak0) VAR20 = VAR1;
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/and4bb/sky130_fd_sc_ls__and4bb.pp.blackbox.v
1,351
module MODULE1 ( VAR9 , VAR3 , VAR7 , VAR2 , VAR8 , VAR4, VAR1, VAR6 , VAR5 ); output VAR9 ; input VAR3 ; input VAR7 ; input VAR2 ; input VAR8 ; input VAR4; input VAR1; input VAR6 ; input VAR5 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a32o/sky130_fd_sc_lp__a32o.behavioral.pp.v
2,224
module MODULE1 ( VAR12 , VAR20 , VAR4 , VAR8 , VAR1 , VAR9 , VAR2, VAR19, VAR3 , VAR16 ); output VAR12 ; input VAR20 ; input VAR4 ; input VAR8 ; input VAR1 ; input VAR9 ; input VAR2; input VAR19; input VAR3 ; input VAR16 ; wire VAR14 ; wire VAR7 ; wire VAR11 ; wire VAR5; and VAR13 (VAR14 , VAR8, VAR20, VAR4 ); and VAR17 (VAR7 , VAR1, VAR9 ); or VAR15 (VAR11 , VAR7, VAR14 ); VAR6 VAR18 (VAR5, VAR11, VAR2, VAR19); buf VAR10 (VAR12 , VAR5 ); endmodule
apache-2.0
JY-Kim/CA2016
Sources/InstMemory.v
1,742
module MODULE1 ( input VAR5, input VAR3, input [31:0] VAR6, output wire [31:0] VAR2 ); reg [31:0] VAR4[0:63]; reg [5:0] VAR1; assign VAR2 = VAR4[VAR1]; always @ ( posedge VAR5 or posedge VAR3 ) begin if ( VAR5 ) begin VAR4[0] <= {6'd8, 5'd29, 5'd29, -16'd8}; VAR4[1] <= {6'd0, 5'd4, 5'd5, 5'd8, 5'd0, 6'd42}; VAR4[2] <= {6'd43, 5'd29, 5'd31, 16'd4}; VAR4[3] <= {6'd43, 5'd29, 5'd4, 16'd0}; VAR4[4] <= {6'd4, 5'd8, 5'd0, 16'd3}; VAR4[5] <= {6'd8, 5'd0, 5'd2, 16'd0}; VAR4[6] <= {6'd8, 5'd29, 5'd29, 16'd8}; VAR4[7] <= {6'd0, 5'd31, 5'd0, 5'd0, 5'd0, 6'd8}; VAR4[8] <= {6'd8, 5'd4, 5'd4, -16'd1}; VAR4[9] <= {6'd3, 26'd0}; VAR4[10] <= {6'd35, 5'd29, 5'd4, 16'd0}; VAR4[11] <= {6'd35, 5'd29, 5'd31, 16'd4}; VAR4[12] <= {6'd8, 5'd29, 5'd29, 16'd8}; VAR4[13] <= {6'd0, 5'd4, 5'd2, 5'd2, 5'd0, 6'd32}; VAR4[14] <= {6'd0, 5'd31, 5'd0, 5'd0, 5'd0, 6'd8}; end else VAR1 <= VAR6[7:2]; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/tapvgndnovpb/sky130_fd_sc_ls__tapvgndnovpb.functional.pp.v
1,201
module MODULE1 ( VAR4, VAR2, VAR1 , VAR3 ); input VAR4; input VAR2; input VAR1 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a221oi/sky130_fd_sc_ls__a221oi.symbol.v
1,402
module MODULE1 ( input VAR9, input VAR1, input VAR10, input VAR8, input VAR2, output VAR5 ); supply1 VAR3; supply0 VAR6; supply1 VAR4 ; supply0 VAR7 ; endmodule
apache-2.0
nikhilghanathe/HLS-for-EMTF
verilog/sp_best_delta_seg_ch.v
5,110
module MODULE1 ( VAR38, VAR50, VAR41, VAR17, VAR46, VAR43, VAR10, VAR16, VAR19, VAR25 ); parameter VAR51 = 2'b11; parameter VAR48 = 2'b1; parameter VAR28 = 2'b00; parameter VAR30 = 7'b1111111; parameter VAR9 = 32'b10; parameter VAR12 = 32'b11; parameter VAR2 = 2'b10; parameter VAR29 = 32'b1; parameter VAR45 = 4'b0000; input [6:0] VAR38; input [6:0] VAR50; input [6:0] VAR41; input [6:0] VAR17; input [3:0] VAR46; input [3:0] VAR43; output [6:0] VAR10; output [0:0] VAR16; output [0:0] VAR19; output [1:0] VAR25; wire [1:0] VAR5; wire [0:0] VAR34; wire [0:0] VAR7; wire [0:0] VAR39; wire [0:0] VAR14; wire [0:0] VAR22; wire [0:0] VAR6; wire [0:0] VAR31; wire [6:0] VAR47; wire [1:0] VAR27; wire [0:0] VAR18; wire [0:0] VAR35; wire [0:0] VAR40; wire [0:0] VAR26; wire [0:0] VAR13; wire [0:0] VAR24; wire [0:0] VAR32; wire [0:0] VAR49; wire [6:0] VAR37; wire [6:0] VAR4; wire [6:0] VAR11; wire [0:0] VAR8; wire [0:0] VAR23; wire [0:0] VAR20; wire [1:0] VAR21; wire [1:0] VAR1; wire [0:0] VAR44; wire [0:0] VAR42; wire [6:0] VAR15; wire [0:0] VAR36; wire [0:0] VAR33; wire [1:0] VAR3; assign VAR3 = ((VAR20[0:0] === 1'b1) ? VAR21 : VAR1); assign VAR15 = ((VAR20[0:0] === 1'b1) ? VAR4 : VAR11); assign VAR33 = ((VAR43 != VAR45) ? 1'b1 : 1'b0); assign VAR10 = VAR15; assign VAR16 = VAR36; assign VAR19 = VAR33; assign VAR25 = VAR3; assign VAR47 = ((VAR22[0:0] === 1'b1) ? VAR38 : VAR50); assign VAR11 = ((VAR49[0:0] === 1'b1) ? VAR30 : VAR37); assign VAR4 = ((VAR31[0:0] === 1'b1) ? VAR30 : VAR47); assign VAR37 = ((VAR13[0:0] === 1'b1) ? VAR41 : VAR17); assign VAR6 = (VAR22 ^ 1'b1); assign VAR1 = ((VAR13[0:0] === 1'b1) ? VAR2 : VAR51); assign VAR21 = VAR6; assign VAR27 = {{VAR43[VAR12 : VAR9]}}; assign VAR36 = ((VAR20[0:0] === 1'b1) ? VAR44 : VAR42); assign VAR13 = (VAR40 | VAR26); assign VAR22 = (VAR39 | VAR14); assign VAR40 = (VAR18 & VAR35); assign VAR39 = (VAR34 & VAR7); assign VAR18 = ((VAR41 < VAR17) ? 1'b1 : 1'b0); assign VAR35 = ((VAR27 == VAR51) ? 1'b1 : 1'b0); assign VAR26 = ((VAR27 == VAR48) ? 1'b1 : 1'b0); assign VAR49 = ((VAR27 == VAR28) ? 1'b1 : 1'b0); assign VAR42 = ((VAR13[0:0] === 1'b1) ? VAR24 : VAR32); assign VAR44 = ((VAR22[0:0] === 1'b1) ? VAR8 : VAR23); assign VAR5 = VAR43[1:0]; assign VAR24 = VAR46[VAR9]; assign VAR32 = VAR46[VAR12]; assign VAR8 = VAR46[0:0]; assign VAR23 = VAR46[VAR29]; assign VAR7 = ((VAR5 == VAR51) ? 1'b1 : 1'b0); assign VAR14 = ((VAR5 == VAR48) ? 1'b1 : 1'b0); assign VAR31 = ((VAR5 == VAR28) ? 1'b1 : 1'b0); assign VAR20 = ((VAR4 < VAR11) ? 1'b1 : 1'b0); assign VAR34 = ((VAR38 < VAR50) ? 1'b1 : 1'b0); endmodule
apache-2.0
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_default/pr_region_default_mm_bridge_0/pr_region_default_mm_bridge_0_bb.v
1,885
module MODULE1 #( parameter VAR18 = 32, parameter VAR15 = 8, parameter VAR11 = 10, parameter VAR3 = 1, parameter VAR13 = 1, parameter VAR7 = 1 ) ( input wire clk, input wire VAR5, input wire [VAR18-1:0] VAR19, input wire VAR23, output wire [VAR3-1:0] VAR9, output wire [VAR18-1:0] VAR17, output wire [VAR11-1:0] VAR12, output wire VAR20, output wire VAR21, output wire [3:0] VAR8, output wire VAR16, input wire reset, output wire VAR2, output wire [VAR18-1:0] VAR22, output wire VAR25, input wire [VAR3-1:0] VAR4, input wire [VAR18-1:0] VAR24, input wire [VAR11-1:0] VAR10, input wire VAR1, input wire VAR6, input wire [3:0] VAR14, input wire VAR26 ); endmodule
mit
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/video_sys/synthesis/submodules/video_sys_Video_Scaler.v
8,026
module MODULE1 ( clk, reset, VAR21, VAR1, VAR2, VAR14, VAR24, VAR7, VAR3, VAR11, VAR4, VAR6, VAR17, VAR27 ); parameter VAR22 = 15; parameter VAR19 = 0; parameter VAR28 = 9; parameter VAR30 = 7; parameter VAR16 = 640; parameter VAR23 = 4'b0101; parameter VAR9 = 4'b0000; parameter VAR25 = 8; parameter VAR26 = 320; parameter VAR5 = 0; parameter VAR12 = 0; input clk; input reset; input [VAR22: 0] VAR21; input VAR1; input VAR2; input [VAR19: 0] VAR14; input VAR24; input VAR7; output VAR3; output [VAR22: 0] VAR11; output VAR4; output VAR6; output [VAR19: 0] VAR17; output VAR27; wire [VAR22: 0] VAR20; wire VAR29; wire VAR10; wire VAR15; wire VAR31; assign VAR17 = 'h0; VAR18 VAR8 ( .clk (clk), .reset (reset), .VAR21 (VAR21), .VAR1 (VAR1), .VAR2 (VAR2), .VAR24 (VAR24), .VAR7 (VAR7), .VAR3 (VAR3), .VAR11 (VAR11), .VAR4 (VAR4), .VAR6 (VAR6), .VAR27 (VAR27) ); VAR8.VAR22 = VAR22, VAR8.VAR13 = VAR28, VAR8.VAR32 = VAR30, VAR8.VAR16 = VAR16, VAR8.VAR23 = VAR23, VAR8.VAR9 = VAR9; endmodule
gpl-2.0
solowandererY2K/FPGA-Quantum-Compiler
src/mult_unit_bb.v
3,764
module MODULE1 ( VAR2, VAR1, VAR3); input [35:0] VAR2; input [35:0] VAR1; output [71:0] VAR3; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o22ai/sky130_fd_sc_hdll__o22ai.symbol.v
1,379
module MODULE1 ( input VAR7, input VAR4, input VAR1, input VAR6, output VAR5 ); supply1 VAR3; supply0 VAR8; supply1 VAR9 ; supply0 VAR2 ; endmodule
apache-2.0