repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
manu3193/GatoTDD | Gato_FSM.v | 2,716 | module MODULE1(
clk,
reset,
state,
VAR12,
VAR14,
VAR22,
VAR19,
VAR9,
VAR7,
VAR3,
VAR1,
VAR2,
VAR24,
VAR23,
VAR13,
VAR4,
VAR18
);
input clk, reset;
input VAR12, VAR14;
input VAR22, VAR19, VAR9, VAR7, VAR3, VAR1;
output reg VAR24, VAR23;
output reg VAR2;
output reg VAR13, VAR4, VAR18;
output [3:0] state;
reg [3:0] state, VAR5;
parameter VAR20 = 0;
parameter VAR11 = 1;
parameter VAR17 = 2;
parameter VAR16 = 3;
parameter VAR8 = 4;
parameter VAR10 = 5;
parameter VAR21 = 6;
VAR15 VAR24 <= 1'b1;
VAR15 VAR23 <= 1'b0;
always @(posedge clk or posedge reset)
begin
if (reset)
state <= VAR20;
end
else
state <= VAR5;
end
always @(state or VAR12 or VAR14 or VAR9 or VAR19 or VAR22 or VAR1 or VAR3 or VAR7)
begin
VAR5 = 3'VAR6;
case(state)
VAR20:
begin
VAR2 <= 1'b0;
VAR24 <= 1'b1;
VAR23 <= 1'b0;
if (VAR12 == 1'b0)
VAR5 = VAR20;
end
else if (VAR12 == 1'b1)
VAR5 = VAR11;
end
VAR11:
begin
VAR2 <= 1'b1;
VAR24 <= 1'b0;
VAR23 <= 1'b1;
if (VAR22 == 1'b1 & VAR19 == 1'b0 & VAR9 == 1'b0)
VAR5 = VAR10;
end
else if (VAR9 == 1'b1 & VAR22 == 1'b0 & VAR19 == 1'b0)
VAR5 = VAR21;
else if (VAR14 == 1'b0)
VAR5 = VAR17;
end
VAR17:
begin
VAR2 <= 1'b0;
VAR24 <= 1'b0;
VAR23 <= 1'b1;
if (VAR14 == 1'b0)
VAR5 = VAR17;
end
else if (VAR14 == 1'b1)
VAR5 = VAR16;
end
VAR16:
begin
VAR2 <= 1'b1;
VAR24 <= 1'b1;
VAR23 <= 1'b0;
if (VAR7 == 1'b1 & VAR3 == 1'b0 & VAR1 == 1'b0)
VAR5 = VAR10;
end
else if (VAR1 == 1'b1 & VAR7 == 1'b0 & VAR3 == 1'b0)
VAR5 = VAR8;
else if (VAR12 == 1'b0)
VAR5 = VAR20;
end
VAR8:
begin
VAR13 <= 1'b1;
VAR5 = VAR8;
end
VAR10:
begin
VAR18 <= 1'b1;
VAR5 = VAR10;
end
VAR21:
begin
VAR4 <= 1'b1;
VAR5 = VAR21;
end
default: VAR5 = VAR20;
endcase
end
endmodule | mit |
lbl-cal/StanfordNoC | router/src/vcr_sw_alloc_sep_if.v | 19,144 | module MODULE1
(clk, reset, VAR56, VAR11, VAR40, VAR55,
VAR95, VAR53, VAR68, VAR18, VAR33, VAR24);
parameter VAR15 = 4;
parameter VAR81 = 5;
parameter VAR79 = VAR82;
parameter VAR64 = VAR92;
parameter VAR3 = VAR44;
input clk;
input reset;
input [0:VAR81-1] VAR56;
input [0:VAR81-1] VAR11;
input [0:VAR81*VAR15*VAR81-1] VAR40;
input [0:VAR81*VAR15-1] VAR55;
input [0:VAR81*VAR15-1] VAR95;
output [0:VAR81-1] VAR53;
wire [0:VAR81-1] VAR53;
output [0:VAR81*VAR15-1] VAR68;
wire [0:VAR81*VAR15-1] VAR68;
output [0:VAR81-1] VAR18;
wire [0:VAR81-1] VAR18;
output [0:VAR81*VAR81-1] VAR33;
wire [0:VAR81*VAR81-1] VAR33;
output [0:VAR81*VAR15-1] VAR24;
wire [0:VAR81*VAR15-1] VAR24;
wire [0:VAR81*VAR81-1] VAR49;
wire [0:VAR81*VAR81-1] VAR22;
wire [0:VAR81*VAR81-1] VAR19;
wire [0:VAR81*VAR81-1] VAR74;
wire [0:VAR81*VAR81-1] VAR58;
wire [0:VAR81-1] VAR45;
wire [0:VAR81-1] VAR21;
generate
genvar VAR87;
for(VAR87 = 0; VAR87 < VAR81; VAR87 = VAR87 + 1)
begin:VAR39
wire VAR23;
assign VAR23 = VAR56[VAR87];
wire [0:VAR15*VAR81-1] VAR41;
assign VAR41
= VAR40[VAR87*VAR15*VAR81:(VAR87+1)*VAR15*VAR81-1];
wire [0:VAR81-1] VAR62;
assign VAR62
= VAR19[VAR87*VAR81:(VAR87+1)*VAR81-1];
wire VAR63;
assign VAR63 = |VAR62;
wire VAR60;
assign VAR60 = VAR63;
wire [0:VAR15-1] VAR26;
assign VAR26
= VAR55[VAR87*VAR15:(VAR87+1)*VAR15-1];
wire [0:VAR15-1] VAR47;
assign VAR47 = VAR26;
wire [0:VAR15-1] VAR50;
if(VAR64 != VAR94)
begin
VAR73
.VAR6(1),
.VAR3(VAR3),
.VAR79(VAR79))
VAR16
(.clk(clk),
.reset(reset),
.VAR23(VAR23),
.VAR35(VAR60),
.VAR98(VAR47),
.VAR69(VAR50),
.VAR31());
end
wire [0:VAR81-1] VAR1;
VAR67
.VAR81(VAR15))
VAR84
(.select(VAR47),
.VAR91(VAR41),
.VAR9(VAR1));
assign VAR49[VAR87*VAR81:(VAR87+1)*VAR81-1]
= VAR1;
wire [0:VAR81-1] VAR10;
VAR67
.VAR81(VAR15))
VAR93
(.select(VAR50),
.VAR91(VAR41),
.VAR9(VAR10));
assign VAR22[VAR87*VAR81:(VAR87+1)*VAR81-1]
= VAR10;
wire [0:VAR81-1] VAR8;
wire VAR31;
wire [0:VAR15-1] VAR54;
if(VAR64 != VAR86)
begin
wire [0:VAR81-1] VAR66;
assign VAR66
= VAR58[VAR87*VAR81:(VAR87+1)*VAR81-1];
wire VAR46;
assign VAR46 = |VAR66;
wire VAR17;
assign VAR17 = VAR46;
wire [0:VAR15-1] VAR20;
assign VAR20
= VAR95[VAR87*VAR15:(VAR87+1)*VAR15-1];
wire [0:VAR15-1] VAR77;
assign VAR77 = VAR20;
wire [0:VAR15-1] VAR4;
if(VAR64 == VAR94)
begin
wire VAR65;
assign VAR65 = VAR17 | VAR60;
VAR73
.VAR6(2),
.VAR3(VAR3),
.VAR79(VAR79))
VAR89
(.clk(clk),
.reset(reset),
.VAR23(VAR23),
.VAR35(VAR65),
.VAR98({VAR47, VAR77}),
.VAR69({VAR50, VAR4}),
.VAR31(VAR54));
assign VAR31 = VAR63 | VAR46;
end
else
begin
VAR73
.VAR6(1),
.VAR3(VAR3),
.VAR79(VAR79))
VAR48
(.clk(clk),
.reset(reset),
.VAR23(VAR23),
.VAR35(VAR17),
.VAR98(VAR77),
.VAR69(VAR4),
.VAR31());
wire VAR52;
assign VAR52 = VAR45[VAR87];
wire [0:VAR81-1] VAR51;
assign VAR51
= VAR66 & VAR21 &
{VAR81{VAR52}};
wire VAR72;
assign VAR72 = |VAR51;
assign VAR31 = VAR63 | VAR72;
case(VAR64)
begin
wire VAR96;
assign VAR96 = |VAR26;
assign VAR54 = VAR96 ?
VAR50 :
VAR4;
end
begin
assign VAR54 = VAR63 ?
VAR50 :
VAR4;
end
endcase
end
VAR67
.VAR81(VAR15))
VAR27
(.select(VAR4),
.VAR91(VAR41),
.VAR9(VAR8));
end
else
begin
assign VAR8 = {VAR81{1'b0}};
assign VAR54 = VAR50;
assign VAR31 = VAR63;
end
assign VAR74[VAR87*VAR81:(VAR87+1)*VAR81-1]
= VAR8;
assign VAR68[VAR87*VAR15:(VAR87+1)*VAR15-1] = VAR54;
assign VAR53[VAR87] = VAR31;
end
endgenerate
wire [0:VAR81*VAR81-1] VAR29;
VAR97
.VAR32(VAR81))
VAR5
(.VAR91(VAR49),
.VAR9(VAR29));
wire [0:VAR81*VAR81-1] VAR7;
VAR97
.VAR32(VAR81))
VAR43
(.VAR91(VAR22),
.VAR9(VAR7));
wire [0:VAR81*VAR81-1] VAR30;
VAR97
.VAR32(VAR81))
VAR78
(.VAR91(VAR30),
.VAR9(VAR19));
wire [0:VAR81*VAR81-1] VAR14;
VAR97
.VAR32(VAR81))
VAR90
(.VAR91(VAR74),
.VAR9(VAR14));
wire [0:VAR81*VAR81-1] VAR70;
VAR97
.VAR32(VAR81))
VAR12
(.VAR91(VAR70),
.VAR9(VAR58));
generate
case(VAR64)
begin
assign VAR45 = {VAR81{1'b0}};
assign VAR21 = {VAR81{1'b0}};
end
begin
VAR85
.VAR81(VAR81),
.VAR25(VAR34))
VAR88
(.VAR91(VAR22),
.VAR9(VAR21));
VAR85
.VAR81(VAR81),
.VAR25(VAR34))
VAR59
(.VAR91(VAR29),
.VAR9(VAR45));
end
begin
VAR85
.VAR81(VAR81),
.VAR25(VAR34))
VAR88
(.VAR91(VAR22),
.VAR9(VAR21));
VAR85
.VAR81(VAR81),
.VAR25(VAR34))
VAR59
(.VAR91(VAR30),
.VAR9(VAR45));
end
endcase
endgenerate
generate
genvar VAR25;
for (VAR25 = 0; VAR25 < VAR81; VAR25 = VAR25 + 1)
begin:VAR28
wire VAR23;
assign VAR23 = VAR11[VAR25];
wire [0:VAR81-1] VAR61;
wire [0:VAR81-1] VAR57;
assign VAR57
= VAR7[VAR25*VAR81:(VAR25+1)*VAR81-1];
wire VAR36;
assign VAR36 = |VAR57;
wire VAR60;
assign VAR60 = VAR36;
wire [0:VAR81-1] VAR2;
if(VAR64 != VAR94)
begin
VAR73
.VAR6(1),
.VAR79(VAR79),
.VAR3(VAR3))
VAR80
(.clk(clk),
.reset(reset),
.VAR23(VAR23),
.VAR35(VAR60),
.VAR98(VAR57),
.VAR69(VAR2),
.VAR31());
end
wire [0:VAR81-1] VAR42;
wire VAR31;
if(VAR64 != VAR86)
begin
wire [0:VAR81-1] VAR76;
assign VAR76
= VAR14[VAR25*VAR81:(VAR25+1)*VAR81-1];
wire VAR13;
assign VAR13 = |VAR76;
wire VAR17;
assign VAR17 = VAR13;
if(VAR64 == VAR94)
begin
wire VAR65;
assign VAR65 = VAR60 | VAR17;
VAR73
.VAR6(2),
.VAR79(VAR79),
.VAR3(VAR3))
VAR99
(.clk(clk),
.reset(reset),
.VAR23(VAR23),
.VAR35(VAR65),
.VAR98({VAR57, VAR76}),
.VAR69({VAR2, VAR42}),
.VAR31(VAR61));
assign VAR31 = VAR36 | VAR13;
end
else
begin
VAR73
.VAR6(1),
.VAR79(VAR79),
.VAR3(VAR3))
VAR38
(.clk(clk),
.reset(reset),
.VAR23(VAR23),
.VAR35(VAR17),
.VAR98(VAR76),
.VAR69(VAR42),
.VAR31());
wire VAR52;
assign VAR52 = VAR21[VAR25];
wire [0:VAR81-1] VAR75;
assign VAR75
= VAR42 & VAR45 &
{VAR81{VAR52}};
wire VAR72;
assign VAR72 = |VAR75;
assign VAR61 = VAR2 | VAR75;
assign VAR31 = VAR36 | VAR72;
end
end
else
begin
assign VAR42 = {VAR81{1'b0}};
assign VAR31 = VAR36;
assign VAR61 = VAR2;
end
wire [0:VAR15-1] VAR54;
VAR71
.VAR37(VAR15))
VAR83
(.select(VAR61),
.VAR91(VAR68),
.VAR9(VAR54));
assign VAR30[VAR25*VAR81:(VAR25+1)*VAR81-1]
= VAR2;
assign VAR70[VAR25*VAR81:(VAR25+1)*VAR81-1]
= VAR42;
assign VAR18[VAR25] = VAR31;
assign VAR33[VAR25*VAR81:(VAR25+1)*VAR81-1] = VAR61;
assign VAR24[VAR25*VAR15:(VAR25+1)*VAR15-1] = VAR54;
end
endgenerate
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/edfxbp/sky130_fd_sc_ls__edfxbp.behavioral.pp.v | 2,321 | module MODULE1 (
VAR5 ,
VAR15 ,
VAR19 ,
VAR10 ,
VAR9 ,
VAR12,
VAR14,
VAR11 ,
VAR1
);
output VAR5 ;
output VAR15 ;
input VAR19 ;
input VAR10 ;
input VAR9 ;
input VAR12;
input VAR14;
input VAR11 ;
input VAR1 ;
wire VAR3 ;
reg VAR20 ;
wire VAR18 ;
wire VAR4 ;
wire VAR8;
wire VAR13 ;
wire VAR2 ;
wire VAR16 ;
VAR22 VAR23 (VAR13, VAR3, VAR18, VAR4 );
VAR21 VAR6 (VAR3 , VAR13, VAR8, VAR20, VAR12, VAR14);
assign VAR2 = ( VAR12 === 1'b1 );
assign VAR16 = ( VAR2 && ( VAR4 === 1'b1 ) );
buf VAR17 (VAR5 , VAR3 );
not VAR7 (VAR15 , VAR3 );
endmodule | apache-2.0 |
AmeerAbdelhadi/Indirectly-Indexed-2D-Binary-Content-Addressable-Memory-BCAM | camctl.v | 6,025 | module MODULE1
( input clk , input rst , input VAR10 , input VAR15 , input VAR8, input VAR12, input VAR9,
output reg VAR4 , output reg VAR13 , output reg VAR14 , output reg VAR18 , output reg VAR7 , output reg VAR3 , output reg VAR2 , output reg VAR6 );
reg [1:0] VAR16, VAR11 ;
localparam VAR5 = 2'b00;
localparam VAR1 = 2'b01;
localparam VAR17 = 2'b10;
always @(posedge clk, posedge rst)
if (rst) VAR16 <= VAR5 ;
else VAR16 <= VAR11;
always @(*) begin
{VAR4,VAR13,VAR14,VAR18,VAR7,VAR3,VAR2,VAR6} = 8'h00;
case (VAR16)
VAR5: VAR11 = VAR10?VAR1:VAR5; VAR1: begin VAR11 = VAR17 ;
VAR18 = !(VAR9 && VAR15) && VAR15 && VAR8;
VAR3 = !(VAR9 && VAR15) && VAR15 && !VAR8;
VAR6 = VAR15 ;
end
VAR17: begin VAR11 = VAR5 ;
VAR4 = !(VAR9 && VAR15) && 1'b1 ;
VAR13 = !(VAR9 && VAR15) && 1'b1 ;
VAR14 = !(VAR9 && VAR15) && (VAR15 && !VAR8) || !VAR12;
VAR18 = !(VAR9 && VAR15) && 1'b1 ;
VAR7 = !(VAR9 && VAR15) && !VAR12 ;
VAR3 = !(VAR9 && VAR15) && !VAR12 ;
VAR2 = 1'b1 ;
VAR6 = 1'b0 ;
end
endcase
end
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dlrbp/sky130_fd_sc_lp__dlrbp_lp.v | 2,482 | module MODULE2 (
VAR6 ,
VAR4 ,
VAR9,
VAR5 ,
VAR3 ,
VAR8 ,
VAR7 ,
VAR1 ,
VAR10
);
output VAR6 ;
output VAR4 ;
input VAR9;
input VAR5 ;
input VAR3 ;
input VAR8 ;
input VAR7 ;
input VAR1 ;
input VAR10 ;
VAR11 VAR2 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR6 ,
VAR4 ,
VAR9,
VAR5 ,
VAR3
);
output VAR6 ;
output VAR4 ;
input VAR9;
input VAR5 ;
input VAR3 ;
supply1 VAR8;
supply0 VAR7;
supply1 VAR1 ;
supply0 VAR10 ;
VAR11 VAR2 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
karatekid/ultrasonic-fountain | hardware/src/avr_interface.v | 4,342 | module MODULE1 #(
parameter VAR62 = 50000000,
parameter VAR9 = 500000
)(
input clk,
input rst,
input VAR42,
output ready,
output VAR25,
input VAR19,
input VAR45,
input VAR10,
output VAR41,
input VAR48,
output [7:0] VAR60,
output VAR11,
input [7:0] VAR54,
input VAR20,
output VAR23,
input VAR57,
output [5:0] VAR44,
output write,
output VAR22,
output [7:0] VAR58,
input [7:0] VAR24
);
wire VAR34 = !ready;
wire VAR6;
wire [7:0] VAR29;
wire VAR21, VAR38;
wire VAR7;
wire VAR28;
localparam VAR49 = 2;
localparam VAR4 = 0,
VAR13 = 1,
VAR33 = 2,
VAR5 = 3;
reg [VAR49-1:0] VAR40, VAR36;
reg [7:0] VAR15, VAR30;
reg VAR35, VAR39;
reg VAR52, VAR2;
reg [5:0] VAR61, VAR46;
reg VAR37, VAR3;
reg VAR55, VAR16;
assign VAR44 = VAR46;
assign write = VAR39;
assign VAR22 = VAR3;
assign VAR58 = VAR30;
assign VAR25 = ready && !VAR10 ? VAR28 : 1'VAR1;
assign VAR41 = ready ? VAR7 : 1'VAR1;
VAR18 #(.VAR62(VAR62)) VAR18 (
.clk(clk),
.rst(rst),
.VAR42(VAR42),
.ready(ready)
);
VAR53 VAR53 (
.clk(clk),
.rst(VAR34),
.VAR8(VAR10),
.VAR17(VAR19),
.VAR32(VAR28),
.VAR26(VAR45),
.VAR27(VAR6),
.din(VAR24),
.dout(VAR29),
.VAR21(VAR21),
.VAR38(VAR38)
);
parameter VAR14 = VAR47(VAR43(VAR62/VAR9));
VAR12 #(.VAR14(VAR14)) VAR12 (
.clk(clk),
.rst(VAR34),
.VAR48(VAR48),
.VAR51(VAR60),
.VAR56(VAR11)
);
VAR59 #(.VAR14(VAR14)) VAR59 (
.clk(clk),
.rst(VAR34),
.VAR41(VAR7),
.VAR50(VAR57),
.VAR31(VAR23),
.VAR51(VAR54),
.VAR56(VAR20)
);
always @(*) begin
VAR15 = VAR30;
VAR35 = VAR39;
VAR52 = VAR2;
VAR61 = VAR46;
VAR37 = 1'b0;
VAR40 = VAR36;
VAR55 = VAR16;
case (VAR36)
VAR4: begin
if (VAR21)
VAR40 = VAR13;
end
VAR13: begin
if (VAR6) begin
VAR55 = 1'b1;
{VAR35, VAR52, VAR61} = VAR29;
if (VAR29[7]) begin
VAR40 = VAR33;
end else begin
VAR40 = VAR5;
VAR37 = 1'b1;
end
end
end
VAR33: begin
if (VAR6) begin
VAR55 = 1'b0;
if (VAR2 && !VAR16)
VAR61 = VAR46 + 1'b1;
VAR37 = 1'b1;
VAR15 = VAR29;
end
end
VAR5: begin
if (VAR6) begin
if (VAR2)
VAR61 = VAR46 + 1'b1;
VAR37 = 1'b1;
end
end
default: VAR40 = VAR4;
endcase
if (VAR38)
VAR40 = VAR4;
end
always @(posedge clk) begin
if (VAR34) begin
VAR36 <= VAR4;
end else begin
VAR36 <= VAR40;
end
VAR30 <= VAR15;
VAR39 <= VAR35;
VAR2 <= VAR52;
VAR46 <= VAR61;
VAR3 <= VAR37;
VAR16 <= VAR55;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o221a/sky130_fd_sc_lp__o221a_2.v | 2,444 | module MODULE2 (
VAR6 ,
VAR4 ,
VAR7 ,
VAR11 ,
VAR5 ,
VAR10 ,
VAR2,
VAR9,
VAR12 ,
VAR8
);
output VAR6 ;
input VAR4 ;
input VAR7 ;
input VAR11 ;
input VAR5 ;
input VAR10 ;
input VAR2;
input VAR9;
input VAR12 ;
input VAR8 ;
VAR1 VAR3 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR12(VAR12),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR6 ,
VAR4,
VAR7,
VAR11,
VAR5,
VAR10
);
output VAR6 ;
input VAR4;
input VAR7;
input VAR11;
input VAR5;
input VAR10;
supply1 VAR2;
supply0 VAR9;
supply1 VAR12 ;
supply0 VAR8 ;
VAR1 VAR3 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/sparc/tlu/rtl/sparc_tlu_intctl.v | 20,831 | module MODULE1(
VAR87, VAR44, VAR48, VAR85, VAR26,
VAR63, VAR34, VAR65,
VAR57, VAR12, VAR50,
VAR74, VAR20, VAR70, VAR66,
VAR54, VAR18, VAR40,
VAR35, VAR46, VAR55, VAR21, VAR7, VAR5, VAR92, VAR3,
VAR62, VAR59, VAR24, VAR60,
VAR16, VAR75, VAR1, VAR39,
VAR82, VAR10, VAR4, VAR27,
VAR13, VAR43, VAR93, VAR86);
input VAR35, VAR46, VAR21;
input VAR5, VAR92;
input VAR55;
input VAR7;
input [3:0] VAR3;
input VAR62; input [3:0] VAR59; input VAR24;
input [4:0] VAR16; input [1:0] VAR75;
input VAR1;
input VAR39; input VAR82; input [1:0] VAR10; input [7:0] VAR4; input VAR86; input VAR93; input VAR60;
input [1:0] VAR27;
input [3:0] VAR13;
input [3:0] VAR43;
output VAR44, VAR87;
output [3:0] VAR48; output [3:0] VAR85; output VAR26; output VAR63; output VAR34; output [3:0] VAR65;
output [3:0] VAR57;
output [3:0] VAR12; output [3:0] VAR74;
output [3:0] VAR20; output [3:0] VAR70;
output VAR50; output [1:0] VAR54;
output VAR18; output VAR66; output [3:0] VAR40;
wire VAR69; wire VAR25, VAR67;
wire VAR8, VAR84;
wire VAR72,
VAR56,
VAR6,
VAR73;
wire [3:0] VAR77,
VAR81,
VAR79;
wire [3:0] VAR42;
wire VAR33,
VAR71,
VAR94,
VAR90;
wire [3:0] VAR53,
VAR22,
VAR61,
VAR37;
wire [3:0] VAR38;
wire VAR23;
wire [3:0] VAR52;
wire VAR89; wire VAR80; wire clk;
VAR58 VAR49(
.din (VAR92),
.clk (clk),
.VAR9(VAR5),
.VAR28 (VAR80),
.VAR46 (VAR46),
.VAR21 (),
.VAR87 ()
);
assign VAR89 = ~VAR80;
assign VAR44 = VAR80;
assign clk = VAR35;
VAR47 VAR64(VAR3[3]);
assign VAR65 = VAR13;
assign VAR72 = (VAR62 &
(VAR59 == VAR31) &
(VAR16[4:2] == VAR3[2:0])) ?
~VAR75[1] & ~VAR75[0] :
1'b0;
assign VAR56 = (VAR62 &
(VAR59 == VAR31) &
(VAR16[4:2] == VAR3[2:0])) ?
~VAR75[1] & VAR75[0] :
1'b0;
assign VAR6 = (VAR62 &
(VAR59 == VAR31) &
(VAR16[4:2] == VAR3[2:0])) ?
VAR75[1] & ~VAR75[0] :
1'b0;
assign VAR73 = (VAR62 &
(VAR59 == VAR31) &
(VAR16[4:2] == VAR3[2:0])) ?
VAR75[1] & VAR75[0] :
1'b0;
VAR76 VAR11(.din (VAR56),
.VAR28 (VAR26),
.clk (clk),
.rst (VAR89),
.VAR46 (VAR46), .VAR21(), .VAR87());
VAR76 VAR14(.din (VAR6),
.VAR28 (VAR63),
.clk (clk),
.rst (VAR89),
.VAR46 (VAR46), .VAR21(), .VAR87());
VAR76 VAR41(.din (VAR73),
.VAR28 (VAR34),
.clk (clk),
.rst (VAR89),
.VAR46 (VAR46), .VAR21(), .VAR87());
assign VAR77[0] = ~VAR16[1] & ~VAR16[0];
assign VAR77[1] = ~VAR16[1] & VAR16[0];
assign VAR77[2] = VAR16[1] & ~VAR16[0];
assign VAR77[3] = VAR16[1] & VAR16[0];
assign VAR12 = {4{VAR72}} & VAR77;
assign VAR74 = {4{VAR56}} & VAR77;
assign VAR81 = {4{VAR56 | VAR6 | VAR73}}
& VAR77;
assign VAR70[0] = ~VAR27[1] & ~VAR27[0];
assign VAR70[1] = ~VAR27[1] & VAR27[0];
assign VAR70[2] = VAR27[1] & ~VAR27[0];
assign VAR70[3] = VAR27[1] & VAR27[0];
assign VAR42 = ~VAR43;
VAR32 VAR29(.din (VAR42[3:0]),
.VAR28 (VAR48[3:0]),
.clk (clk),
.VAR46 (VAR46), .VAR21(), .VAR87());
VAR32 VAR95(.din (VAR81[3:0]),
.VAR28 (VAR85[3:0]),
.clk (clk),
.VAR46 (VAR46), .VAR21(), .VAR87());
assign VAR79[0] = ~VAR10[1] & ~VAR10[0];
assign VAR79[1] = ~VAR10[1] & VAR10[0];
assign VAR79[2] = VAR10[1] & ~VAR10[0];
assign VAR79[3] = VAR10[1] & VAR10[0];
assign VAR33 = VAR1 & VAR82;
assign VAR71 = VAR1 & VAR39;
assign VAR69 = ~VAR4[7] &
VAR4[6] &
VAR4[5] &
VAR4[4] &
~VAR4[3] &
~VAR4[2] &
VAR4[1] &
~VAR4[0];
assign VAR94 = ~VAR4[7] &
VAR4[6] &
VAR4[5] &
VAR4[4] &
~VAR4[3] &
VAR4[2] &
~VAR4[1] &
~VAR4[0];
assign VAR90 = ~VAR4[7] &
VAR4[6] &
VAR4[5] &
VAR4[4] &
~VAR4[3] &
~VAR4[2] &
VAR4[1] &
VAR4[0];
assign VAR40[0] =
VAR94 & ~(VAR7 | VAR55);
assign VAR40[1] =
VAR69 & ~(VAR7 | VAR94 | VAR55);
assign VAR40[2] =
~((|VAR40[1:0]) | VAR40[3]);
assign VAR40[3] =
VAR93 & ~(VAR7 | VAR94 | VAR55 |
VAR69);
assign VAR25 =
((VAR94 | VAR69) & VAR71) | VAR60;
VAR76 VAR15 (
.din (VAR25),
.VAR28 (VAR67),
.clk (clk),
.rst (VAR89),
.VAR46 (1'b0),
.VAR21 (),
.VAR87 ()
);
assign VAR18 =
VAR67;
assign VAR8 = VAR86;
VAR76 VAR2 (
.din (VAR8),
.VAR28 (VAR84),
.clk (clk),
.rst (VAR89),
.VAR46 (1'b0),
.VAR21 (),
.VAR87 ()
);
assign VAR66 = VAR84;
assign VAR61[3] =
(VAR90 & VAR33 & VAR79[3]) |
(VAR53[3] & ~VAR22[3]);
assign VAR61[2] =
(VAR90 & VAR33 & VAR79[2]) |
(VAR53[2] & ~VAR22[2]);
assign VAR61[1] =
(VAR90 & VAR33 & VAR79[1]) |
(VAR53[1] & ~VAR22[1]);
assign VAR61[0] =
(VAR90 & VAR33 & VAR79[0]) |
(VAR53[0] & ~VAR22[0]);
VAR32 VAR83(.din (VAR61[3:0]),
.VAR28 (VAR53[3:0]),
.clk (clk),
.VAR46 (VAR46), .VAR21(), .VAR87());
VAR76 VAR30(
.din (|VAR53[3:0]),
.VAR28 (VAR23),
.clk (clk),
.rst (VAR89 | VAR24),
.VAR46 (VAR46),
.VAR21(),
.VAR87());
VAR36 #(4) VAR91(
.din (VAR61[3:0]),
.VAR28 (VAR38[3:0]),
.en (~VAR23),
.clk (clk),
.VAR46 (VAR46),
.VAR21(),
.VAR87());
VAR88 VAR17(
.VAR45 (VAR38[3:0]),
.VAR19 (VAR24),
.VAR68 (VAR7),
.clk (clk),
.reset (VAR89),
.VAR46 (VAR46),
.VAR21 (VAR21),
.VAR51 (VAR37[3:0]),
.VAR87 ());
assign VAR20[0] =
~(|VAR20[3:1]);
assign VAR20[1] =
VAR37[1];
assign VAR20[2] =
VAR37[2] & ~VAR37[1];
assign VAR20[3] =
VAR37[3] & ~(|VAR20[2:1]);
assign VAR22[3:0] =
{4{VAR89}} | (VAR37[3:0] & {4{VAR24}});
assign VAR52[3:0] =
(VAR37[3:0] & VAR53[3:0] & {4{VAR24}});
VAR76 #(4) VAR78(
.din (VAR52[3:0]),
.VAR28 (VAR57[3:0]),
.clk (clk),
.rst (VAR89),
.VAR46 (VAR46),
.VAR21(),
.VAR87());
assign VAR50 = VAR23;
assign VAR54[1] = VAR37[3] | VAR37[2];
assign VAR54[0] = VAR37[3] | VAR37[1];
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/tapvgnd/sky130_fd_sc_hdll__tapvgnd.pp.blackbox.v | 1,264 | module MODULE1 (
VAR2,
VAR3,
VAR1 ,
VAR4
);
input VAR2;
input VAR3;
input VAR1 ;
input VAR4 ;
endmodule | apache-2.0 |
cpulabs/mist1032isa | src/dps/sci/dps_sci.v | 9,350 | module MODULE1(
input wire VAR45,
input wire VAR59,
input wire VAR21,
output wire VAR7,
output wire VAR1,
input wire VAR42,
output wire VAR60, input wire VAR28,
input wire [1:0] VAR31,
input wire [31:0] VAR10,
output wire VAR40,
output wire [31:0] VAR16,
output wire VAR8,
input wire VAR43,
output wire VAR44,
input wire VAR36
);
localparam VAR13 = 2'h0;
localparam VAR5 = 2'h1;
localparam VAR65 = 2'h2;
reg VAR23;
reg VAR12;
reg [3:0] VAR24;
reg [2:0] VAR29;
reg [2:0] VAR33;
reg VAR52;
reg VAR49;
wire VAR27;
wire [3:0] VAR50;
wire VAR11;
wire VAR38;
wire [7:0] VAR64;
wire [3:0] VAR47;
wire VAR62;
reg VAR54;
reg VAR48;
reg [3:0] VAR9;
reg VAR14;
reg VAR35;
reg [3:0] VAR3;
reg VAR57;
reg VAR32;
reg VAR2;
wire VAR46 = VAR31 == VAR65 && VAR42 && VAR28;
always@(posedge VAR45 or negedge VAR21)begin
if(!VAR21)begin
VAR23 <= 1'b0;
VAR12 <= 1'b0;
VAR24 <= 4'b0;
VAR29 <= 3'b0;
VAR33 <= 3'b0;
VAR52 <= 1'b0;
VAR49 <= 1'b0;
end
else begin
if(VAR46)begin
VAR23 <= VAR10[0];
VAR12 <= VAR10[1];
VAR24 <= VAR10[5:2];
VAR29 <= VAR10[8:6];
VAR33 <= VAR10[11:9];
VAR52 <= VAR10[12];
VAR49 <= VAR10[13];
end
else begin
VAR52 <= 1'b0;
VAR49 <= 1'b0;
end
end
end
VAR6 VAR63(
.VAR53(VAR45),
.VAR21(VAR21),
.VAR61(VAR24),
.VAR30(VAR23),
.VAR17(VAR52),
.VAR41(VAR42 && !VAR27 && (VAR31 == VAR13) && VAR28),
.VAR4(VAR27),
.VAR18(VAR10[7:0]),
.VAR55(VAR50),
.VAR34(VAR11),
.VAR15(VAR12),
.VAR39(VAR49),
.VAR56(VAR42 && !VAR38 && (VAR31 == VAR5) && !VAR28),
.VAR58(VAR38),
.VAR37(VAR64),
.VAR19(VAR47),
.VAR22(VAR62),
.VAR44(VAR44),
.VAR36(VAR36)
);
always@(posedge VAR45 or negedge VAR21)begin
if(!VAR21)begin
VAR54 <= 1'b0;
VAR48 <= 1'b0;
VAR9 <= 4'h0;
end
else if(VAR46)begin
VAR54 <= 1'b0;
VAR48 <= 1'b0;
VAR9 <= 4'h0;
end
else if(VAR48)begin
VAR54 <= 1'b0;
if(VAR9 > VAR50)begin
VAR48 <= 1'b0;
end
end
else begin
if(!VAR54)begin
if(VAR11)begin
case(VAR29)
3'h0 : VAR54 <= 1'b0;
3'h1 :
begin
if(VAR50 <= 4'h1)begin
VAR54 <= 1'b1;
VAR9 <= 4'h1;
end
end
3'h2 :
begin
if(VAR50 <= 4'h2)begin
VAR54 <= 1'b1;
VAR9 <= 4'h2;
end
end
3'h3 :
begin
if(VAR50 <= 4'h4)begin
VAR54 <= 1'b1;
VAR9 <= 4'h4;
end
end
3'h4 :
begin
if(VAR50 <= 4'h8)begin
VAR54 <= 1'b1;
VAR9 <= 4'h8;
end
end
default : VAR54 <= 1'b0;
endcase
end
end
else begin
if(VAR32)begin
VAR54 <= 1'b0;
VAR48 <= 1'b1;
end
end
end
end
always@(posedge VAR45 or negedge VAR21)begin
if(!VAR21)begin
VAR14 <= 1'b0;
VAR35 <= 1'b0;
VAR3 <= 4'h0;
end
else if(VAR46)begin
VAR14 <= 1'b0;
VAR35 <= 1'b0;
VAR3 <= 4'h0;
end
else if(VAR35)begin
VAR14 <= 1'b0; if(VAR3 < VAR47)begin
VAR35 <= 1'b0;
end
end
else begin
if(!VAR14)begin
if(VAR62)begin
case(VAR29)
3'h0 : VAR14 <= 1'b0;
3'h1 :
begin
if(VAR47 >= 4'h1)begin
VAR14 <= 1'b1;
VAR3 <= 4'h1;
end
end
3'h2 :
begin
if(VAR47 >= 4'h2)begin
VAR14 <= 1'b1;
VAR3 <= 4'h1;
end
end
3'h3 :
begin
if(VAR47 >= 4'h4)begin
VAR14 <= 1'b1;
VAR3 <= 4'h1;
end
end
3'h4 :
begin
if(VAR47 >= 4'h8)begin
VAR14 <= 1'b1;
VAR3 <= 4'h1;
end
end
3'h5 :
begin
if(VAR47 == 4'hF)begin
VAR14 <= 1'b1;
VAR3 <= 4'h1;
end
end
default : VAR14 <= 1'b0;
endcase
end
end
else begin
if(VAR2)begin
VAR14 <= 1'b0;
VAR35 <= 1'b1;
end
end
end
end
localparam VAR26 = 1'b0;
localparam VAR20 = 1'b1;
always@(posedge VAR45 or negedge VAR21)begin
if(!VAR21)begin
VAR57 <= VAR26;
VAR32 <= 1'b0;
VAR2 <= 1'b0;
end
else begin
case(VAR57)
VAR26:
begin
if(VAR14) begin
VAR2 <= 1'b1;
VAR57 <= VAR20;
end
else if(VAR54)begin
VAR32 <= 1'b1;
VAR57 <= VAR20;
end
else begin
VAR32 <= 1'b0;
VAR2 <= 1'b0;
end
end
VAR20:
begin
VAR32 <= 1'b0;
VAR2 <= 1'b0;
if(VAR43)begin
VAR57 <= VAR26;
end
end
endcase
end
end
reg VAR51;
reg [31:0] VAR25;
always@(posedge VAR45 or negedge VAR21)begin
if(!VAR21)begin
VAR51 <= 1'b0;
end
else begin
VAR51 <= (VAR42 && (VAR31 == VAR5) && !VAR28) || VAR42 && (VAR31 == VAR65) && !VAR28;
end
end
always@(posedge VAR45 or negedge VAR21)begin
if(!VAR21)begin
VAR25 <= 32'h0;
end
else begin
VAR25 <= (VAR31 == VAR65)? {18'h0, VAR49, VAR52, VAR33, VAR29, VAR24, VAR12, VAR23} : ((VAR38)? 32'h0 : 32'h80000000 | VAR64);
end
end
assign VAR7 = VAR54;
assign VAR1 = VAR14;
assign VAR60 = VAR27; assign VAR40 = VAR51; assign VAR16 = VAR25; assign VAR8 = (VAR57 == VAR20);
endmodule | bsd-2-clause |
FAST-Switch/fast | lib/hardware/pipeline/FIFO_OPENFLOW/ram_32_49.v | 9,581 | module MODULE1 (
VAR41,
VAR32,
VAR36,
VAR3,
VAR19,
VAR28,
VAR60,
VAR42);
input VAR41;
input VAR32;
input [48:0] VAR36;
input [4:0] VAR3;
input VAR19;
input [4:0] VAR28;
input VAR60;
output [48:0] VAR42;
tri0 VAR41;
tri1 VAR32;
tri1 VAR19;
tri0 VAR60;
wire [48:0] VAR56;
wire [48:0] VAR42 = VAR56[48:0];
VAR13 VAR52 (
.VAR39 (VAR41),
.VAR26 (VAR28),
.VAR61 (VAR3),
.VAR20 (VAR32),
.VAR9 (VAR36),
.VAR37 (VAR19),
.VAR44 (VAR60),
.VAR45 (VAR56),
.VAR51 (1'b0),
.VAR5 (1'b0),
.VAR11 (1'b0),
.VAR59 (1'b1),
.VAR10 (1'b1),
.VAR50 (1'b1),
.VAR23 (1'b1),
.VAR25 (1'b1),
.VAR17 (1'b1),
.VAR18 (1'b1),
.VAR58 ({49{1'b1}}),
.VAR55 (),
.VAR4 (),
.VAR47 (1'b1),
.VAR22 (1'b0));
VAR52.VAR27 = "VAR38",
VAR52.VAR24 = "VAR49",
VAR52.VAR15 = "VAR2",
VAR52.VAR43 = "VAR2",
VAR52.VAR12 = "VAR2",
VAR52.VAR34 = "VAR29 VAR31",
VAR52.VAR53 = "VAR13",
VAR52.VAR57 = 32,
VAR52.VAR14 = 32,
VAR52.VAR62 = "VAR7",
VAR52.VAR46 = "VAR38",
VAR52.VAR54 = "VAR49",
VAR52.VAR40 = "VAR30",
VAR52.VAR33 = "VAR49",
VAR52.VAR6 = "VAR48",
VAR52.VAR21 = 5,
VAR52.VAR8 = 5,
VAR52.VAR16 = 49,
VAR52.VAR1 = 49,
VAR52.VAR35 = 1;
endmodule | apache-2.0 |
d16-processor/d16 | verilog/src/control.v | 3,921 | module MODULE1(
input wire clk,
input wire en,
input wire rst,
input wire VAR6,
input wire VAR25,
input wire VAR16,
input wire VAR34,
output reg [VAR13:0] VAR14,
output reg [1:0] VAR5
);
localparam VAR3 = 0,
VAR19 = 4'h1,
VAR29 = 4'h2,
VAR28 = 4'h3,
VAR31 = 4'h4,
VAR27 = 4'h5,
VAR21 = 4'h6,
VAR4 = 4'h7,
VAR32 = 4'h8;
reg [3:0] state, VAR33;
always @(posedge clk) begin
if(rst)
state <= 0;
end
else if(en)
state <= VAR33;
end
always @(VAR16 or state) begin
VAR14 <= 0;
case(state)
VAR19:
VAR14[VAR35] <= 1;
VAR29:
VAR14[VAR8] <= 1;
VAR28:
VAR14[VAR37] <= 1;
VAR31:
VAR14[VAR30] <= 1;
VAR21:begin
if(!VAR16)
VAR14[VAR35] <= 1;
VAR14[VAR7] <= 1;
end
VAR27:
VAR14[VAR9] <= 1;
VAR4:
VAR14[VAR2] <= 1;
default:
VAR14 <= 0;
endcase end
always @(VAR34 or VAR16 or state) begin
VAR5 <= VAR18;
case(state)
VAR3:
VAR5 <= VAR15;
VAR28:
if(VAR34)
VAR5 <= VAR11;
VAR19:
VAR5 <= VAR11;
VAR21:
if(!VAR16)
VAR5 <= VAR11;
end
else
VAR5 <= VAR36;
endcase
end
always @(VAR6 or VAR25 or VAR16 or state) begin
case(state)
VAR19:
VAR33 <= VAR29;
VAR29:
VAR33 <= VAR28;
VAR28:
VAR33 <= VAR31;
VAR31:
if(VAR6)
VAR33 <= VAR27;
end
else
VAR33 <= VAR21;
VAR27:
if(VAR25)
VAR33 <= VAR27;
else
VAR33 <= VAR32;
VAR21:
if(VAR16)
VAR33 <= VAR4;
else
VAR33 <= VAR29;
VAR4:
VAR33 <= VAR19;
VAR32:
VAR33 <= VAR21;
default:
VAR33 <= VAR19;
endcase end
reg [71:0] VAR24; always @(state) begin
case ({state})
VAR3: VAR24 = "rst ";
VAR19: VAR24 = "VAR22 ";
VAR29: VAR24 = "VAR1 ";
VAR28: VAR24 = "VAR23 ";
VAR31: VAR24 = "alu ";
VAR27: VAR24 = "VAR10 ";
VAR21: VAR24 = "VAR20 ";
VAR4: VAR24 = "VAR12 ";
VAR32: VAR24 = "VAR26";
default: VAR24 = "%VAR17 ";
endcase
end
endmodule | mit |
binderclip/BCOpenMIPS | cpu-code/ex.v | 17,712 | module MODULE1 (
input wire rst,
input wire[VAR32] VAR77,
input wire[VAR43] VAR74,
input wire[VAR10] VAR65,
input wire[VAR10] VAR12,
input wire[VAR19] VAR56,
input wire VAR41,
input wire[VAR10] VAR16,
input wire VAR5,
input wire[VAR10] VAR55,
input wire[VAR10] VAR91,
input wire[VAR10] VAR23,
input wire VAR57,
input wire[VAR90] VAR26,
input wire[1:0] VAR62,
input wire VAR49,
input wire[VAR10] VAR72,
input wire[VAR10] VAR61,
input wire[VAR10] VAR20,
input wire[VAR19] VAR85,
input wire VAR71,
input wire VAR52,
input wire[VAR10] VAR79,
input wire[VAR10] VAR35,
input wire[VAR10] VAR18,
input wire[VAR19] VAR82,
input wire VAR13,
input wire[VAR10] VAR42,
input wire[VAR10] VAR30,
input wire[VAR90] VAR60,
input wire VAR48,
input wire[VAR10] VAR8,
output reg[VAR19] VAR73,
output reg VAR25,
output reg[VAR10] VAR36,
output reg VAR67,
output reg[VAR10] VAR84,
output reg[VAR10] VAR92,
output reg[VAR90] VAR54,
output reg[1:0] VAR88,
output wire[VAR32] VAR70,
output wire[VAR10] VAR75,
output wire[VAR10] VAR1,
output reg[VAR10] VAR9,
output reg[VAR19] VAR17,
output reg VAR2,
output wire[VAR10] VAR21,
output wire[VAR10] VAR6,
output wire VAR68,
output wire VAR53,
output reg VAR28,
output reg VAR14,
output reg[VAR10] VAR93, output reg[VAR10] VAR51, output reg VAR66,
output reg[VAR19] VAR63
);
reg[VAR10] VAR89;
reg[VAR10] VAR81;
reg[VAR10] VAR7;
reg[VAR10] VAR69;
reg[VAR90] VAR44;
reg[VAR10] VAR24;
reg[VAR10] VAR59;
wire VAR38;
wire VAR47;
wire[VAR10] VAR3;
wire[VAR10] VAR86;
wire[VAR10] VAR83;
wire[VAR10] VAR11;
wire[VAR10] VAR29;
wire[VAR90] VAR31;
reg VAR39;
reg VAR27;
reg[VAR90] VAR15;
reg VAR40;
reg VAR22;
assign VAR70 = VAR77;
assign VAR75 = VAR65 + {{16{VAR55[15]}}, VAR55[15:0]};
assign VAR1 = VAR12;
assign VAR21 = {VAR91[31:12], VAR22, VAR40, VAR91[9:8], 8'h00};
assign VAR53 = VAR5;
assign VAR6 = VAR23;
assign VAR68 = VAR57;
always @ begin
if (rst == VAR78) begin
VAR89 <= VAR45;
end
else begin
case (VAR77)
VAR89 <= VAR65 & VAR12;
end
VAR89 <= VAR65 | VAR12;
end
VAR89 <= VAR65 ^ VAR12;
end
VAR89 <= ~(VAR65 | VAR12);
end
default: begin
VAR89 <= VAR45;
end
endcase
end
end
always @ begin
if (rst == VAR78) begin
VAR7 <= VAR45;
end
else begin
case (VAR77)
VAR7 <= VAR65;
end
VAR7 <= VAR65;
end
VAR7 <= VAR24;
end
VAR7 <= VAR59;
end
VAR63 <= VAR55[15:11];
VAR7 <= VAR8;
if (VAR71 == VAR80 && VAR85 == VAR63) begin
VAR7 <= VAR20;
end
else if (VAR13 == VAR80 && VAR82 == VAR63) begin
VAR7 <= VAR20;
end
end
default: begin
VAR7 <= VAR45;
end
endcase
end
end
always @ begin
if (rst == VAR78) begin
VAR69 <= VAR45;
end else begin
case (VAR77)
VAR69 <= VAR83;
end
VAR69 <= VAR83;
end
VAR69 <= VAR47;
end
VAR69 <= VAR86[31] ? 0 :
VAR86[30] ? 1 :
VAR86[29] ? 2 :
VAR86[28] ? 3 :
VAR86[27] ? 4 :
VAR86[26] ? 5 :
VAR86[25] ? 6 :
VAR86[24] ? 7 :
VAR86[23] ? 8 :
VAR86[22] ? 9 :
VAR86[21] ? 10 :
VAR86[20] ? 11 :
VAR86[19] ? 12 :
VAR86[18] ? 13 :
VAR86[17] ? 14 :
VAR86[16] ? 15 :
VAR86[15] ? 16 :
VAR86[14] ? 17 :
VAR86[13] ? 18 :
VAR86[12] ? 19 :
VAR86[11] ? 20 :
VAR86[10] ? 21 :
VAR86[9] ? 22 :
VAR86[8] ? 23 :
VAR86[7] ? 24 :
VAR86[6] ? 25 :
VAR86[5] ? 26 :
VAR86[4] ? 27 :
VAR86[3] ? 28 :
VAR86[2] ? 29 :
VAR86[1] ? 30 :
VAR86[0] ? 31 : 32;
end
VAR69 <= VAR65[31] ? 0 :
VAR65[30] ? 1 :
VAR65[29] ? 2 :
VAR65[28] ? 3 :
VAR65[27] ? 4 :
VAR65[26] ? 5 :
VAR65[25] ? 6 :
VAR65[24] ? 7 :
VAR65[23] ? 8 :
VAR65[22] ? 9 :
VAR65[21] ? 10 :
VAR65[20] ? 11 :
VAR65[19] ? 12 :
VAR65[18] ? 13 :
VAR65[17] ? 14 :
VAR65[16] ? 15 :
VAR65[15] ? 16 :
VAR65[14] ? 17 :
VAR65[13] ? 18 :
VAR65[12] ? 19 :
VAR65[11] ? 20 :
VAR65[10] ? 21 :
VAR65[9] ? 22 :
VAR65[8] ? 23 :
VAR65[7] ? 24 :
VAR65[6] ? 25 :
VAR65[5] ? 26 :
VAR65[4] ? 27 :
VAR65[3] ? 28 :
VAR65[2] ? 29 :
VAR65[1] ? 30 :
VAR65[0] ? 31 : 32;
end
default: begin
VAR69 <= VAR45;
end
endcase
end
end
always @ begin
if ((VAR77 == VAR58 || VAR77 == VAR4 || VAR77 == VAR37) && VAR38 == 1'b1) begin
VAR25 <= VAR87;
VAR22 <= 1'b1;
end
else begin
VAR25 <= VAR41;
VAR22 <= 1'b0;
end
end
assign VAR11 = (((VAR77 == VAR34) ||
(VAR77 == VAR33) ||
(VAR77 == VAR46) ||
(VAR77 == VAR76)) && (VAR65[31] == 1'b1)) ? (~VAR65 + 1) : VAR65;
assign VAR29 = (((VAR77 == VAR34) ||
(VAR77 == VAR33) ||
(VAR77 == VAR46) ||
(VAR77 == VAR76)) && (VAR12[31] == 1'b1)) ? (~VAR12 + 1) : VAR12;
assign VAR31 = VAR11 * VAR29;
always @ begin
if (rst == VAR78) begin
VAR54 <= {VAR45, VAR45};
VAR88 <= 2'b00;
VAR39 <= VAR64;
end
else begin
case (VAR77)
if (VAR62 == 2'b00) begin VAR54 <= VAR44;
VAR88 <= 2'b01;
VAR15 <= {VAR45, VAR45};
VAR39 <= VAR50;
end
else if (VAR62 == 2'b01) begin VAR54 <= {VAR45, VAR45};
VAR88 <= 2'b10;
VAR15 <= VAR26 + {VAR24, VAR59};
VAR39 <= VAR64;
end
end
if (VAR62 == 2'b00) begin
VAR54 <= ~VAR44 + 1;
VAR88 <= 2'b01;
VAR15 <= {VAR45, VAR45};
VAR39 <= VAR50;
end
else if (VAR62 == 2'b01) begin
VAR54 <= {VAR45, VAR45};
VAR88 <= 2'b10;
VAR15 <= VAR26 + {VAR24, VAR59};
VAR39 <= VAR64;
end
end
default: begin
VAR54 <= {VAR45, VAR45};
VAR88 <= 2'b00;
VAR39 <= VAR64;
end
endcase
end
end
always @ begin
if (rst == VAR78) begin
VAR67 <= VAR87;
VAR84 <= VAR45;
VAR92 <= VAR45;
end
else begin
case (VAR77)
VAR67 <= VAR80;
VAR84 <= VAR65;
VAR92 <= VAR59;
end
VAR67 <= VAR80;
VAR84 <= VAR24;
VAR92 <= VAR65;
end
VAR67 <= VAR80;
VAR84 <= VAR44[63:32];
VAR92 <= VAR44[31:0];
end
VAR67 <= VAR80;
VAR84 <= VAR15[63:32];
VAR92 <= VAR15[31:0];
end
VAR67 <= VAR80;
VAR84 <= VAR15[63:32];
VAR92 <= VAR15[31:0];
end
VAR67 <= VAR80;
VAR84 <= VAR60[63:32];
VAR92 <= VAR60[31:0];
end
default: begin
VAR67 <= VAR87;
VAR84 <= VAR24;
VAR92 <= VAR59;
end
endcase
end
end
always @ begin
VAR28 = VAR39 || VAR27;
end
endmodule | mit |
timtian090/Playground | UVM/UVMPlayground/Lab3/Lab3-Project/Switch_Debounce_Synchronizer.v | 2,490 | module MODULE1
parameter VAR6 = 50000000, parameter VAR3 = 10000, parameter VAR1 = 1'b0
)
(
input VAR5,
output reg VAR10,
input VAR8
);
localparam VAR13 = VAR3 / (1000000000.0 / VAR6);
localparam VAR2 = VAR7(VAR13);
localparam VAR14 = {1'b1, {(VAR2){1'b0}}} - VAR13;
reg [2:0] VAR12;
reg [VAR2:0] VAR4;
wire VAR9;
wire VAR11;
begin
begin
begin
end
begin | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/fa/sky130_fd_sc_ms__fa.functional.v | 1,881 | module MODULE1 (
VAR2,
VAR16 ,
VAR13 ,
VAR15 ,
VAR3
);
output VAR2;
output VAR16 ;
input VAR13 ;
input VAR15 ;
input VAR3 ;
wire VAR5 ;
wire VAR18 ;
wire VAR7 ;
wire VAR12 ;
wire VAR10 ;
wire VAR20 ;
wire VAR8;
wire VAR21 ;
or VAR22 (VAR5 , VAR3, VAR15 );
and VAR19 (VAR18 , VAR5, VAR13 );
and VAR4 (VAR7 , VAR15, VAR3 );
or VAR1 (VAR8, VAR7, VAR18);
buf VAR6 (VAR2 , VAR8 );
and VAR17 (VAR12 , VAR3, VAR13, VAR15 );
nor VAR9 (VAR10 , VAR13, VAR5 );
nor VAR14 (VAR20 , VAR10, VAR2 );
or VAR11 (VAR21 , VAR20, VAR12);
buf VAR23 (VAR16 , VAR21 );
endmodule | apache-2.0 |
adbrant/zuma-fpga | verilog/platforms/xilinx/elut_xilinx.v | 4,035 | module MODULE1(
VAR1,
VAR29,
VAR45,
clk,
VAR43,
VAR19,
VAR33,
VAR32,
VAR61
);
input [5 : 0] VAR1;
input [0 : 0] VAR29;
input [5 : 0] VAR45;
input clk;
input VAR43;
input VAR19;
input VAR33;
output [0 : 0] VAR32;
output [0 : 0] VAR61;
VAR10 #(
.VAR62(6),
.VAR2("0"),
.VAR13(64),
.VAR22("VAR35"),
.VAR39(1),
.VAR26(1),
.VAR52(1),
.VAR12(1),
.VAR18(0),
.VAR53(1),
.VAR57(0),
.VAR28(1),
.VAR37(1),
.VAR11(0),
.VAR40(0),
.VAR30(0),
.VAR49(0),
.VAR4(0),
.VAR8(0),
.VAR38(0),
.VAR16(1),
.VAR44("VAR41"),
.VAR9(4),
.VAR59(1),
.VAR56(0),
.VAR36(0),
.VAR58(0),
.VAR51(0),
.VAR46(0),
.VAR21(0),
.VAR34(1),
.VAR23(1)
)
VAR50 (
.VAR60(VAR1),
.VAR55(VAR29),
.VAR24(VAR45),
.VAR3(clk),
.VAR31(VAR43),
.VAR48(VAR19),
.VAR42(VAR33),
.VAR14(VAR32),
.VAR5(VAR61),
.VAR6(),
.VAR54(),
.VAR47(),
.VAR7(),
.VAR15(),
.VAR25(),
.VAR27(),
.VAR17(),
.VAR20()
);
endmodule | bsd-2-clause |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/top/rdcost_decision.v | 24,387 | module MODULE1 (
clk ,
VAR132 ,
VAR13 ,
VAR261 ,
VAR34 ,
VAR121 ,
VAR156 ,
VAR232 ,
VAR265 ,
VAR262 ,
VAR251 ,
VAR45 ,
VAR61 ,
VAR28
);
input clk ;
input VAR132 ;
input VAR13 ;
input [5 : 0] VAR261 ;
input [1 : 0] VAR34 ;
input [1 : 0] VAR121 ;
input [7 : 0] VAR156 ;
input VAR232 ;
input [511 : 0] VAR265 ;
input VAR262 ;
input [255 : 0] VAR251 ;
input [255 : 0] VAR45 ;
output reg VAR61 ;
output reg VAR28 ;
wire [7 : 0] VAR158 , VAR241 , VAR170 , VAR112 , VAR150 , VAR237 , VAR136 , VAR211 ;
wire [7 : 0] VAR56 , VAR198 , VAR196 , VAR57 , VAR167 , VAR62 , VAR80 , VAR201 ;
wire [7 : 0] VAR134 , VAR82 , VAR125 , VAR76 , VAR179 , VAR119 ;
wire [7 : 0] VAR140 , VAR9 , VAR207 , VAR212 , VAR53 , VAR175 ;
wire [7 : 0] VAR157 , VAR260 , VAR86 , VAR22 , VAR8 , VAR264 ;
wire [7 : 0] VAR71 , VAR46 , VAR243 , VAR178 , VAR233 , VAR180 ;
wire [7 : 0] VAR124 , VAR130 , VAR139 , VAR144 , VAR7 , VAR242 ;
wire [7 : 0] VAR135 , VAR162 , VAR133 , VAR187 , VAR120 , VAR259 ;
wire [7 : 0] VAR107 , VAR148 , VAR152 , VAR197 , VAR268 , VAR10 ;
wire [7 : 0] VAR67 , VAR227 , VAR214 , VAR203 , VAR181 , VAR98 ;
wire signed [15 : 0] VAR222 , VAR199 , VAR111 , VAR141 ;
wire signed [15 : 0] VAR11 , VAR138 , VAR106 , VAR246 ;
wire signed [15 : 0] VAR105 , VAR127 , VAR126 ;
wire signed [15 : 0] VAR247 , VAR186 , VAR166 ;
wire signed [15 : 0] VAR108 , VAR230 , VAR110 ;
wire signed [15 : 0] VAR15 , VAR216 , VAR245 ;
wire signed [15 : 0] VAR97 , VAR40 , VAR271 ;
wire signed [15 : 0] VAR109 , VAR202 , VAR267 ;
wire signed [15 : 0] VAR273 , VAR32 , VAR229 ;
wire signed [15 : 0] VAR113 , VAR191 , VAR29 ;
assign { VAR222 ,VAR11 ,VAR105 ,VAR247 ,VAR108 ,VAR15 ,VAR97 ,VAR109 ,VAR273 ,VAR113 ,
VAR199 ,VAR138 ,VAR127 ,VAR186 ,VAR230 ,VAR216 ,VAR40 ,VAR202 ,VAR32 ,VAR191 ,
VAR111 ,VAR106 ,VAR126 ,VAR166 ,VAR110 ,VAR245 ,VAR271 ,VAR267 ,VAR229 ,VAR29 ,
VAR141 ,VAR246 }
= VAR265 ;
assign { VAR158 ,VAR56 ,VAR134 ,VAR140 ,VAR157 ,VAR71 ,VAR124 ,VAR135 ,VAR107 ,VAR67 ,
VAR170 ,VAR196 ,VAR125 ,VAR207 ,VAR86 ,VAR243 ,VAR139 ,VAR133 ,VAR152 ,VAR214 ,
VAR150 ,VAR167 ,VAR179 ,VAR53 ,VAR8 ,VAR233 ,VAR7 ,VAR120 ,VAR268 ,VAR181 ,
VAR136 ,VAR80 }
= VAR251 ;
assign { VAR241 ,VAR198 ,VAR82 ,VAR9 ,VAR260 ,VAR46 ,VAR130 ,VAR162 ,VAR148 ,VAR227 ,
VAR112 ,VAR57 ,VAR76 ,VAR212 ,VAR22 ,VAR178 ,VAR144 ,VAR187 ,VAR197 ,VAR203 ,
VAR237 ,VAR62 ,VAR119 ,VAR175 ,VAR264 ,VAR180 ,VAR242 ,VAR259 ,VAR10 ,VAR98 ,
VAR211 ,VAR201 }
= VAR45 ;
reg [5:0] VAR244;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 )
VAR244 <= 'd0;
end
else if( (VAR61) )
VAR244 <= 'd0 ;
else if( (VAR232=='d1) || ( (VAR244>='d01)&&(VAR244<='d40) ) ) begin
VAR244 <= VAR244 + 'd1;
end
end
reg [5:0] VAR83;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 )
VAR83 <= 'd0;
end
else if( (VAR61) )
VAR83 <= 'd0 ;
else if( (VAR262=='d1) || ( (VAR83>='d01)&&(VAR83<='d40) ) ) begin
VAR83 <= VAR83 + 'd1 ;
end
end
wire signed [8:0] VAR63 = VAR241 - VAR158 ;
wire signed [8:0] VAR256 = VAR198 - VAR56 ;
wire signed [8:0] VAR137 = VAR82 - VAR134 ;
wire signed [8:0] VAR257 = VAR9 - VAR140 ;
wire signed [8:0] VAR95 = VAR260 - VAR157 ;
wire signed [8:0] VAR38 = VAR46 - VAR71 ;
wire signed [8:0] VAR248 = VAR130 - VAR124 ;
wire signed [8:0] VAR254 = VAR162 - VAR135 ;
wire signed [8:0] VAR209 = VAR148 - VAR107 ;
wire signed [8:0] VAR114 = VAR227 - VAR67 ;
wire signed [8:0] VAR87 = VAR112 - VAR170 ;
wire signed [8:0] VAR151 = VAR57 - VAR196 ;
wire signed [8:0] VAR269 = VAR76 - VAR125 ;
wire signed [8:0] VAR92 = VAR212 - VAR207 ;
wire signed [8:0] VAR85 = VAR22 - VAR86 ;
wire signed [8:0] VAR90 = VAR178 - VAR243 ;
wire signed [8:0] VAR231 = VAR144 - VAR139 ;
wire signed [8:0] VAR206 = VAR187 - VAR133 ;
wire signed [8:0] VAR35 = VAR197 - VAR152 ;
wire signed [8:0] VAR168 = VAR203 - VAR214 ;
wire signed [8:0] VAR54 = VAR237 - VAR150 ;
wire signed [8:0] VAR58 = VAR62 - VAR167 ;
wire signed [8:0] VAR160 = VAR119 - VAR179 ;
wire signed [8:0] VAR266 = VAR175 - VAR53 ;
wire signed [8:0] VAR204 = VAR264 - VAR8 ;
wire signed [8:0] VAR184 = VAR180 - VAR233 ;
wire signed [8:0] VAR26 = VAR242 - VAR7 ;
wire signed [8:0] VAR122 = VAR259 - VAR120 ;
wire signed [8:0] VAR128 = VAR10 - VAR268 ;
wire signed [8:0] VAR36 = VAR98 - VAR181 ;
wire signed [8:0] VAR41 = VAR211 - VAR136 ;
wire signed [8:0] VAR226 = VAR201 - VAR80 ;
wire [07:0] VAR165 = ( VAR63[8]==0 ) ? VAR63[7:0] : (~VAR63+1) ;
wire [07:0] VAR52 = ( VAR256[8]==0 ) ? VAR256[7:0] : (~VAR256+1) ;
wire [07:0] VAR210 = ( VAR137[8]==0 ) ? VAR137[7:0] : (~VAR137+1) ;
wire [07:0] VAR221 = ( VAR257[8]==0 ) ? VAR257[7:0] : (~VAR257+1) ;
wire [07:0] VAR147 = ( VAR95[8]==0 ) ? VAR95[7:0] : (~VAR95+1) ;
wire [07:0] VAR75 = ( VAR38[8]==0 ) ? VAR38[7:0] : (~VAR38+1) ;
wire [07:0] VAR219 = ( VAR248[8]==0 ) ? VAR248[7:0] : (~VAR248+1) ;
wire [07:0] VAR20 = ( VAR254[8]==0 ) ? VAR254[7:0] : (~VAR254+1) ;
wire [07:0] VAR123 = ( VAR209[8]==0 ) ? VAR209[7:0] : (~VAR209+1) ;
wire [07:0] VAR235 = ( VAR114[8]==0 ) ? VAR114[7:0] : (~VAR114+1) ;
wire [07:0] VAR143 = ( VAR87[8]==0 ) ? VAR87[7:0] : (~VAR87+1) ;
wire [07:0] VAR239 = ( VAR151[8]==0 ) ? VAR151[7:0] : (~VAR151+1) ;
wire [07:0] VAR33 = ( VAR269[8]==0 ) ? VAR269[7:0] : (~VAR269+1) ;
wire [07:0] VAR253 = ( VAR92[8]==0 ) ? VAR92[7:0] : (~VAR92+1) ;
wire [07:0] VAR12 = ( VAR85[8]==0 ) ? VAR85[7:0] : (~VAR85+1) ;
wire [07:0] VAR31 = ( VAR90[8]==0 ) ? VAR90[7:0] : (~VAR90+1) ;
wire [07:0] VAR238 = ( VAR231[8]==0 ) ? VAR231[7:0] : (~VAR231+1) ;
wire [07:0] VAR171 = ( VAR206[8]==0 ) ? VAR206[7:0] : (~VAR206+1) ;
wire [07:0] VAR70 = ( VAR35[8]==0 ) ? VAR35[7:0] : (~VAR35+1) ;
wire [07:0] VAR213 = ( VAR168[8]==0 ) ? VAR168[7:0] : (~VAR168+1) ;
wire [07:0] VAR188 = ( VAR54[8]==0 ) ? VAR54[7:0] : (~VAR54+1) ;
wire [07:0] VAR225 = ( VAR58[8]==0 ) ? VAR58[7:0] : (~VAR58+1) ;
wire [07:0] VAR116 = ( VAR160[8]==0 ) ? VAR160[7:0] : (~VAR160+1) ;
wire [07:0] VAR173 = ( VAR266[8]==0 ) ? VAR266[7:0] : (~VAR266+1) ;
wire [07:0] VAR99 = ( VAR204[8]==0 ) ? VAR204[7:0] : (~VAR204+1) ;
wire [07:0] VAR263 = ( VAR184[8]==0 ) ? VAR184[7:0] : (~VAR184+1) ;
wire [07:0] VAR154 = ( VAR26[8]==0 ) ? VAR26[7:0] : (~VAR26+1) ;
wire [07:0] VAR94 = ( VAR122[8]==0 ) ? VAR122[7:0] : (~VAR122+1) ;
wire [07:0] VAR117 = ( VAR128[8]==0 ) ? VAR128[7:0] : (~VAR128+1) ;
wire [07:0] VAR172 = ( VAR36[8]==0 ) ? VAR36[7:0] : (~VAR36+1) ;
wire [07:0] VAR21 = ( VAR41[8]==0 ) ? VAR41[7:0] : (~VAR41+1) ;
wire [07:0] VAR149 = ( VAR226[8]==0 ) ? VAR226[7:0] : (~VAR226+1) ;
wire [09:0] VAR49 = VAR165 + VAR52 + VAR210 + VAR221 ;
wire [09:0] VAR174 = VAR147 + VAR75 + VAR219 + VAR20 ;
wire [09:0] VAR205 = VAR123 + VAR235 + VAR143 + VAR239 ;
wire [09:0] VAR17 = VAR33 + VAR253 + VAR12 + VAR31 ;
wire [09:0] VAR16 = VAR238 + VAR171 + VAR70 + VAR213 ;
wire [09:0] VAR195 = VAR188 + VAR225 + VAR116 + VAR173 ;
wire [09:0] VAR18 = VAR99 + VAR263 + VAR154 + VAR94 ;
wire [09:0] VAR220 = VAR117 + VAR172 + VAR21 + VAR149 ;
wire [17:0] VAR65 = VAR49 * VAR49[9:2] ;
wire [17:0] VAR24 = VAR174 * VAR174[9:2] ;
wire [17:0] VAR223 = VAR205 * VAR205[9:2] ;
wire [17:0] VAR27 = VAR17 * VAR17[9:2] ;
wire [17:0] VAR185 = VAR16 * VAR16[9:2] ;
wire [17:0] VAR250 = VAR195 * VAR195[9:2] ;
wire [17:0] VAR234 = VAR18 * VAR18[9:2] ;
wire [17:0] VAR103 = VAR220 * VAR220[9:2] ;
reg [17:0] VAR88 ,VAR249 ,VAR153 ,VAR25 ,VAR39 ,VAR240 ,VAR72 ,VAR48 ;
always@(posedge clk or negedge VAR132)begin
if(!VAR132)begin
VAR88 <= 'd0 ;
VAR249 <= 'd0 ;
VAR153 <= 'd0 ;
VAR25 <= 'd0 ;
VAR39 <= 'd0 ;
VAR240 <= 'd0 ;
VAR72 <= 'd0 ;
VAR48 <= 'd0 ;
end
else begin
VAR88 <= VAR65 ;
VAR249 <= VAR24 ;
VAR153 <= VAR223 ;
VAR25 <= VAR27 ;
VAR39 <= VAR185 ;
VAR240 <= VAR250 ;
VAR72 <= VAR234 ;
VAR48 <= VAR103 ;
end
end
wire [17:0] VAR252 = VAR88 ;
wire [17:0] VAR159 = VAR153 ;
wire [17:0] VAR5 = VAR39 ;
wire [17:0] VAR176 = VAR72 ;
wire [17:0] VAR200 = ( VAR121==2'b00 ) ? 0 : VAR249 ;
wire [17:0] VAR59 = ( VAR121==2'b00 ) ? 0 : VAR25 ;
wire [17:0] VAR81 = ( VAR121==2'b00 ) ? 0 : VAR240 ;
wire [17:0] VAR100 = ( VAR121==2'b00 ) ? 0 : VAR48 ;
wire [21:0] VAR129 = VAR88 + VAR249 + VAR159 + VAR59 + VAR5 + VAR81 + VAR176 + VAR100 ;
reg [21:0] VAR215 ;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 )
VAR215 <= 'd0;
end
else begin
VAR215 <= VAR129;
end
end
reg[26:0] VAR69;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 )
VAR69 <= 'd0;
end
else if( (VAR83=='d1) )
VAR69 <= 'd0;
else if( (VAR34=='d0) && ( ((VAR121=='d0)&&(VAR83<='d02)) ||
((VAR121=='d1)&&(VAR83<='d03)) ||
((VAR121=='d2)&&(VAR83<='d09)) ||
((VAR121=='d3)&&(VAR83<='d33))
)
)
VAR69 <= VAR69 + VAR215;
else begin
VAR69 <= VAR69;
end
end
reg [11:0] VAR228, VAR77 ;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 ) begin
VAR228 <= 'd0 ;
VAR77 <= 'd0 ;
end
else if( VAR244==1 )begin
case( VAR261 )
6'd0 : begin VAR228 <= 'd1 ; VAR77 <= 'd1 ; end
6'd1 : begin VAR228 <= 'd1 ; VAR77 <= 'd1 ; end
6'd2 : begin VAR228 <= 'd1 ; VAR77 <= 'd1 ; end
6'd3 : begin VAR228 <= 'd1 ; VAR77 <= 'd1 ; end
6'd4 : begin VAR228 <= 'd1 ; VAR77 <= 'd2 ; end
6'd5 : begin VAR228 <= 'd1 ; VAR77 <= 'd2 ; end
6'd6 : begin VAR228 <= 'd1 ; VAR77 <= 'd2 ; end
6'd7 : begin VAR228 <= 'd1 ; VAR77 <= 'd2 ; end
6'd8 : begin VAR228 <= 'd1 ; VAR77 <= 'd3 ; end
6'd9 : begin VAR228 <= 'd1 ; VAR77 <= 'd3 ; end
6'd10 : begin VAR228 <= 'd1 ; VAR77 <= 'd4 ; end
6'd11 : begin VAR228 <= 'd1 ; VAR77 <= 'd4 ; end
6'd12 : begin VAR228 <= 'd1 ; VAR77 <= 'd5 ; end
6'd13 : begin VAR228 <= 'd1 ; VAR77 <= 'd5 ; end
6'd14 : begin VAR228 <= 'd1 ; VAR77 <= 'd6 ; end
6'd15 : begin VAR228 <= 'd1 ; VAR77 <= 'd7 ; end
6'd16 : begin VAR228 <= 'd1 ; VAR77 <= 'd8 ; end
6'd17 : begin VAR228 <= 'd1 ; VAR77 <= 'd9 ; end
6'd18 : begin VAR228 <= 'd1 ; VAR77 <= 'd11 ; end
6'd19 : begin VAR228 <= 'd1 ; VAR77 <= 'd13 ; end
6'd20 : begin VAR228 <= 'd1 ; VAR77 <= 'd15 ; end
6'd21 : begin VAR228 <= 'd1 ; VAR77 <= 'd17 ; end
6'd22 : begin VAR228 <= 'd2 ; VAR77 <= 'd19 ; end
6'd23 : begin VAR228 <= 'd2 ; VAR77 <= 'd22 ; end
6'd24 : begin VAR228 <= 'd2 ; VAR77 <= 'd25 ; end
6'd25 : begin VAR228 <= 'd3 ; VAR77 <= 'd29 ; end
6'd26 : begin VAR228 <= 'd4 ; VAR77 <= 'd33 ; end
6'd27 : begin VAR228 <= 'd5 ; VAR77 <= 'd38 ; end
6'd28 : begin VAR228 <= 'd6 ; VAR77 <= 'd43 ; end
6'd29 : begin VAR228 <= 'd8 ; VAR77 <= 'd49 ; end
6'd30 : begin VAR228 <= 'd10 ; VAR77 <= 'd56 ; end
6'd31 : begin VAR228 <= 'd13 ; VAR77 <= 'd62 ; end
6'd32 : begin VAR228 <= 'd17 ; VAR77 <= 'd70 ; end
6'd33 : begin VAR228 <= 'd21 ; VAR77 <= 'd78 ; end
6'd34 : begin VAR228 <= 'd28 ; VAR77 <= 'd86 ; end
6'd35 : begin VAR228 <= 'd36 ; VAR77 <= 'd93 ; end
6'd36 : begin VAR228 <= 'd47 ; VAR77 <= 'd100 ; end
6'd37 : begin VAR228 <= 'd61 ; VAR77 <= 'd105 ; end
6'd38 : begin VAR228 <= 'd78 ; VAR77 <= 'd108 ; end
6'd39 : begin VAR228 <= 'd100 ; VAR77 <= 'd107 ; end
6'd40 : begin VAR228 <= 'd130 ; VAR77 <= 'd101 ; end
6'd41 : begin VAR228 <= 'd167 ; VAR77 <= 'd87 ; end
6'd42 : begin VAR228 <= 'd216 ; VAR77 <= 'd62 ; end
6'd43 : begin VAR228 <= 'd279 ; VAR77 <= 'd22 ; end
6'd44 : begin VAR228 <= 'd358 ; VAR77 <= 'd1 ; end
6'd45 : begin VAR228 <= 'd461 ; VAR77 <= 'd1 ; end
6'd46 : begin VAR228 <= 'd593 ; VAR77 <= 'd1 ; end
6'd47 : begin VAR228 <= 'd762 ; VAR77 <= 'd1 ; end
6'd48 : begin VAR228 <= 'd980 ; VAR77 <= 'd1 ; end
6'd49 : begin VAR228 <= 'd1260 ; VAR77 <= 'd1 ; end
6'd50 : begin VAR228 <= 'd1618 ; VAR77 <= 'd1 ; end
6'd51 : begin VAR228 <= 'd2078 ; VAR77 <= 'd1 ; end
default : begin VAR228 <= 'd1 ; VAR77 <= 'd1 ; end
endcase
end
end
wire [14:0] VAR44 = ( VAR222[15] ==0 ) ? VAR222 : (~VAR222 + 1) ;
wire [14:0] VAR2 = ( VAR11[15] ==0 ) ? VAR11 : (~VAR11 + 1) ;
wire [14:0] VAR60 = ( VAR105[15] ==0 ) ? VAR105 : (~VAR105 + 1) ;
wire [14:0] VAR104 = ( VAR247[15] ==0 ) ? VAR247 : (~VAR247 + 1) ;
wire [14:0] VAR66 = ( VAR108[15] ==0 ) ? VAR108 : (~VAR108 + 1) ;
wire [14:0] VAR51 = ( VAR15[15] ==0 ) ? VAR15 : (~VAR15 + 1) ;
wire [14:0] VAR84 = ( VAR97[15] ==0 ) ? VAR97 : (~VAR97 + 1) ;
wire [14:0] VAR102 = ( VAR109[15] ==0 ) ? VAR109 : (~VAR109 + 1) ;
wire [14:0] VAR93 = ( VAR273[15] ==0 ) ? VAR273 : (~VAR273 + 1) ;
wire [14:0] VAR101 = ( VAR113[15] ==0 ) ? VAR113 : (~VAR113 + 1) ;
wire [14:0] VAR64 = ( VAR199[15]==0 ) ? VAR199 : (~VAR199 + 1) ;
wire [14:0] VAR79 = ( VAR138[15]==0 ) ? VAR138 : (~VAR138 + 1) ;
wire [14:0] VAR272 = ( VAR127[15]==0 ) ? VAR127 : (~VAR127 + 1) ;
wire [14:0] VAR4 = ( VAR186[15]==0 ) ? VAR186 : (~VAR186 + 1) ;
wire [14:0] VAR182 = ( VAR230[15]==0 ) ? VAR230 : (~VAR230 + 1) ;
wire [14:0] VAR161 = ( VAR216[15]==0 ) ? VAR216 : (~VAR216 + 1) ;
wire [14:0] VAR6 = ( VAR40[15]==0 ) ? VAR40 : (~VAR40 + 1) ;
wire [14:0] VAR255 = ( VAR202[15]==0 ) ? VAR202 : (~VAR202 + 1) ;
wire [14:0] VAR74 = ( VAR32[15]==0 ) ? VAR32 : (~VAR32 + 1) ;
wire [14:0] VAR155 = ( VAR191[15]==0 ) ? VAR191 : (~VAR191 + 1) ;
wire [14:0] VAR236 = ( VAR111[15]==0 ) ? VAR111 : (~VAR111 + 1) ;
wire [14:0] VAR30 = ( VAR106[15]==0 ) ? VAR106 : (~VAR106 + 1) ;
wire [14:0] VAR96 = ( VAR126[15]==0 ) ? VAR126 : (~VAR126 + 1) ;
wire [14:0] VAR3 = ( VAR166[15]==0 ) ? VAR166 : (~VAR166 + 1) ;
wire [14:0] VAR145 = ( VAR110[15]==0 ) ? VAR110 : (~VAR110 + 1) ;
wire [14:0] VAR208 = ( VAR245[15]==0 ) ? VAR245 : (~VAR245 + 1) ;
wire [14:0] VAR115 = ( VAR271[15]==0 ) ? VAR271 : (~VAR271 + 1) ;
wire [14:0] VAR91 = ( VAR267[15]==0 ) ? VAR267 : (~VAR267 + 1) ;
wire [14:0] VAR218 = ( VAR229[15]==0 ) ? VAR229 : (~VAR229 + 1) ;
wire [14:0] VAR19 = ( VAR29[15]==0 ) ? VAR29 : (~VAR29 + 1) ;
wire [14:0] VAR270 = ( VAR141[15]==0 ) ? VAR141 : (~VAR141 + 1) ;
wire [14:0] VAR146 = ( VAR246[15]==0 ) ? VAR246 : (~VAR246 + 1) ;
wire [16:0] VAR14 = VAR44 + VAR2 + VAR60 + VAR104 ;
wire [16:0] VAR193 = VAR66 + VAR51 + VAR84 + VAR102 ;
wire [16:0] VAR23 = VAR93 + VAR101 + VAR64 + VAR79 ;
wire [16:0] VAR142 = VAR272 + VAR4 + VAR182 + VAR161 ;
wire [16:0] VAR47 = VAR6 + VAR255 + VAR74 + VAR155 ;
wire [16:0] VAR43 = VAR236 + VAR30 + VAR96 + VAR3 ;
wire [16:0] VAR217 = VAR145 + VAR208 + VAR115 + VAR91 ;
wire [16:0] VAR169 = VAR218 + VAR19 + VAR270 + VAR146 ;
wire [17:0] VAR1 = VAR14 + VAR193 ;
wire [17:0] VAR190 = VAR23 + VAR142 ;
wire [17:0] VAR78 = VAR47 + VAR43 ;
wire [17:0] VAR224 = VAR217 + VAR169 ;
reg [17:0] VAR189;
reg [17:0] VAR118;
reg [17:0] VAR73;
reg [17:0] VAR258;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 )begin
VAR189 <= 'd0;
VAR118 <= 'd0;
VAR73 <= 'd0;
VAR258 <= 'd0;
end
else begin
VAR189 <= VAR1;
VAR118 <= VAR190;
VAR73 <= VAR78;
VAR258 <= VAR224;
end
end
wire [16:0] VAR55 = VAR189 ;
wire [16:0] VAR68 = VAR73 ;
wire [16:0] VAR194 = ( VAR121==2'b00 ) ? 0 : VAR118 ;
wire [16:0] VAR50 = ( VAR121==2'b00 ) ? 0 : VAR258 ;
wire [18:0] VAR131 = VAR189 + VAR194 + VAR68 + VAR50;
reg [18:0] VAR164;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 )
VAR164 <= 'd0;
end
else begin
VAR164 <= VAR131;
end
end
wire [23:0] VAR192 = VAR228 * VAR164 ;
reg [23:0] VAR163 ;
always @(posedge clk or negedge VAR132 )begin
if( !VAR132 )
VAR163 <= 'd0;
end
else begin
VAR163 <= VAR192;
end
end
reg [23:0] VAR177;
always@(posedge clk or negedge VAR132)begin
if(!VAR132)
VAR177 <= 'd0;
end
else if( (VAR244=='d2) )
VAR177 <= 'd0;
else if( (VAR34=='d0) && ( ((VAR121=='d0)&&(VAR244<='d03))||
((VAR121=='d1)&&(VAR244<='d04))||
((VAR121=='d2)&&(VAR244<='d10))||
((VAR121=='d3)&&(VAR244<='d34))
)
)
VAR177 <= VAR177 + VAR163;
else begin
VAR177 <= VAR177;
end
end
wire [23:0] VAR89 = VAR69[26:3] + VAR177 + VAR77 ;
reg [23:0] VAR42 ;
reg [23:0] VAR183 ;
reg [23:0] VAR37 ;
always @(posedge clk or negedge VAR132 ) begin
if( !VAR132 )
VAR61 <= 'd0 ;
end
else if( (VAR121=='d0)&&(VAR83=='d03) ||
(VAR121=='d1)&&(VAR83=='d04) ||
(VAR121=='d2)&&(VAR83=='d10) ||
(VAR121=='d3)&&(VAR83=='d34)
)
VAR61 <= 'd1 ;
else begin
VAR61 <= 'd0 ;
end
end
always @(posedge clk or negedge VAR132 ) begin
if( !VAR132 )
VAR28 <= 'd0 ;
end
else if( VAR34 )
VAR28 <= 'd1 ;
else if( (VAR121=='d0)&&(VAR83=='d03) )
VAR28 <= 'd1 ;
else if( (VAR121=='d1)&&(VAR83=='d04) )
if( VAR13 )
VAR28 <= 'd1 ;
else begin
VAR28 <= ( VAR89<=VAR42 ) ;
end
else if( (VAR121=='d2)&&(VAR83=='d10) )
VAR28 <= ( VAR89<=VAR183 ) ;
else if( (VAR121=='d3)&&(VAR83=='d34) ) begin
VAR28 <= ( VAR89<=VAR37 ) ;
end
end
always @(posedge clk or negedge VAR132 ) begin
if( !VAR132 )
VAR42 <= 'd0 ;
end
else if( (VAR121=='d0)&&(VAR83=='d01)&&(VAR156[1:0]=='b00) )
VAR42 <= 'd0 ;
else if( (VAR121=='d0)&&(VAR83=='d04) ) begin
VAR42 <= VAR42 + VAR89 ;
end
end
always @(posedge clk or negedge VAR132 ) begin
if( !VAR132 )
VAR183 <= 'd0 ;
end
else if( (VAR121=='d1)&&(VAR83=='d01)&&(VAR156[3:0]=='b00) )
VAR183 <= 'd0 ;
else if( (VAR121=='d1)&&(VAR83=='d05) ) begin
if( VAR28 )
VAR183 <= VAR183 + VAR89 ;
end
else begin
VAR183 <= VAR183 + VAR42 ;
end
end
end
always @(posedge clk or negedge VAR132 ) begin
if( !VAR132 )
VAR37 <= 'd0 ;
end
else if( (VAR121=='d2)&&(VAR83=='d01)&&(VAR156[5:0]=='b00) )
VAR37 <= 'd0 ;
else if( (VAR121=='d2)&&(VAR83=='d11) ) begin
if( VAR28 )
VAR37 <= VAR37 + VAR89 ;
end
else begin
VAR37 <= VAR37 + VAR183 ;
end
end
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/or4bb/sky130_fd_sc_hdll__or4bb.pp.blackbox.v | 1,353 | module MODULE1 (
VAR8 ,
VAR5 ,
VAR1 ,
VAR9 ,
VAR3 ,
VAR4,
VAR6,
VAR2 ,
VAR7
);
output VAR8 ;
input VAR5 ;
input VAR1 ;
input VAR9 ;
input VAR3 ;
input VAR4;
input VAR6;
input VAR2 ;
input VAR7 ;
endmodule | apache-2.0 |
csturton/wirepatch | system/hardware/cores/ethmac/eth_wishbone.v | 78,506 | module MODULE1
(
VAR38, VAR206, VAR298,
VAR269, VAR45, VAR91,
VAR69,
VAR275,
VAR253, VAR67, VAR26,
VAR249, VAR183, VAR224,
VAR118, VAR137, VAR307,
VAR295, VAR139,
VAR228, VAR288, VAR74, VAR290, VAR63,
VAR205, VAR90, VAR271, VAR144, VAR46,
VAR209,
VAR177, VAR87, VAR25, VAR242, VAR119, VAR80,
VAR11,
VAR154, VAR313, VAR186, VAR274, VAR196,
VAR108, VAR172, VAR13, VAR18, VAR245,
VAR286, VAR7, VAR247, VAR163, VAR41,
VAR218, VAR151, VAR62, VAR110,
VAR101,
VAR230,
VAR262, VAR258, VAR216, VAR85, VAR42,
VAR129
,
VAR136, VAR34, VAR229 VAR283
,
VAR128
);
input VAR38; input [31:0] VAR206; output [31:0] VAR298;
input [9:2] VAR269; input VAR45; input [3:0] VAR69; output VAR91;
output [29:0] VAR253; output [3:0] VAR67; output VAR26; output [31:0] VAR249; output VAR224; output VAR118; input [31:0] VAR183; input VAR137; input VAR307;
output [2:0] VAR295; VAR299 VAR51
output reg [1:0] VAR139; else
output [1:0] VAR139; VAR283
reg [2:0] VAR295; VAR283
input VAR275;
input VAR286; input VAR7; input VAR247; input VAR163; input VAR41; input VAR218; input [15:0] VAR151; input VAR62; input VAR110; input VAR101; input VAR274;
input VAR196;
input VAR230;
input [3:0] VAR262; input VAR258; input VAR216; input VAR85; output VAR42;
input VAR129;
input VAR228; input VAR290; input VAR205; input VAR90; input VAR144; output VAR288; output VAR74; output [7:0] VAR63; output VAR271; output VAR46; output VAR209;
input VAR177; input [7:0] VAR87; input VAR25; input VAR242; input VAR119; input VAR80; output VAR11;
input VAR154; input VAR313; input [7:0] VAR186;
output VAR108;
output VAR172;
output VAR13;
output VAR18;
output VAR245;
input VAR136; output VAR34; input [VAR285 - 1:0] VAR229; VAR283
output [31:0] VAR128;
reg VAR108;
reg VAR172;
reg VAR13;
reg VAR18;
reg VAR288;
reg VAR74;
reg [7:0] VAR63;
reg VAR271;
reg VAR234;
reg VAR109;
wire VAR261;
reg [1:0] VAR43;
reg [15:0] VAR233;
reg [15:0] VAR148;
reg [14:11] VAR65;
reg [14:13] VAR319;
reg VAR292;
reg VAR297;
reg VAR190;
reg VAR56;
reg VAR188;
reg VAR127;
reg VAR203;
reg VAR23;
reg VAR199;
reg VAR132;
reg VAR6;
reg VAR246;
reg VAR64;
reg VAR244;
reg VAR112;
reg VAR5;
reg VAR10 ;
reg [31:0] VAR22;
reg [1:0] VAR124;
reg VAR182;
reg VAR114;
reg VAR200;
reg VAR53;
reg VAR28;
reg [7:1] VAR310;
reg [7:1] VAR213;
reg VAR100;
reg VAR146;
reg VAR14;
reg VAR126;
reg VAR102;
reg VAR302;
reg [31:0] VAR92;
reg [31:8] VAR176;
reg [1:0] VAR29;
reg [1:0] VAR98;
reg VAR155;
reg VAR214;
reg VAR125;
reg [15:0] VAR207;
reg VAR35;
reg VAR256;
reg VAR267;
reg [3:0] VAR221; reg VAR194; wire [31:0] VAR59; wire [31:0] VAR120;
reg VAR185;
wire VAR20;
wire VAR48;
wire VAR171;
wire VAR322;
wire VAR164;
wire VAR314;
wire VAR134;
wire VAR58;
wire VAR308;
wire [1:0] VAR149;
wire [7:1] VAR237;
wire [7:1] VAR208;
wire VAR316;
wire VAR75;
wire VAR301;
wire VAR250;
reg VAR91;
wire [8:0] VAR131;
reg [8:0] VAR204;
reg VAR60, VAR27;
reg VAR217, VAR138 ;
reg VAR47, VAR73 ;
reg VAR4;
reg VAR159;
wire VAR8;
wire [3:0] VAR312;
wire VAR282;
reg [7:0] VAR222;
reg [31:0] VAR268;
wire [31:0] VAR61;
wire VAR303;
reg VAR248;
reg VAR117;
reg VAR44;
wire VAR93;
reg VAR293;
reg VAR133;
reg VAR17;
reg VAR16;
reg VAR323;
reg VAR315;
reg VAR122;
wire VAR140;
reg VAR278;
reg VAR197;
reg VAR305;
reg VAR239;
reg VAR270;
reg VAR179;
reg VAR84;
wire VAR231;
wire VAR55;
wire VAR141;
wire VAR81;
wire VAR89;
wire VAR49;
reg VAR263;
reg VAR121;
reg VAR40;
reg [VAR238-1:0] VAR130;
wire VAR195;
wire VAR289;
wire [31:0] VAR37;
wire VAR95;
wire [VAR54-1:0] VAR232;
wire [VAR236-1:0] VAR57;
reg [VAR238-1:0] VAR223;
wire VAR135;
wire VAR304;
wire VAR219;
reg VAR32;
wire VAR201;
reg VAR226 ;
reg VAR150;
reg VAR181;
reg [29:0] VAR253;
reg VAR224;
reg [3:0] VAR67;
reg VAR26;
wire VAR77;
wire VAR72;
reg VAR96;
reg [31:2] VAR276;
reg [1:0] VAR281;
reg [1:0] VAR156;
reg [31:2] VAR19;
reg [1:0] VAR210;
wire VAR31;
wire VAR193;
wire VAR189;
wire VAR169;
wire VAR252;
reg VAR241;
reg VAR212;
reg VAR320;
reg VAR277;
reg VAR166;
reg [3:0] VAR311;
wire VAR178;
reg VAR294;
reg VAR15;
reg VAR265;
reg VAR174;
reg VAR279;
reg VAR104;
reg VAR291;
reg VAR153;
reg VAR113;
reg VAR66;
reg VAR309;
reg VAR2;
reg VAR227;
reg VAR142;
reg VAR111;
wire VAR30;
reg VAR180;
reg VAR103;
reg VAR70;
wire VAR260;
reg [3:0] VAR71;
reg VAR296 ;
reg VAR107 ;
reg VAR240;
reg VAR160;
reg VAR192;
reg VAR9;
reg VAR259;
wire VAR255;
wire [31:0] VAR52;
wire VAR306;
wire VAR251;
wire VAR175;
wire VAR202;
wire VAR99;
wire VAR184;
wire VAR254;
wire [31:0] VAR97;
wire VAR235;
wire VAR147;
wire VAR106;
wire VAR211;
wire VAR158;
wire VAR321;
wire VAR173;
wire [VAR236-1:0] VAR82;
wire [VAR236-1:0] VAR257;
wire VAR86;
wire VAR88;
wire VAR284;
wire VAR105;
reg VAR225;
reg VAR79;
reg VAR11;
reg VAR272;
reg VAR215;
reg VAR157;
reg VAR145;
always @ (posedge VAR38)
if (VAR275)
VAR145 <= 0;
else
VAR145 <= (VAR321 & VAR184 &
!VAR111 & !VAR278 &
!VAR197 & VAR217 & VAR138 &
(VAR296 != VAR107));
always @(posedge VAR145)
assign VAR139 = 2'b00; VAR283
assign VAR118 = VAR224;
always @ (posedge VAR38)
begin
VAR91 <= (|VAR221) & VAR60 & VAR27 | VAR194 & VAR60 & ~VAR27;
end
assign VAR298 = VAR61;
VAR78
(
.clk (VAR38),
.rst (VAR275),
.VAR83 (VAR8),
.VAR94 (VAR312[0]),
.VAR317 (VAR282),
.addr (VAR222),
.VAR187 (VAR268),
.VAR162 (VAR61)
,
.VAR136 (VAR136),
.VAR34 (VAR34),
.VAR229 (VAR229)
);
assign VAR8 = 1'b1;
assign VAR312 = (VAR221 & {4{(VAR60 & VAR27)}}) |
{4{(VAR261 | VAR316)}};
assign VAR282 = VAR194 & VAR60 & VAR27 | VAR47 & VAR73 &
(VAR109 | VAR248) | VAR217 & VAR138 &
(VAR10 | VAR293);
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR117 <= 1'b0;
end
else
if(~VAR5 & VAR154 & VAR60 & ~VAR27)
VAR117 <= 1'b1;
else
if(VAR248 & VAR47 & VAR73)
VAR117 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
begin
VAR60 <= 1'b1;
VAR217 <= 1'b0;
VAR47 <= 1'b0;
VAR222 <= 8'h0;
VAR268 <= 32'h0;
VAR194 <= 1'b0;
VAR221 <= 0;
end
else
begin
case ({VAR27, VAR138, VAR73, VAR44, VAR117}) 5'b10010, 5'b10011 :
begin
VAR60 <= 1'b0;
VAR217 <= 1'b1; VAR47 <= 1'b0;
VAR222 <= {VAR213, VAR293};
VAR268 <= VAR59;
end
5'b10001 :
begin
VAR60 <= 1'b0;
VAR217 <= 1'b0;
VAR47 <= 1'b1; VAR222 <= {VAR310, VAR248};
VAR268 <= VAR120;
end
5'b01000, 5'b01010 :
begin
VAR60 <= 1'b1; VAR217 <= 1'b0;
VAR47 <= 1'b0;
VAR222 <= VAR269[9:2];
VAR268 <= VAR206;
VAR221 <= VAR69[3:0] & {4{VAR45}};
VAR194 <= (|VAR69) & ~VAR45;
end
5'b01001, 5'b01011 :
begin
VAR60 <= 1'b0;
VAR217 <= 1'b0;
VAR47 <= 1'b1; VAR222 <= {VAR310, VAR248};
VAR268 <= VAR120;
end
5'b00100, 5'b00101, 5'b00110, 5'b00111 :
begin
VAR60 <= 1'b1; VAR217 <= 1'b0;
VAR47 <= 1'b0;
VAR222 <= VAR269[9:2];
VAR268 <= VAR206;
VAR221 <= VAR69[3:0] & {4{VAR45}};
VAR194 <= (|VAR69) & ~VAR45;
end
5'b10000 :
begin
VAR60 <= 1'b0; end
5'b00000 :
begin
VAR60 <= 1'b1; VAR217 <= 1'b0;
VAR47 <= 1'b0;
VAR222 <= VAR269[9:2];
VAR268 <= VAR206;
VAR221 <= VAR69[3:0] & {4{VAR45}};
VAR194 <= (|VAR69) & ~VAR45;
end
endcase
end
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
begin
VAR27 <= 1'b0;
VAR138 <= 1'b0;
VAR73 <= 1'b0;
VAR4 <= 1'b0;
VAR159 <= 1'b0;
end
else
begin
VAR27 <= VAR60;
VAR138 <= VAR217;
VAR73 <= VAR47;
VAR4 <= VAR154;
VAR159 <= VAR313;
end
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR28 <= 1'b0;
end
else
if(VAR144 | VAR90 | VAR102)
VAR28 <= 1'b0;
else
if(VAR290)
VAR28 <= ~VAR28;
end
assign VAR252 = VAR48 | VAR171 | VAR20;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR5 <= 1'b0;
end
else
if(VAR47 & VAR73 & VAR109)
VAR5 <= VAR61[15] & (VAR61[31:16] > 4);
else
if(VAR252)
VAR5 <= 1'b0;
end
assign VAR164 = (VAR199 | VAR261) &
~VAR53 & ~VAR5;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR109 <= 1'b1;
end
else
if(VAR164)
VAR109 <= 1'b1;
else
if(VAR5)
VAR109 <= 1'b0;
end
assign VAR303 = VAR109 & VAR5;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR248 <= 1'b0;
end
else
if(VAR303)
VAR248 <= 1'b1;
else
if(VAR73)
VAR248 <= 1'b0;
end
assign VAR261 = (VAR6 | VAR64)&
VAR47 & VAR73 & ~VAR200;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR200 <= 1'b0;
end
else
if(~VAR56 & ~VAR190)
VAR200 <= 1'b0;
else
if(VAR261)
VAR200 <= 1'b1;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR241 <= 1'b0;
end
else
VAR241 <= VAR200;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR212 <= 1'b0;
end
else
VAR212 <= VAR241;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR320 <= 1'b0;
end
else
VAR320 <= VAR212;
end
assign VAR42 = VAR212 &
~VAR320;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR53 <= 1'b0;
end
else
if(VAR164)
VAR53 <= 1'b1;
else
if(~VAR164 & ~VAR5)
VAR53 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR65 <= 4'h0;
end
else
if(VAR47 & VAR73 & VAR109)
VAR65 <= VAR61[14:11];
end
always @(posedge VAR38 or posedge VAR275)
if (VAR275)
VAR226 <= 0;
else
VAR226 <= VAR201;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR233 <= 16'h0;
end
else
if(VAR47 & VAR73 & VAR109)
VAR233 <= VAR61[31:16];
else
if(VAR150 & VAR137)
begin
if(VAR72)
VAR233 <= 16'h0;
end
else if(VAR156==2'h0)
VAR233 <= VAR233 - 16'd4; else if(VAR156==2'h1)
VAR233 <= VAR233 - 16'd3; else if(VAR156==2'h2)
VAR233 <= VAR233 - 16'd2; else if(VAR156==2'h3)
VAR233 <= VAR233 - 16'd1; end
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR148 <= 16'h0;
end
else
if(VAR47 & VAR73 & VAR109)
VAR148 <= VAR61[31:16];
end
assign VAR77 = VAR233 == 0;
assign VAR72 = VAR233 < 4;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR276 <= 30'h0;
end
else
if(VAR47 & VAR73 & VAR248)
VAR276 <= VAR61[31:2];
else
if(VAR166 & ~VAR96)
VAR276 <= VAR276 + 1;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR281[1:0] <= 0;
end
else
if(VAR47 & VAR73 & VAR248)
VAR281[1:0] <= VAR61[1:0];
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR156[1:0] <= 0;
end
else
if(VAR47 & VAR73 & VAR248)
VAR156[1:0] <= VAR61[1:0];
else
if(VAR150 & VAR137)
VAR156[1:0] <= 0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR96 <= 0;
end
else
if(VAR178)
VAR96 <= 0;
else
if(VAR166)
VAR96 <= 1'b1;
end
assign VAR49 = VAR47 & VAR73 & VAR248;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR32 <= 1'b0;
end
else
if(VAR77 | VAR171 | VAR20)
VAR32 <= 1'b0;
else
if(VAR49)
VAR32 <= 1'b1;
end
assign VAR195 = VAR32 &
~VAR263 | (|VAR130);
assign VAR289 = VAR195 & VAR121;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR263 <= 1'b0;
end
else
if((VAR55 | VAR233 <= 4)& VAR150 &
(~VAR277) & (!(VAR64 |
VAR199)))
VAR263 <= 1'b1;
else
if(VAR95 | VAR132 | VAR246 |
VAR23)
VAR263 <= 1'b0;
end
assign VAR178 = VAR137 | VAR307;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
begin
VAR150 <= 1'b0;
VAR181 <= 1'b0;
VAR253 <= 30'h0;
VAR224 <= 1'b0;
VAR26 <= 1'b0;
VAR67 <= 4'h0;
VAR277<= 1'b0;
VAR130<= 0;
VAR223<= 0;
VAR166<= 1'b0;
VAR121<= 1'b1;
VAR40<= 1'b0;
VAR295 <= 3'b0;
VAR139 <= 2'b00;
end
else
begin
casez ({VAR150,
VAR181,
VAR195,
VAR226,
VAR178,
VAR277,
VAR289,
VAR135})
8'b00100010, 8'b101?101?, 8'b10100110, 8'b011?011?: begin
VAR150 <= 1'b1; VAR181 <= 1'b0;
VAR224 <= 1'b1;
VAR26 <= 1'b0;
VAR67 <= 4'hf;
VAR277<= 1'b0;
VAR166<= 1'b1;
VAR130 <= VAR130+3'h1;
if(VAR130==0)
VAR253 <= VAR276;
end
else
VAR253 <= VAR253 + 1;
if(VAR130==(VAR12-1))
begin
VAR121<= 1'b0;
VAR295 <= 3'b111;
end
else
begin
VAR295 <= 3'b010;
VAR139 <= 2'b01;
end
end VAR299 VAR220
8'b00?100?1, 8'b01?110?1, 8'b01010101, 8'b10?101?1 : begin
VAR150 <= 1'b0; VAR181 <= 1'b1;
VAR224 <= 1'b1;
VAR26 <= 1'b1;
VAR67 <= VAR311;
VAR166<= 1'b0;
VAR277<= 1'b0;
VAR223 <= VAR223+3'd1;
if(VAR223==0)
VAR253 <= VAR19;
end
else
VAR253 <= VAR253 + 1;
if(VAR223==(VAR12-1))
begin
VAR40<= 1'b0;
VAR295 <= 3'b111;
end
else
begin
VAR295 <= 3'b010;
VAR139 <= 2'b01;
end
end VAR283 8'b00?100?0 , 8'b01?100?0 : begin
VAR150 <= 1'b0;
VAR181 <= !VAR250;
VAR253 <= VAR19;
VAR224 <= !VAR250;
VAR26 <= !VAR250;
VAR67 <= VAR311;
VAR166<= 1'b0;
if ((VAR19[3:2]==2'b00) & !VAR250 &
VAR304 & !VAR224)
begin
VAR40<= 1'b1;
VAR295 <= 3'b010;
VAR139 <= 2'b01;
VAR223<= 1;
end
end
8'b00100000 : begin
VAR150 <= 1'b1;
VAR181 <= 1'b0;
VAR253 <= VAR276;
VAR224 <= 1'b1;
VAR26 <= 1'b0;
VAR67 <= 4'hf;
VAR166<= 1'b1;
if ((VAR276[3:2]==2'b00) && VAR143)
begin
(%VAR24): %VAR280 VAR36 VAR121",,
{VAR150,VAR181,VAR195,
VAR201,VAR178,
VAR277,VAR289,VAR135});
VAR121<= 1'b1;
VAR130 <= 3'h1;
VAR295 <= 3'b010;
VAR139 <= 2'b01;
end
end
8'b10100100, 8'b011?010? : begin
VAR150 <= 1'b1; VAR181 <= 1'b0;
VAR253 <= VAR276;
VAR224 <= 1'b1;
VAR26 <= 1'b0;
VAR67 <= 4'hf;
VAR277<= 1'b0;
VAR166<= 1'b1;
if ((VAR276[3:2]==2'b00) & VAR143)
begin
(%VAR24): %VAR280 VAR36 VAR121",,
{VAR150,VAR181,
VAR195,
VAR201,
VAR178,
VAR277,
VAR289,
VAR135});
VAR121<= 1'b1;
VAR130 <= 3'h1;
VAR295 <= 3'b010;
VAR139 <= 2'b01;
end
end
8'b01010100, 8'b10?101?0 : begin
VAR150 <= 1'b0;
VAR181 <= !VAR250;
VAR223<= 0;
VAR253 <= VAR19;
VAR224 <= !VAR250;
VAR26 <= !VAR250;
VAR67 <= VAR311;
if ((VAR19[3:2]==2'b00) &
VAR304 & !VAR250)
begin
VAR40<= 1'b1;
VAR295 <= 3'b010;
VAR139 <= 2'b01;
VAR223<= 1;
end
VAR166<= 1'b0;
end
8'b01011000, 8'b011?10?0, 8'b10101000, 8'b10?1100? : begin
VAR224 <= 1'b0; VAR277<= 1'b1;
VAR166<= 1'b0;
VAR130<= 0;
VAR121<= 0;
VAR223<= 0;
VAR139 <= 2'b00;
VAR295 <= 3'b0;
end
8'VAR280??001000, 8'VAR280??000100 : begin
VAR150 <= 1'b0;
VAR181 <= 1'b0;
VAR224 <= 1'b0;
VAR277<= 1'b0;
VAR166<= 1'b0;
VAR223<= 0;
VAR139 <= 2'b00;
VAR295 <= 3'b0;
end
8'b00000000: begin
VAR130<= 0;
if(VAR47 & VAR73 & VAR248 & (VAR61[3:0]===4'h0))
begin
(%VAR24): %VAR280 VAR36 VAR121",,
{VAR150,VAR181,VAR195,
VAR201,VAR178,
VAR277,VAR289,VAR135});
VAR121<= VAR143;
end
else
VAR121<= 0;
end
default: begin
VAR150 <= VAR150;
VAR181 <= VAR181;
VAR224 <= VAR224;
VAR67 <= VAR67;
VAR166<= VAR166;
end
endcase
end
end
assign VAR231 = (VAR246 | VAR23 | VAR303);
VAR243
)
VAR198
(
.VAR116(VAR183),
.VAR68(VAR37),
.clk(VAR38),
.reset(VAR275),
.write(VAR150 & VAR137),
.read(VAR95 & ~VAR81),
.VAR273(VAR231),
.VAR300(VAR141),
.VAR318(VAR55),
.VAR170(VAR89),
.VAR266(VAR81),
.VAR167(VAR232)
);
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR292 <= 1'b0;
end
else
if(VAR5 & ~VAR305 & (VAR55 | VAR141| VAR77))
VAR292 <= 1'b1;
else
if(VAR84)
VAR292 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR305 <= 1'b0;
end
else
if(VAR292)
VAR305 <= 1'b1;
else
if(VAR252)
VAR305 <= 1'b0;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR239 <= 1'b0;
end
else
VAR239 <= VAR292;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR270 <= 1'b0;
end
else
VAR270 <= VAR239;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR179 <= 1'b0;
end
else
VAR179 <= VAR270;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR84 <= 1'b0;
end
else
VAR84 <= VAR179;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR288 <= 1'b0;
end
else
if(VAR270)
VAR288 <= 1'b1;
else
if(VAR302 | ~VAR270 &
(VAR205 & (~VAR102) | VAR90 & (~VAR126)))
VAR288 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR185 <= 1'b0;
end
else
if(VAR77 & VAR89 & VAR290)
VAR185 <= 1'b1;
else
if(VAR20 | VAR48 | VAR171)
VAR185 <= 1'b0;
end
assign VAR149 = VAR72 ? VAR233[1:0] : 2'b0;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR294 <= 1'b0;
end
else
if(VAR72 & VAR5)
VAR294 <= 1'b1;
else
VAR294 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR15 <= 1'b0;
end
else
VAR15 <= VAR294;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR43 <= 2'h0;
end
else
if(VAR294 & ~VAR15)
VAR43 <= VAR149;
else
if(VAR20 | VAR48 | VAR171)
VAR43 <= 2'h0;
end
assign VAR314 = VAR65[14];
assign VAR134 = VAR65[13];
assign VAR209 = VAR65[12];
assign VAR46 = VAR65[11];
assign VAR58 = VAR319[14];
assign VAR308 = VAR319[13];
assign VAR237[7:1] = {7{ VAR261 & ~VAR134}} &
(VAR310 + 1) ;
assign VAR208[7:1] = {7{ VAR308}} & (VAR186[6:0]) | {7{~VAR308}} & (VAR213 + 1) ;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR310 <= 7'h0;
end
else if (VAR154 & (~VAR4))
VAR310 <= 7'h0;
else if (VAR261)
VAR310 <= VAR237;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR213 <= 7'h0;
end
else if(VAR313 & (~VAR159))
VAR213 <= VAR186[6:0];
else if(VAR316)
VAR213 <= VAR208;
end
wire [8:0] VAR165 = {VAR271, VAR262[3:0],
VAR258, VAR216, VAR85,
VAR129};
assign VAR59 = {VAR207, 1'b0, VAR319, 4'h0,
VAR204};
assign VAR120 = {VAR148, 1'b0, VAR65, 2'h0,
VAR165};
assign VAR20 = VAR297 & ~VAR203;
assign VAR48 = VAR56 & ~VAR188;
assign VAR171 = VAR190 & ~VAR127;
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
begin
VAR126 <= 1'b0;
VAR102 <= 1'b0;
VAR302 <= 1'b0;
end
else
begin
VAR126 <= VAR90;
VAR102 <= VAR205;
VAR302 <= VAR290;
end
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
begin
VAR188 <= 1'b0;
VAR127 <= 1'b0;
VAR203 <= 1'b0;
end
else
begin
VAR188 <= VAR56;
VAR127 <= VAR190;
VAR203 <= VAR297;
end
end
reg VAR39;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR246 <= 1'b0;
end
else
if(VAR190 & (~VAR121) & VAR150 & VAR178 &
(~VAR39) | VAR190 & (~VAR150) &
(~VAR39))
VAR246 <= 1'b1;
else
VAR246 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR64 <= 1'b0;
end
else
if(VAR47 & VAR73 & VAR64)
VAR64 <= 1'b0;
else
if(VAR190 & (~VAR121) & VAR150 &
VAR178 & (~VAR39) | VAR190 &
(~VAR150) & (~VAR39))
VAR64 <= 1'b1;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR39 <= 1'b0;
end
else
if(!VAR190 & VAR127)
VAR39 <= 1'b0;
else
if(VAR246)
VAR39 <= 1'b1;
end
reg VAR21;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR23 <= 1'b0;
end
else
if(VAR297 & !VAR121 & VAR150 & VAR178 &
!VAR21 | VAR297 & !VAR150 &
!VAR21)
VAR23 <= 1'b1;
else
VAR23 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR199 <= 1'b0;
end
else
if(VAR164)
VAR199 <= 1'b0;
else
if(VAR297 & !VAR121 & VAR150 & VAR178 &
!VAR21 | VAR297 & !VAR150 &
!VAR21)
VAR199 <= 1'b1;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR21 <= 1'b0;
end
else
if(!VAR297 & VAR203)
VAR21 <= 1'b0;
else
if(VAR23)
VAR21 <= 1'b1;
end
reg VAR123;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR132 <= 1'b0;
end
else
if(VAR56 & !VAR121 & VAR150 & VAR178 &
!VAR123 | VAR56 & !VAR150 &
!VAR123)
VAR132 <= 1'b1;
else
VAR132 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR6 <= 1'b0;
end
else
if(VAR47 & VAR73 & VAR6)
VAR6 <= 1'b0;
else
if(VAR56 & !VAR121 & VAR150 & VAR178
& (~VAR123) | VAR56 & !VAR150
& (~VAR123))
VAR6 <= 1'b1;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR123 <= 1'b0;
end
else
if(!VAR56 & VAR188)
VAR123 <= 1'b0;
else
if(VAR132)
VAR123 <= 1'b1;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR182 <= 1'b0;
end
else
if((VAR74 | VAR90 | VAR205) & VAR28)
VAR182 <= 1'b0;
else
if(VAR290 & VAR28 & VAR124 == 2'h3)
VAR182 <= VAR185;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR74 <= 1'b0;
end
else
if(VAR28 & VAR74 | VAR90 | VAR102)
VAR74 <= 1'b0;
else
if(VAR28 & VAR182)
begin
case (VAR43) 1 : VAR74 <= VAR124 == 2'h0;
2 : VAR74 <= VAR124 == 2'h1;
3 : VAR74 <= VAR124 == 2'h2;
0 : VAR74 <= VAR124 == 2'h3;
default : VAR74 <= 1'b0;
endcase
end
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR63 <= 0;
end
else
if(VAR270 & ~VAR288)
case(VAR281) 2'h0 : VAR63 <= VAR37[31:24]; 2'h1 : VAR63 <= VAR37[23:16]; 2'h2 : VAR63 <= VAR37[15:08]; 2'h3 : VAR63 <= VAR37[07:00]; endcase
else
if(VAR288 & VAR290 & VAR281==2'h3)
VAR63 <= VAR37[31:24]; else
if(VAR290 & VAR28)
begin
case(VAR124) 0 : VAR63 <= VAR22[31:24];
1 : VAR63 <= VAR22[23:16];
2 : VAR63 <= VAR22[15:8];
3 : VAR63 <= VAR22[7:0];
endcase
end
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR22[31:0] <= 32'h0;
end
else
if(VAR270 & ~VAR288 | VAR290 & VAR28 &
VAR124 == 2'h3 | VAR288 & VAR290 & VAR28 &
VAR124 == 2'h0)
VAR22[31:0] <= VAR37[31:0];
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR234 <= 1'b0;
end
else
if(VAR171)
VAR234 <= 1'b0;
else
if(VAR81 & VAR95)
VAR234 <= 1'b1;
end
reg VAR287;
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR287 <= 1'b0;
end
else
if(VAR234)
VAR287 <= 1'b1;
else
if(VAR212)
VAR287 <= 1'b0;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR271 <= 1'b0;
end
else
if(VAR212)
VAR271 <= 1'b0;
else
if(VAR287)
VAR271 <= 1'b1;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR124 <= 2'h0;
end
else
if(VAR126 | VAR102)
VAR124 <= 2'h0;
else
if(VAR288 & ~VAR290)
case(VAR281) 2'h0 : VAR124 <= 2'h1;
2'h1 : VAR124 <= 2'h2;
2'h2 : VAR124 <= 2'h3;
2'h3 : VAR124 <= 2'h0;
endcase
else
if(VAR290 & VAR28)
VAR124 <= VAR124 + 1'b1;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR114 <= 1'b0;
end
else
if(VAR270 & ~VAR288 | VAR290 & VAR28 &
VAR124 == 2'h3 & ~VAR182 | VAR288 & VAR290 & VAR28 &
VAR124 == 2'h0)
VAR114 <= 1'b1;
else
if(VAR291 & ~VAR153)
VAR114 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR265 <= 1'b0;
end
else
VAR265 <= VAR114;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR174 <= 1'b0;
end
else
VAR174 <= VAR265;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR104 <= 1'b0;
end
else
VAR104 <= VAR174;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR291 <= 1'b0;
end
else
VAR291 <= VAR104;
end
always @ (posedge VAR228 or posedge VAR275)
begin
if(VAR275)
VAR153 <= 1'b0;
end
else
VAR153 <= VAR291;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR279 <= 1'b0;
end
else
VAR279 <= VAR174;
end
assign VAR95 = VAR174 &
~VAR279;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR100 <= 1'b0;
end
else
VAR100 <= VAR205;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR297 <= 1'b0;
end
else
VAR297 <= VAR100;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR14 <= 1'b0;
end
else
VAR14 <= VAR144;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR56 <= 1'b0;
end
else
VAR56 <= VAR14;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR146 <= 1'b0;
end
else
VAR146 <= VAR90;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR190 <= 1'b0;
end
else
VAR190 <= VAR146;
end
assign VAR322 = VAR316 | VAR309 & ~VAR2 |
VAR313 & ~VAR159;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR10 <= 1'b0;
end
else
if(VAR322)
VAR10 <= 1'b1;
else
if(VAR244)
VAR10 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR244 <= 1'b0;
end
else
if(VAR293)
VAR244 <= 1'b0;
else
if(VAR217 & VAR138 & VAR10)
VAR244 <= VAR61[15];
end
reg VAR3;
always @ (posedge VAR38 or posedge VAR275)
if(VAR275)
VAR3 <= 0;
else if (VAR3)
VAR3 <= 0;
else
VAR3 <= (VAR217 & VAR138 & VAR10);
reg VAR191;
always @ (posedge VAR38 or posedge VAR275)
if(VAR275)
VAR191 <= 0;
else if (VAR3 & !VAR244)
VAR191 <= 1;
else if (VAR112)
VAR191 <= 0;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR319 <= 2'h0;
end
else
if(VAR217 & VAR138 & VAR10)
VAR319 <= VAR61[14:13];
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR112 <= 1'b0;
end
else if(VAR278 | VAR66 & ~VAR309 |
~VAR313 & VAR159)
VAR112 <= 1'b0;
else if(VAR244)
VAR112 <= 1'b1;
end
assign VAR93 = VAR10 & VAR244;
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR293 <= 1'b0;
end
else
if(VAR93)
VAR293 <= 1'b1;
else
if(VAR217 & VAR138)
VAR293 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR19 <= 30'h0;
end
else
if(VAR217 & VAR138 & VAR293)
VAR19 <= VAR61[31:2];
else
if(VAR181 & VAR137)
VAR19 <= VAR19 + 1;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR210[1:0] <= 0;
end
else
if(VAR181 & VAR137)
VAR210[1:0] <= 0;
else
if(VAR217 & VAR138 & VAR293)
VAR210[1:0] <= VAR61[1:0];
end
always @ (VAR210)
begin
case(VAR210[1:0]) 2'h0 : VAR311[3:0] = 4'hf;
2'h1 : VAR311[3:0] = 4'h7;
2'h2 : VAR311[3:0] = 4'h3;
2'h3 : VAR311[3:0] = 4'h1;
endcase
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR44 <= 1'b0;
end
else if( VAR313 & VAR60 & ~VAR27)
VAR44 <= 1'b1;
else if(VAR293 & VAR217 & VAR138)
VAR44 <= 1'b0;
end
assign VAR316 = VAR278 & VAR217 & VAR138;
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR155 <= 1'b0;
end
else
if(VAR214 & (&VAR98) | VAR80)
VAR155 <= 1'b0;
else
if(VAR25 & VAR119 & ~(&VAR98) & VAR111)
VAR155 <= 1'b1;
end
assign VAR140 = VAR155 | VAR25 & VAR119 & (&VAR98) &
VAR111;
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR214 <= 1'b0;
end
else
if(VAR133 | VAR80)
VAR214 <= 1'b0;
else
if(VAR140)
VAR214 <= 1'b1;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR98 <= 2'h0;
end
else
if(VAR133 | VAR80)
VAR98 <= 2'h0;
else
if(VAR25 & VAR242 )
case(VAR210) 2'h0 : VAR98 <= 2'h1;
2'h1 : VAR98 <= 2'h2;
2'h2 : VAR98 <= 2'h3;
2'h3 : VAR98 <= 2'h0;
endcase
else
if(VAR25 & VAR111 | VAR155)
VAR98 <= VAR98 + 1;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR29 <= 2'h1;
end
else
if(VAR25 & VAR242)
case(VAR210) 2'h0 : VAR29 <= 2'h1;
2'h1 : VAR29 <= 2'h2;
2'h2 : VAR29 <= 2'h3;
2'h3 : VAR29 <= 2'h0;
endcase
else
if(VAR25 & ~VAR155 & ~VAR242 & VAR111)
VAR29 <= VAR29 + 1;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR176 <= 24'h0;
end
else
if(VAR25 & ~VAR155)
if(VAR242)
begin
case(VAR210) 2'h0: VAR176[31:24] <= VAR87;
2'h1: VAR176[23:16] <= VAR87;
2'h2: VAR176[15:8] <= VAR87;
2'h3: VAR176 <= VAR176;
endcase
end
else if (VAR111)
begin
case(VAR98) 2'h0: VAR176[31:24] <= VAR87;
2'h1: VAR176[23:16] <= VAR87;
2'h2: VAR176[15:8] <= VAR87;
2'h3: VAR176 <= VAR176;
endcase
end
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR92 <= 32'h0;
end
else
if(VAR30 & ~VAR214)
VAR92 <= {VAR176[31:8], VAR87};
else
if(VAR30 & VAR214)
case(VAR29) 0 : VAR92 <= {VAR176[31:8], VAR87};
1 : VAR92 <= {VAR176[31:24], 24'h0};
2 : VAR92 <= {VAR176[31:16], 16'h0};
3 : VAR92 <= {VAR176[31:8], 8'h0};
endcase
end
assign VAR30 = (VAR25 & ~VAR242 &
VAR111 & (&VAR98))
|(VAR214 & VAR155 & (&VAR98));
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR125 <= 1'b0;
end
else
if(VAR30 & ~VAR80)
VAR125 <= 1'b1;
else
if(VAR103 | VAR80)
VAR125 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR180 <= 1'b0;
end
else
if(VAR125)
VAR180 <= 1'b1;
else
VAR180 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR103 <= 1'b0;
end
else
VAR103 <= VAR180;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR70 <= 1'b0;
end
else
VAR70 <= VAR103;
end
assign VAR260 = VAR103 &
~VAR70;
always @(posedge VAR38)
if (VAR275)
VAR240 <= 0;
else if (VAR71[3:2] == 2'b01)
VAR240 <= 0;
else if (VAR197 & VAR10)
VAR240 <= 1;
always @(posedge VAR38)
VAR71 <= {VAR71[2:0],
VAR17 & ~VAR16};
always @ (posedge VAR38 or posedge VAR275)
if(VAR275)
VAR296 <= 0;
else if (VAR240 | VAR145)
VAR296 <= 0;
else if(VAR71[3:2] == 2'b01 & !VAR240)
VAR296 <= ~VAR296;
always @ (posedge VAR38 or posedge VAR275)
if(VAR275)
VAR107 <= 0;
else if (VAR240 | VAR145)
VAR107 <= 0;
else if(VAR278 & VAR217 & VAR138)
VAR107 <= ~VAR107;
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR160 <= 0;
end
else
if(VAR242 & ~VAR9)
VAR160 <= 1;
else
if(VAR9)
VAR160 <= 0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR192 <= 0;
end
else
if(VAR160)
VAR192 <= 1;
else
VAR192 <= 0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR9 <= 0;
end
else
VAR9 <= VAR192;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR259 <= 0;
end
else
VAR259 <= VAR9;
end
wire VAR1;
assign VAR1 = VAR9 & ~VAR259;
assign VAR255 = VAR1 | VAR240 | VAR145;
assign VAR306 = (!VAR296) & VAR260 &
~VAR202;
assign VAR251 = (!VAR107) & VAR181 & VAR137 &
~VAR184;
assign VAR175 = (!VAR296) & VAR255;
assign VAR235 = (VAR296) & VAR260 &
~VAR211;
assign VAR147 = (VAR107) & VAR181 & VAR137 &
~VAR321;
assign VAR106 = (VAR296) & VAR255;
VAR243 #(
)
VAR115 (
.clk (VAR38 ),
.reset (VAR275 ),
.VAR116 (VAR92 ),
.write (VAR306 ),
.read (VAR251 ),
.VAR273 (VAR175 ),
.VAR68 (VAR52),
.VAR300 (VAR202 ),
.VAR318 (),
.VAR170 (VAR254 ),
.VAR266 (VAR184 ),
.VAR167 (VAR82 )
);
VAR243 #(
)
VAR264 (
.clk (VAR38 ),
.reset (VAR275 ),
.VAR116 (VAR92 ),
.write (VAR235 ),
.read (VAR147 ),
.VAR273 (VAR106 ),
.VAR68 (VAR97),
.VAR300 (VAR211 ),
.VAR318 (),
.VAR170 (VAR173 ),
.VAR266 (VAR321 ),
.VAR167 (VAR257 )
);
assign VAR249 = VAR107 ?
VAR97 : VAR52;
assign VAR57 = VAR107 ?
VAR257 : VAR82;
assign VAR301 = VAR107 ?
VAR173 : VAR254;
assign VAR250 = VAR107 ?
VAR321 : VAR184;
assign VAR75 = VAR107 ?
VAR211 : VAR202;
assign VAR284 = !VAR112 | VAR293;
assign VAR304 = VAR57>=(VAR12);
assign VAR219 = VAR57>(VAR12 - 1);
assign VAR105
= VAR111 & (VAR107 == VAR296) ?
(VAR57>(VAR12) + 2) | (|VAR223) : ~VAR250;
assign VAR304 = VAR57>=VAR12;
assign VAR219 = VAR57>VAR12;
assign VAR105 = ~VAR250;
assign VAR201 = VAR105 &
!VAR284;
assign VAR135 = VAR40 & VAR201;
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR133 <= 1'b0;
end
else
if(~VAR80 & VAR30 & VAR140)
VAR133 <= 1'b1;
else
if(VAR80 | VAR315 & VAR122)
VAR133 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR17 <= 1'b0;
end
else
VAR17 <= VAR133;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR16 <= 1'b0;
end
else
VAR16 <= VAR17;
end
always @ (posedge VAR38 or posedge VAR275)
if(VAR275)
VAR197 <= 1'b0;
else if (!VAR197)
VAR197 <= VAR17 & ~VAR16;
else if (VAR278 & VAR217 & VAR138)
VAR197 <= 0;
else if (VAR240 | VAR145)
VAR197 <= 0;
always @ (posedge VAR38 or posedge VAR275)
if(VAR275)
VAR278 <= 0;
else if (VAR278 & VAR217 & VAR138)
VAR278 <= 0;
else
VAR278 <= VAR197 & VAR250 &
!VAR260;
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR315 <= 1'b0;
end
else
VAR315 <= VAR16;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR122 <= 1'b0;
end
else
VAR122 <= VAR315;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR111 <= 1'b0;
end
else if(VAR242)
VAR111 <= 1'b1;
else if(VAR119 | VAR80)
VAR111 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR113 <= 1'b0;
end
else
VAR113 <= VAR35;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR66 <= 1'b0;
end
else
VAR66 <= VAR113;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR309 <= 1'b0;
end
else
VAR309 <= VAR66;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR2 <= 1'b0;
end
else
VAR2 <= VAR309;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR227 <= 1'b0;
end
else
VAR227 <= VAR66;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR142 <= 1'b0;
end
else
VAR142 <= VAR227;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR35 <= 1'b0;
end
else
if(VAR142)
VAR35 <= 1'b0;
else
if(VAR80)
VAR35 <= 1'b1;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR207[15:0] <= 16'h0;
end
else
if(VAR62)
VAR207[15:0] <= VAR151[15:0];
end
assign VAR131 = {VAR230,
VAR101,
VAR267,
VAR286,
VAR41,
VAR218,
VAR163,
VAR7,
VAR247};
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
VAR204 <= 'h0;
end
else
if(VAR62)
VAR204 <= VAR131;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR267 <= 1'b0;
end
else if(VAR316 | VAR240 | VAR145)
VAR267 <= 1'b0;
else if(VAR75 & VAR260)
VAR267 <= 1'b1;
end
assign VAR86 = VAR271 | VAR258 | VAR216 |
VAR129;
assign VAR88 = (|VAR204[6:3]) | (|VAR204[1:0]);
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR225 <= 1'b0;
end
else
if(VAR215)
VAR225 <= 1'b0;
else
if(VAR316)
VAR225 <= 1'b1;
end
always @ (posedge VAR177 or posedge VAR275)
begin
if(VAR275)
begin
VAR79 <= 1'b0;
VAR11 <= 1'b0;
end
else
begin
VAR79 <= VAR225;
VAR11 <= VAR79;
end
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
begin
VAR272 <= 1'b0;
VAR215 <= 1'b0;
end
else
begin
VAR272 <= VAR11;
VAR215 <= VAR272;
end
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR108 <= 1'b0;
end
else
if(VAR261 & VAR314)
VAR108 <= ~VAR86;
else
VAR108 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR172 <= 1'b0;
end
else
if(VAR261 & VAR314)
VAR172 <= VAR86;
else
VAR172 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR13 <= 1'b0;
end
else
if(VAR316 & VAR58 & VAR110 &
(~VAR230 | VAR230 & VAR196 & (~VAR274)))
VAR13 <= (~VAR88);
else
VAR13 <= 1'b0;
end
always @ (posedge VAR38 or posedge VAR275)
begin
if(VAR275)
VAR18 <= 1'b0;
end
else
if(VAR316 & VAR58 & (~VAR230 | VAR230
& VAR196 & (~VAR274)))
VAR18 <= VAR88;
else
VAR18 <= 1'b0;
end
reg VAR76;
always @ (posedge VAR38)
if (VAR275)
VAR76 <= 0;
else if (VAR3)
VAR76 <= 0;
else if (((VAR296 != VAR107) | VAR322 |
VAR10) & VAR1)
VAR76 <= 1;
always @ (posedge VAR38 or posedge VAR275)
if(VAR275)
VAR157 <= 0;
else if (VAR157)
VAR157 <= 0;
else if
((VAR296 == VAR107) &
((VAR76 & VAR3 & ~VAR244) |
(!VAR76 & !VAR322 & !VAR10 & VAR1 &
VAR191))
)
VAR157 <= 1;
assign VAR245 = VAR157;
always @(posedge VAR245)
(%VAR24) VAR161 VAR168 VAR50 VAR152 VAR33", );
assign VAR128[31] = 0;
assign VAR128[30:28] = VAR223;
assign VAR128[27] = 0;
assign VAR128[26:24] = VAR130;
assign VAR128[23] = VAR296;
assign VAR128[22] = VAR107;
assign VAR128[21] = VAR184;
assign VAR128[20] = VAR321;
assign VAR128[19] = VAR145;
assign VAR128[18] = VAR112;
assign VAR128[17] = VAR105;
assign VAR128[16] = VAR197;
assign VAR128[15:8] = { VAR53 , VAR310};
assign VAR128[7:0] = {VAR150,
VAR181,
VAR195,
VAR201,
VAR178,
VAR277,
VAR289,
VAR135};
endmodule | mit |
tugrulyatagan/RISC-processor | xilinx_processor/pipeline_control_registers.v | 2,531 | module MODULE1(
input VAR17,
input VAR25,
input VAR11,
input [2:0] VAR27,
input VAR18,
input VAR9,
input [7:0] VAR4,
input VAR24,
input [15:0] VAR1,
input [2:0] VAR12,
input [2:0] VAR13,
output reg VAR7,
output reg [2:0] VAR16,
output reg VAR10,
output reg VAR8,
output reg [7:0] VAR5,
output reg VAR21,
output reg [15:0] VAR20,
output reg [2:0] VAR15,
output reg [2:0] VAR26,
output reg VAR3,
output reg [2:0] VAR22,
output reg VAR14,
output reg VAR19,
output reg VAR23,
output reg [2:0] VAR6,
output reg VAR2
); | gpl-2.0 |
andrewandrepowell/zybo_petalinux | zybo_petalinux_webcam/zybo_petalinux_webcam.srcs/sources_1/bd/block_design/ip/block_design_m01_regslice_0/synth/block_design_m01_regslice_0.v | 10,722 | module MODULE1 (
VAR57,
VAR24,
VAR93,
VAR35,
VAR58,
VAR3,
VAR40,
VAR67,
VAR52,
VAR1,
VAR60,
VAR42,
VAR22,
VAR30,
VAR49,
VAR92,
VAR65,
VAR86,
VAR21,
VAR25,
VAR99,
VAR23,
VAR16,
VAR77,
VAR55,
VAR9,
VAR89,
VAR5,
VAR76,
VAR91,
VAR106,
VAR50,
VAR75,
VAR54,
VAR107,
VAR19,
VAR17,
VAR20,
VAR82,
VAR51
);
input wire VAR57;
input wire VAR24;
input wire [8 : 0] VAR93;
input wire [2 : 0] VAR35;
input wire VAR58;
output wire VAR3;
input wire [31 : 0] VAR40;
input wire [3 : 0] VAR67;
input wire VAR52;
output wire VAR1;
output wire [1 : 0] VAR60;
output wire VAR42;
input wire VAR22;
input wire [8 : 0] VAR30;
input wire [2 : 0] VAR49;
input wire VAR92;
output wire VAR65;
output wire [31 : 0] VAR86;
output wire [1 : 0] VAR21;
output wire VAR25;
input wire VAR99;
output wire [8 : 0] VAR23;
output wire [2 : 0] VAR16;
output wire VAR77;
input wire VAR55;
output wire [31 : 0] VAR9;
output wire [3 : 0] VAR89;
output wire VAR5;
input wire VAR76;
input wire [1 : 0] VAR91;
input wire VAR106;
output wire VAR50;
output wire [8 : 0] VAR75;
output wire [2 : 0] VAR54;
output wire VAR107;
input wire VAR19;
input wire [31 : 0] VAR17;
input wire [1 : 0] VAR20;
input wire VAR82;
output wire VAR51;
VAR29 #(
.VAR78("VAR12"),
.VAR53(2),
.VAR79(1),
.VAR90(9),
.VAR113(32),
.VAR45(0),
.VAR18(1),
.VAR101(1),
.VAR15(1),
.VAR68(1),
.VAR100(1),
.VAR85(7),
.VAR10(7),
.VAR37(7),
.VAR44(7),
.VAR80(7)
) VAR11 (
.VAR57(VAR57),
.VAR24(VAR24),
.VAR69(1'VAR73),
.VAR93(VAR93),
.VAR13(8'VAR8),
.VAR70(3'VAR73),
.VAR63(2'VAR64),
.VAR66(1'VAR73),
.VAR83(4'VAR73),
.VAR35(VAR35),
.VAR7(4'VAR73),
.VAR88(4'VAR73),
.VAR31(1'VAR73),
.VAR58(VAR58),
.VAR3(VAR3),
.VAR4(1'VAR73),
.VAR40(VAR40),
.VAR67(VAR67),
.VAR104(1'VAR64),
.VAR96(1'VAR73),
.VAR52(VAR52),
.VAR1(VAR1),
.VAR6(),
.VAR60(VAR60),
.VAR14(),
.VAR42(VAR42),
.VAR22(VAR22),
.VAR103(1'VAR73),
.VAR30(VAR30),
.VAR102(8'VAR8),
.VAR84(3'VAR73),
.VAR71(2'VAR64),
.VAR108(1'VAR73),
.VAR94(4'VAR73),
.VAR49(VAR49),
.VAR46(4'VAR73),
.VAR28(4'VAR73),
.VAR81(1'VAR73),
.VAR92(VAR92),
.VAR65(VAR65),
.VAR34(),
.VAR86(VAR86),
.VAR21(VAR21),
.VAR43(),
.VAR33(),
.VAR25(VAR25),
.VAR99(VAR99),
.VAR39(),
.VAR23(VAR23),
.VAR109(),
.VAR74(),
.VAR47(),
.VAR38(),
.VAR111(),
.VAR16(VAR16),
.VAR61(),
.VAR32(),
.VAR36(),
.VAR77(VAR77),
.VAR55(VAR55),
.VAR112(),
.VAR9(VAR9),
.VAR89(VAR89),
.VAR41(),
.VAR110(),
.VAR5(VAR5),
.VAR76(VAR76),
.VAR87(1'VAR73),
.VAR91(VAR91),
.VAR72(1'VAR73),
.VAR106(VAR106),
.VAR50(VAR50),
.VAR95(),
.VAR75(VAR75),
.VAR98(),
.VAR2(),
.VAR62(),
.VAR27(),
.VAR26(),
.VAR54(VAR54),
.VAR59(),
.VAR114(),
.VAR97(),
.VAR107(VAR107),
.VAR19(VAR19),
.VAR48(1'VAR73),
.VAR17(VAR17),
.VAR20(VAR20),
.VAR56(1'VAR64),
.VAR105(1'VAR73),
.VAR82(VAR82),
.VAR51(VAR51)
);
endmodule | gpl-3.0 |
CospanDesign/nysa-sdio-device | functions/nysa_host_interface/sdio_host_interface.v | 1,504 | module MODULE1 (
input clk,
input rst
);
localparam VAR1 = 32'h00000000;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/sdlclkp/sky130_fd_sc_hs__sdlclkp.pp.symbol.v | 1,299 | module MODULE1 (
input VAR1 ,
input VAR3 ,
input VAR6,
output VAR2,
input VAR4,
input VAR5
);
endmodule | apache-2.0 |
bangonkali/quartus-sockit | soc_system/synthesis/submodules/soc_system_hps_0_hps_io.v | 12,602 | module MODULE1 (
output wire [14:0] VAR21, output wire [2:0] VAR50, output wire VAR18, output wire VAR49, output wire VAR67, output wire VAR26, output wire VAR25, output wire VAR16, output wire VAR72, output wire VAR3, inout wire [31:0] VAR36, inout wire [3:0] VAR47, inout wire [3:0] VAR57, output wire VAR75, output wire [3:0] VAR64, input wire VAR10, output wire VAR76, output wire VAR33, output wire VAR13, output wire VAR58, output wire VAR31, input wire VAR30, inout wire VAR14, output wire VAR51, input wire VAR29, output wire VAR19, input wire VAR60, input wire VAR39, input wire VAR22, input wire VAR41, inout wire VAR34, inout wire VAR32, inout wire VAR69, inout wire VAR53, output wire VAR9, output wire VAR61, inout wire VAR56, inout wire VAR11, inout wire VAR2, output wire VAR65, inout wire VAR7, inout wire VAR45, inout wire VAR48, inout wire VAR66, inout wire VAR40, inout wire VAR78, inout wire VAR55, inout wire VAR12, inout wire VAR59, inout wire VAR77, input wire VAR73, output wire VAR15, input wire VAR43, input wire VAR46, output wire VAR38, output wire VAR24, input wire VAR37, output wire VAR44, output wire VAR70, output wire VAR4, input wire VAR54, output wire VAR35, input wire VAR23, output wire VAR63, inout wire VAR8, inout wire VAR52, inout wire VAR27, inout wire VAR42, inout wire VAR74, inout wire VAR6, inout wire VAR1, inout wire VAR5, inout wire VAR17, inout wire VAR28, inout wire VAR20, inout wire VAR62 );
VAR71 VAR68 (
.VAR21 (VAR21), .VAR50 (VAR50), .VAR18 (VAR18), .VAR49 (VAR49), .VAR67 (VAR67), .VAR26 (VAR26), .VAR25 (VAR25), .VAR16 (VAR16), .VAR72 (VAR72), .VAR3 (VAR3), .VAR36 (VAR36), .VAR47 (VAR47), .VAR57 (VAR57), .VAR75 (VAR75), .VAR64 (VAR64), .VAR10 (VAR10), .VAR76 (VAR76), .VAR33 (VAR33), .VAR13 (VAR13), .VAR58 (VAR58), .VAR31 (VAR31), .VAR30 (VAR30), .VAR14 (VAR14), .VAR51 (VAR51), .VAR29 (VAR29), .VAR19 (VAR19), .VAR60 (VAR60), .VAR39 (VAR39), .VAR22 (VAR22), .VAR41 (VAR41), .VAR34 (VAR34), .VAR32 (VAR32), .VAR69 (VAR69), .VAR53 (VAR53), .VAR9 (VAR9), .VAR61 (VAR61), .VAR56 (VAR56), .VAR11 (VAR11), .VAR2 (VAR2), .VAR65 (VAR65), .VAR7 (VAR7), .VAR45 (VAR45), .VAR48 (VAR48), .VAR66 (VAR66), .VAR40 (VAR40), .VAR78 (VAR78), .VAR55 (VAR55), .VAR12 (VAR12), .VAR59 (VAR59), .VAR77 (VAR77), .VAR73 (VAR73), .VAR15 (VAR15), .VAR43 (VAR43), .VAR46 (VAR46), .VAR38 (VAR38), .VAR24 (VAR24), .VAR37 (VAR37), .VAR44 (VAR44), .VAR70 (VAR70), .VAR4 (VAR4), .VAR54 (VAR54), .VAR35 (VAR35), .VAR23 (VAR23), .VAR63 (VAR63), .VAR8 (VAR8), .VAR52 (VAR52), .VAR27 (VAR27), .VAR42 (VAR42), .VAR74 (VAR74), .VAR6 (VAR6), .VAR1 (VAR1), .VAR5 (VAR5), .VAR17 (VAR17), .VAR28 (VAR28), .VAR20 (VAR20), .VAR62 (VAR62) );
endmodule | mit |
Tommydag/CAN-Bus-Controller | Main.v | 1,258 | module MODULE1(
output VAR11,
input VAR16,
input VAR8,
input VAR4,
input VAR13,
input[7:0] VAR3
);
wire[63:0] VAR10;
wire VAR7;
assign VAR10 = {8{VAR3}};
parameter address = 11'h25, VAR12 = 1'b1;
VAR9 VAR5(VAR4,clk);
VAR6 VAR14(clk,VAR8,VAR15);
VAR1 VAR2(VAR11,VAR7,VAR16,VAR12,address,clk,VAR15,VAR8,VAR10,VAR13);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/bufinv/sky130_fd_sc_hdll__bufinv.pp.symbol.v | 1,280 | module MODULE1 (
input VAR4 ,
output VAR5 ,
input VAR6 ,
input VAR2,
input VAR3,
input VAR1
);
endmodule | apache-2.0 |
scalable-networks/ext | uhd/fpga/usrp2/vrt/vita_tx_chain.v | 7,370 | module MODULE1
parameter VAR103=10,
parameter VAR20=10,
parameter VAR25=0,
parameter VAR105=0,
parameter VAR3=0,
parameter VAR128=0,
parameter VAR41=0)
(input clk, input reset,
input VAR62, input [7:0] VAR2, input [31:0] VAR122,
input VAR54, input [7:0] VAR96, input [31:0] VAR31,
input [63:0] VAR121,
input [35:0] VAR19, input VAR14, output VAR91,
output [35:0] VAR57, output VAR59, input VAR107,
output [31:0] VAR45, input VAR118,
output VAR47, output VAR119, output VAR24,
output [31:0] VAR90);
localparam VAR117 = 1;
localparam VAR72 = 5+64+16+(32*VAR117);
wire [VAR72-1:0] VAR49;
wire VAR38, VAR66;
wire [31:0] VAR80, VAR64;
wire VAR44, VAR77;
wire [31:0] VAR30, VAR95, VAR123;
wire VAR115, VAR69, ack;
wire [31:0] VAR1;
wire VAR99;
wire [31:0] VAR85;
wire VAR110, VAR89;
assign VAR24 = VAR110;
assign VAR47 = VAR115;
assign VAR64 = VAR1;
VAR40 #(.VAR74(VAR127+0), .VAR28(1)) VAR4
(.clk(clk),.rst(reset),.VAR118(VAR62),.addr(VAR2),
.in(VAR122),.out(VAR89),.VAR16(VAR110));
VAR40 #(.VAR74(VAR127+2), .VAR68(0)) VAR84
(.clk(clk),.rst(reset),.VAR118(VAR62),.addr(VAR2),
.in(VAR122),.out(VAR80),.VAR16(VAR99));
wire VAR26, VAR124;
wire [35:0] VAR52;
VAR109 VAR111
(.clk(clk), .reset(reset), .VAR110(VAR110 & VAR89), .VAR98(VAR89),
.VAR23(VAR19), .VAR65(VAR14), .VAR58(VAR91),
.VAR8(VAR52), .VAR33(VAR124), .VAR48(VAR26));
wire [35:0] VAR120;
wire VAR12, VAR42;
generate
if (VAR103==0) begin
assign VAR120 = VAR52;
assign VAR12 = VAR124;
assign VAR26 = VAR42;
end
else begin
wire [VAR103-1:0] VAR94, VAR92;
wire VAR27, VAR10, VAR17, VAR39, VAR34;
wire [35:0] VAR79, VAR82;
wire [35:0] VAR36;
wire VAR73, VAR32;
VAR43 #(.VAR88(VAR103)) VAR9
(.clk(clk),.reset(reset),.VAR110(VAR110),
.VAR27(VAR27), .VAR10(VAR10), .VAR17(VAR17), .VAR39(VAR39),
.VAR34(VAR34), .VAR94(VAR94), .VAR92(VAR92),
.VAR18(VAR79), .VAR97(VAR82),
.VAR23(VAR52), .VAR65(VAR124), .VAR58(VAR26),
.VAR8(VAR36), .VAR33(VAR73), .VAR48(VAR32));
VAR55 #(.VAR56(VAR41), .VAR7(VAR127+1), .VAR88(VAR103), .VAR71(VAR128)) VAR53
(.VAR75(clk),.reset(reset),.VAR110(VAR110),
.VAR6(VAR62), .VAR50(VAR2), .VAR86(VAR122),
.VAR54(VAR54), .VAR96(VAR96), .VAR31(VAR31),
.VAR27(VAR27), .VAR10(VAR10), .VAR17(VAR17), .VAR39(VAR39),
.VAR34(VAR34), .VAR94(VAR94), .VAR92(VAR92),
.VAR18(VAR82), .VAR97(VAR79));
VAR106 #(.VAR46(36), .VAR63(VAR20)) VAR60(
.clk(clk), .reset(reset), .VAR110(VAR110),
.VAR67(VAR36), .VAR65(VAR73), .VAR58(VAR32),
.VAR100(VAR120), .VAR33(VAR12), .VAR48(VAR42));
end
endgenerate
VAR51 #(.VAR127(VAR127),
.VAR117(VAR117),
.VAR128(VAR128))
VAR51
(.clk(clk), .reset(reset), .VAR110(VAR110), .VAR99(VAR99),
.VAR62(VAR62),.VAR2(VAR2),.VAR122(VAR122),
.VAR23(VAR120), .VAR65(VAR12), .VAR58(VAR42),
.VAR29(VAR49), .VAR5(VAR38), .VAR13(VAR66),
.VAR85(VAR85),
.VAR90(VAR95) );
VAR70 #(.VAR127(VAR127), .VAR46(32*VAR117)) VAR70
(.clk(clk), .reset(reset), .VAR110(VAR110),
.VAR62(VAR62),.VAR2(VAR2),.VAR122(VAR122),
.VAR121(VAR121), .VAR115(VAR115), .ack(ack), .VAR1(VAR1),
.VAR22(VAR49), .VAR81(VAR38), .VAR61(VAR66),
.VAR45(VAR45), .VAR119(VAR119), .VAR118(VAR118), .VAR69(VAR69),
.VAR90(VAR30) );
wire [35:0] VAR35, VAR78;
wire VAR113, VAR15, VAR116, VAR108;
VAR126 #(.VAR3(VAR3),.VAR41(VAR41)) VAR114
(.clk(clk), .reset(reset), .VAR110(VAR110),
.VAR44(VAR44 & (VAR105==1)), .VAR77(),
.VAR80(VAR80), .VAR121(VAR121), .VAR64(32'd0),
.VAR101(VAR85),
.VAR8(VAR35), .VAR33(VAR113), .VAR48(VAR15));
VAR112 #(.VAR127(VAR127)) VAR112
(.clk(clk), .reset(reset), .VAR110(VAR110),
.VAR62(VAR62),.VAR2(VAR2),.VAR122(VAR122),
.VAR69(VAR69), .VAR44(VAR44));
VAR126 #(.VAR3(VAR3),.VAR41(VAR41)) VAR37
(.clk(clk), .reset(reset), .VAR110(VAR110),
.VAR44((VAR115|ack) & (VAR25==1)), .VAR77(),
.VAR80(VAR80), .VAR121(VAR121), .VAR64(VAR64),
.VAR101(VAR85),
.VAR8(VAR78), .VAR33(VAR116), .VAR48(VAR108));
assign VAR90 = VAR30 | VAR95;
VAR11 #(.VAR76(1)) VAR87 (.clk(clk), .reset(reset), .VAR110(0), .VAR104(VAR78), .VAR83(VAR116), .VAR125(VAR108),
.VAR21(VAR35), .VAR102(VAR113), .VAR93(VAR15),
.VAR8(VAR57), .VAR33(VAR59), .VAR48(VAR107));
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/ha/sky130_fd_sc_ms__ha_2.v | 2,184 | module MODULE1 (
VAR9,
VAR1 ,
VAR7 ,
VAR8 ,
VAR10,
VAR2,
VAR6 ,
VAR3
);
output VAR9;
output VAR1 ;
input VAR7 ;
input VAR8 ;
input VAR10;
input VAR2;
input VAR6 ;
input VAR3 ;
VAR4 VAR5 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule
module MODULE1 (
VAR9,
VAR1 ,
VAR7 ,
VAR8
);
output VAR9;
output VAR1 ;
input VAR7 ;
input VAR8 ;
supply1 VAR10;
supply0 VAR2;
supply1 VAR6 ;
supply0 VAR3 ;
VAR4 VAR5 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule | apache-2.0 |
alexforencich/xfcp | lib/eth/lib/axis/rtl/axis_frame_len.v | 3,499 | module MODULE1 #
(
parameter VAR13 = 64,
parameter VAR6 = (VAR13>8),
parameter VAR18 = (VAR13/8),
parameter VAR5 = 16
)
(
input wire clk,
input wire rst,
input wire [VAR18-1:0] VAR1,
input wire VAR7,
input wire VAR17,
input wire VAR10,
output wire [VAR5-1:0] VAR3,
output wire VAR15
);
reg [VAR5-1:0] VAR8 = 0, VAR14;
reg VAR11 = 1'b0, VAR16;
reg VAR9 = 1'b0, VAR12;
assign VAR3 = VAR8;
assign VAR15 = VAR11;
integer VAR19, VAR2, VAR4;
always @* begin
VAR14 = VAR8;
VAR16 = 1'b0;
VAR12 = VAR9;
if (VAR17 && VAR7) begin
if (VAR10) begin
VAR16 = 1'b1;
VAR12 = 1'b0;
end else if (!VAR9) begin
VAR14 = 0;
VAR12 = 1'b1;
end
if (VAR6) begin
VAR4 = 0;
for (VAR2 = 0; VAR2 <= VAR18; VAR2 = VAR2 + 1) begin
if (VAR1 == ({VAR18{1'b1}}) >> (VAR18-VAR2)) VAR4 = VAR2;
end
VAR14 = VAR14 + VAR4;
end else begin
VAR14 = VAR14 + 1;
end
end
end
always @(posedge clk) begin
if (rst) begin
VAR8 <= 0;
VAR11 <= 0;
VAR9 <= 1'b0;
end else begin
VAR8 <= VAR14;
VAR11 <= VAR16;
VAR9 <= VAR12;
end
end
endmodule | mit |
SiLab-Bonn/basil | basil/firmware/modules/utils/clock_divider.v | 2,349 | module MODULE1 #(
parameter VAR7 = 40000000
) (
input wire VAR3,
input wire VAR5,
output reg VAR8, output reg VAR2 );
integer VAR6;
VAR1 VAR6 = 0;
integer VAR4;
VAR1 VAR4 = 0;
VAR1 VAR2 = 1'b0;
VAR1 VAR8 = 1'b0;
always @(posedge VAR3 or posedge VAR5)
begin
if (VAR5 == 1'b1)
begin
VAR8 <= 1'b0;
end
else
begin
if (VAR6 == 0)
begin
VAR8 <= 1'b1;
end
else
begin
VAR8 <= 1'b0;
end
end
end
always @(posedge VAR3 or posedge VAR5)
begin
if (VAR5 == 1'b1)
begin
VAR6 <= 0;
end
else
begin
if (VAR6 == (VAR7 - 1))
VAR6 <= 0;
end
else
VAR6 <= VAR6 + 1;
end
end
always @(posedge VAR3 or posedge VAR5)
begin
if (VAR5 == 1'b1)
begin
VAR2 <= 1'b0;
end
else
begin
if (VAR4 == 0)
begin
VAR2 <= ~VAR2;
end
else
begin
VAR2 <= VAR2;
end
end
end
always @(posedge VAR3 or posedge VAR5)
begin
if (VAR5 == 1'b1)
begin
VAR4 <= 0;
end
else
begin
if (VAR4 == ((VAR7 >> 1) - 1)) VAR4 <= 0;
end
else
VAR4 <= VAR4 + 1;
end
end
endmodule | bsd-3-clause |
EliasVansteenkiste/ConnectionRouter | vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_066.v | 1,564 | module MODULE1 (
VAR6,
VAR10
);
input [31:0] VAR6;
output [31:0]
VAR10;
wire [31:0]
VAR13,
VAR9,
VAR5,
VAR2,
VAR8,
VAR15,
VAR4,
VAR14,
VAR12,
VAR1;
assign VAR13 = VAR6;
assign VAR8 = VAR5 - VAR2;
assign VAR5 = VAR9 - VAR13;
assign VAR9 = VAR13 << 10;
assign VAR4 = VAR5 + VAR15;
assign VAR2 = VAR13 << 1;
assign VAR1 = VAR12 << 3;
assign VAR12 = VAR14 - VAR4;
assign VAR15 = VAR13 << 5;
assign VAR14 = VAR8 << 2;
assign VAR10 = VAR1;
endmodule
module MODULE2(
VAR6,
VAR10,
clk
);
input [31:0] VAR6;
output [31:0] VAR10;
reg [31:0] VAR10;
input clk;
reg [31:0] VAR7;
wire [30:0] VAR3;
always @(posedge clk) begin
VAR7 <= VAR6;
VAR10 <= VAR3;
end
MODULE1 MODULE1(
.VAR6(VAR7),
.VAR10(VAR3)
);
endmodule | mit |
ShepardSiegel/ocpi | coregen/pcie_4243_axi_k7_x4_125/source/pcie_7x_v1_3_pcie_pipe_misc.v | 8,089 | module MODULE1 #
(
parameter VAR16 = 0 )
(
input wire VAR25 , input wire VAR7 , input wire VAR15 , input wire VAR26 , input wire [2:0] VAR29 , input wire VAR1 ,
output wire VAR10 , output wire VAR9 , output wire VAR17 , output wire VAR27 , output wire [2:0] VAR14 , output wire VAR20 ,
input wire VAR2 , input wire VAR30 );
parameter VAR8 = 1;
reg VAR3 ;
reg VAR19 ;
reg VAR18 ;
reg VAR28 ;
reg [2:0] VAR5 ;
reg VAR31 ;
reg VAR4 ;
reg VAR11 ;
reg VAR24 ;
reg VAR13 ;
reg [2:0] VAR6 ;
reg VAR22 ;
generate
if (VAR16 == 0) begin : VAR23
assign VAR10 = VAR25;
assign VAR9 = VAR7;
assign VAR17 = VAR15;
assign VAR27 = VAR26;
assign VAR14 = VAR29;
assign VAR20 = VAR1;
end else if (VAR16 == 1) begin : VAR21
always @(posedge VAR2) begin
if (VAR30)
begin
end
else
begin
end
end
assign VAR10 = VAR3;
assign VAR9 = VAR19;
assign VAR17 = VAR18;
assign VAR27 = VAR28;
assign VAR14 = VAR5;
assign VAR20 = VAR31;
end else if (VAR16 == 2) begin : VAR12
always @(posedge VAR2) begin
if (VAR30)
begin
end
else
begin
end
end
assign VAR10 = VAR4;
assign VAR9 = VAR11;
assign VAR17 = VAR24;
assign VAR27 = VAR13;
assign VAR14 = VAR6;
assign VAR20 = VAR22;
end
endgenerate
endmodule | lgpl-3.0 |
brysonli12/CS152A-Lab4-TicTacToe | AI/Lookup.v | 9,235 | module MODULE3 (
input [8:0] VAR5,
input [8:0] VAR18,
output wire [8:0] VAR3
);
wire [8:0] VAR7, VAR4, VAR17, VAR1, VAR12;
MODULE2 MODULE1 (VAR5, VAR18, VAR7);
MODULE2 MODULE5 ({VAR5[6], VAR5[3], VAR5[0], VAR5[7], VAR5[4], VAR5[1], VAR5[8], VAR5[5], VAR5[2]},
{VAR18[6], VAR18[3], VAR18[0], VAR18[7], VAR18[4], VAR18[1], VAR18[8], VAR18[5], VAR18[2]},
{VAR4[6], VAR4[3], VAR4[0], VAR4[7], VAR4[4], VAR4[1], VAR4[8], VAR4[5], VAR4[2]});
MODULE2 MODULE3 ({VAR5[2], VAR5[5], VAR5[8], VAR5[1], VAR5[4], VAR5[7], VAR5[0], VAR5[3], VAR5[6]},
{VAR18[2], VAR18[5], VAR18[8], VAR18[1], VAR18[4], VAR18[7], VAR18[0], VAR18[3], VAR18[6]},
{VAR17[2], VAR17[5], VAR17[8], VAR17[1], VAR17[4], VAR17[7], VAR17[0], VAR17[3], VAR17[6]});
MODULE2 MODULE4 ({VAR5[0], VAR5[1], VAR5[2], VAR5[3], VAR5[4], VAR5[5], VAR5[6], VAR5[7], VAR5[8]},
{VAR18[0], VAR18[1], VAR18[2], VAR18[3], VAR18[4], VAR18[5], VAR18[6], VAR18[7], VAR18[8]},
{VAR1[0], VAR1[1], VAR1[2], VAR1[3], VAR1[4], VAR1[5], VAR1[6], VAR1[7], VAR1[8]});
VAR11 VAR19 (~(VAR5 | VAR18), VAR12);
MODULE1 MODULE2(VAR7, VAR4, VAR17, VAR1, VAR12, VAR3);
endmodule
module MODULE2 (
input [8:0] VAR5,
input [8:0] VAR18,
output wire [8:0] VAR3
);
reg [8:0] VAR15;
wire [8:0] VAR12;
always @(*)
begin
case(VAR5)
9'b000000000: VAR15 = 9'b100000000; 9'b100000000: case(VAR18)
9'b000000001: VAR15 = 9'b001000000;
9'b000000010: VAR15 = 9'b001000000;
9'b000000100: VAR15 = 9'b010000000;
9'b000001000: VAR15 = 9'b000010000;
9'b000010000: VAR15 = 9'b010000000;
9'b000100000: VAR15 = 9'b010000000;
9'b001000000: VAR15 = 9'b000100000;
9'b010000000: VAR15 = 9'b000100000;
default: VAR15 = 9'b000000000;
endcase
9'b101000000:
case (VAR18)
9'b000000011: VAR15 = 9'b010000000; 9'b000000101: VAR15 = 9'b010000000;
9'b000001001: VAR15 = 9'b010000000;
9'b000010001: VAR15 = 9'b010000000;
9'b000100001: VAR15 = 9'b010000000;
9'b010000001: VAR15 = 9'b000000100; 9'b000000110: VAR15 = 9'b010000000; 9'b000001010: VAR15 = 9'b010000000;
9'b000010010: VAR15 = 9'b010000000;
9'b000100010: VAR15 = 9'b010000000;
9'b010000010: VAR15 = 9'b000010000; default: VAR15 = 9'b000000000;
endcase
9'b110000000:
case (VAR18)
9'b000000011: VAR15 = 9'b001000000; 9'b000000110: VAR15 = 9'b001000000;
9'b000001010: VAR15 = 9'b001000000;
9'b000010010: VAR15 = 9'b001000000;
9'b000100010: VAR15 = 9'b001000000;
9'b001000010: VAR15 = 9'b000010000; 9'b000010001: VAR15 = 9'b001000000; 9'b000010100: VAR15 = 9'b001000000;
9'b000011000: VAR15 = 9'b001000000;
9'b000110000: VAR15 = 9'b001000000;
9'b001010000: VAR15 = 9'b000000100; 9'b000100001: VAR15 = 9'b001000000; 9'b000100100: VAR15 = 9'b001000000;
9'b000101000: VAR15 = 9'b001000000;
9'b001100000: VAR15 = 9'b000010000; default: VAR15 = 9'b000000000;
endcase
9'b100010000:
case (VAR18)
9'b000001001: VAR15 = 9'b001000000; 9'b000001010: VAR15 = 9'b000000001; 9'b000001100: VAR15 = 9'b000000001;
9'b000101000: VAR15 = 9'b000000001;
9'b001001000: VAR15 = 9'b000000001;
9'b010001000: VAR15 = 9'b000000001;
default: VAR15 = 9'b000000000;
endcase
9'b100100000:
case (VAR18)
9'b001000001: VAR15 = 9'b000000100; 9'b001000010: VAR15 = 9'b000000100;
9'b001000100: VAR15 = 9'b000010000; 9'b001001000: VAR15 = 9'b000000100;
9'b001010000: VAR15 = 9'b000000100;
9'b011000000: VAR15 = 9'b000000100;
9'b010000001: VAR15 = 9'b000000100; 9'b010000010: VAR15 = 9'b000000100;
9'b010000100: VAR15 = 9'b000010000; 9'b010001000: VAR15 = 9'b000000100;
9'b010010000: VAR15 = 9'b000000100;
default: VAR15 = 9'b000000000;
endcase
9'b100110000:
case (VAR18)
9'b011000100: VAR15 = 9'b000001000; 9'b010001100: VAR15 = 9'b000000001;
9'b010000110: VAR15 = 9'b000001000;
9'b010000101: VAR15 = 9'b000001000;
9'b001001100: VAR15 = 9'b000000001; 9'b001000110: VAR15 = 9'b000001000;
9'b001000101: VAR15 = 9'b000001000;
default: VAR15 = 9'b000000000;
endcase
9'b110010000:
case (VAR18)
9'b001101000: VAR15 = 9'b000000010; 9'b001100100: VAR15 = 9'b000000010;
9'b001100010: VAR15 = 9'b000000001;
9'b001100001: VAR15 = 9'b000000010; 9'b001001100: VAR15 = 9'b000000010;
9'b001000110: VAR15 = 9'b000000001;
9'b001000101: VAR15 = 9'b000000010;
default: VAR15 = 9'b000000000;
endcase
9'b110000100:
case (VAR18)
9'b001110000: VAR15 = 9'b000001000; 9'b001011000: VAR15 = 9'b000100000;
9'b001010010: VAR15 = 9'b000100000;
9'b001010001: VAR15 = 9'b000100000;
default: VAR15 = 9'b000000000;
endcase
9'b101010000:
case (VAR18)
9'b010001001: VAR15 = 9'b000000100; 9'b000101001: VAR15 = 9'b010000000;
9'b000001101: VAR15 = 9'b010000000;
9'b000001011: VAR15 = 9'b010000000;
9'b010100010: VAR15 = 9'b000000100; 9'b010001010: VAR15 = 9'b000000100;
9'b010000110: VAR15 = 9'b000000001;
9'b010000011: VAR15 = 9'b000000100;
default: VAR15 = 9'b000000000;
endcase
9'b101000100:
case (VAR18)
9'b010100001: VAR15 = 9'b000010000; 9'b010010001: VAR15 = 9'b000100000;
9'b010001001: VAR15 = 9'b000100000;
9'b010000011: VAR15 = 9'b000100000;
default: VAR15 = 9'b000000000;
endcase
9'b110001100:
case (VAR18)
9'b001110010: VAR15 = 9'b000000001;
9'b001110001: VAR15 = 9'b000000010;
endcase
default: VAR15 = 9'b000000000;
endcase
end
assign VAR3 = VAR15;
endmodule
module MODULE1(
input [8:0] VAR9,
input [8:0] VAR24,
input [8:0] VAR6,
input [8:0] VAR2,
input [8:0] VAR16,
output wire [8:0] out);
wire [44:0] VAR22;
VAR8 #(45) VAR23({VAR9, VAR24, VAR6, VAR2, VAR16}, VAR22);
assign out = VAR22[44:36] | VAR22[35:27] | VAR22[26:18] | VAR22[17:9] | VAR22[8:0];
endmodule | mit |
migajv/mips_pipeline | verilog/im.v | 2,082 | module MODULE1(
input wire clk,
input wire rst,
input wire [31:0] addr,
input wire [31:0] VAR9,
input wire [31:0] VAR13,
input wire VAR12,
input wire VAR3,
input VAR1,
input VAR4,
output logic [31:0] VAR6,
output logic [31:0] VAR2,
output logic VAR10
);
parameter VAR5 = 128; parameter VAR14 = "VAR13.VAR7";
reg [31:0] VAR11 [0:127]; integer VAR8;
begin | gpl-3.0 |
bluespec/Flute | builds/AWSteria_Core_Flute_RV64_Linux/Verilog_RTL_PLATFORM_VCU118/mkBoot_ROM.v | 54,584 | module MODULE1(VAR3,
VAR163,
VAR172,
VAR69,
VAR151,
VAR125,
VAR72,
VAR8,
VAR83,
VAR178,
VAR109,
VAR105,
VAR17,
VAR91,
VAR60,
VAR57,
VAR33,
VAR94,
VAR98,
VAR117,
VAR104,
VAR25,
VAR175,
VAR124,
VAR152,
VAR7,
VAR1,
VAR119,
VAR123,
VAR77,
VAR106,
VAR68,
VAR5,
VAR171,
VAR150,
VAR120,
VAR81,
VAR108,
VAR28,
VAR159,
VAR73,
VAR66,
VAR149,
VAR180,
VAR71);
input VAR3;
input VAR163;
input [63 : 0] VAR172;
input [63 : 0] VAR69;
input VAR151;
output VAR125;
input VAR72;
input [15 : 0] VAR8;
input [63 : 0] VAR83;
input [7 : 0] VAR178;
input [2 : 0] VAR109;
input [1 : 0] VAR105;
input VAR17;
input [3 : 0] VAR91;
input [2 : 0] VAR60;
input [3 : 0] VAR57;
input [3 : 0] VAR33;
output VAR94;
input VAR98;
input [63 : 0] VAR117;
input [7 : 0] VAR104;
input VAR25;
output VAR175;
output VAR124;
output [15 : 0] VAR152;
output [1 : 0] VAR7;
input VAR1;
input VAR119;
input [15 : 0] VAR123;
input [63 : 0] VAR77;
input [7 : 0] VAR106;
input [2 : 0] VAR68;
input [1 : 0] VAR5;
input VAR171;
input [3 : 0] VAR150;
input [2 : 0] VAR120;
input [3 : 0] VAR81;
input [3 : 0] VAR108;
output VAR28;
output VAR159;
output [15 : 0] VAR73;
output [63 : 0] VAR66;
output [1 : 0] VAR149;
output VAR180;
input VAR71;
wire [63 : 0] VAR66;
wire [15 : 0] VAR152, VAR73;
wire [1 : 0] VAR7, VAR149;
wire VAR125,
VAR28,
VAR94,
VAR124,
VAR180,
VAR159,
VAR175;
reg [63 : 0] VAR84;
wire [63 : 0] VAR75;
wire VAR148;
reg [63 : 0] VAR146;
wire [63 : 0] VAR31;
wire VAR47;
reg VAR11;
wire VAR142, VAR103;
wire [108 : 0] VAR22, VAR40;
wire VAR128,
VAR80,
VAR127,
VAR70,
VAR92;
wire [82 : 0] VAR154, VAR10;
wire VAR140,
VAR58,
VAR82,
VAR167,
VAR158;
wire [108 : 0] VAR173, VAR131;
wire VAR161,
VAR54,
VAR65,
VAR147,
VAR79;
wire [72 : 0] VAR76;
wire VAR138,
VAR99,
VAR137,
VAR14,
VAR122;
wire [17 : 0] VAR18, VAR4;
wire VAR176,
VAR20,
VAR48,
VAR100,
VAR153;
wire VAR141,
VAR174,
VAR13,
VAR56,
VAR26,
VAR121,
VAR111,
VAR23,
VAR169,
VAR27,
VAR78,
VAR102,
VAR35,
VAR12,
VAR160,
VAR87;
reg [31 : 0] VAR61;
reg [31 : 0] VAR37;
reg [31 : 0] VAR95;
reg [31 : 0] VAR135;
reg [31 : 0] VAR46;
reg [31 : 0] VAR16;
reg [31 : 0] VAR86;
reg [31 : 0] VAR133;
reg [63 : 0] VAR67;
reg [31 : 0] VAR52,
VAR89;
reg VAR34,
VAR179;
wire [63 : 0] VAR29,
VAR30;
wire [1 : 0] VAR36;
wire VAR62,
VAR107;
assign VAR125 = 1'd1 ;
assign VAR13 = 1'd1 ;
assign VAR78 = VAR151 ;
assign VAR26 = 1'd1 ;
assign VAR35 = 1'd1 ;
assign VAR94 = VAR79 ;
assign VAR23 = 1'd1 ;
assign VAR87 = 1'd1 ;
assign VAR175 = VAR122 ;
assign VAR124 = VAR48 ;
assign VAR152 = VAR4[17:2] ;
assign VAR7 = VAR4[1:0] ;
assign VAR121 = 1'd1 ;
assign VAR12 = 1'd1 ;
assign VAR56 = 1'd1 ;
assign VAR102 = 1'd1 ;
assign VAR28 = VAR92 ;
assign VAR159 = VAR82 ;
assign VAR73 = VAR10[82:67] ;
assign VAR66 = VAR10[66:3] ;
assign VAR149 = VAR10[2:1] ;
assign VAR180 = VAR10[0] ;
assign VAR111 = 1'd1 ;
assign VAR160 = 1'd1 ;
VAR139 #(.VAR74(32'd109), .VAR156(1'd1)) VAR113(.VAR126(VAR163),
.VAR3(VAR3),
.VAR165(VAR22),
.VAR19(VAR70),
.VAR145(VAR80),
.VAR2(VAR128),
.VAR97(VAR40),
.VAR51(VAR92),
.VAR177(VAR127));
VAR139 #(.VAR74(32'd83), .VAR156(1'd1)) VAR24(.VAR126(VAR163),
.VAR3(VAR3),
.VAR165(VAR154),
.VAR19(VAR167),
.VAR145(VAR58),
.VAR2(VAR140),
.VAR97(VAR10),
.VAR51(VAR158),
.VAR177(VAR82));
VAR139 #(.VAR74(32'd109), .VAR156(1'd1)) VAR41(.VAR126(VAR163),
.VAR3(VAR3),
.VAR165(VAR173),
.VAR19(VAR147),
.VAR145(VAR54),
.VAR2(VAR161),
.VAR97(VAR131),
.VAR51(VAR79),
.VAR177(VAR65));
VAR139 #(.VAR74(32'd73), .VAR156(1'd1)) VAR43(.VAR126(VAR163),
.VAR3(VAR3),
.VAR165(VAR76),
.VAR19(VAR14),
.VAR145(VAR99),
.VAR2(VAR138),
.VAR97(),
.VAR51(VAR122),
.VAR177(VAR137));
VAR139 #(.VAR74(32'd18), .VAR156(1'd1)) VAR39(.VAR126(VAR163),
.VAR3(VAR3),
.VAR165(VAR18),
.VAR19(VAR100),
.VAR145(VAR20),
.VAR2(VAR176),
.VAR97(VAR4),
.VAR51(VAR153),
.VAR177(VAR48));
assign VAR141 =
VAR127 &&
VAR158 &&
VAR11 ;
assign VAR169 = VAR141 ;
assign VAR174 =
VAR65 &&
VAR137 &&
VAR153 &&
VAR11 ;
assign VAR27 = VAR174 ;
assign VAR75 = VAR172 ;
assign VAR148 = VAR151 ;
assign VAR31 = VAR69 ;
assign VAR47 = VAR151 ;
assign VAR142 = 1'd1 ;
assign VAR103 = VAR151 ;
assign VAR22 =
{ VAR123,
VAR77,
VAR106,
VAR68,
VAR5,
VAR171,
VAR150,
VAR120,
VAR81,
VAR108 } ;
assign VAR70 =
VAR119 && VAR92 ;
assign VAR80 = VAR141 ;
assign VAR128 = 1'b0 ;
assign VAR154 =
{ VAR40[108:93],
VAR29,
VAR36,
1'd1 } ;
assign VAR167 = VAR141 ;
assign VAR58 =
VAR71 && VAR82 ;
assign VAR140 = 1'b0 ;
assign VAR173 =
{ VAR8,
VAR83,
VAR178,
VAR109,
VAR105,
VAR17,
VAR91,
VAR60,
VAR57,
VAR33 } ;
assign VAR147 =
VAR72 && VAR79 ;
assign VAR54 = VAR174 ;
assign VAR161 = 1'b0 ;
assign VAR76 =
{ VAR117, VAR104, VAR25 } ;
assign VAR14 =
VAR98 && VAR122 ;
assign VAR99 = VAR174 ;
assign VAR138 = 1'b0 ;
assign VAR18 =
{ VAR131[108:93],
VAR107 ?
2'b10 :
2'b0 } ;
assign VAR100 = VAR174 ;
assign VAR20 =
VAR1 && VAR48 ;
assign VAR176 = 1'b0 ;
assign VAR62 =
VAR40[20:18] != 3'b0 &&
VAR34 ||
VAR84 > VAR40[92:29] ||
VAR40[92:29] >= VAR146 ;
assign VAR107 =
VAR131[20:18] != 3'b0 &&
VAR179 ||
VAR84 > VAR131[92:29] ||
VAR131[92:29] >= VAR146 ;
assign VAR29 =
VAR62 ?
64'd0 :
VAR67 ;
assign VAR36 =
VAR62 ?
2'b10 :
2'b0 ;
assign VAR30 =
VAR40[92:29] - VAR84 ;
always@(VAR30)
begin
case (VAR30[63:3])
61'd2,
61'd3,
61'd7,
61'd9,
61'd10,
61'd11,
61'd31,
61'd37,
61'd41,
61'd51,
61'd65,
61'd68,
61'd85,
61'd98,
61'd109,
61'd115,
61'd138:
VAR52 = 32'h0;
61'd4:
VAR52 =
32'h27070000;
61'd5:
VAR52 =
32'h10060000;
61'd6:
VAR52 =
32'h11000000;
61'd8:
VAR52 =
32'hD8050000;
61'd12,
61'd14,
61'd38,
61'd40,
61'd42,
61'd66,
61'd73,
61'd110,
61'd125,
61'd127,
61'd129,
61'd134,
61'd144,
61'd146,
61'd181:
VAR52 =
32'h04000000;
61'd13,
61'd15,
61'd36,
61'd39,
61'd62,
61'd67,
61'd74,
61'd76,
61'd83,
61'd100,
61'd101,
61'd105,
61'd111,
61'd131:
VAR52 =
32'h01000000;
61'd16, 61'd99, 61'd128:
VAR52 =
32'h10000000;
61'd17, 61'd18:
VAR52 =
32'h6E6B6E75;
61'd19,
61'd24,
61'd45,
61'd47,
61'd49,
61'd54,
61'd57,
61'd60,
61'd69,
61'd80,
61'd84,
61'd86,
61'd88,
61'd91,
61'd95,
61'd102,
61'd116,
61'd122,
61'd141,
61'd152,
61'd155,
61'd164,
61'd167,
61'd169,
61'd176,
61'd179,
61'd188,
61'd191:
VAR52 =
32'h03000000;
61'd20:
VAR52 =
32'h26000000;
61'd21:
VAR52 =
32'h2C6E776F;
61'd22:
VAR52 =
32'h006E776F;
61'd23:
VAR52 =
32'h736F6863;
61'd25:
VAR52 =
32'h2C000000;
61'd26:
VAR52 =
32'h69727079;
61'd27:
VAR52 =
32'h736E6F63;
61'd28:
VAR52 =
32'h53797474;
61'd29:
VAR52 =
32'h30303235;
61'd30:
VAR52 =
32'h6576656C;
61'd32:
VAR52 =
32'h13000000;
61'd33:
VAR52 =
32'h636F732F;
61'd34:
VAR52 =
32'h32364074;
61'd35:
VAR52 =
32'h00003030;
61'd43, 61'd145:
VAR52 =
32'h40597307;
61'd44:
VAR52 =
32'h40757063;
61'd46:
VAR52 =
32'h54000000;
61'd48, 61'd81, 61'd103, 61'd142:
VAR52 =
32'h60000000;
61'd50:
VAR52 =
32'h64000000;
61'd52:
VAR52 =
32'h06000000;
61'd53, 61'd113, 61'd228:
VAR52 =
32'h63736972;
61'd55:
VAR52 =
32'h6B000000;
61'd56:
VAR52 =
32'h66616D69;
61'd58:
VAR52 =
32'h75000000;
61'd59:
VAR52 =
32'h76732C76;
61'd61:
VAR52 =
32'h7E000000;
61'd63, 61'd106:
VAR52 =
32'h70757272;
61'd64, 61'd107:
VAR52 =
32'h6F72746E;
61'd70, 61'd89, 61'd96, 61'd153, 61'd165, 61'd177, 61'd189:
VAR52 =
32'h1B000000;
61'd71:
VAR52 =
32'h70632C76;
61'd72:
VAR52 =
32'h00006374;
61'd75,
61'd130,
61'd140,
61'd147,
61'd148,
61'd157,
61'd160,
61'd172,
61'd184,
61'd196:
VAR52 =
32'h02000000;
61'd77:
VAR52 =
32'h00007972;
61'd78, 61'd126:
VAR52 =
32'h07000000;
61'd79:
VAR52 =
32'h6F6D656D;
61'd82, 61'd159:
VAR52 =
32'h00000040;
61'd87:
VAR52 =
32'h0F000000;
61'd90:
VAR52 =
32'h622D656C;
61'd92:
VAR52 =
32'hBC000000;
61'd93:
VAR52 =
32'h6E696C63;
61'd94, 61'd151:
VAR52 =
32'h30303030;
61'd97:
VAR52 =
32'h6C632C76;
61'd104:
VAR52 =
32'h00000100;
61'd108:
VAR52 =
32'h30306340;
61'd112:
VAR52 =
32'h0C000000;
61'd114:
VAR52 =
32'h00306369;
61'd117, 61'd156, 61'd168, 61'd180, 61'd192:
VAR52 =
32'hC3000000;
61'd118:
VAR52 =
32'h0B000000;
61'd119, 61'd136, 61'd197:
VAR52 =
32'h09000000;
61'd120, 61'd139, 61'd158, 61'd170, 61'd182, 61'd194:
VAR52 =
32'h08000000;
61'd121:
VAR52 =
32'h0000000C;
61'd123:
VAR52 =
32'hD7000000;
61'd124:
VAR52 =
32'h006C6F72;
61'd132:
VAR52 =
32'h33323640;
61'd133:
VAR52 =
32'h00000030;
61'd135:
VAR52 =
32'h00C20100;
61'd137:
VAR52 =
32'h3631736E;
61'd143:
VAR52 =
32'h00100000;
61'd149, 61'd161, 61'd173, 61'd185:
VAR52 =
32'h74726976;
61'd150, 61'd162, 61'd174, 61'd186:
VAR52 =
32'h406F696D;
61'd154, 61'd166, 61'd178, 61'd190:
VAR52 =
32'h6D2C6F69;
61'd163:
VAR52 =
32'h30303031;
61'd171:
VAR52 =
32'h00100040;
61'd175:
VAR52 =
32'h30303032;
61'd183:
VAR52 =
32'h00200040;
61'd187:
VAR52 =
32'h30303033;
61'd193:
VAR52 =
32'h05000000;
61'd195:
VAR52 =
32'h00300040;
61'd198:
VAR52 =
32'h73736572;
61'd199:
VAR52 =
32'h2300736C;
61'd200:
VAR52 =
32'h6C65632D;
61'd201:
VAR52 =
32'h61706D6F;
61'd202:
VAR52 =
32'h6F6D0065;
61'd203:
VAR52 =
32'h746F6F62;
61'd204:
VAR52 =
32'h64747300;
61'd205:
VAR52 =
32'h68746170;
61'd206:
VAR52 =
32'h73616265;
61'd207:
VAR52 =
32'h65757165;
61'd208:
VAR52 =
32'h69766564;
61'd209:
VAR52 =
32'h00657079;
61'd210:
VAR52 =
32'h74617473;
61'd211:
VAR52 =
32'h76637369;
61'd212:
VAR52 =
32'h756D6D00;
61'd213:
VAR52 =
32'h6C630065;
61'd214:
VAR52 =
32'h71657266;
61'd215:
VAR52 =
32'h69230079;
61'd216, 61'd218:
VAR52 =
32'h74707572;
61'd217:
VAR52 =
32'h6900736C;
61'd219:
VAR52 =
32'h6C6F7274;
61'd220:
VAR52 =
32'h6E616870;
61'd221:
VAR52 =
32'h676E6172;
61'd222:
VAR52 =
32'h7265746E;
61'd223:
VAR52 =
32'h78652D73;
61'd224:
VAR52 =
32'h72006465;
61'd225:
VAR52 =
32'h73656D61;
61'd226:
VAR52 =
32'h6D2C7663;
61'd227:
VAR52 =
32'h726F6972;
61'd229:
VAR52 =
32'h63007665;
61'd230:
VAR52 =
32'h732D746E;
61'd231:
VAR52 =
32'h67657200;
61'd232:
VAR52 =
32'h00007466;
default: VAR52 =
32'hAAAAAAAA;
endcase
end
always@(VAR30)
begin
case (VAR30[63:3])
61'd2:
VAR89 =
32'h828202FA;
61'd3,
61'd9,
61'd10,
61'd13,
61'd39,
61'd49,
61'd92,
61'd152,
61'd164,
61'd176,
61'd188:
VAR89 = 32'h0;
61'd4:
VAR89 =
32'hEDFE0DD0;
61'd5:
VAR89 =
32'h38000000;
61'd6:
VAR89 =
32'h28000000;
61'd7, 61'd20, 61'd117:
VAR89 =
32'h10000000;
61'd8:
VAR89 =
32'h17010000;
61'd11,
61'd23,
61'd44,
61'd86,
61'd88,
61'd93,
61'd118,
61'd119,
61'd141,
61'd149,
61'd161,
61'd173,
61'd185:
VAR89 =
32'h01000000;
61'd12,
61'd14,
61'd16,
61'd32,
61'd38,
61'd40,
61'd42,
61'd52,
61'd66,
61'd68,
61'd73,
61'd78,
61'd99,
61'd101,
61'd110,
61'd112,
61'd115,
61'd120,
61'd125,
61'd127,
61'd129,
61'd134,
61'd136,
61'd139,
61'd144,
61'd146,
61'd158,
61'd170,
61'd182,
61'd194:
VAR89 =
32'h03000000;
61'd15, 61'd41, 61'd70:
VAR89 =
32'h0F000000;
61'd17, 61'd53, 61'd113, 61'd137:
VAR89 =
32'h1B000000;
61'd18:
VAR89 =
32'h2C6E776F;
61'd19:
VAR89 =
32'h006E776F;
61'd21, 61'd22:
VAR89 =
32'h6E6B6E75;
61'd24:
VAR89 =
32'h00006E65;
61'd25:
VAR89 =
32'h2D000000;
61'd26:
VAR89 =
32'h6C726165;
61'd27:
VAR89 =
32'h206B746E;
61'd28:
VAR89 =
32'h3D656C6F;
61'd29:
VAR89 =
32'h31312C30;
61'd30:
VAR89 =
32'h676F6C20;
61'd31:
VAR89 =
32'h35313D6C;
61'd33:
VAR89 =
32'h35000000;
61'd34:
VAR89 =
32'h7261752F;
61'd35:
VAR89 =
32'h30303033;
61'd36,
61'd75,
61'd76,
61'd83,
61'd105,
61'd131,
61'd148,
61'd157,
61'd169,
61'd181,
61'd193,
61'd197:
VAR89 =
32'h02000000;
61'd37:
VAR89 =
32'h73757063;
61'd43:
VAR89 =
32'h41000000;
61'd45:
VAR89 =
32'h00000030;
61'd46, 61'd48, 61'd61, 61'd85, 61'd87:
VAR89 =
32'h04000000;
61'd47:
VAR89 =
32'h00757063;
61'd50:
VAR89 =
32'h05000000;
61'd51:
VAR89 =
32'h79616B6F;
61'd54:
VAR89 =
32'h00000076;
61'd55, 61'd58, 61'd89:
VAR89 =
32'h0B000000;
61'd56:
VAR89 =
32'h34367672;
61'd57:
VAR89 =
32'h00006364;
61'd59, 61'd71, 61'd97:
VAR89 =
32'h63736972;
61'd60:
VAR89 =
32'h00003933;
61'd62:
VAR89 =
32'h40597307;
61'd63, 61'd106:
VAR89 =
32'h65746E69;
61'd64, 61'd107:
VAR89 =
32'h6F632D74;
61'd65, 61'd108:
VAR89 =
32'h72656C6C;
61'd67, 61'd111:
VAR89 =
32'h8E000000;
61'd69, 61'd116:
VAR89 =
32'h9F000000;
61'd72:
VAR89 =
32'h6E692D75;
61'd74, 61'd130:
VAR89 =
32'hB4000000;
61'd77:
VAR89 =
32'h6F6D656D;
61'd79:
VAR89 =
32'h54000000;
61'd80:
VAR89 =
32'h00007972;
61'd81, 61'd103, 61'd123, 61'd142, 61'd156, 61'd168, 61'd180, 61'd192:
VAR89 =
32'h08000000;
61'd82:
VAR89 =
32'h000000C0;
61'd84:
VAR89 =
32'h00636F73;
61'd90:
VAR89 =
32'h706D6973;
61'd91:
VAR89 =
32'h00007375;
61'd94:
VAR89 =
32'h30314074;
61'd95:
VAR89 =
32'h00003030;
61'd96:
VAR89 =
32'h0D000000;
61'd98:
VAR89 =
32'h30746E69;
61'd100, 61'd140:
VAR89 =
32'hC3000000;
61'd102:
VAR89 =
32'h07000000;
61'd104:
VAR89 =
32'h00000010;
61'd109, 61'd133:
VAR89 =
32'h30303030;
61'd114:
VAR89 =
32'h6C702C76;
61'd121, 61'd159, 61'd171, 61'd183, 61'd195:
VAR89 =
32'h60000000;
61'd122:
VAR89 =
32'h00004000;
61'd124:
VAR89 =
32'h746E6F63;
61'd126:
VAR89 =
32'hE1000000;
61'd128:
VAR89 =
32'hF4000000;
61'd132:
VAR89 =
32'h74726175;
61'd135:
VAR89 =
32'hFF000000;
61'd138:
VAR89 =
32'h61303535;
61'd143:
VAR89 =
32'h00003062;
61'd145:
VAR89 =
32'h7E000000;
61'd147:
VAR89 =
32'h0D010000;
61'd150, 61'd162, 61'd174, 61'd186:
VAR89 =
32'h6D5F6F69;
61'd151, 61'd163, 61'd175, 61'd187:
VAR89 =
32'h30303034;
61'd153, 61'd165, 61'd177, 61'd189:
VAR89 =
32'h0C000000;
61'd154, 61'd166, 61'd178, 61'd190:
VAR89 =
32'h74726976;
61'd155, 61'd167, 61'd179, 61'd191:
VAR89 =
32'h006F696D;
61'd160, 61'd172, 61'd184, 61'd196:
VAR89 =
32'h00100000;
61'd198:
VAR89 =
32'h64646123;
61'd199, 61'd217:
VAR89 =
32'h6C65632D;
61'd200:
VAR89 =
32'h657A6973;
61'd201:
VAR89 =
32'h6300736C;
61'd202:
VAR89 =
32'h6C626974;
61'd203:
VAR89 =
32'h006C6564;
61'd204:
VAR89 =
32'h73677261;
61'd205:
VAR89 =
32'h2D74756F;
61'd206:
VAR89 =
32'h6D697400;
61'd207:
VAR89 =
32'h72662D65;
61'd208:
VAR89 =
32'h0079636E;
61'd209:
VAR89 =
32'h745F6563;
61'd210:
VAR89 =
32'h00676572;
61'd211:
VAR89 =
32'h72007375;
61'd212:
VAR89 =
32'h6173692C;
61'd213:
VAR89 =
32'h7079742D;
61'd214:
VAR89 =
32'h2D6B636F;
61'd215:
VAR89 =
32'h636E6575;
61'd216, 61'd218:
VAR89 =
32'h7265746E;
61'd219:
VAR89 =
32'h6E6F632D;
61'd220:
VAR89 =
32'h0072656C;
61'd221:
VAR89 =
32'h00656C64;
61'd222:
VAR89 =
32'h69007365;
61'd223:
VAR89 =
32'h74707572;
61'd224:
VAR89 =
32'h646E6574;
61'd225:
VAR89 =
32'h6E2D6765;
61'd226:
VAR89 =
32'h73697200;
61'd227:
VAR89 =
32'h702D7861;
61'd228:
VAR89 =
32'h00797469;
61'd229:
VAR89 =
32'h646E2C76;
61'd230:
VAR89 =
32'h65727275;
61'd231:
VAR89 =
32'h64656570;
61'd232:
VAR89 =
32'h6968732D;
default: VAR89 =
32'hAAAAAAAA;
endcase
end
always@(VAR30 or
VAR52 or
VAR89)
begin
case (VAR30[63:3])
61'd0: VAR67 = 64'h00000597F1402573;
61'd1: VAR67 = 64'h0030029B01C58593;
default: VAR67 =
{ VAR52,
VAR89 };
endcase
end
always@(VAR40)
begin
case (VAR40[20:18])
3'b001:
VAR34 =
VAR40[29];
3'b010:
VAR34 =
VAR40[30:29] != 2'b0;
default: VAR34 =
VAR40[20:18] != 3'b011 ||
VAR40[31:29] != 3'b0;
endcase
end
always@(VAR131)
begin
case (VAR131[20:18])
3'b001:
VAR179 =
VAR131[29];
3'b010:
VAR179 =
VAR131[30:29] != 2'b0;
default: VAR179 =
VAR131[20:18] != 3'b011 ||
VAR131[31:29] != 3'b0;
endcase
end
always@(posedge VAR3)
begin
if (VAR163 == VAR85)
begin
VAR11 <= VAR88 1'd0;
end
else
begin
if (VAR103)
VAR11 <= VAR88 VAR142;
end
if (VAR148)
VAR84 <= VAR88 VAR75;
if (VAR47) VAR146 <= VAR88 VAR31;
end
begin
VAR84 = 64'hAAAAAAAAAAAAAAAA;
VAR146 = 64'hAAAAAAAAAAAAAAAA;
VAR11 = 1'h0;
end
always@(negedge VAR3)
begin
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
begin
VAR61 = VAR50;
end
VAR46 = VAR61 / 32'd10;
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
VAR168("%0d: VAR157: VAR114.VAR9: VAR118 or VAR155 addr",
VAR46);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(" ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("VAR101 { ", "VAR55: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[108:93]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR181: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[92:29]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR44: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[28:21]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR38: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[20:18]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR21: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[17:16]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR6: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[15]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR162: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[14:11]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR144: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[10:8]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR170: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[7:4]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR15: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", VAR40[3:0]);
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
(", ", "VAR164: ");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("'VAR132%VAR132", 1'd0, " }");
if (VAR163 != VAR85)
if (VAR169 &&
VAR62)
("\VAR166");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
begin
VAR37 = VAR50;
end
VAR16 = VAR37 / 32'd10;
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
VAR168("%0d: VAR157: VAR114.VAR59: VAR118 or VAR155 addr",
VAR16);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(" ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("VAR42 { ", "VAR49: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[108:93]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR63: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[92:29]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR136: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[28:21]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR90: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[20:18]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR143: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[17:16]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR130: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[15]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR53: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[14:11]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR93: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[10:8]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR116: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[7:4]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR45: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", VAR131[3:0]);
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
(", ", "VAR96: ");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("'VAR132%VAR132", 1'd0, " }");
if (VAR163 != VAR85)
if (VAR27 &&
VAR107)
("\VAR166");
if (VAR163 != VAR85)
if (VAR151 && VAR172[2:0] != 3'd0)
begin
VAR95 = VAR50;
end
VAR86 = VAR95 / 32'd10;
if (VAR163 != VAR85)
if (VAR151 && VAR172[2:0] != 3'd0)
VAR168("%0d: VAR32: VAR114.VAR110: VAR134 0x%0h VAR115 not 4-VAR64-VAR112",
VAR86,
VAR172);
if (VAR163 != VAR85)
if (VAR151 && VAR69[2:0] != 3'd0)
begin
VAR135 = VAR50;
end
VAR133 = VAR135 / 32'd10;
if (VAR163 != VAR85)
if (VAR151 && VAR69[2:0] != 3'd0)
VAR168("%0d: VAR32: VAR114.VAR110: VAR129 0x%0h VAR115 not 4-VAR64-VAR112",
VAR133,
VAR69);
end
endmodule | apache-2.0 |
cpulabs/mist1032isa | src/core/l1_data/l1_data_cache_64entry_4way_line64b_bus_8b_disable_cache.v | 1,736 | module MODULE1(
input wire VAR15,
input wire VAR22,
input wire VAR21,
input wire VAR1,
input wire VAR5,
output wire VAR24,
input wire [31:0] VAR4, output wire VAR18,
output wire VAR20,
input wire VAR14,
output wire [31:0] VAR17,
output wire [11:0] VAR10,
input wire VAR7,
output wire VAR3,
input wire [1:0] VAR16,
input wire [3:0] VAR13,
input wire [31:0] VAR9,
input wire [31:0] VAR2,
input wire VAR11,
output wire VAR19,
input wire [31:0] VAR6, input wire [511:0] VAR12,
input wire [255:0] VAR23
);
assign VAR24 = 1'b0;
assign VAR10 = 11'h0;
reg VAR8;
always@(posedge VAR15 or negedge VAR22)begin
if(!VAR22)begin
VAR8 <= 1'b0;
end
else if(VAR21)begin
VAR8 <= 1'b0;
end
else if(VAR1)begin
VAR8 <= 1'b0;
end
else begin
VAR8 <= VAR5;
end
end
assign VAR18 = VAR8;
assign VAR20 = 1'b0;
assign VAR17 = 32'h0;
assign VAR3 = 1'b0;
assign VAR19 = 1'b0;
endmodule | bsd-2-clause |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/addh/gf180mcu_fd_sc_mcu9t5v0__addh_1.behavioral.pp.v | 1,568 | module MODULE1( VAR5, VAR6, VAR9, VAR8, VAR7, VAR1 );
input VAR6, VAR9;
inout VAR7, VAR1;
output VAR5, VAR8;
VAR2 VAR3(.VAR5(VAR5),.VAR6(VAR6),.VAR9(VAR9),.VAR8(VAR8),.VAR7(VAR7),.VAR1(VAR1));
VAR2 VAR4(.VAR5(VAR5),.VAR6(VAR6),.VAR9(VAR9),.VAR8(VAR8),.VAR7(VAR7),.VAR1(VAR1)); | apache-2.0 |
Pylonight/MIPS-CPU | cpu/Instruction_Memory.v | 4,965 | module MODULE1(
output [15 : 0] VAR1,
input [15 : 0] address
);
reg [15 : 0] memory [255 : 0];
begin | gpl-2.0 |
jotego/jt12 | hdl/jt12_eg_cnt.v | 1,322 | module MODULE1(
input rst,
input clk,
input VAR3 ,
input VAR4,
output reg [14:0] VAR1
);
reg [1:0] VAR5;
always @(posedge clk, posedge rst) begin : VAR2
if( rst ) begin
VAR5 <= 2'd0;
VAR1 <=15'd0;
end
else begin
if( VAR4 && VAR3 ) begin
if( VAR5 == 2'd2 ) begin
VAR1 <= VAR1 + 1'b1;
VAR5 <= 2'd0;
end
else VAR5 <= VAR5 + 1'b1;
end
end
end
endmodule MODULE1 | gpl-3.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/axi_ad9122/axi_ad9122_core.v | 8,625 | module MODULE1 (
VAR72,
VAR40,
VAR85,
VAR28,
VAR1,
VAR5,
VAR73,
VAR66,
VAR21,
VAR83,
VAR89,
VAR44,
VAR43,
VAR36,
VAR49,
VAR32,
VAR17,
VAR14,
VAR57,
VAR67,
VAR33,
VAR65,
VAR7,
VAR64,
VAR25,
VAR86,
VAR53,
VAR87,
VAR79,
VAR59,
VAR2,
VAR18,
VAR78,
VAR63,
VAR42,
VAR82,
VAR46,
VAR30,
VAR16,
VAR38,
VAR50,
VAR61,
VAR11,
VAR15,
VAR75,
VAR69,
VAR62,
VAR13);
parameter VAR19 = 0;
parameter VAR70 = 0;
input VAR72;
output VAR40;
output VAR85;
output [15:0] VAR28;
output VAR1;
output [15:0] VAR5;
output VAR73;
output [15:0] VAR66;
output VAR21;
output [15:0] VAR83;
output VAR89;
output [15:0] VAR44;
output VAR43;
output [15:0] VAR36;
output VAR49;
output [15:0] VAR32;
output VAR17;
output [15:0] VAR14;
input VAR57;
output VAR67;
input VAR33;
output VAR65;
output VAR7;
input [63:0] VAR64;
output VAR25;
output VAR86;
input [63:0] VAR53;
input VAR87;
input VAR79;
output VAR59;
output VAR2;
output VAR18;
output VAR78;
output [11:0] VAR63;
output [15:0] VAR42;
input [15:0] VAR82;
input VAR46;
input VAR30;
input VAR16;
input VAR38;
input VAR50;
input [13:0] VAR61;
input [31:0] VAR11;
output VAR15;
input VAR75;
input [13:0] VAR69;
output [31:0] VAR62;
output VAR13;
reg [31:0] VAR62 = 'd0;
reg VAR13 = 'd0;
reg VAR15 = 'd0;
wire VAR24;
wire VAR52;
wire VAR80;
wire [31:0] VAR84;
wire VAR6;
wire VAR34;
wire [31:0] VAR4;
wire VAR47;
wire VAR10;
wire [31:0] VAR35;
wire VAR56;
wire VAR27;
assign VAR65 = 1'b1;
assign VAR25 = 1'b1;
assign VAR24 = (VAR19 == 0) ? VAR67 : VAR33;
always @(negedge VAR16 or posedge VAR38) begin
if (VAR16 == 0) begin
VAR62 <= 'd0;
VAR13 <= 'd0;
VAR15 <= 'd0;
end else begin
VAR62 <= VAR35 | VAR84 | VAR4;
VAR13 <= VAR56 | VAR6 | VAR47;
VAR15 <= VAR27 | VAR34 | VAR10;
end
end
VAR31 #(
.VAR54(0),
.VAR70(VAR70))
VAR39 (
.VAR72 (VAR72),
.VAR40 (VAR40),
.VAR77 (VAR7),
.VAR3 ({VAR83, VAR66, VAR5, VAR28}),
.VAR55 ({VAR21, VAR73, VAR1, VAR85}),
.VAR48 (VAR64),
.VAR9 (VAR52),
.VAR26 (VAR24),
.VAR76 (VAR80),
.VAR16 (VAR16),
.VAR38 (VAR38),
.VAR50 (VAR50),
.VAR61 (VAR61),
.VAR11 (VAR11),
.VAR15 (VAR34),
.VAR75 (VAR75),
.VAR69 (VAR69),
.VAR62 (VAR84),
.VAR13 (VAR6));
VAR31 #(
.VAR54(1),
.VAR70(VAR70))
VAR51 (
.VAR72 (VAR72),
.VAR40 (VAR40),
.VAR77 (VAR86),
.VAR3 ({VAR14, VAR32, VAR36, VAR44}),
.VAR55 ({VAR17, VAR49, VAR43, VAR89}),
.VAR48 (VAR53),
.VAR9 (VAR52),
.VAR26 (VAR24),
.VAR76 (VAR80),
.VAR16 (VAR16),
.VAR38 (VAR38),
.VAR50 (VAR50),
.VAR61 (VAR61),
.VAR11 (VAR11),
.VAR15 (VAR10),
.VAR75 (VAR75),
.VAR69 (VAR69),
.VAR62 (VAR4),
.VAR13 (VAR47));
VAR71 #(.VAR19(VAR19)) VAR68 (
.VAR59 (VAR59),
.VAR58 (VAR72),
.VAR40 (VAR40),
.VAR60 (VAR67),
.VAR55 (VAR52),
.VAR81 (),
.VAR29 (),
.VAR74 (),
.VAR41 (VAR80),
.VAR45 (),
.VAR57 (VAR57),
.VAR23 (VAR87),
.VAR20 (VAR79),
.VAR12 (32'd4),
.VAR18 (VAR18),
.VAR78 (VAR78),
.VAR63 (VAR63),
.VAR42 (VAR42),
.VAR82 (VAR82),
.VAR46 (VAR46),
.VAR30 (VAR30),
.VAR88 (),
.VAR8 (8'd3),
.VAR22 (32'd0),
.VAR37 (),
.VAR16 (VAR16),
.VAR38 (VAR38),
.VAR50 (VAR50),
.VAR61 (VAR61),
.VAR11 (VAR11),
.VAR15 (VAR27),
.VAR75 (VAR75),
.VAR69 (VAR69),
.VAR62 (VAR35),
.VAR13 (VAR56));
endmodule | gpl-3.0 |
eda-globetrotter/MarcheProcessor | src/regfileww.v | 1,876 | module MODULE1(VAR6, VAR8, VAR4, VAR5, VAR10, VAR13,
VAR1, VAR9, VAR11, VAR3, clk);
output [0:127] VAR6, VAR8;
input [0:127] VAR4;
input clk;
input VAR11;
input VAR1, VAR9;
input [0:4] VAR13, VAR5, VAR10;
input [0:15] VAR3;
reg [0:127] VAR6, VAR8;
reg [0:127] VAR7 [0:31];
reg [0:127] VAR2, VAR12;
always @(posedge clk)
begin
if(VAR11)
begin
VAR12=VAR7[VAR13];
VAR2[0:7]=(VAR3[0]==1'b1)? VAR4[0:7]:VAR12[0:7];
VAR2[8:15]=(VAR3[1]==1'b1)? VAR4[8:15]:VAR12[8:15];
VAR2[16:23]=(VAR3[2]==1'b1)? VAR4[16:23]:VAR12[16:23];
VAR2[24:31]=(VAR3[3]==1'b1)? VAR4[24:31]:VAR12[24:31];
VAR2[32:39]=(VAR3[4]==1'b1)? VAR4[32:39]:VAR12[32:39];
VAR2[40:47]=(VAR3[5]==1'b1)? VAR4[40:47]:VAR12[40:47];
VAR2[48:55]=(VAR3[6]==1'b1)? VAR4[48:55]:VAR12[48:55];
VAR2[56:63]=(VAR3[7]==1'b1)? VAR4[56:63]:VAR12[56:63];
VAR2[64:71]=(VAR3[8]==1'b1)? VAR4[64:71]:VAR12[64:71];
VAR2[72:79]=(VAR3[9]==1'b1)? VAR4[72:79]:VAR12[72:79];
VAR2[80:87]=(VAR3[10]==1'b1)? VAR4[80:87]:VAR12[80:87];
VAR2[88:95]=(VAR3[11]==1'b1)? VAR4[88:95]:VAR12[88:95];
VAR2[96:103]=(VAR3[12]==1'b1)? VAR4[96:103]:VAR12[96:103];
VAR2[104:111]=(VAR3[13]==1'b1)? VAR4[104:111]:VAR12[104:111];
VAR2[112:119]=(VAR3[14]==1'b1)? VAR4[112:119]:VAR12[112:119];
VAR2[120:127]=(VAR3[15]==1'b1)? VAR4[120:127]:VAR12[120:127];
VAR7[VAR13] = VAR2;
end
VAR6=VAR1?VAR7[VAR5]:128'd0;
VAR8=VAR9?VAR7[VAR10]:128'd0;
end
endmodule | mit |
monotone-RK/FACE | MCSoC-15/4-way_2-parallel/src/vivado_ip_dram/phy/mig_7series_v2_3_ddr_phy_ocd_lim.v | 21,190 | module MODULE1 #
(parameter VAR5 = 7,
parameter VAR85 = 3,
parameter VAR23 = 9,
parameter VAR31 = 100,
parameter VAR13 = 56,
parameter VAR12 = 60,
parameter VAR88 = "VAR86")
(
VAR105, VAR44, VAR34, VAR17,
VAR108, VAR32, VAR100, VAR73, VAR56,
VAR29, VAR47, VAR15,
clk, rst, VAR84, VAR89, VAR10,
VAR1, VAR91,
VAR53, VAR94, VAR60,
VAR79, VAR102, VAR35,
VAR14, VAR9
);
function [VAR5:0] VAR99 (input [VAR5-1:0] VAR70,
input [VAR5-1:0] VAR51,
input integer VAR24);
begin
VAR99 = (VAR70>=VAR51) ? VAR70-VAR51 : VAR70+VAR24[VAR5-1:0]-VAR51;
end
endfunction
input clk;
input rst;
input VAR84;
input VAR89;
input [VAR5-1:0] VAR10;
input [VAR5-1:0] VAR1;
input [VAR5-1:0] VAR91;
input [VAR5-1:0] VAR53;
input [5:0] VAR94;
input [5:0] VAR60;
input [5:0] VAR79;
input VAR102;
input VAR35;
input VAR14;
input [VAR85:0] VAR9;
output VAR105;
output VAR44;
output VAR34;
output VAR17; output VAR108;
output VAR32;
output VAR100;
output VAR73;
output VAR56;
output [5:0] VAR29;
output [5:0] VAR47;
output [255:0] VAR15;
localparam VAR7 = 360/VAR12;
localparam VAR8 = VAR13/VAR7;
localparam VAR65 = 15;
localparam VAR52 = 14'b00000000000001;
localparam VAR104 = 14'b00000000000010;
localparam VAR2 = 14'b00000000000100;
localparam VAR101 = 14'b00000000001000;
localparam VAR16 = 14'b00000000010000;
localparam VAR38 = 14'b00000000100000;
localparam VAR37 = 14'b00000001000000;
localparam VAR28 = 14'b00000010000000;
localparam VAR76 = 14'b00000100000000;
localparam VAR41 = 14'b00001000000000;
localparam VAR54 = 14'b00010000000000;
localparam VAR106 = 14'b00100000000000;
localparam VAR33 = 14'b01000000000000;
localparam VAR82 = 14'b10000000000000;
reg [5:0] VAR75;
reg [13:0] VAR48;
reg VAR46;
reg VAR93;
reg VAR59;
reg VAR95;
reg VAR81;
reg VAR43;
reg VAR3;
reg [3:0] VAR64;
reg [5:0] VAR96;
reg [5:0] VAR72;
reg [5:0] VAR42;
reg [5:0] VAR61;
reg [VAR23*6-1:0] VAR20;
reg [VAR23*6-1:0] VAR78;
reg [VAR23*6-1:0] VAR50;
reg [VAR23*6-1:0] VAR69;
reg [5:0] VAR25;
reg [5:0] VAR57;
reg VAR45;
reg VAR58;
reg VAR74;
reg VAR30;
reg VAR6;
reg VAR107;
reg VAR103;
reg VAR92;
reg VAR55;
reg VAR4;
reg VAR26;
reg VAR71;
reg [VAR5:0] VAR39;
reg [VAR5:0] VAR49;
reg [VAR5:0] VAR63;
reg VAR87;
reg [13:0] VAR22;
reg VAR90;
reg VAR83;
reg VAR67;
reg VAR80;
reg VAR19;
reg VAR62;
reg VAR18;
reg VAR21;
reg VAR36;
reg VAR98;
reg VAR77;
reg VAR27;
reg VAR11;
reg VAR40;
reg VAR66;
wire [VAR5:0] VAR97 = VAR99 (VAR49, VAR39, VAR13);
wire [VAR5:0] VAR68 = VAR99 (VAR39, VAR63, VAR13);
assign VAR15[0+:VAR23*6] = VAR78[VAR23*6-1:0];
assign VAR15[54+:VAR23*6] = VAR69[VAR23*6-1:0];
assign VAR15[255:108] = 'd0;
assign VAR105 = VAR59;
assign VAR44 = VAR95;
assign VAR17 = VAR93;
assign VAR34 = VAR81;
assign VAR47 = VAR42;
assign VAR29 = VAR61;
assign VAR32 = VAR92;
assign VAR108 = VAR55;
assign VAR73 = VAR4;
assign VAR100 = VAR26;
assign VAR56 = VAR87;
always @(posedge clk) begin
if ((VAR48 == VAR2) ||
(VAR48 == VAR16) ||
(VAR48 == VAR104))
end
else
end
always @(posedge clk) begin
if (!VAR43) begin
end else begin
if (VAR64 != VAR65 - 1) begin
end else begin
end
end
end
always @(posedge clk) begin
if (rst)
end
else
end
always @(posedge clk) begin
if (rst)
end
else if (VAR102)
end
else
end
always @(posedge clk) begin
if (rst) begin
end else begin
if (VAR75 > (('d63 - VAR60)/2))
end
else
if (VAR75 < 'd63 - ((VAR60)/2))
end
else
end
end
always @(posedge clk) begin
if (rst)
end
else if ((VAR48 == VAR52) || (VAR48 == VAR104))
else if (VAR48 == VAR38)
else if (VAR48 == VAR37)
end
always @(posedge clk) begin
if (rst)
end
else if ((VAR48 == VAR52) || (VAR48 == VAR104))
else if (VAR48 == VAR28)
else if (VAR48 == VAR76)
end
always @(posedge clk) begin
if (rst) begin
end else if (VAR35 && !VAR45) begin
if (VAR96 == VAR25)
if (VAR96 == VAR57)
end
end
always @(posedge clk) begin
if (rst) begin
end else if (VAR58) begin
if (VAR96 == VAR25)
end
else
end else begin
if (VAR96 == VAR57)
end
else
end
end
always @(posedge clk) begin
if (rst) begin
end else if (VAR30 && !VAR6) begin
if (VAR102)
end
else
end else if (VAR84 && !VAR46)
end
always @(posedge clk) begin
if (rst) begin
end else if (VAR107 && !VAR103) begin
if (VAR102)
end
else
end else if (VAR84 && !VAR46)
end
always @(*) begin
VAR22 = VAR48;
VAR90 = VAR93;
VAR83 = VAR59;
VAR67 = VAR95;
VAR80 = VAR81;
VAR19 = VAR58;
VAR62 = VAR74;
VAR18 = VAR30;
VAR21 = VAR107;
VAR36 = VAR92;
VAR98 = VAR55;
VAR27 = VAR26;
VAR77 = VAR4;
VAR11 = VAR71;
VAR40 = VAR87;
case(VAR48)
VAR52: begin
if (VAR84 && !VAR46) begin
VAR22 = VAR104;
VAR19 = 1'b1;
VAR62 = 1'b1;
VAR11 = 1'b1;
VAR40 = 1'b0;
end
else if (VAR102 && !VAR66 && (VAR88 == "VAR86")) begin
VAR40 = 1'b0;
end
end
VAR104: begin
VAR90 = 1'b1;
if (VAR3)
VAR22 = VAR106;
end
VAR2: begin
VAR83 = 1'b1;
if (VAR3) begin
VAR80 = 1'b1;
VAR22 = VAR101;
end
end
VAR101: begin
if (VAR35) begin
VAR83 = 1'b0;
VAR80 = 1'b0;
VAR22 = VAR16;
end
end
VAR16: begin
if (VAR3) begin
if (VAR58) begin
if ((VAR96 > 'd0) && (VAR97 < VAR8))
VAR22 = VAR37;
end
else begin
VAR19 = 1'b0;
VAR18 = 1'b1;
VAR22 = VAR38;
end
end else begin if ((VAR96 < 'd63) && (VAR68 < VAR8))
VAR22 = VAR38;
end
else begin
VAR21 = 1'b1;
VAR22 = VAR37;
end
end
end
end
VAR38: begin
VAR98 = 1'b1;
VAR22 = VAR41;
end
VAR37: begin
VAR36 = 1'b1;
VAR22 = VAR41;
end
VAR41: begin
VAR36 = 1'b0;
VAR98 = 1'b0;
if (!VAR92 && !VAR55 && VAR89) begin
if (VAR71) begin
if (VAR96 > VAR25)
VAR22 = VAR37;
end
else begin
VAR22 = VAR2;
VAR11 = 1'b0;
end
end else if (VAR107) begin
if (VAR96 > VAR75)
VAR22 = VAR37;
end
else
VAR22 = VAR106;
end else if (VAR30) begin
if (VAR96 < VAR57)
VAR22 = VAR38;
end
else
VAR22 = VAR106;
end else begin
VAR22 = VAR2;
end
end
end
VAR106: begin
if (VAR107) begin
if (VAR72 < VAR60)
VAR22 = VAR28;
end
else begin
VAR22 = VAR33;
end
end else if (VAR30) begin
if (VAR72 > 'd0)
VAR22 = VAR76;
end
else begin
VAR22 = VAR33;
VAR18 = 1'b0;
end
end else if (VAR74 && (VAR72 < 'd63)) begin
VAR22 = VAR28;
end else begin
VAR22 = VAR41;
VAR62 = 1'b0;
end
end
VAR28: begin
VAR27 = 1'b1;
VAR22 = VAR54;
end
VAR76: begin
VAR77 = 1'b1;
VAR22 = VAR54;
end
VAR54: begin
VAR27 = 1'b0;
VAR77 = 1'b0;
if (!VAR26 && !VAR4 && VAR89)
VAR22 = VAR106;
end
VAR33: begin
VAR67 = 1'b1;
if (VAR14) begin
VAR67 = 1'b0;
if (VAR107)
VAR22 = VAR82;
end
else
VAR22 = VAR2;
end
end
VAR82: begin
VAR40 = 1'b1;
VAR90 = 1'b0;
VAR21 = 1'b0;
VAR22 = VAR52;
end
default: begin
VAR22 = VAR52;
end
endcase
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a222o/sky130_fd_sc_hs__a222o_1.v | 2,402 | module MODULE2 (
VAR6 ,
VAR7 ,
VAR1 ,
VAR9 ,
VAR11 ,
VAR5 ,
VAR10 ,
VAR8,
VAR2
);
output VAR6 ;
input VAR7 ;
input VAR1 ;
input VAR9 ;
input VAR11 ;
input VAR5 ;
input VAR10 ;
input VAR8;
input VAR2;
VAR4 VAR3 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR6 ,
VAR7,
VAR1,
VAR9,
VAR11,
VAR5,
VAR10
);
output VAR6 ;
input VAR7;
input VAR1;
input VAR9;
input VAR11;
input VAR5;
input VAR10;
supply1 VAR8;
supply0 VAR2;
VAR4 VAR3 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
peteasa/oh | src/common/hdl/oh_debouncer.v | 2,182 | module MODULE1 #( parameter VAR9 = 100, parameter VAR20 = 0.00001 )
(
input clk, input VAR6, input VAR1, output VAR3 );
parameter integer VAR14 = VAR18(VAR9/VAR20);
reg VAR10;
reg VAR3;
VAR21 VAR8 (.dout (VAR2),
.clk (clk),
.VAR6 (VAR6),
.din (VAR1));
VAR11 VAR17 (.VAR15 (VAR23),
.clk (clk),
.VAR7 (VAR6));
always @ (posedge clk or negedge VAR6)
if(!VAR6)
VAR10 <= 1'b0;
else
VAR10 <= VAR2;
assign VAR22 = VAR10 ^ VAR2;
VAR16 #(.VAR4(VAR14))
VAR16 ( .VAR5 (),
.VAR13 (VAR13),
.VAR12 (),
.clk (clk),
.in (1'b1),
.en (~VAR13), .VAR24 (VAR22 | ~VAR23),
.VAR19 ({(VAR14){1'b0}})
);
always @ (posedge clk or negedge VAR6)
if(!VAR6)
VAR3 <= 'b0;
else if(VAR13)
VAR3 <= VAR10;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/o31ai/sky130_fd_sc_hdll__o31ai.pp.symbol.v | 1,367 | module MODULE1 (
input VAR8 ,
input VAR5 ,
input VAR4 ,
input VAR9 ,
output VAR1 ,
input VAR3 ,
input VAR7,
input VAR6,
input VAR2
);
endmodule | apache-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/pads/pad_jbus_common/rtl/bw_io_dtlhstl_rcv.v | 1,967 | module MODULE1 (
out, VAR8,
VAR13, ref, clk, VAR3, VAR12, VAR1, VAR9, VAR4
);
input VAR13;
input ref;
input clk;
input VAR3;
input VAR12;
input VAR1;
input VAR9;
input VAR4;
output out;
output VAR8;
assign VAR8 = out;
wire VAR10 = VAR9;
reg out;
always @(posedge clk) begin
casex ({ VAR3, VAR13, VAR12, VAR1 })
4'VAR2: out <= 1'b0;
4'VAR7: out <= 1'b1;
4'VAR5: out <= 1'b1;
4'VAR11: out <= 1'b0;
default: out <= 1'VAR6;
endcase
end
endmodule | gpl-2.0 |
ShirmanXia/EE469SPRING16 | lab4/nios_system/synthesis/submodules/nios_system_charReceived.v | 3,460 | module MODULE1 (
address,
VAR1,
clk,
VAR14,
VAR4,
VAR11,
VAR9,
irq,
VAR10
)
;
output irq;
output [ 31: 0] VAR10;
input [ 1: 0] address;
input VAR1;
input clk;
input VAR14;
input VAR4;
input VAR11;
input [ 31: 0] VAR9;
wire VAR15;
reg VAR12;
reg VAR3;
wire VAR6;
reg VAR7;
wire VAR5;
wire VAR13;
wire irq;
reg VAR8;
wire VAR2;
reg [ 31: 0] VAR10;
assign VAR15 = 1;
assign VAR2 = ({1 {(address == 0)}} & VAR6) |
({1 {(address == 2)}} & VAR8) |
({1 {(address == 3)}} & VAR7);
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR10 <= 0;
end
else if (VAR15)
VAR10 <= {32'b0 | VAR2};
end
assign VAR6 = VAR14;
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR8 <= 0;
end
else if (VAR1 && ~VAR11 && (address == 2))
VAR8 <= VAR9;
end
assign irq = |(VAR7 & VAR8);
assign VAR5 = VAR1 && ~VAR11 && (address == 3);
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
VAR7 <= 0;
end
else if (VAR15)
if (VAR5)
VAR7 <= 0;
else if (VAR13)
VAR7 <= -1;
end
always @(posedge clk or negedge VAR4)
begin
if (VAR4 == 0)
begin
VAR12 <= 0;
VAR3 <= 0;
end
else if (VAR15)
begin
VAR12 <= VAR6;
VAR3 <= VAR12;
end
end
assign VAR13 = VAR12 & ~VAR3;
endmodule | gpl-3.0 |
MeshSr/onetswitch45 | ons45-app21-ref_switch/vivado/onets_7045_4x_ref_switch/ip/ref_switch_core/src/udp/udp_reg_master.v | 4,990 | module MODULE1
parameter VAR9 = 0,
parameter VAR27 = 127,
parameter VAR15 = 'VAR26 VAR8,
parameter VAR16 = 2
)
(
input VAR5,
output reg VAR19,
input VAR18,
input [VAR23 - 1:0] VAR11,
output reg [VAR10 - 1:0]VAR12,
input [VAR10 - 1:0] VAR3,
output reg VAR7,
output reg VAR4,
output reg VAR29,
output reg [VAR23 - 1:0] VAR2,
output reg [VAR10 - 1:0] VAR17,
output reg [VAR16 - 1:0] VAR30,
input VAR21,
input VAR20,
input VAR24,
input [VAR23 - 1:0] VAR28,
input [VAR10 - 1:0] VAR25,
input [VAR16 - 1:0] VAR31,
input clk,
input reset
);
localparam VAR22 = 'd0;
localparam VAR13 = 'd1;
localparam VAR14 = 'd2;
reg [1:0] state;
reg [7:0] VAR32;
wire VAR1 = VAR21 && VAR31 == VAR9;
always @(posedge clk)
begin
if (reset) begin
VAR19 <= 1'b0;
VAR12 <= 'h0;
state <= VAR22;
VAR32 <= 'h0;
end
else begin
case (state)
VAR22 : begin
if (VAR5 && !VAR21) begin
state <= VAR13;
VAR32 <= VAR27;
end
end
VAR13 : begin
if (!VAR5) begin
state <= VAR22;
end
else if (VAR1 || VAR32 == 'h0) begin
state <= VAR14;
VAR19 <= 1'b1;
if (VAR1 && VAR20)
VAR12 <= VAR25;
end
else if (VAR32 == 'h0)
VAR12 <= VAR15;
end
else
VAR12 <= 'VAR26 VAR6;
end
VAR32 <= VAR32 - 'h1;
end
VAR14 : begin
VAR19 <= 1'b0;
if (!VAR5)
state <= VAR22;
end
default : begin
if ( > 3000)
end
endcase
end
end
always @(posedge clk)
begin
if (reset) begin
VAR7 <= 1'b0;
VAR4 <= 1'b0;
VAR29 <= 1'b0;
VAR2 <= 'h0;
VAR17 <= 'h0;
VAR30 <= 'h0;
end
else begin
if (VAR21 && VAR31 != VAR9) begin
VAR7 <= VAR21;
VAR4 <= VAR20;
VAR29 <= VAR24;
VAR2 <= VAR28;
VAR17 <= VAR25;
VAR30 <= VAR31;
end
else if (state == VAR22 && VAR5 && !VAR21) begin
VAR7 <= 1'b1;
VAR4 <= 1'b0;
VAR29 <= VAR18;
VAR2 <= VAR11;
VAR17 <= VAR3;
VAR30 <= VAR9;
end
else begin
VAR7 <= 1'b0;
VAR4 <= 1'b0;
VAR29 <= 1'b0;
VAR2 <= 'h0;
VAR17 <= 'h0;
VAR30 <= 'h0;
end
end
end
endmodule | lgpl-2.1 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/inv/sky130_fd_sc_hvl__inv.blackbox.v | 1,208 | module MODULE1 (
VAR5,
VAR6
);
output VAR5;
input VAR6;
supply1 VAR1;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/bufinv/sky130_fd_sc_hs__bufinv.behavioral.pp.v | 1,680 | module MODULE1 (
VAR3,
VAR1,
VAR5 ,
VAR4
);
input VAR3;
input VAR1;
output VAR5 ;
input VAR4 ;
wire VAR10 ;
wire VAR7;
not VAR9 (VAR10 , VAR4 );
VAR6 VAR2 (VAR7, VAR10, VAR3, VAR1);
buf VAR8 (VAR5 , VAR7 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/clkdlyinv5sd2/sky130_fd_sc_ms__clkdlyinv5sd2.pp.symbol.v | 1,357 | module MODULE1 (
input VAR3 ,
output VAR2 ,
input VAR4 ,
input VAR1,
input VAR6,
input VAR5
);
endmodule | apache-2.0 |
vad-rulezz/megabot | fusesoc/orpsoc-cores/systems/neek/bench/x28fxxxp30.v | 107,427 | module MODULE1(VAR27, VAR8, VAR31, VAR28, VAR14, VAR23, VAR3, VAR35, VAR29);
input [VAR2-1:0] VAR27; input [VAR10-1:0] VAR8;
input VAR31, VAR28, VAR14, VAR23, VAR3, VAR35;
input [VAR40] VAR29;
integer VAR4;
integer VAR39;
integer VAR15;
integer VAR25;
integer VAR37;
integer VAR21;
integer VAR11;
integer VAR17;
integer VAR34;
integer VAR16;
integer VAR24;
integer VAR13;
integer VAR22;
integer VAR38;
integer VAR19;
integer VAR9;
integer VAR32;
integer VAR26;
integer VAR5;
reg VAR7;
reg VAR20;
reg VAR6;
reg VAR18;
reg VAR12;
reg VAR33;
reg VAR1;
VAR30 VAR36;
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
end
begin
begin
begin
end
begin
begin
begin
end
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
end
begin
end
begin
begin
end
begin
begin
begin
begin | gpl-2.0 |
alexforencich/verilog-ethernet | rtl/ptp_clock.v | 10,624 | module MODULE1 #
(
parameter VAR53 = 4,
parameter VAR54 = 4,
parameter VAR1 = 4,
parameter VAR51 = 16,
parameter VAR39 = 4'h6,
parameter VAR61 = 16'h6666,
parameter VAR32 = 1,
parameter VAR38 = 4'h0,
parameter VAR17 = 16'h0002,
parameter VAR65 = 16'h0005,
parameter VAR11 = 0
)
(
input wire clk,
input wire rst,
input wire [95:0] VAR42,
input wire VAR4,
input wire [63:0] VAR44,
input wire VAR46,
input wire [VAR53-1:0] VAR14,
input wire [VAR51-1:0] VAR40,
input wire VAR43,
input wire [VAR54-1:0] VAR66,
input wire [VAR51-1:0] VAR48,
input wire [15:0] VAR15,
input wire VAR64,
output wire VAR10,
input wire [VAR1-1:0] VAR56,
input wire [VAR51-1:0] VAR52,
input wire [15:0] VAR36,
input wire VAR22,
output wire [95:0] VAR41,
output wire [63:0] VAR19,
output wire VAR6,
output wire VAR9
);
parameter VAR47 = VAR33(2**VAR53 + 2**VAR54 + 2**VAR1);
localparam [30:0] VAR58 = 31'd1000000000;
reg [VAR53-1:0] VAR13 = VAR39;
reg [VAR51-1:0] VAR2 = VAR61;
reg [VAR54-1:0] VAR21 = 0;
reg [VAR51-1:0] VAR55 = 0;
reg [15:0] VAR50 = 0;
reg VAR30 = 0;
reg [VAR1-1:0] VAR34 = VAR38;
reg [VAR51-1:0] VAR60 = VAR17;
reg [15:0] VAR12 = VAR65;
reg [VAR47-1:0] VAR57 = 0;
reg [VAR51-1:0] VAR37 = 0;
reg [VAR47-1:0] VAR27 = 0;
reg [VAR51-1:0] VAR62 = 0;
reg [30:0] VAR59 = 0;
reg [VAR51-1:0] VAR31 = 0;
reg [47:0] VAR67 = 0;
reg [29:0] VAR20 = 0;
reg [VAR51-1:0] VAR28 = 0;
reg [29:0] VAR18 = 0;
reg [VAR51-1:0] VAR5 = 0;
reg [30:0] VAR16 = 31'h7fffffff;
reg [VAR51-1:0] VAR7 = 16'hffff;
reg [47:0] VAR8 = 0;
reg [VAR51-1:0] VAR35 = 0;
reg VAR3 = 1'b0;
reg [15:0] VAR24 = 0;
reg [47:0] VAR29;
reg VAR26 = 0;
assign VAR10 = VAR30;
generate
if (VAR11 > 0) begin
reg [95:0] VAR45[0:VAR11-1];
reg [63:0] VAR49[0:VAR11-1];
reg VAR23[0:VAR11-1];
reg VAR25[0:VAR11-1];
assign VAR41 = VAR45[VAR11-1];
assign VAR19 = VAR49[VAR11-1];
assign VAR6 = VAR23[VAR11-1];
assign VAR9 = VAR25[VAR11-1];
integer VAR63; | mit |
bluespec/Flute | src_SSITH_P2/Verilog_RTL/mkAxiLowPower.v | 1,920 | module MODULE1(VAR10,
VAR6,
VAR12,
VAR8,
VAR7);
input VAR10;
input VAR6;
input VAR12;
output VAR8;
output VAR7;
wire VAR7, VAR8;
reg VAR4;
wire VAR1, VAR9;
wire VAR5, VAR11;
assign VAR5 = 1'd1 ;
assign VAR11 = 1'd1 ;
assign VAR8 = VAR4 ;
assign VAR7 = 1'd1 ;
assign VAR1 = VAR12 ;
assign VAR9 = 1'd1 ;
always@(posedge VAR10)
begin
if (VAR6 == VAR2)
begin
VAR4 <= VAR3 1'd1;
end
else
begin
if (VAR9) VAR4 <= VAR3 VAR1;
end
end
begin
VAR4 = 1'h0;
end | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a22oi/sky130_fd_sc_hs__a22oi.behavioral.v | 2,058 | module MODULE1 (
VAR3 ,
VAR5 ,
VAR1 ,
VAR12 ,
VAR10 ,
VAR9,
VAR14
);
output VAR3 ;
input VAR5 ;
input VAR1 ;
input VAR12 ;
input VAR10 ;
input VAR9;
input VAR14;
wire VAR10 VAR4 ;
wire VAR10 VAR17 ;
wire VAR11 ;
wire VAR13;
nand VAR2 (VAR4 , VAR1, VAR5 );
nand VAR15 (VAR17 , VAR10, VAR12 );
and VAR8 (VAR11 , VAR4, VAR17 );
VAR6 VAR7 (VAR13, VAR11, VAR9, VAR14);
buf VAR16 (VAR3 , VAR13 );
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/projects/fmcomms2/c5soc/system_top.v | 17,849 | module MODULE1 (
VAR254,
VAR249,
VAR155,
VAR232,
VAR18,
VAR187,
VAR301,
VAR220,
VAR34,
VAR127,
VAR104,
VAR26,
VAR138,
VAR4,
VAR146,
VAR2,
VAR309,
VAR263,
VAR323,
VAR123,
VAR36,
VAR213,
VAR170,
VAR184,
VAR224,
VAR27,
VAR117,
VAR324,
VAR96,
VAR142,
VAR141,
VAR109,
VAR42,
VAR145,
VAR212,
VAR121,
VAR251,
VAR79,
VAR143,
VAR87,
VAR245,
VAR46,
VAR210,
VAR59,
VAR9,
VAR239,
VAR217,
VAR314,
VAR15,
VAR112,
VAR229,
VAR118,
VAR297,
VAR296,
VAR300,
VAR215,
VAR192,
VAR189,
VAR271,
VAR28,
VAR11,
VAR89,
VAR234,
VAR181,
VAR273,
VAR13,
VAR73,
VAR268,
VAR299,
VAR126,
VAR160,
VAR77,
VAR139,
VAR111,
VAR8,
VAR102,
VAR238,
VAR136,
VAR93,
VAR275,
VAR313,
VAR255,
VAR188,
VAR172,
VAR134,
VAR82,
VAR230);
input VAR254;
output [ 14:0] VAR249;
output [ 2:0] VAR155;
output VAR232;
output VAR18;
output VAR187;
output VAR301;
output VAR220;
output VAR34;
output VAR127;
output VAR104;
inout [ 31:0] VAR26;
inout [ 3:0] VAR138;
inout [ 3:0] VAR4;
output VAR146;
output [ 3:0] VAR2;
input VAR309;
output VAR263;
output VAR323;
output VAR123;
output VAR36;
output VAR213;
output VAR170;
input VAR184;
input VAR224;
input VAR27;
input VAR117;
input VAR324;
input VAR96;
output VAR142;
inout VAR141;
output VAR109;
output VAR42;
inout VAR145;
inout VAR212;
inout VAR121;
inout VAR251;
output VAR79;
inout VAR143;
inout VAR87;
inout VAR245;
inout VAR46;
inout VAR210;
input VAR59;
output VAR9;
input VAR239;
input VAR217;
inout VAR314;
inout VAR15;
inout VAR112;
inout VAR229;
inout VAR118;
inout VAR297;
inout VAR296;
inout VAR300;
output VAR215;
output VAR192;
output VAR189;
input VAR271;
input VAR28;
output VAR11;
output [ 3:0] VAR89;
input [ 3:0] VAR234;
input [ 3:0] VAR181;
output VAR273;
output VAR13;
output VAR73;
output VAR268;
output VAR299;
output [ 7:0] VAR126;
output [ 7:0] VAR160;
output [ 7:0] VAR77;
input VAR139;
input VAR111;
input [ 5:0] VAR8;
output VAR102;
output VAR238;
output [ 5:0] VAR136;
output VAR93;
output VAR275;
output VAR313;
output VAR255;
output VAR188;
output VAR172;
output VAR134;
output VAR82;
input VAR230;
wire [ 31:0] VAR58;
wire VAR106;
wire clk;
wire VAR17;
wire VAR272;
wire VAR21;
wire VAR286;
wire VAR306;
wire VAR110;
wire VAR173;
wire VAR45;
wire VAR240;
wire VAR131;
wire [ 15:0] VAR204;
wire [ 15:0] VAR64;
wire [ 15:0] VAR293;
wire [ 15:0] VAR177;
wire [ 63:0] VAR183;
wire VAR40;
wire VAR311;
wire VAR253;
wire VAR144;
wire VAR327;
wire VAR205;
wire VAR231;
wire VAR120;
wire VAR198;
wire [ 15:0] VAR150;
wire [ 15:0] VAR303;
wire [ 15:0] VAR6;
wire [ 15:0] VAR276;
wire [ 63:0] VAR211;
wire VAR233;
wire VAR243;
wire VAR244;
wire VAR258;
wire VAR62;
wire VAR100;
wire [7:0] VAR156,VAR5,VAR320;
assign VAR273 = VAR258;
assign VAR13 = 1'b1;
assign VAR73 = 1'b0;
assign VAR268 = VAR100;
assign VAR299 = VAR62;
assign {VAR77,VAR160,VAR126} = {VAR320,VAR5,VAR156};
VAR186 #(
.VAR219 ("VAR195,1,"),
.VAR43 (64),
.VAR16 (7),
.VAR288 (0),
.VAR115 (10),
.VAR7 (32),
.VAR159 (13323),
.VAR12 (24084),
.VAR269 (1076736),
.VAR128 ("VAR56"),
.VAR81 (1024),
.VAR228 (0),
.VAR47 ("VAR116"),
.VAR76 (1),
.VAR194 (0),
.VAR53 (1),
.VAR99 (1))
VAR315 (
.VAR98 (clk),
.VAR25 (VAR183),
.VAR242 (VAR306));
VAR292 VAR114 (
.VAR193 (VAR254),
.VAR48 (VAR106),
.VAR266 (VAR249),
.VAR124 (VAR155),
.VAR197 (VAR232),
.VAR66 (VAR18),
.VAR31 (VAR187),
.VAR241 (VAR301),
.VAR61 (VAR220),
.VAR262 (VAR34),
.VAR157 (VAR127),
.VAR164 (VAR104),
.VAR208 (VAR26),
.VAR49 (VAR138),
.VAR191 (VAR4),
.VAR54 (VAR146),
.VAR331 (VAR2),
.VAR41 (VAR309),
.VAR274 (VAR263),
.VAR283 (VAR123),
.VAR78 (VAR36),
.VAR223 (VAR213),
.VAR51 (VAR170),
.VAR225 (VAR27),
.VAR168 (VAR141),
.VAR92 (VAR142),
.VAR196 (VAR224),
.VAR167 (VAR323),
.VAR222 (VAR184),
.VAR108 (VAR117),
.VAR132 (VAR324),
.VAR214 (VAR96),
.VAR129 (VAR145),
.VAR14 (VAR212),
.VAR290 (VAR121),
.VAR151 (VAR251),
.VAR80 (VAR109),
.VAR130 (VAR42),
.VAR158 (VAR143),
.VAR10 (VAR87),
.VAR316 (VAR245),
.VAR50 (VAR79),
.VAR125 (VAR46),
.VAR52 (VAR210),
.VAR216 (VAR314),
.VAR1 (VAR15),
.VAR202 (VAR112),
.VAR289 (VAR229),
.VAR185 (VAR118),
.VAR166 (VAR297),
.VAR30 (VAR296),
.VAR97 (VAR300),
.VAR270 (VAR59),
.VAR237 (VAR9),
.VAR304 (VAR239),
.VAR32 (VAR217),
.VAR294 (VAR192),
.VAR38 (VAR189),
.VAR199 (VAR271),
.VAR105 (VAR215),
.VAR174 (VAR28),
.VAR252 (VAR11),
.VAR295 ({16'd0, 4'd0, VAR89, VAR234, VAR181}),
.VAR256 ({VAR58[31:16], VAR58[15:12], VAR89, VAR58[7:0]}),
.VAR312 (VAR106),
.VAR133 (),
.VAR107 (),
.VAR302 (1'b1),
.VAR236 (),
.VAR207 (),
.VAR140 (),
.VAR250 (),
.VAR163 (),
.VAR246 (),
.VAR203 (clk),
.VAR171 (VAR139),
.VAR257 (1'b0),
.VAR282 (VAR111),
.VAR33 (1'b0),
.VAR19 (VAR8),
.VAR247 (6'd0),
.VAR55 (VAR102),
.VAR169 (),
.VAR84 (VAR238),
.VAR261 (),
.VAR162 (VAR136),
.VAR161 (),
.VAR74 (clk),
.VAR86 (1'b0),
.VAR175 (),
.VAR148 (VAR17),
.VAR68 (VAR306),
.VAR221 (VAR204),
.VAR278 (VAR272),
.VAR200 (VAR110),
.VAR285 (VAR64),
.VAR284 (VAR21),
.VAR122 (VAR173),
.VAR218 (VAR293),
.VAR307 (VAR286),
.VAR308 (VAR45),
.VAR190 (VAR177),
.VAR322 (VAR40),
.VAR37 (),
.VAR69 (VAR311),
.VAR265 (VAR205),
.VAR103 (VAR150),
.VAR88 (VAR253),
.VAR63 (VAR231),
.VAR153 (VAR303),
.VAR326 (VAR144),
.VAR23 (VAR120),
.VAR101 (VAR6),
.VAR235 (VAR327),
.VAR149 (VAR198),
.VAR60 (VAR276),
.VAR95 (),
.VAR267 (VAR233),
.VAR57 (clk),
.VAR227 (VAR243),
.VAR135 (VAR244),
.VAR35 (VAR211),
.VAR277 (VAR233),
.VAR137 (),
.VAR154 (clk),
.VAR317 (VAR40),
.VAR119 (VAR240),
.VAR328 (VAR183),
.VAR226 (VAR131),
.VAR182 (),
.VAR280 (VAR230),
.VAR178 (VAR82),
.VAR83 (VAR134),
.VAR75 (VAR172),
.VAR91 (VAR258),
.VAR67 (VAR258),
.VAR248 ({VAR156,VAR5,VAR320}),
.VAR71 (),
.VAR206 (),
.VAR70 (VAR62),
.VAR259 (VAR100),
.VAR72 (),
.VAR20 (),
.VAR201 (),
.VAR291 (clk),
.VAR147 (VAR17),
.VAR325 (VAR306),
.VAR318 (VAR204),
.VAR176 (VAR272),
.VAR287 (VAR110),
.VAR44 (VAR64),
.VAR330 (VAR21),
.VAR39 (VAR173),
.VAR152 (VAR293),
.VAR281 (VAR286),
.VAR321 (VAR45),
.VAR310 (VAR177),
.VAR179 (VAR240),
.VAR29 (VAR131),
.VAR90 (VAR183),
.VAR65 (clk),
.VAR260 (VAR311),
.VAR85 (VAR205),
.VAR22 (VAR150),
.VAR319 (VAR253),
.VAR180 (VAR231),
.VAR209 (VAR303),
.VAR3 (VAR144),
.VAR279 (VAR120),
.VAR94 (VAR6),
.VAR329 (VAR327),
.VAR298 (VAR198),
.VAR264 (VAR276),
.VAR165 (VAR244),
.VAR24 (VAR243),
.VAR113 (VAR211),
.VAR305 ({VAR93, VAR275, VAR313, VAR255, VAR188})
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/or4/sky130_fd_sc_ms__or4.blackbox.v | 1,269 | module MODULE1 (
VAR8,
VAR2,
VAR5,
VAR6,
VAR9
);
output VAR8;
input VAR2;
input VAR5;
input VAR6;
input VAR9;
supply1 VAR4;
supply0 VAR1;
supply1 VAR7 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Control_DQ_Currents.v | 4,769 | module MODULE1
(
VAR15,
reset,
VAR8,
VAR19,
VAR7,
VAR28,
VAR24,
VAR14,
VAR23,
VAR6,
VAR21
);
input VAR15;
input reset;
input VAR8;
input VAR19;
input signed [17:0] VAR7; input signed [17:0] VAR28; input signed [17:0] VAR24; input signed [17:0] VAR14; input signed [17:0] VAR23; output signed [17:0] VAR6; output signed [17:0] VAR21;
wire signed [17:0] VAR17; wire signed [18:0] VAR2; wire signed [18:0] VAR1; wire signed [18:0] VAR11; wire signed [17:0] VAR4; wire signed [17:0] VAR5; wire signed [18:0] VAR22; wire signed [18:0] VAR9; wire signed [18:0] VAR29; wire signed [17:0] VAR12; wire signed [17:0] VAR18;
assign VAR17 = 18'VAR25;
assign VAR2 = VAR17;
assign VAR1 = VAR28;
assign VAR11 = VAR2 - VAR1;
assign VAR4 = VAR11[18:1];
VAR3 VAR16 (.VAR15(VAR15),
.reset(reset),
.VAR8(VAR8),
.VAR13(VAR19),
.VAR26(VAR4), .VAR14(VAR14), .VAR23(VAR23), .VAR10(VAR5) );
assign VAR6 = VAR5;
assign VAR22 = VAR7;
assign VAR9 = VAR24;
assign VAR29 = VAR22 - VAR9;
assign VAR12 = VAR29[18:1];
VAR20 VAR27 (.VAR15(VAR15),
.reset(reset),
.VAR8(VAR8),
.VAR13(VAR19),
.VAR26(VAR12), .VAR14(VAR14), .VAR23(VAR23), .VAR10(VAR18) );
assign VAR21 = VAR18;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/isobufsrc/sky130_fd_sc_hdll__isobufsrc.functional.pp.v | 2,064 | module MODULE1 (
VAR10 ,
VAR9,
VAR4 ,
VAR5 ,
VAR3 ,
VAR6 ,
VAR11
);
output VAR10 ;
input VAR9;
input VAR4 ;
input VAR5 ;
input VAR3 ;
input VAR6 ;
input VAR11 ;
wire VAR15 ;
wire VAR7 ;
wire VAR8;
not VAR12 (VAR15 , VAR9 );
and VAR14 (VAR7 , VAR15, VAR4 );
VAR13 VAR2 (VAR8, VAR7, VAR5, VAR3, VAR9);
buf VAR1 (VAR10 , VAR8 );
endmodule | apache-2.0 |
megari/sd2snes | verilog/sd2snes_gsu/gsu.v | 24,091 | module MODULE1(
input VAR74,
input [7:0] VAR131,
output [7:0] VAR102,
input [23:0] VAR35,
input VAR68,
input VAR28,
input [7:0] VAR82,
output [23:0] VAR123,
output VAR133,
input VAR96,
input [7:0] VAR113,
input [7:0] VAR117,
output [18:0] VAR134,
output VAR135,
output VAR78,
input VAR112,
output VAR56,
output VAR106,
output VAR152
);
wire VAR118 = VAR68 & !VAR35[22] & (VAR35[15:12] == 4'b0011) & (VAR35[15:0] < 16'h3040);
wire VAR115 = VAR118 & VAR28;
reg [7:0] VAR66;
wire [7:0] VAR108;
assign VAR108 = VAR66;
wire VAR38 = VAR68 & !VAR35[22] & (VAR35[15:12] == 4'b0011) & (VAR35[9] ^ VAR35[8]) & (VAR35[15:0] < 16'h3300);
wire VAR36 = VAR38 & VAR28;
reg [7:0] VAR148;
wire [7:0] VAR90;
assign VAR90 = VAR148;
assign VAR102 = VAR118 ? VAR108
: VAR38 ? VAR90
: 8'h00;
reg [15:0] VAR57 [15:0]; parameter
VAR5 = 4'd14,
VAR2 = 4'd15
;
reg [15:0] VAR72;
wire VAR53 = VAR72[1]; wire VAR111 = VAR72[2]; wire VAR6 = VAR72[3]; wire VAR140 = VAR72[4]; wire VAR119 = VAR72[5]; wire VAR121 = VAR72[6]; wire VAR71 = VAR72[8]; wire VAR13 = VAR72[9]; wire VAR8 = VAR72[10]; wire VAR18 = VAR72[11]; wire VAR60 = VAR72[12]; wire irq = VAR72[15]; parameter
VAR62 = 4'd1,
VAR17 = 4'd2,
VAR9 = 4'd3,
VAR89 = 4'd4,
VAR92 = 4'd5,
VAR109 = 4'd6,
VAR22 = 4'd8,
VAR139 = 4'd9,
VAR43 = 4'd10,
VAR24 = 4'd11,
VAR85 = 4'd12,
VAR81 = 4'd15
;
reg [7:0] VAR88; reg [7:0] VAR136; reg VAR100; reg [15:0] VAR105; reg [7:0] VAR69; reg [5:0] VAR21; reg [7:0] VAR98; reg [4:0] VAR70; reg VAR50; reg [7:0] VAR26; reg [7:0] VAR77; reg VAR61;
reg [7:0] VAR97;
reg [3:0] VAR144;
reg [15:0] VAR14;
reg [3:0] VAR47;
reg [3:0] VAR126;
reg [15:0] VAR7;
reg VAR39;
VAR75 VAR39 = 1'b0;
reg [16:0] VAR3;
assign VAR106 = VAR21[4];
assign VAR152 = VAR21[3];
reg [31:0] VAR141;
VAR75 VAR141 = 32'h00000000;
wire [7:0] VAR116;
wire [7:0] VAR30;
wire [8:0] VAR95;
wire VAR65;
wire [7:0] VAR29;
wire [7:0] VAR25;
wire [8:0] VAR48;
VAR84 VAR86 (
.VAR11(VAR116),
.VAR91(VAR30),
.VAR120(VAR95),
.VAR151(VAR65),
.VAR93(VAR25),
.VAR41(VAR48),
.clk(VAR74)
);
wire [8:0] VAR55 = (VAR35[9:0] + VAR105) & 9'h1ff;
wire [8:0] VAR99 = (VAR57[VAR2][9:0] + VAR105) & 9'h1ff;
assign VAR48 = VAR55;
assign VAR29 = VAR116;
wire VAR130 = VAR141[VAR99[8:4]];
reg [7:0] VAR49 [2:0];
reg [2:0] VAR132;
reg [2:0] VAR114;
reg [7:0] VAR4;
wire [3:0] VAR145 = VAR29[3:0];
reg [3:0] VAR142;
reg [7:0] VAR63 [1:0];
reg[7:0] VAR27 [7:0];
reg[7:0] VAR101;
reg[7:0] VAR40 [7:0];
reg[7:0] VAR1;
reg VAR34;
reg[7:0] state;
parameter VAR37 = 8'b00000001;
parameter VAR15 = 8'b00000010;
parameter VAR64 = 8'b00000100;
parameter VAR31 = 8'b00001000;
parameter VAR149 = 8'b00010000;
parameter VAR143 = 8'b00111101;
parameter VAR147 = 8'b00111110;
parameter VAR46 = 8'b00111111;
parameter VAR129 = 8'VAR94;
parameter VAR59 = 8'VAR45;
parameter VAR76 = 8'VAR44;
parameter VAR79 = 8'b00001001;
parameter VAR150 = 8'b00000001;
parameter VAR42 = 8'VAR80; reg [7:0] VAR10;
VAR75 begin: VAR16
reg [4:0] VAR138;
state = VAR37;
for (VAR138 = 5'h0; VAR138 <= VAR2; VAR138 = VAR138 + 5'h1) begin
VAR57[VAR138] = 16'h0000;
end
VAR10 = VAR150;
VAR49[0] = VAR150;
VAR49[1] = 8'b0;
VAR49[2] = 8'b0;
for (VAR138 = 2'h0; VAR138 < 2'h3; VAR138 = VAR138 + 2'h1) begin
VAR132[VAR138] = 1'b0;
end
VAR4 = VAR150;
end
reg [2:0] VAR32;
parameter VAR23 = 2'b00;
parameter VAR33 = 2'b01;
parameter VAR20 = 2'b10;
assign VAR56 = |VAR32;
reg [7:0] VAR107;
VAR75 VAR107 = 8'h00;
reg [8:0] VAR122;
VAR75 VAR122 = 9'h000;
reg [8:0] VAR83;
VAR75 VAR83 = 9'h000;
reg VAR110;
VAR75 VAR110 = 1'b0;
reg VAR104;
VAR75 VAR104 = 1'b0;
assign VAR30 = VAR32[VAR23] ? VAR82
: VAR32[VAR33] ? VAR107
: 8'h00;
assign VAR95 = VAR32[VAR23] ? VAR122
: VAR32[VAR33] ? VAR83
: VAR99;
assign VAR65 = VAR32[VAR23] ? VAR110
: VAR32[VAR33] ? VAR104
: 1'b0;
reg [23:0] VAR128;
wire [22:0] VAR52 = (~|VAR128[23:22] & VAR128[15])
?
({3'b000, VAR128[21:16], VAR128[14:0]})
:
({3'b000, VAR128[20:0]});
reg VAR54;
VAR75 VAR54 = 1'b0;
reg VAR12;
reg [7:0] VAR51;
reg [23:0] VAR125;
assign VAR103 = (~|VAR125[23:22] & VAR125[15])
?
({3'b000, VAR125[21:16], VAR125[14:0]})
:
({3'b000, VAR125[20:0]});
assign VAR133 = VAR54 | VAR12;
assign VAR123 = VAR32[VAR23] ? VAR52
: VAR103;
reg [4:0] VAR58;
parameter VAR73 = 5'b00001;
parameter VAR87 = 5'b00010;
parameter VAR67 = 5'b00100;
parameter VAR137 = 5'b01000;
parameter VAR127 = 5'b10000;
VAR75 VAR58 = VAR73;
reg VAR146;
reg VAR19;
reg VAR124; | gpl-2.0 |
walkthetalk/fsref | ip/s2mm/src/include/FIFO2MM.v | 7,910 | module MODULE1 #
(
parameter integer VAR33 = 12,
parameter integer VAR28 = 16,
parameter integer VAR29 = 32,
parameter integer VAR10 = 32,
parameter integer VAR25 = 12,
parameter integer VAR56 = 12,
parameter integer VAR38 = 4
)
(
input wire VAR15,
output wire VAR43,
input wire [VAR25-1:0] VAR27,
input wire [VAR56-1:0] VAR35,
input wire [VAR10-1 : 0] din,
output wire VAR11,
input wire [VAR33-1:0] VAR30,
output wire VAR2,
input wire [VAR29-1 : 0] VAR17,
input wire VAR50,
input wire VAR31,
output wire [VAR29-1 : 0] VAR24,
output wire [7 : 0] VAR7,
output wire [2 : 0] VAR55,
output wire [1 : 0] VAR53,
output wire VAR21,
output wire [3 : 0] VAR6,
output wire [2 : 0] VAR1,
output wire [3 : 0] VAR51,
output wire VAR12,
input wire VAR49,
output wire [VAR10-1 : 0] VAR48,
output wire [VAR10/8-1 : 0] VAR8,
output wire VAR19,
output wire VAR23,
input wire VAR45,
input wire [1 : 0] VAR18,
input wire VAR5,
output wire VAR20,
output wire VAR42
);
function integer VAR46 (input integer VAR37);
begin
for(VAR46=0; VAR37>0; VAR46=VAR46+1)
VAR37 = VAR37 >> 1;
end
endfunction
localparam integer VAR39 = VAR46(VAR28-1);
localparam integer VAR32 = VAR28 * VAR10/8;
reg [VAR29-1 : 0] VAR47;
reg VAR26;
reg VAR14;
reg VAR58;
reg [VAR39 : 0] VAR13;
reg VAR57;
reg VAR41;
wire VAR3;
wire VAR34;
reg VAR22;
reg VAR4;
reg [VAR25-1:0] VAR9;
reg [VAR56-1:0] VAR44;
wire VAR52;
assign VAR52 = (VAR9 == 0 && VAR44 == 0);
assign VAR34 = VAR45 & VAR23;
assign VAR3 = VAR5 && VAR20;
reg VAR54;
always @ (posedge VAR50) begin
if (VAR31 == 1'b0) VAR54 <= 1'b0;
end
else VAR54 <= VAR15;
end
reg VAR36;
assign VAR43 = VAR36;
always @ (posedge VAR50) begin
if (VAR31 == 1'b0)
VAR36 <= 1'b1;
end
else if (~(VAR57 | VAR41))
VAR36 <= 1'b0;
else if (VAR3)
VAR36 <= 1'b0;
else if (~VAR15 && VAR54) VAR36 <= 1'b1;
else
VAR36 <= VAR36;
end
reg VAR40;
assign VAR2 = VAR40;
always @ (posedge VAR50) begin
if (VAR31 == 0)
VAR40 <= 1'b0;
end
else if (VAR3 && VAR52)
VAR40 <= 1'b1;
else
VAR40 <= 1'b0;
end
wire VAR16;
assign VAR16 = VAR22 && (~VAR4 | VAR45);
assign VAR11 = VAR16 && ~VAR43;
always @(posedge VAR50) begin
if (VAR31 == 0)
VAR4 <= 1'b0;
end
else if (VAR16)
VAR4 <= 1'b1;
else if (VAR45)
VAR4 <= 1'b0;
else
VAR4 <= VAR4;
end
assign VAR24 = VAR47;
assign VAR7 = VAR28 - 1;
assign VAR55 = VAR46((VAR10/8)-1);
assign VAR53 = 2'b01;
assign VAR21 = 1'b0;
assign VAR6 = 4'b0010;
assign VAR1 = 3'h0;
assign VAR51 = 4'h0;
assign VAR12 = VAR26;
assign VAR48 = din;
assign VAR8 = {(VAR10/8){1'b1}};
assign VAR19 = VAR14;
assign VAR23 = VAR4 | VAR36;
assign VAR20 = VAR58;
always @ (posedge VAR50) begin
if (VAR31 == 1'b0)
VAR58 <= 1'b0;
end
else if (VAR5)
VAR58 <= 1'b1;
else
VAR58 <= 1'b0;
end
always @(posedge VAR50) begin
if (VAR31 == 0)
VAR26 <= 1'b0;
end
else if (~VAR26 && VAR57)
VAR26 <= 1'b1;
else if (VAR49 && VAR26)
VAR26 <= 1'b0;
else
VAR26 <= VAR26;
end
always @(posedge VAR50) begin
if (VAR31 == 0)
VAR47 <= 'b0;
end
else if (VAR57) begin
if (VAR52)
VAR47 <= VAR17;
end
else
VAR47 <= VAR47 + VAR32;
end
else
VAR47 <= VAR47;
end
always @(posedge VAR50) begin
if (VAR31 == 0)
VAR22 <= 1'b0;
end
else if (~VAR22 && VAR49 && VAR12)
VAR22 <= 1'b1;
else if (VAR34 && (VAR13 == 1))
VAR22 <= 1'b0;
else
VAR22 <= VAR22;
end
always @(posedge VAR50) begin
if (VAR31 == 0)
VAR14 <= 1'b0;
end
else if (VAR28 == 1)
VAR14 <= 1'b1;
else if (VAR34)
VAR14 <= (VAR13 == 1);
else
VAR14 <= VAR14;
end
always @(posedge VAR50) begin
if (VAR31 == 1'b0)
VAR13 <= 0;
end
else if (VAR57 == 1'b1)
VAR13 <= VAR28-1;
else if (VAR34 && (VAR13 != 0))
VAR13 <= VAR13 - 1;
else
VAR13 <= VAR13;
end
assign VAR42 = VAR5 & VAR18[1];
always @(posedge VAR50) begin
if (VAR31 == 1'b0)
VAR57 <= 1'b0;
end
else if (~VAR57 && ~VAR41
&& VAR15
&& (VAR30 >= VAR28)
)
VAR57 <= 1'b1;
else
VAR57 <= 1'b0;
end
always @(posedge VAR50) begin
if (VAR31 == 1'b0)
VAR41 <= 1'b0;
end
else if (VAR57)
VAR41 <= 1'b1;
else if (VAR3)
VAR41 <= 0;
else
VAR41 <= VAR41;
end
always @(posedge VAR50) begin
if (VAR31 == 1'b0 || VAR15 == 1'b0) begin
VAR9 <= 0;
VAR44 <= 0;
end
else if (VAR57 && VAR52) begin
VAR9 <= VAR27 - VAR38;
VAR44 <= VAR35 - 1;
end
else if (VAR34) begin
if (VAR9 != 0) begin
VAR9 <= VAR9 - VAR38;
VAR44 <= VAR44;
end
else if (VAR44 != 0) begin
VAR9 <= VAR27 - VAR38;
VAR44 <= VAR44 - 1;
end
else begin VAR9 <= VAR9;
VAR44 <= VAR44;
end
end
else begin
VAR9 <= VAR9;
VAR44 <= VAR44;
end
end
endmodule | gpl-3.0 |
vipinkmenon/fpgadriver | src/hw/fpga/source/user_logic_if/user_logic_top.v | 6,248 | module MODULE1(
input VAR41, input VAR59, input VAR27,
input VAR43,
input [31:0] VAR39,
input [19:0] VAR20,
input VAR53,
output [31:0] VAR1,
output VAR54,
input VAR66,
output [255:0] VAR5,
output [31:0] VAR28,
output VAR33,
output [26:0] VAR47,
output VAR38,
input [255:0] VAR35,
input VAR23,
input VAR4,
input VAR46,
input VAR60,
output VAR29,
input [63:0] VAR3,
output VAR21,
input VAR13,
output [63:0] VAR55,
input VAR15,
output VAR57,
input [63:0] VAR69,
output VAR24,
input VAR12,
output [63:0] VAR40,
input VAR11,
output VAR7,
input [63:0] VAR31,
output VAR71,
input VAR42,
output [63:0] VAR6,
input VAR26,
output VAR2,
input [63:0] VAR56,
output VAR65,
input VAR52,
output [63:0] VAR10,
input VAR45,
output VAR32,
input [63:0] VAR30,
output VAR58,
input VAR49,
output [63:0] VAR19,
input VAR51,
output VAR63,
input [63:0] VAR67,
output VAR36,
input VAR14,
output [63:0] VAR25,
input VAR16,
output VAR62,
input [63:0] VAR70,
output VAR17,
input VAR61,
output [63:0] VAR8,
input VAR50,
output VAR22,
input [63:0] VAR34,
output VAR18,
input VAR9,
output [63:0] VAR68,
output VAR64,
input VAR44
);
VAR48 VAR37 (
.VAR41(VAR41),
.VAR59(VAR59),
.VAR27(VAR27),
.VAR43(VAR43),
.VAR39(VAR39),
.VAR20(VAR20),
.VAR53(VAR53),
.VAR1(VAR1),
.VAR54(VAR54),
.VAR66(VAR66),
.VAR5(VAR5),
.VAR28(VAR28),
.VAR33(VAR33),
.VAR47(VAR47),
.VAR38(VAR38),
.VAR35(VAR35),
.VAR23(VAR23),
.VAR4(VAR4),
.VAR46(VAR46),
.VAR60(VAR60),
.VAR29(VAR29),
.VAR3(VAR3),
.VAR21(VAR21),
.VAR13(VAR13),
.VAR55(VAR55),
.VAR15(VAR15),
.VAR57(VAR57),
.VAR69(VAR69),
.VAR24(VAR24),
.VAR12(VAR12),
.VAR40(VAR40),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR31(VAR31),
.VAR71(VAR71),
.VAR42(VAR42),
.VAR6(VAR6),
.VAR26(VAR26),
.VAR2(VAR2),
.VAR56(VAR56),
.VAR65(VAR65),
.VAR52(VAR52),
.VAR10(VAR10),
.VAR45(VAR45),
.VAR32(VAR32),
.VAR30(VAR30),
.VAR58(VAR58),
.VAR49(VAR49),
.VAR19(VAR19),
.VAR51(VAR51),
.VAR63(VAR63),
.VAR67(VAR67),
.VAR36(VAR36),
.VAR14(VAR14),
.VAR25(VAR25),
.VAR16(VAR16),
.VAR62(VAR62),
.VAR70(VAR70),
.VAR17(VAR17),
.VAR61(VAR61),
.VAR8(VAR8),
.VAR50(VAR50),
.VAR22(VAR22),
.VAR34(VAR34),
.VAR18(VAR18),
.VAR9(VAR9),
.VAR68(VAR68),
.VAR64(VAR64),
.VAR44(VAR44)
);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a2bb2oi/sky130_fd_sc_lp__a2bb2oi.symbol.v | 1,460 | module MODULE1 (
input VAR8,
input VAR5,
input VAR6 ,
input VAR3 ,
output VAR7
);
supply1 VAR9;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR4 ;
endmodule | apache-2.0 |
Siliciumer/DOS-Mario-FPGA | DOS_Mario.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v | 7,150 | module MODULE1
( input VAR60,
output VAR37,
output VAR33,
input reset,
output VAR15
);
wire VAR89;
wire VAR26;
VAR81 VAR62
(.VAR22 (VAR89),
.VAR47 (VAR60));
wire VAR38;
wire VAR12;
wire VAR51;
wire VAR30;
wire VAR19;
wire VAR65;
wire VAR68;
wire [15:0] VAR25;
wire VAR41;
wire VAR92;
wire VAR66;
wire VAR73;
wire VAR29;
wire VAR78;
wire VAR58;
wire VAR69;
wire VAR82;
wire VAR71;
wire VAR35;
wire VAR31;
wire VAR45;
wire VAR76;
wire VAR49;
wire VAR27;
wire VAR39;
wire VAR1;
VAR90
.VAR74 ("VAR63"),
.VAR23 ("VAR17"),
.VAR57 ("VAR63"),
.VAR70 (1),
.VAR67 (10.000),
.VAR36 (0.000),
.VAR16 ("VAR63"),
.VAR6 (40.000),
.VAR32 (0.000),
.VAR56 (0.500),
.VAR4 ("VAR63"),
.VAR86 (10),
.VAR55 (0.000),
.VAR14 (0.500),
.VAR28 ("VAR63"),
.VAR75 (10.0))
VAR54
(
.VAR93 (VAR73),
.VAR79 (VAR78),
.VAR7 (VAR38),
.VAR52 (VAR58),
.VAR2 (VAR12),
.VAR5 (VAR69),
.VAR24 (VAR82),
.VAR13 (VAR71),
.VAR11 (VAR35),
.VAR83 (VAR31),
.VAR9 (VAR45),
.VAR3 (VAR76),
.VAR8 (VAR49),
.VAR20 (VAR29),
.VAR80 (VAR89),
.VAR64 (1'b0),
.VAR91 (1'b1),
.VAR85 (7'h0),
.VAR77 (1'b0),
.VAR42 (1'b0),
.VAR72 (16'h0),
.VAR48 (VAR25),
.VAR46 (VAR41),
.VAR34 (1'b0),
.VAR87 (1'b0),
.VAR61 (1'b0),
.VAR44 (1'b0),
.VAR50 (VAR92),
.VAR59 (VAR66),
.VAR21 (VAR39),
.VAR84 (VAR27),
.VAR53 (1'b0),
.VAR88 (VAR1));
assign VAR1 = reset;
assign VAR15 = VAR66;
VAR18 VAR40
(.VAR22 (VAR29),
.VAR47 (VAR73));
VAR18 VAR10
(.VAR22 (VAR37),
.VAR47 (VAR38));
VAR18 VAR43
(.VAR22 (VAR33),
.VAR47 (VAR12));
endmodule | mit |
UA3MQJ/fpga-synth | modules/note_pitch2dds.v | 2,367 | module MODULE1(clk, VAR7, VAR10, VAR8, VAR15, VAR2, VAR20);
input wire clk;
input wire [6:0] VAR7;
input wire [13:0] VAR10;
input wire [7:0] VAR8;
input wire [6:0] VAR15;
input wire [6:0] VAR2;
output reg [31:0] VAR20;
wire signed [7:0] VAR12 = VAR7; wire signed [16:0] VAR13 = VAR12 <<< 8;
wire signed [14:0] VAR5 = VAR10;
wire signed [16:0] VAR19 = VAR5 - 14'VAR6; wire signed [17:0] VAR18 = (VAR19 <<< 3) + (VAR19 <<< 2);
wire signed [17:0] VAR17 = (VAR18 >>> 5);
wire signed [9:0] VAR3 = VAR8 - 8'VAR14;
wire signed [9:0] VAR16 = VAR15;
wire signed [9:0] VAR1 = VAR2;
wire signed [16:0] VAR9 = VAR16 * VAR3 ;
wire signed [16:0] VAR11 = VAR1 * VAR3 ;
wire signed [19:0] VAR21 = VAR13 + VAR17 + (VAR9 )+ (VAR11 >>> 7);
wire [19:0] VAR23 = (VAR21 > 20'd0) ? VAR21 : 20'd0;
wire [8:0] VAR22 = VAR23 >> 8; wire [7:0] VAR4 = VAR23[7:0]; | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a31o/sky130_fd_sc_hdll__a31o.pp.symbol.v | 1,374 | module MODULE1 (
input VAR8 ,
input VAR3 ,
input VAR6 ,
input VAR5 ,
output VAR9 ,
input VAR1 ,
input VAR2,
input VAR4,
input VAR7
);
endmodule | apache-2.0 |
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC | bin_Dilation_Operation/ip/Dilation/acl_int_mult32u.v | 1,992 | module MODULE1 (
enable,
VAR4,
VAR10,
VAR12,
VAR14);
parameter VAR20 = 32;
parameter VAR7 = 32;
input enable;
input VAR4;
input [VAR20 - 1 : 0] VAR10;
input [VAR7 - 1 : 0] VAR12;
output reg[31:0] VAR14;
wire [VAR20 + VAR7 - 1 : 0] VAR9;
VAR11 VAR18 (
.VAR4 (VAR4),
.VAR12 (VAR12),
.VAR16 (enable),
.VAR10 (VAR10),
.VAR14 (VAR9),
.VAR2 (1'b0),
.sum (1'b0));
VAR18.VAR13 = "VAR19=9",
VAR18.VAR3 = 3,
VAR18.VAR8 = "VAR6",
VAR18.VAR5 = "VAR1",
VAR18.VAR15 = VAR20,
VAR18.VAR21 = VAR7,
VAR18.VAR17 = VAR20 + VAR7;
always@(posedge VAR4)
begin
if (enable)
VAR14 <= {0, VAR9[VAR20 + VAR7 - 1 : 0]};
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/sdfsbp/sky130_fd_sc_ms__sdfsbp_1.v | 2,615 | module MODULE1 (
VAR8 ,
VAR2 ,
VAR1 ,
VAR6 ,
VAR12 ,
VAR7 ,
VAR13,
VAR11 ,
VAR3 ,
VAR5 ,
VAR10
);
output VAR8 ;
output VAR2 ;
input VAR1 ;
input VAR6 ;
input VAR12 ;
input VAR7 ;
input VAR13;
input VAR11 ;
input VAR3 ;
input VAR5 ;
input VAR10 ;
VAR4 VAR9 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR13(VAR13),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule
module MODULE1 (
VAR8 ,
VAR2 ,
VAR1 ,
VAR6 ,
VAR12 ,
VAR7 ,
VAR13
);
output VAR8 ;
output VAR2 ;
input VAR1 ;
input VAR6 ;
input VAR12 ;
input VAR7 ;
input VAR13;
supply1 VAR11;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR10 ;
VAR4 VAR9 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR13(VAR13)
);
endmodule | apache-2.0 |
hsnuonly/PikachuVolleyFPGA | VGA.srcs/sources_1/ip/bg_mid/bg_mid_stub.v | 1,264 | module MODULE1(VAR3, VAR4, VAR5, VAR1, VAR2)
;
input VAR3;
input [0:0]VAR4;
input [14:0]VAR5;
input [11:0]VAR1;
output [11:0]VAR2;
endmodule | gpl-3.0 |
bmartini/verilog-arbiter | src/example.v | 1,828 | module MODULE1
VAR3 = 3,
VAR1 = 10)
(input clk,
input rst,
input [VAR3-1:0] request,
output [VAR3-1:0] VAR2,
output VAR4
); | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | models/udp_dff_pr_pp_pg_n/sky130_fd_sc_hs__udp_dff_pr_pp_pg_n.symbol.v | 1,478 | module MODULE1 (
input VAR1 ,
output VAR5 ,
input VAR6 ,
input VAR2 ,
input VAR7,
input VAR4 ,
input VAR3
);
endmodule | apache-2.0 |
twlostow/dsi-shield | hdl/rtl/hpdmc/spartan6/hpdmc_iobuf32.v | 2,882 | module MODULE1(
input [31:0] VAR23,
input [31:0] VAR15,
output [31:0] VAR7,
inout [31:0] VAR27
);
VAR36 VAR2(
.VAR23(VAR23[0]),
.VAR15(VAR15[0]),
.VAR7(VAR7[0]),
.VAR27(VAR27[0])
);
VAR36 VAR9(
.VAR23(VAR23[1]),
.VAR15(VAR15[1]),
.VAR7(VAR7[1]),
.VAR27(VAR27[1])
);
VAR36 VAR20(
.VAR23(VAR23[2]),
.VAR15(VAR15[2]),
.VAR7(VAR7[2]),
.VAR27(VAR27[2])
);
VAR36 VAR28(
.VAR23(VAR23[3]),
.VAR15(VAR15[3]),
.VAR7(VAR7[3]),
.VAR27(VAR27[3])
);
VAR36 VAR13(
.VAR23(VAR23[4]),
.VAR15(VAR15[4]),
.VAR7(VAR7[4]),
.VAR27(VAR27[4])
);
VAR36 VAR8(
.VAR23(VAR23[5]),
.VAR15(VAR15[5]),
.VAR7(VAR7[5]),
.VAR27(VAR27[5])
);
VAR36 VAR25(
.VAR23(VAR23[6]),
.VAR15(VAR15[6]),
.VAR7(VAR7[6]),
.VAR27(VAR27[6])
);
VAR36 VAR26(
.VAR23(VAR23[7]),
.VAR15(VAR15[7]),
.VAR7(VAR7[7]),
.VAR27(VAR27[7])
);
VAR36 VAR33(
.VAR23(VAR23[8]),
.VAR15(VAR15[8]),
.VAR7(VAR7[8]),
.VAR27(VAR27[8])
);
VAR36 VAR31(
.VAR23(VAR23[9]),
.VAR15(VAR15[9]),
.VAR7(VAR7[9]),
.VAR27(VAR27[9])
);
VAR36 VAR24(
.VAR23(VAR23[10]),
.VAR15(VAR15[10]),
.VAR7(VAR7[10]),
.VAR27(VAR27[10])
);
VAR36 VAR3(
.VAR23(VAR23[11]),
.VAR15(VAR15[11]),
.VAR7(VAR7[11]),
.VAR27(VAR27[11])
);
VAR36 VAR34(
.VAR23(VAR23[12]),
.VAR15(VAR15[12]),
.VAR7(VAR7[12]),
.VAR27(VAR27[12])
);
VAR36 VAR30(
.VAR23(VAR23[13]),
.VAR15(VAR15[13]),
.VAR7(VAR7[13]),
.VAR27(VAR27[13])
);
VAR36 VAR10(
.VAR23(VAR23[14]),
.VAR15(VAR15[14]),
.VAR7(VAR7[14]),
.VAR27(VAR27[14])
);
VAR36 VAR6(
.VAR23(VAR23[15]),
.VAR15(VAR15[15]),
.VAR7(VAR7[15]),
.VAR27(VAR27[15])
);
VAR36 VAR12(
.VAR23(VAR23[16]),
.VAR15(VAR15[16]),
.VAR7(VAR7[16]),
.VAR27(VAR27[16])
);
VAR36 VAR1(
.VAR23(VAR23[17]),
.VAR15(VAR15[17]),
.VAR7(VAR7[17]),
.VAR27(VAR27[17])
);
VAR36 VAR11(
.VAR23(VAR23[18]),
.VAR15(VAR15[18]),
.VAR7(VAR7[18]),
.VAR27(VAR27[18])
);
VAR36 VAR5(
.VAR23(VAR23[19]),
.VAR15(VAR15[19]),
.VAR7(VAR7[19]),
.VAR27(VAR27[19])
);
VAR36 VAR17(
.VAR23(VAR23[20]),
.VAR15(VAR15[20]),
.VAR7(VAR7[20]),
.VAR27(VAR27[20])
);
VAR36 VAR22(
.VAR23(VAR23[21]),
.VAR15(VAR15[21]),
.VAR7(VAR7[21]),
.VAR27(VAR27[21])
);
VAR36 VAR29(
.VAR23(VAR23[22]),
.VAR15(VAR15[22]),
.VAR7(VAR7[22]),
.VAR27(VAR27[22])
);
VAR36 VAR16(
.VAR23(VAR23[23]),
.VAR15(VAR15[23]),
.VAR7(VAR7[23]),
.VAR27(VAR27[23])
);
VAR36 VAR32(
.VAR23(VAR23[24]),
.VAR15(VAR15[24]),
.VAR7(VAR7[24]),
.VAR27(VAR27[24])
);
VAR36 VAR19(
.VAR23(VAR23[25]),
.VAR15(VAR15[25]),
.VAR7(VAR7[25]),
.VAR27(VAR27[25])
);
VAR36 VAR18(
.VAR23(VAR23[26]),
.VAR15(VAR15[26]),
.VAR7(VAR7[26]),
.VAR27(VAR27[26])
);
VAR36 VAR4(
.VAR23(VAR23[27]),
.VAR15(VAR15[27]),
.VAR7(VAR7[27]),
.VAR27(VAR27[27])
);
VAR36 VAR35(
.VAR23(VAR23[28]),
.VAR15(VAR15[28]),
.VAR7(VAR7[28]),
.VAR27(VAR27[28])
);
VAR36 VAR21(
.VAR23(VAR23[29]),
.VAR15(VAR15[29]),
.VAR7(VAR7[29]),
.VAR27(VAR27[29])
);
VAR36 VAR14(
.VAR23(VAR23[30]),
.VAR15(VAR15[30]),
.VAR7(VAR7[30]),
.VAR27(VAR27[30])
);
VAR36 VAR37(
.VAR23(VAR23[31]),
.VAR15(VAR15[31]),
.VAR7(VAR7[31]),
.VAR27(VAR27[31])
);
endmodule | lgpl-3.0 |
bit0fun/Fusion-Core | Fusion-Core-Base/decode_32.v | 10,160 | module MODULE1(
input[31:0] VAR55, input VAR52, input VAR44, input[31:0] VAR41, input VAR72,
output reg [4:0] VAR71, output reg [4:0] VAR43, output reg [4:0] VAR21, output reg [4:0] VAR49, output reg [20:0] VAR19,
output reg [3:0] VAR45,
output reg VAR18,
output reg VAR34,
output reg VAR6,
output reg VAR32,
output reg VAR20,
output reg VAR8, output reg [1:0] VAR48, output reg VAR12,
output reg VAR27,
output reg VAR57,
output reg VAR67,
output reg VAR62,
output reg [7:0] VAR51, output reg [7:0] VAR47,
input [7:0] VAR22,
output reg [31:0] VAR38,
output reg [30:0] VAR26
);
localparam VAR74 = 5;
localparam VAR28 = VAR37(VAR74);
localparam VAR24 = 5'b010011;
localparam VAR35 = 5'b010110;
localparam VAR50 = 5'b010000;
localparam VAR42 = 5'b001101;
localparam VAR75 = 5'b001100;
localparam VAR4 = 5'b000100;
localparam VAR1 = 5'b011110;
localparam VAR11 = 5'b011101;
wire[5:0] VAR36;
wire[4:0] VAR23;
wire[4:0] VAR65;
wire[4:0] VAR46;
wire[3:0] VAR10;
wire[1:0] VAR13;
wire[1:0] VAR3;
wire[3:0] VAR69;
wire[1:0] VAR54;
wire[7:0] VAR14;
wire[11:0] VAR29;
wire[13:0] VAR25;
wire[15:0] VAR59;
wire[13:0] VAR56;
wire[20:0] VAR2;
wire[13:0] VAR66;
wire[7:0] VAR7;
wire VAR73;
wire VAR40; wire VAR58; wire VAR70;
wire VAR76; wire VAR5;
wire VAR15;
wire VAR64;
wire VAR63;
wire VAR60;
wire VAR39;
wire VAR61; wire VAR9; wire VAR30;
wire VAR68;
wire VAR53;
wire VAR16;
wire VAR31;
wire [4:0] VAR33;
assign VAR36 = VAR55[31:26];
assign VAR23 = VAR55[20:16];
assign VAR65 = VAR55[15:11];
assign VAR46 = VAR55[25:21];
assign VAR10 = VAR55[3:0];
assign VAR13 = VAR55[15:14];
assign VAR3 = VAR55[25:24];
assign VAR69 = VAR55[20:16];
assign VAR54 = VAR55[1:0];
assign VAR14 = VAR55[15:8];
assign VAR29 = VAR55[15:4];
assign VAR25 = VAR55[13:0];
assign VAR59 = VAR55[15:0];
assign VAR56 = {VAR55[13:11],VAR55[10:0]};
assign VAR2 = {VAR55[25:21],VAR55[15:0]};
assign VAR66 = {VAR55[25:21],VAR55[10:2]};
assign VAR7 = VAR55[7:0];
assign VAR33 = (VAR31) ?VAR36[4:0] : 5'b0;
assign VAR40 = VAR36[5];
assign VAR58 = ~VAR36[4];
assign VAR70 = VAR36[4] & VAR36[3];
assign VAR76 = ~(VAR36[4] | VAR36[3]);
assign VAR5 = VAR40 & VAR36[4];
assign VAR39 = (VAR5 && ( (VAR14 & 8'h04) || (VAR14 & 8'b0 ) ));
assign VAR68 = (VAR36[1] | VAR36[0]) & (~VAR36[2] | VAR36[1]);
assign VAR53 = (VAR36[2] | VAR36[1]);
assign VAR16 = (VAR36[2] & VAR36[0]) | ( VAR36[1] & VAR36[0] );
assign VAR31 = (VAR36[2] | ~VAR36[1]);
assign VAR61 = (VAR36[4] & VAR36[1]) & ~(VAR36[5] & VAR36[3]) & (VAR36[2] ^ VAR36[0]); assign VAR9 = VAR60; assign VAR30 = (VAR58) & (VAR16);
assign VAR64 = (VAR70 & VAR16); assign VAR15 = (VAR70 & ~VAR16);
assign VAR60 = (VAR58 & ( (VAR36[2:0] && 3'b101) || (VAR23 == (5'b0)) ) ); assign VAR63 = (VAR58 & ~( (VAR36[2:0] && 3'b101) || (VAR23 == (5'b0)) ) );
reg [VAR28 - 1 : 0] VAR17;
always@(~VAR52 or VAR72) begin
VAR71 <= 5'b0; VAR43 <= 5'b0; VAR21 <= 5'b0; VAR49 <= 5'b0; VAR19 <= 21'b0;
VAR45 <= 4'b0;
VAR18 <= 1'b0;
VAR34 <= 1'b0;
VAR6 <= 1'b0;
VAR32 <= 1'b0;
VAR20 <= 1'b0;
VAR8 <= 1'b0; VAR48 <= 2'b0;
VAR27 <= 1'b0;
VAR57 <= 1'b0;
VAR67 <= 1'b0;
VAR62 <= 1'b0;
VAR51 <= 8'b0;
VAR47 <= 8'b0;
VAR38 <= 32'h0;
VAR26 <= 32'h0;
VAR12 <= 1'b0;
end
always@(posedge VAR44, VAR52, ~VAR72) begin
if (VAR61) begin VAR45 = VAR10;
end else if (VAR9) begin VAR45 = 4'b0000;
end else if (VAR30) begin VAR45 = 4'b1100; end else begin
VAR45 = 4'b1111; end
VAR48 = (VAR30) ? (VAR54) : (2'b0);
VAR26 <= (VAR40) ? VAR55 : 30'b0;
VAR20 <= VAR64;
VAR32 <= VAR15;
VAR34 <= VAR60;
VAR6 <= VAR63;
if(VAR33 == VAR50) begin VAR71 <= { 1'b0, VAR69}; end else if(VAR5 && ( VAR14 & (8'h02 ))) begin VAR71 <= 5'b10000; end else begin VAR71 <= 5'b0;
end
VAR43 <= (VAR53) ? VAR23 : 5'b0;
VAR21 <= (VAR16) ? VAR65 : 5'b0;
VAR49 <= (VAR68 ) ? VAR46 : 5'b0;
VAR38 <= VAR41;
if(!VAR40) begin
case (VAR33) VAR35: VAR19 <= {9'b0 ,VAR29};
VAR1: VAR19 <= {7'b0, VAR25};
VAR11: VAR19 <= {7'b0, VAR56};
VAR50: VAR19 <= {6'b0, VAR59};
VAR75: VAR19 <= VAR2;
VAR4: VAR19 <= VAR2;
VAR42: VAR19 <= {7'b0, VAR66};
default: VAR19 <= 21'b0; endcase
end else if(VAR36[5] & VAR36[4] & ~(VAR36[3] | VAR36[2] | VAR36[1] | VAR36[0] ) )begin
VAR19 <= VAR7;
end else begin
VAR19 <= 21'b0; end
if( VAR5) begin case (VAR14)
8'h00: begin VAR57 <= 1'b1;
VAR27 <= 1'b0;
VAR67 <= 1'b0;
VAR62 <= 1'b0;
end
8'h04: begin VAR57 <= 1'b0;
VAR27 <= 1'b1;
VAR67 <= 1'b0;
VAR62 <= 1'b0;
VAR12 <= 1'b1;
end
default: begin
VAR57 <= 1'b0;
VAR27 <= 1'b0;
VAR67 <= 1'b0;
VAR62 <= 1'b0;
end
endcase
end
if(VAR39) begin
if(VAR17 > VAR74) begin
VAR17 <= 0;
VAR27 <= 1'b0;
end
else begin
VAR17 = VAR17 + 1;
end
end
end
endmodule | gpl-3.0 |
Saucyz/explode | Hardware/Mod2/nios_system/synthesis/submodules/nios_system_audio_0.v | 12,437 | module MODULE1 (
clk,
reset,
address,
VAR44,
read,
write,
VAR42,
VAR37,
VAR54,
VAR10,
VAR26,
irq,
VAR5,
VAR58
);
input clk;
input reset;
input [ 1: 0] address;
input VAR44;
input read;
input write;
input [31: 0] VAR42;
input VAR37;
input VAR10;
input VAR54;
input VAR26;
output reg irq;
output reg [31: 0] VAR5;
output VAR58;
localparam VAR41 = 15;
localparam VAR39 = 5'd15;
wire VAR15;
wire VAR56;
wire VAR27;
wire VAR48;
wire [VAR41: 0] VAR49;
wire [VAR41: 0] VAR53;
wire [ 7: 0] VAR30;
wire [ 7: 0] VAR33;
wire VAR43;
wire VAR19;
wire [ 7: 0] VAR38;
wire [ 7: 0] VAR23;
reg VAR47;
reg VAR31;
reg VAR12;
reg VAR18;
reg VAR20;
reg VAR4;
reg VAR8;
reg VAR2;
always @(posedge clk)
begin
if (reset == 1'b1)
irq <= 1'b0;
end
else
irq <=
VAR2 |
VAR18;
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR5 <= 32'h00000000;
end
else if (VAR44 == 1'b1)
begin
if (address == 2'h0)
VAR5 <=
{22'h000000,
VAR2,
VAR18,
4'h0,
VAR8,
VAR12,
VAR4,
VAR31};
end
else if (address == 2'h1)
begin
VAR5[31:24] <= VAR38;
VAR5[23:16] <= VAR23;
VAR5[15: 8] <= VAR30;
VAR5[ 7: 0] <= VAR33;
end
else if (address == 2'h2)
VAR5 <= 32'h00000000 |
VAR49;
else
VAR5 <= 32'h00000000 |
VAR53;
end
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR31 <= 1'b0;
end
else if ((VAR44 == 1'b1) && (write == 1'b1) && (address == 2'h0))
VAR31 <= VAR42[0];
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR12 <= 1'b0;
end
else if ((VAR44 == 1'b1) && (write == 1'b1) && (address == 2'h0))
VAR12 <= VAR42[2];
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR18 <= 1'b0;
end
else if (VAR31 == 1'b0)
VAR18 <= 1'b0;
else
VAR18 <=
(&(VAR30[6:5]) | VAR30[7]) |
(&(VAR33[6:5]) | VAR33[7]);
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR47 <= 1'b0;
end
else if (VAR27 == 1'b1)
VAR47 <= 1'b1;
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR4 <= 1'b0;
end
else if ((VAR44 == 1'b1) && (write == 1'b1) && (address == 2'h0))
VAR4 <= VAR42[1];
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR8 <= 1'b0;
end
else if ((VAR44 == 1'b1) && (write == 1'b1) && (address == 2'h0))
VAR8 <= VAR42[3];
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR2 <= 1'b0;
end
else if (VAR4 == 1'b0)
VAR2 <= 1'b0;
else
VAR2 <=
(&(VAR38[6:5]) | VAR38[7]) |
(&(VAR23[6:5]) | VAR23[7]);
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR20 <= 1'b0;
end
else if (VAR19 == 1'b1)
VAR20 <= 1'b1;
end
VAR45 VAR14 (
.clk (clk),
.reset (reset),
.VAR7 (VAR54),
.VAR21 (VAR15),
.VAR50 (VAR56)
);
VAR45 VAR46 (
.clk (clk),
.reset (reset),
.VAR7 (VAR10),
.VAR21 (VAR27),
.VAR50 (VAR48)
);
VAR45 VAR9 (
.clk (clk),
.reset (reset),
.VAR7 (VAR26),
.VAR21 (VAR43),
.VAR50 (VAR19)
);
VAR25 VAR51 (
.clk (clk),
.reset (reset | VAR12),
.VAR6 (VAR15),
.VAR17 (VAR56),
.VAR28 (VAR27),
.VAR36 (VAR48),
.VAR29 (VAR47),
.VAR16 (VAR37),
.VAR35 ((address == 2'h2) & VAR44 & read),
.VAR34 ((address == 2'h3) & VAR44 & read),
.VAR1 (VAR30),
.VAR22 (VAR33),
.VAR52 (VAR49),
.VAR24 (VAR53)
);
VAR51.VAR41 = VAR41,
VAR51.VAR39 = VAR39;
VAR3 VAR55 (
.clk (clk),
.reset (reset | VAR8),
.VAR6 (VAR15),
.VAR17 (VAR56),
.VAR28 (VAR20 & VAR43),
.VAR36 (VAR20 & VAR19),
.VAR52 (VAR42[VAR41:0]),
.VAR11 ((address == 2'h2) & VAR44 & write),
.VAR24 (VAR42[VAR41:0]),
.VAR32 ((address == 2'h3) & VAR44 & write),
.VAR40 (VAR38),
.VAR57 (VAR23),
.VAR13 (VAR58)
);
VAR55.VAR41 = VAR41;
endmodule | mit |
uwsampa/zynqWrapper | hardware/zynqWrapper.v | 12,913 | module MODULE1
(
VAR97,
VAR72,
VAR16,
VAR64,
VAR61,
VAR21,
VAR37,
VAR60,
VAR81,
VAR86,
VAR30,
VAR53,
VAR99,
VAR105,
VAR67,
VAR49,
VAR42,
VAR107,
VAR108,
VAR58
);
input VAR97;
input VAR72;
input VAR16;
input VAR64;
input VAR61;
input [VAR27-1:0] VAR21;
input VAR37;
input VAR60;
input VAR81;
output VAR86;
output VAR30;
output VAR53;
output VAR99;
output VAR105;
output VAR67;
output [3:0] VAR49;
output [3:0] VAR42;
output [VAR7-1:0] VAR107;
output [VAR7-1:0] VAR108;
output [VAR27-1:0] VAR58;
localparam VAR50 = VAR35/VAR25;
localparam VAR47 = VAR35/VAR25;
localparam VAR20 = VAR25;
localparam VAR2 = 10;
localparam VAR76 = 4;
localparam VAR62 = 10;
localparam VAR103 = 16;
localparam VAR41 = 0;
localparam VAR98 = 1;
localparam VAR115 = 2;
localparam VAR12 = 1;
localparam VAR80 = 2;
localparam VAR71 = 3;
localparam VAR82 = 4;
localparam VAR114 = 5;
localparam VAR43 = 6;
VAR46(VAR44, reg, 3, VAR41);
VAR46(VAR1, reg, 3, VAR41);
VAR46(VAR33, reg, 1, 0 );
VAR46(VAR31, reg, 1, 0 );
VAR46(VAR26, reg, 1, 0 );
VAR46(VAR69, reg, 1, 0 );
VAR46(VAR83, reg, VAR4, 0 );
VAR46(VAR116, reg, VAR2, 0 );
VAR46(VAR18, reg, VAR76, 0 );
VAR46(VAR45, reg, VAR62, 0 );
VAR46(VAR79, reg, VAR62, 0 );
VAR46(VAR93, reg, 1, 0 );
VAR46(VAR59, reg, 1, 0 );
VAR46(VAR68, reg, VAR27, 0 );
VAR46(VAR65, reg, 1, 0 );
VAR46(VAR19, reg, 1, 0 );
VAR46(VAR54, reg, 1, 0 );
VAR46(VAR51, reg, 1, 0 );
VAR46(VAR109, reg, 1, 0 );
VAR46(VAR34, reg, 1, 0 );
VAR46(VAR52, reg, 1, 0 );
VAR46(VAR11, reg, 1, 0 );
VAR46(VAR6, reg, 1, 0 );
VAR46(VAR48, reg, 4, 0 );
VAR46(VAR111, reg, VAR27, 0 );
VAR46(VAR91, reg, VAR7, 0 );
VAR46(VAR89, reg, VAR7, 0 );
VAR46(VAR112, reg, VAR27, 0 );
VAR46(VAR113, reg, 1, 0 );
wire [VAR27-1:0] VAR15;
wire [VAR92-1:0] VAR28;
wire VAR29;
wire VAR102;
assign VAR29 = (VAR28 >= VAR20) ? 1'b1 : 1'b0;
assign VAR102 = (VAR28 >= VAR88-VAR20) ? 1'b1 : 1'b0;
assign VAR86 = VAR26;
assign VAR30 = VAR51;
assign VAR53 = VAR109;
assign VAR99 = VAR52;
assign VAR67 = VAR34;
assign VAR105 = (VAR25==1) ? 1'b1 : VAR6;
assign VAR49 = VAR25-1;
assign VAR42 = VAR48;
assign VAR58 = VAR111;
assign VAR107 = VAR91;
assign VAR108 = VAR89;
always@ begin
VAR32 = VAR44;
VAR66 = VAR16;
VAR90 = VAR33;
VAR9 = VAR69;
VAR94 = VAR83;
VAR63 = VAR116;
VAR77 = VAR91;
VAR17 = 1'b0;
VAR24 = 1'b1;
VAR5 = VAR52;
VAR10 = VAR112;
VAR40 = 1'b0;
if(VAR72) begin
if (VAR59 == 1'b1 && VAR69 == 1'b1 && VAR11 == 1'b1) begin
VAR10 = VAR68;
VAR40 = 1'b1;
end
if(VAR102) begin
VAR24 = 1'b0;
end
if (VAR31^VAR33) begin
VAR94 = VAR83 + 1;
VAR9 = 1'b1;
end
case(VAR44)
VAR41: begin
if (|VAR83) begin
if (VAR31^VAR33) begin
VAR94 = VAR83;
end else begin
VAR94 = VAR83 - 1;
end
VAR63 = 0;
VAR77 = VAR70;
VAR17 = 1'b1;
VAR32 = VAR98;
end else
VAR32 = VAR41;
end
VAR98: begin
VAR32 = VAR115;
end
VAR115: begin
if (VAR93 == 1'b1) begin
if (VAR116 == VAR50-1)
VAR32 = VAR41;
end
else begin
VAR63 = VAR116 + {{(VAR2-1){1'b0}},1'b1};
VAR77 = VAR91 + 32'h00000080;
VAR17 = 1'b1;
VAR32 = VAR98;
end
end else begin
VAR17 = 1'b1;
VAR32 = VAR98;
end
end
endcase
end
end
always@(*) begin
VAR85 = VAR1;
VAR110 = 1'b0;
VAR55 = VAR18;
VAR23 = VAR45;
VAR73 = VAR79;
VAR74 = VAR26;
VAR13 = 1'b0;
VAR39 = 1'b0;
VAR8 = 1'b0;
VAR87 = VAR48;
VAR104 = VAR89;
VAR3 = VAR111;
if(VAR72) begin
if (VAR79==VAR47) begin
VAR73 = {{(VAR62-1){1'b0}},{VAR54}};
VAR74 = ~VAR26;
end else if (VAR54 == 1'b1)
VAR73 = VAR79 + {{(VAR62-1){1'b0}},1'b1};
case(VAR1)
VAR41: begin
if (VAR29 == 1'b1) begin
VAR55 = 0;
VAR23 = 0;
VAR13 = 1'b1;
VAR87 = VAR25-1;
VAR104 = VAR84;
VAR85 = VAR12;
end else
VAR85 = VAR41;
end
VAR71: begin
if (VAR29 == 1'b1) begin
VAR55 = 0;
VAR23 = VAR45 + {{(VAR62-1){1'b0}},1'b1};
VAR13 = 1'b1;
VAR87 = VAR25-1;
VAR104 = VAR89 + 32'h00000080;
VAR85 = VAR12;
end
end
VAR12:
VAR85 = VAR80;
VAR80: begin
if (VAR65 == 1'b1) begin
VAR110 = 1'b1;
VAR39 = 1'b1;
VAR3 = VAR15;
VAR85 = VAR82;
end else begin
VAR13 = 1'b1;
VAR85 = VAR12;
end
end
VAR82:
VAR85 = VAR114;
VAR114: begin
if (VAR19 == 1'b1) begin
if (VAR18 == VAR25-1) begin
if (VAR45 == VAR47-1)
VAR85 = VAR41;
end
else begin
VAR85 = VAR71;
end
end else begin
if (VAR18 == VAR25-2)
VAR8 = 1'b1;
VAR110 = 1'b1;
VAR55 = VAR18 + {{(VAR76-1){1'b0}},1'b1};
VAR39 = 1'b1;
VAR3 = VAR15;
VAR85 = VAR82;
end
end else
VAR85 = VAR43;
end
VAR43: begin
if (VAR19 == 1'b1) begin
if (VAR18 == VAR25-1)
VAR8 = 1'b1;
VAR39 = 1'b1;
VAR85 = VAR82;
end else
VAR85 = VAR43;
end
endcase
end
end
VAR101 #(
.VAR75(VAR27),
.VAR95(VAR88),
.VAR106(VAR92)) VAR56 (
.VAR97(VAR97),
.VAR72(VAR72),
.VAR14(VAR112),
.VAR78(VAR15),
.VAR38(VAR113),
.VAR100(VAR110),
.VAR57(),
.VAR96(),
.VAR22(VAR28),
.VAR36(1'b0)
);
endmodule | mit |
davidkoltak/tawas-core | ip/tawas/rtl/tawas_au.v | 7,582 | module MODULE1
(
input clk,
input rst,
input [31:0] VAR16,
input [31:0] VAR27,
input [31:0] VAR45,
input [31:0] VAR25,
input [31:0] VAR41,
input [31:0] VAR29,
input [31:0] VAR34,
input [31:0] VAR7,
input [4:0] VAR14,
output [31:0] VAR38,
input VAR17,
input [2:0] VAR10,
input [31:0] VAR42,
input VAR31,
input [14:0] VAR20,
output VAR1,
output [2:0] VAR40,
output [31:0] VAR2,
output VAR21,
output [7:0] VAR8
);
parameter VAR33 = 32'hFFFFFFFF;
reg [32:0] VAR32;
reg [32:0] VAR3;
always @ (posedge clk)
if (VAR17)
begin
VAR32 <= {VAR42[31], VAR42};
VAR3 <= 33'd0;
end
else if (VAR31)
begin
case (VAR20[2:0])
3'd0: VAR32 <= {1'b0, VAR16};
3'd1: VAR32 <= {1'b0, VAR27};
3'd2: VAR32 <= {1'b0, VAR45};
3'd3: VAR32 <= {1'b0, VAR25};
3'd4: VAR32 <= {1'b0, VAR41};
3'd5: VAR32 <= {1'b0, VAR29};
3'd6: VAR32 <= {1'b0, VAR34};
default: VAR32 <= {1'b0, VAR7};
endcase
case (VAR20[5:3])
3'd0: VAR3 <= {1'b0, VAR16};
3'd1: VAR3 <= {1'b0, VAR27};
3'd2: VAR3 <= {1'b0, VAR45};
3'd3: VAR3 <= {1'b0, VAR25};
3'd4: VAR3 <= {1'b0, VAR41};
3'd5: VAR3 <= {1'b0, VAR29};
3'd6: VAR3 <= {1'b0, VAR34};
default: VAR3 <= {1'b0, VAR7};
endcase
end
reg VAR23;
reg [2:0] VAR11;
reg [14:0] VAR12;
reg [4:0] VAR15;
always @ (posedge clk)
begin
VAR23 <= VAR17;
VAR11 <= VAR10;
VAR12 <= VAR20;
VAR15 <= VAR14;
end
wire [4:0] VAR37 = (VAR12[11]) ? VAR12[7:3] : VAR3[4:0];
wire [31:0] VAR28 = (VAR32[31:0] << VAR37);
wire [31:0] VAR43 = (VAR32[31:0] >> VAR37);
wire [31:0] VAR30 = (VAR32[31:0] >>> VAR37);
reg [2:0] VAR19;
reg [32:0] VAR44;
reg [31:0] VAR13;
reg [31:0] VAR9;
reg [31:0] VAR36;
assign VAR38 = VAR13;
always @ (posedge clk or posedge rst)
if (rst) VAR9 <= 32'd0;
else VAR9 <= VAR9 + 32'd1;
always @ (posedge clk or posedge rst)
if (rst)
begin
VAR19 <= 3'd0;
VAR44 <= 33'd0;
VAR13 <= 32'd1;
VAR36 <= 32'd0;
end
else if (VAR23)
begin
VAR19 <= VAR11;
VAR44 <= VAR32;
end
else if (VAR12[14:13] == 2'b00)
begin
VAR19 <= VAR12[8:6];
case (VAR12[12:9])
4'h0: VAR44 <= VAR32 | VAR3;
4'h1: VAR44 <= VAR32 & VAR3;
4'h2: VAR44 <= VAR32 ^ VAR3;
4'h3: VAR44 <= VAR32 + VAR3;
4'h4: VAR44 <= VAR32 - VAR3;
default: VAR44 <= 33'd0;
endcase
end
else if (VAR12[14:11] == 4'b0100)
begin
VAR19 <= VAR12[2:0];
case (VAR12[10:6])
5'h00: VAR44 <= ~VAR3;
5'h01: VAR44 <= (~VAR3) + 33'd1;
5'h02: VAR44 <= {{25{VAR3[7]}}, VAR3[7:0]};
5'h03: VAR44 <= {{17{VAR3[15]}}, VAR3[15:0]};
5'h04: VAR44 <= (|VAR3[31:5]) ? 33'd0 : {VAR28[31], VAR28};
5'h05: VAR44 <= (|VAR3[31:5]) ? 33'd0 : {VAR43[31], VAR43};
5'h06: VAR44 <= (|VAR3[31:5]) ? {33{VAR32[31]}}
: {VAR43[31], VAR30};
5'h1B:
case (VAR12[5:3])
3'd0: VAR44 <= {1'b0, VAR33};
3'd1: VAR44 <= {28'd0, VAR15};
3'd2: VAR44 <= {1'b0, VAR13};
3'd3: VAR44 <= {1'b0, VAR9};
3'd7: VAR44 <= {1'b0, VAR36};
default: VAR44 <= 33'd0;
endcase
5'h1D: VAR44 <= VAR32 & VAR3;
5'h1E: VAR44 <= VAR32 - VAR3;
5'h1F:
begin
VAR44 <= 33'd0;
case (VAR12[5:3])
3'd2: VAR13 <= VAR32[31:0];
3'd7: VAR36 <= VAR32[31:0];
default: ;
endcase
end
default: VAR44 <= 33'd0;
endcase
end
else if (VAR12[14:11] == 4'b0101)
begin
VAR19 <= VAR12[2:0];
case (VAR12[10:8])
3'h0: VAR44 <= {32'd0, VAR32[VAR12[7:3]]};
3'h1: VAR44 <= (VAR32 & ~(33'd1 << VAR12[7:3]));
3'h2: VAR44 <= (VAR32 | (33'd1 << VAR12[7:3]));
3'h3: VAR44 <= (VAR32 ^ (33'd1 << VAR12[7:3]));
3'h4: VAR44 <= {VAR28[31], VAR28};
3'h5: VAR44 <= {VAR43[31], VAR43};
3'h6: VAR44 <= {VAR30[31], VAR30};
default: VAR44 <= 33'd0;
endcase
end
else if (VAR12[14:12] == 3'b011)
begin
VAR19 <= VAR12[2:0];
VAR44 <= VAR32 - {{24{VAR12[11]}}, VAR12[11:3]};
end
else if (VAR12[14:13] == 2'b10)
begin
VAR19 <= VAR12[2:0];
VAR44 <= VAR32 + {{23{VAR12[12]}}, VAR12[12:3]};
end
else if (VAR12[14:13] == 2'b11)
begin
VAR19 <= VAR12[2:0];
VAR44 <= {{23{VAR12[12]}}, VAR12[12:3]};
end
reg [32:0] VAR22;
reg [2:0] VAR18;
always @ (posedge clk)
begin
VAR18 <= VAR19;
VAR22 <= VAR44;
end
reg VAR26;
reg VAR4;
reg VAR39;
wire VAR6 = (VAR20[14:8] == 7'b0100111) ||
(VAR20[14:12] == 3'b011) ||
(VAR12[14:8] == 7'b0101000);
always @ (posedge clk or posedge rst)
if (rst)
begin
VAR26 <= 1'b0;
VAR4 <= 1'b0;
VAR39 <= 1'b0;
end
else
begin
VAR26 <= VAR17 || (VAR31 && !VAR6);
VAR4 <= VAR26;
VAR39 <= VAR4;
end
assign VAR1 = VAR39;
assign VAR40 = VAR18;
assign VAR2 = VAR22[31:0];
wire VAR35 = (VAR22 == 33'd0);
wire VAR5 = VAR22[31];
wire VAR24 = VAR22[32];
assign VAR21 = VAR39;
assign VAR8 = {5'd0, VAR24, VAR5, VAR35};
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/mux2/sky130_fd_sc_lp__mux2_8.v | 2,187 | module MODULE1 (
VAR4 ,
VAR8 ,
VAR3 ,
VAR2 ,
VAR10,
VAR1,
VAR9 ,
VAR5
);
output VAR4 ;
input VAR8 ;
input VAR3 ;
input VAR2 ;
input VAR10;
input VAR1;
input VAR9 ;
input VAR5 ;
VAR7 VAR6 (
.VAR4(VAR4),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR4 ,
VAR8,
VAR3,
VAR2
);
output VAR4 ;
input VAR8;
input VAR3;
input VAR2 ;
supply1 VAR10;
supply0 VAR1;
supply1 VAR9 ;
supply0 VAR5 ;
VAR7 VAR6 (
.VAR4(VAR4),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR2(VAR2)
);
endmodule | apache-2.0 |
SeanZarzycki/openSPARC-FPU | project/src/fpu_mul_exp_dp.v | 12,292 | module MODULE1 (
VAR52,
VAR56,
VAR29,
VAR86,
VAR61,
VAR41,
VAR46,
VAR32,
VAR14,
VAR71,
VAR85,
VAR50,
VAR30,
VAR53,
VAR73,
VAR16,
VAR40,
VAR15,
VAR12,
VAR4,
VAR79,
VAR75,
VAR62,
VAR77,
VAR84,
VAR45,
VAR20,
VAR44,
VAR72,
VAR6,
VAR18,
VAR67,
VAR24,
VAR21,
VAR23,
VAR51,
VAR11
);
input [62:52] VAR52; input [62:52] VAR56; input VAR29; input VAR86; input VAR61; input VAR41; input VAR46; input VAR32; input VAR14; input VAR71; input VAR85; input VAR50; input VAR30; input VAR53; input [6:0] VAR73; input VAR77; input VAR84; input VAR45; input VAR16; input VAR40; input VAR15; input VAR12; input VAR4; input VAR79; input VAR75; input VAR62; input VAR20; input VAR44;
output [12:0] VAR72; output VAR6; output VAR18; output [12:0] VAR67; output [12:0] VAR24; output [10:0] VAR21;
input VAR23; input VAR51; output VAR11;
wire [10:0] VAR69;
wire [10:0] VAR42;
wire [12:0] VAR19;
wire [12:0] VAR13;
wire [12:0] VAR10;
wire [12:0] VAR47;
wire [12:0] VAR55;
wire [12:0] VAR37;
wire [12:0] VAR58;
wire [12:0] VAR34;
wire [12:0] VAR70;
wire [12:0] VAR72;
wire [12:0] VAR57;
wire [12:0] VAR83;
wire VAR6;
wire VAR18;
wire [12:0] VAR17;
wire [12:0] VAR67;
wire [12:0] VAR59;
wire [12:0] VAR25;
wire [12:0] VAR74;
wire [12:0] VAR81;
wire [12:0] VAR60;
wire [12:0] VAR76;
wire [12:0] VAR49;
wire [12:0] VAR24;
wire [12:0] VAR22;
wire [12:0] VAR82;
wire [10:0] VAR48;
wire [10:0] VAR21;
wire sel;
assign sel = ~VAR23;
VAR54 VAR39 (
.clk(clk),
.VAR44(VAR44),
.VAR66(VAR20),
.VAR35(sel)
);
VAR78 #(11) VAR9 (
.din (VAR52[62:52]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR69[10:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
VAR78 #(11) VAR1 (
.din (VAR56[62:52]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR42[10:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
assign VAR19[12:0]= ({13{VAR86}}
& {2'b0, VAR69[10:0]})
| ({13{VAR61}}
& {5'b0, VAR69[10:3]});
assign VAR13[12:0]= ({13{VAR86}}
& {2'b0, VAR42[10:0]})
| ({13{VAR61}}
& {5'b0, VAR42[10:3]});
assign VAR10[12:0]= (VAR19[12:0]
+ VAR13[12:0]
+ 13'h0001);
assign VAR47[12:0]= ({13{VAR41}}
& VAR10[12:0])
| ({13{VAR46}}
& 13'h0bff)
| ({13{VAR32}}
& 13'h017f)
| ({13{VAR14}}
& 13'h04ff)
| ({13{VAR71}}
& {{3{VAR85}}, 10'b0});
VAR78 #(13) VAR5 (
.din (VAR47[12:0]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR55[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
assign VAR37[12:0]= ({13{VAR50}}
& 13'h1c00)
| ({13{VAR30}}
& 13'h1f80)
| ({13{VAR53}}
& 13'h0300);
assign VAR58[12:0]= VAR55[12:0]
+ VAR37[12:0];
VAR78 #(13) VAR68 (
.din (VAR58[12:0]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR34[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
VAR78 #(13) VAR2 (
.din (VAR34[12:0]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR70[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
VAR78 #(13) VAR38 (
.din (VAR70[12:0]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR72[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
VAR78 #(13) VAR7 (
.din (VAR70[12:0]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR57[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
assign VAR83[12:0]= (VAR57[12:0]
+ {6'h3f, VAR73[6:0]}
+ 13'h0001);
assign VAR6= (&(VAR72[12:0] ^ {6'h3f, VAR73[6:0]}));
assign VAR18= (!(VAR83[12] || VAR6));
assign VAR17[12:0]= (VAR83[12:0] & {13{(!VAR83[12])}});
VAR78 #(13) VAR80 (
.din (VAR17[12:0]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR67[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
assign VAR59[12:0]= VAR67[12:0]
+ 13'h0001;
assign VAR25[12:0]= ( ({13{VAR29}}
& VAR59[12:0]));
VAR64 #(13) VAR33 (
.din (VAR25[12:0]),
.clk (clk),
.VAR3 (VAR74[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
assign VAR81[12:0]= ( ({13{VAR29}}
& VAR67[12:0]));
VAR64 #(13) VAR28 (
.din (VAR81[12:0]),
.clk (clk),
.VAR3 (VAR60[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
assign VAR76[12:0]= (~({13{(!VAR29)}}
& VAR22[12:0]));
VAR64 #(13) VAR65 (
.din (VAR76[12:0]),
.clk (clk),
.VAR3 (VAR49[12:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
VAR64 #(5) VAR26 (
.din ({VAR62,VAR75,
VAR77,VAR84,VAR45}),
.clk (clk),
.VAR3 ({VAR43,VAR31,
VAR63,VAR36,VAR27}),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
assign VAR24[12:0] =
( {13{((VAR31 & VAR63) |
(VAR43 & VAR36) |
(VAR27) )}} & VAR74[12:0]) |
(~{13{((VAR31 & VAR63) |
(VAR43 & VAR36) |
(VAR27) )}} & VAR60[12:0]) |
~(VAR49[12:0]);
assign VAR22[12:0]= VAR24[12:0];
assign VAR82[12:0]= VAR22[12:0]
+ 13'h0001;
assign VAR48[10:0]= ({11{(VAR40
&& VAR16)}}
& VAR82[10:0])
| ({11{VAR15}}
& VAR22[10:0])
| ({11{((!VAR16) && (!VAR12))}}
& VAR22[10:0])
| ({11{VAR12}}
& {{3{VAR4}}, 7'h7f, VAR79});
VAR78 #(11) VAR8 (
.din (VAR48[10:0]),
.en (VAR29),
.clk (clk),
.VAR3 (VAR21[10:0]),
.VAR23 (VAR23),
.VAR51 (),
.VAR11 ()
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/and3/sky130_fd_sc_ms__and3_4.v | 2,164 | module MODULE1 (
VAR5 ,
VAR4 ,
VAR8 ,
VAR10 ,
VAR1,
VAR3,
VAR7 ,
VAR9
);
output VAR5 ;
input VAR4 ;
input VAR8 ;
input VAR10 ;
input VAR1;
input VAR3;
input VAR7 ;
input VAR9 ;
VAR6 VAR2 (
.VAR5(VAR5),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR9(VAR9)
);
endmodule
module MODULE1 (
VAR5,
VAR4,
VAR8,
VAR10
);
output VAR5;
input VAR4;
input VAR8;
input VAR10;
supply1 VAR1;
supply0 VAR3;
supply1 VAR7 ;
supply0 VAR9 ;
VAR6 VAR2 (
.VAR5(VAR5),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
fabianz66/cursos-tec | taller-digital/Proyecto Final/proyecto-final/sounds_module.v | 1,533 | module MODULE1(input VAR1, input reset, input[2:0] VAR2,
output reg[15:0] VAR4, output reg[15:0] VAR6);
reg [2:0] VAR3;
parameter [15:0] VAR7 [2:0];
parameter [15:0] VAR5 [2:0];
begin
begin
begin
begin | mit |
tmolteno/TART | hardware/FPGA/ddrmem/iobs_control.v | 2,620 | module MODULE1 (
VAR2,
VAR4,
VAR3,
VAR10,
VAR7,
VAR9,
VAR1,
VAR6,
VAR8,
VAR5
);
input VAR2;
input VAR4;
input VAR3;
input VAR10;
input VAR7;
input VAR9;
output VAR1;
output VAR6;
output VAR8;
output VAR5;
reg VAR1 = 1;
reg VAR6 = 1;
reg VAR8 = 1;
reg VAR5 = 1;
always @(posedge VAR2)
begin
if (!VAR4)
begin
VAR1 <= 1;
VAR6 <= 1;
VAR8 <= 1;
VAR5 <= 1;
end
else
begin
VAR1 <= VAR3;
VAR6 <= VAR10;
VAR8 <= VAR7;
VAR5 <= VAR9;
end
end
endmodule | lgpl-3.0 |
AngelTerrones/MUSB | Hardware/musb/musb_multiplier.v | 5,041 | module MODULE1(
input clk, input rst, input [31:0] VAR23, input [31:0] VAR13, input VAR4, input VAR9, input VAR24, input VAR25, output [63:0] VAR19, output VAR3, output ready );
reg [32:0] VAR14;
reg [32:0] VAR8;
reg [31:0] VAR27;
reg [31:0] VAR28;
reg [31:0] VAR16;
reg [31:0] VAR30; reg [31:0] VAR29;
reg [31:0] VAR1; reg [32:0] VAR18;
reg [63:0] VAR20;
reg VAR7; reg VAR22;
reg VAR11;
reg VAR17;
reg VAR10;
reg VAR31;
reg VAR26;
reg VAR5;
wire VAR2;
wire VAR21;
wire [47:0] VAR12;
wire [32:0] VAR15;
wire [32:0] VAR6;
assign VAR2 = (VAR4) ? VAR23[31] : 1'b0;
assign VAR21 = (VAR4) ? VAR13[31] : 1'b0;
assign VAR15 = {VAR2, VAR23};
assign VAR6 = {VAR21, VAR13};
assign VAR12 = {15'b0, VAR18} + {VAR1[31:0], VAR29[31:16]};
assign VAR19 = VAR5 ? -VAR20 : VAR20; assign ready = VAR17;
assign VAR3 = VAR7 | VAR22 | VAR11 | VAR17;
always @(posedge clk ) begin
if (rst | VAR25) begin
VAR7 <= 1'b0;
VAR22 <= 1'b0;
VAR11 <= 1'b0;
VAR17 <= 1'b0;
VAR10 <= 1'b0;
VAR31 <= 1'b0;
VAR26 <= 1'b0;
VAR5 <= 1'b0;
end
else if(~VAR24) begin
VAR14 <= VAR2 ? -VAR15 : VAR15;
VAR8 <= VAR21 ? -VAR6 : VAR6;
VAR10 <= VAR2 ^ VAR21;
VAR7 <= VAR9;
VAR27 <= VAR14[15:0] * VAR8[15:0]; VAR28 <= VAR14[15:0] * VAR8[32:16]; VAR16 <= VAR14[32:16] * VAR8[15:0]; VAR30 <= VAR14[31:16] * VAR8[31:16]; VAR31 <= VAR10;
VAR22 <= VAR7;
VAR29 <= VAR27;
VAR1 <= VAR30;
VAR18 <= VAR28 + VAR16; VAR26 <= VAR31;
VAR11 <= VAR22;
VAR20 <= {VAR12, VAR29[15:0]};
VAR5 <= VAR26;
VAR17 <= VAR11;
end
end
endmodule | mit |
drichmond/riffa | fpga/xilinx/ac701/riffa_wrapper_ac701.v | 38,622 | module MODULE1
parameter VAR291 = 128,
parameter VAR309 = 256,
parameter VAR69 = 5,
parameter VAR162 = "VAR234")
( input [VAR291-1:0] VAR114,
input [(VAR291/8)-1:0] VAR315,
input VAR167,
input VAR79,
output VAR161,
input [VAR42-1:0] VAR258,
output VAR43,
output VAR299,
output [VAR291-1:0] VAR109,
output [(VAR291/8)-1:0] VAR4,
output VAR330,
output VAR265,
input VAR128,
output [VAR235-1:0] VAR111,
output VAR305,
input [VAR163-1:0] VAR271,
input [VAR264-1:0] VAR145,
input [VAR103-1:0] VAR288,
input [VAR149-1:0] VAR13,
input [VAR149-1:0] VAR140,
input [VAR149-1:0] VAR166,
input [VAR149-1:0] VAR302,
input [VAR261-1:0] VAR210,
input [VAR247-1:0] VAR106,
output [VAR194-1:0] VAR292,
input VAR26,
input VAR53,
output VAR71,
input VAR207,
input VAR325,
output VAR121,
input [VAR191-1:0] VAR16, output [VAR191-1:0] VAR217, input [VAR191-1:0] VAR141, output [VAR191-1:0] VAR208, output [(VAR191*VAR9)-1:0] VAR274, output [(VAR191*VAR268)-1:0] VAR92, output [(VAR191*VAR291)-1:0] VAR58, output [VAR191-1:0] VAR306, input [VAR191-1:0] VAR147,
input [VAR191-1:0] VAR137, input [VAR191-1:0] VAR184, output [VAR191-1:0] VAR241, input [VAR191-1:0] VAR78, input [(VAR191*VAR9)-1:0] VAR233, input [(VAR191*VAR268)-1:0] VAR150, input [(VAR191*VAR291)-1:0] VAR206, input [VAR191-1:0] VAR204, output [VAR191-1:0] VAR192);
localparam VAR2 = "VAR335"; localparam VAR360 = VAR309 * 2;
localparam VAR124 = "VAR45";
localparam VAR179 = VAR291 / 32;
localparam VAR313 = 1;
localparam VAR366 = 1;
localparam VAR28 = 4;
wire clk;
wire VAR349;
wire VAR320;
wire VAR267;
wire VAR5;
wire VAR101;
wire [VAR291-1:0] VAR323;
wire VAR88;
wire VAR51;
wire [(VAR291/32)-1:0] VAR278;
wire [VAR329(VAR291/32)-1:0] VAR342;
wire [VAR216-1:0] VAR318;
wire VAR310;
wire [VAR329(VAR291/32)-1:0] VAR280;
wire [VAR23-1:0] VAR151;
wire [VAR327-1:0] VAR74;
wire [VAR84-1:0] VAR321;
wire [VAR125-1:0] VAR36;
wire [VAR182-1:0] VAR232;
wire [VAR155-1:0] VAR61;
wire [VAR275-1:0] VAR123;
wire VAR364;
wire [VAR291-1:0] VAR3;
wire VAR240;
wire [(VAR291/32)-1:0] VAR276;
wire VAR230;
wire [VAR329(VAR291/32)-1:0] VAR237;
wire [VAR216-1:0] VAR118;
wire VAR6;
wire [VAR329(VAR291/32)-1:0] VAR171;
wire [VAR23-1:0] VAR12;
wire [VAR54-1:0] VAR303;
wire [VAR177-1:0] VAR356;
wire [VAR327-1:0] VAR188;
wire [VAR125-1:0] VAR50;
wire [VAR324-1:0] VAR189;
wire [VAR312-1:0] VAR144;
wire [VAR301-1:0] VAR99;
wire [VAR182-1:0] VAR95;
wire VAR30;
wire VAR205;
wire [VAR291-1:0] VAR218;
wire VAR197;
wire [VAR329(VAR291/32)-1:0] VAR348;
wire VAR333;
wire [VAR329(VAR291/32)-1:0] VAR131;
wire VAR346;
wire VAR295;
wire [VAR216-1:0] VAR213;
wire [VAR23-1:0] VAR34;
wire [VAR84-1:0] VAR272;
wire [VAR125-1:0] VAR21;
wire [VAR182-1:0] VAR365;
wire [VAR155-1:0] VAR38;
wire [VAR327-1:0] VAR219;
wire [VAR301-1:0] VAR60;
wire [VAR54-1:0] VAR340;
wire [VAR177-1:0] VAR186;
wire VAR85;
wire VAR350;
wire VAR344;
wire VAR362;
wire [VAR291-1:0] VAR203;
wire VAR90;
wire [VAR329(VAR291/32)-1:0] VAR353;
wire VAR214;
wire [VAR329(VAR291/32)-1:0] VAR239;
wire VAR183;
wire VAR180;
wire [VAR216-1:0] VAR77;
wire [VAR23-1:0] VAR52;
wire [VAR324-1:0] VAR284;
wire [VAR182-1:0] VAR228;
wire [VAR327-1:0] VAR7;
wire [VAR54-1:0] VAR201;
wire [VAR177-1:0] VAR66;
wire [VAR125-1:0] VAR104;
wire VAR102;
wire VAR231;
wire VAR156;
wire VAR259;
wire [VAR291-1:0] VAR46;
wire VAR351;
wire [VAR198-1:0] VAR49;
wire VAR359;
wire [VAR198-1:0] VAR107;
wire VAR56;
wire [VAR312-1:0] VAR254;
wire VAR27;
wire [VAR291-1:0] VAR225;
wire VAR290;
wire [VAR198-1:0] VAR322;
wire VAR297;
wire [VAR198-1:0] VAR117;
wire VAR113;
wire VAR281;
wire [VAR291-1:0] VAR72;
wire [VAR332-1:0] VAR229;
wire [(VAR291/32)-1:0] VAR132;
wire VAR176 = 0;
wire VAR22;
wire [VAR291-1:0] VAR175 = 0;
wire [VAR15-1:0] VAR363 = 0;
wire VAR294 = 0;
wire [(VAR291/32)-1:0] VAR227 = 0;
wire VAR135 = 0;
wire VAR296;
wire [VAR291-1:0] VAR196 = 0;
wire [VAR154-1:0] VAR14 = 0;
wire VAR153 = 0;
wire [(VAR291/32)-1:0] VAR358 = 0;
wire VAR257 = 0;
wire VAR246 = 0;
wire [VAR291-1:0] VAR19;
wire [VAR181-1:0] VAR37;
wire VAR307;
wire [(VAR291/32)-1:0] VAR242;
wire VAR63;
wire VAR75 = 0;
wire VAR222;
wire [VAR275-1:0] VAR40;
wire VAR337;
wire VAR86;
wire [VAR82-1:0] VAR119;
wire [VAR343-1:0] VAR223;
wire [VAR317-1:0] VAR18;
wire [VAR354-1:0] VAR368;
wire [VAR261-1:0] VAR367;
wire [VAR247-1:0] VAR345;
wire VAR87;
wire VAR160;
genvar VAR70;
reg VAR98;
reg VAR168;
assign clk = VAR207;
assign VAR349 = VAR325;
VAR133
.VAR291 (VAR291))
VAR357
(
.VAR245 (VAR46[VAR291-1:0]),
.VAR73 (VAR56),
.VAR80 (VAR359),
.VAR316 (VAR107[VAR329(VAR291/32)-1:0]),
.VAR185 (VAR351),
.VAR127 (VAR49[VAR329(VAR291/32)-1:0]),
.VAR336 (VAR254[VAR312-1:0]),
.VAR251 (VAR27),
.VAR236 (VAR40[VAR275-1:0]),
.VAR146 (VAR222),
.VAR282 (VAR223[VAR343-1:0]),
.VAR57 (VAR119[VAR82-1:0]),
.VAR339 (VAR368[VAR354-1:0]),
.VAR64 (VAR18[VAR317-1:0]),
.VAR32 (VAR86),
.VAR67 (VAR337),
.VAR293 (VAR367[VAR261-1:0]),
.VAR39 (VAR345[VAR247-1:0]),
.VAR112 (VAR160),
.VAR55 (clk),
.VAR355 (VAR349),
.VAR263 (VAR259),
.VAR262 (VAR225[VAR291-1:0]),
.VAR347 (VAR113),
.VAR115 (VAR297),
.VAR105 (VAR117[VAR329(VAR291/32)-1:0]),
.VAR93 (VAR290),
.VAR252 (VAR322[VAR329(VAR291/32)-1:0]),
.VAR129 (VAR87),
.VAR161 (VAR161),
.VAR43 (VAR43),
.VAR299 (VAR299),
.VAR109 (VAR109[VAR291-1:0]),
.VAR4 (VAR4[(VAR291/8)-1:0]),
.VAR330 (VAR330),
.VAR265 (VAR265),
.VAR111 (VAR111[VAR235-1:0]),
.VAR305 (VAR305),
.VAR292 (VAR292[VAR194-1:0]),
.VAR71 (VAR71),
.VAR114 (VAR114[VAR291-1:0]),
.VAR315 (VAR315[(VAR291/8)-1:0]),
.VAR167 (VAR167),
.VAR79 (VAR79),
.VAR258 (VAR258[VAR42-1:0]),
.VAR128 (VAR128),
.VAR271 (VAR271[VAR163-1:0]),
.VAR145 (VAR145[VAR264-1:0]),
.VAR288 (VAR288[VAR103-1:0]),
.VAR13 (VAR13[VAR149-1:0]),
.VAR140 (VAR140[VAR149-1:0]),
.VAR166 (VAR166[VAR149-1:0]),
.VAR302 (VAR302[VAR149-1:0]),
.VAR210 (VAR210[VAR261-1:0]),
.VAR106 (VAR106[VAR247-1:0]),
.VAR26 (VAR26),
.VAR53 (VAR53));
VAR130
.VAR291 (VAR291),
.VAR69 (VAR69),
.VAR366 (VAR366),
.VAR313 (VAR313),
.VAR124 (VAR124))
VAR255
( .VAR178 (VAR323[VAR291-1:0]),
.VAR311 (VAR278[(VAR291/32)-1:0]),
.VAR31 (VAR88),
.VAR249 (VAR51),
.VAR173 (VAR342[VAR329(VAR291/32)-1:0]),
.VAR190 (VAR318[VAR216-1:0]),
.VAR89 (VAR310),
.VAR273 (VAR280[VAR329(VAR291/32)-1:0]),
.VAR97 (VAR151[VAR23-1:0]),
.VAR122 (VAR74[VAR327-1:0]),
.VAR62 (VAR321[VAR84-1:0]),
.VAR169 (VAR36[VAR125-1:0]),
.VAR148 (VAR232[VAR182-1:0]),
.VAR65 (VAR61[VAR155-1:0]),
.VAR48 (VAR123[VAR275-1:0]),
.VAR142 (VAR364),
.VAR17 (VAR3[VAR291-1:0]),
.VAR279 (VAR276[(VAR291/32)-1:0]),
.VAR370 (VAR240),
.VAR319 (VAR230),
.VAR193 (VAR237[VAR329(VAR291/32)-1:0]),
.VAR59 (VAR6),
.VAR158 (VAR171[VAR329(VAR291/32)-1:0]),
.VAR331 (VAR118[VAR216-1:0]),
.VAR174 (VAR12[VAR23-1:0]),
.VAR108 (VAR303[VAR54-1:0]),
.VAR8 (VAR356[VAR177-1:0]),
.VAR134 (VAR188[VAR327-1:0]),
.VAR361 (VAR50[VAR125-1:0]),
.VAR157 (VAR189[VAR324-1:0]),
.VAR91 (VAR144[VAR312-1:0]),
.VAR94 (VAR99[VAR301-1:0]),
.VAR328 (VAR95[VAR182-1:0]),
.VAR326 (VAR30),
.VAR200 (VAR346),
.VAR33 (VAR350),
.VAR352 (VAR344),
.VAR110 (VAR183),
.VAR96 (VAR231),
.VAR211 (VAR156),
.VAR238 (VAR121),
.VAR262 (VAR225),
.VAR347 (VAR113),
.VAR115 (VAR297),
.VAR105 (VAR117),
.VAR93 (VAR290),
.VAR252 (VAR322),
.VAR263 (VAR259),
.VAR248 (clk),
.VAR243 (VAR349),
.VAR236 (VAR40[VAR275-1:0]),
.VAR164 (VAR205),
.VAR143 (VAR218[VAR291-1:0]),
.VAR120 (VAR197),
.VAR314 (VAR348[VAR329(VAR291/32)-1:0]),
.VAR116 (VAR333),
.VAR68 (VAR131[VAR329(VAR291/32)-1:0]),
.VAR371 (VAR295),
.VAR29 (VAR213[VAR216-1:0]),
.VAR270 (VAR34[VAR23-1:0]),
.VAR20 (VAR272[VAR84-1:0]),
.VAR285 (VAR21[VAR125-1:0]),
.VAR212 (VAR365[VAR182-1:0]),
.VAR215 (VAR38[VAR155-1:0]),
.VAR220 (VAR219[VAR327-1:0]),
.VAR260 (VAR60[VAR301-1:0]),
.VAR334 (VAR340[VAR54-1:0]),
.VAR126 (VAR186[VAR177-1:0]),
.VAR24 (VAR85),
.VAR338 (VAR362),
.VAR286 (VAR203[VAR291-1:0]),
.VAR369 (VAR90),
.VAR165 (VAR353[VAR329(VAR291/32)-1:0]),
.VAR195 (VAR214),
.VAR250 (VAR239[VAR329(VAR291/32)-1:0]),
.VAR1 (VAR180),
.VAR11 (VAR77[VAR216-1:0]),
.VAR47 (VAR52[VAR23-1:0]),
.VAR269 (VAR284[VAR324-1:0]),
.VAR10 (VAR228[VAR182-1:0]),
.VAR244 (VAR7[VAR327-1:0]),
.VAR138 (VAR201[VAR54-1:0]),
.VAR308 (VAR66[VAR177-1:0]),
.VAR25 (VAR104[VAR125-1:0]),
.VAR287 (VAR102),
.VAR245 (VAR46),
.VAR73 (VAR56),
.VAR80 (VAR359),
.VAR316 (VAR107),
.VAR185 (VAR351),
.VAR127 (VAR49),
.VAR336 (VAR254),
.VAR251 (VAR27),
.VAR221 (VAR320),
.VAR283 (VAR267),
.VAR76 (VAR101),
.VAR226 (VAR5),
.VAR136 (VAR246),
.VAR298 (VAR296),
.VAR209 (VAR63),
.VAR304 (VAR307),
.VAR35 (VAR19[VAR291-1:0]),
.VAR81 (VAR242[(VAR291/32)-1:0]),
.VAR170 (VAR37[VAR181-1:0]),
.VAR266 (VAR22),
.VAR83 (VAR281),
.VAR341 (VAR72[VAR291-1:0]),
.VAR139 (VAR132[(VAR291/32)-1:0]),
.VAR159 (VAR229[VAR332-1:0]),
.VAR256 (VAR257),
.VAR253 (VAR153),
.VAR224 (VAR196[VAR291-1:0]),
.VAR277 (VAR358[(VAR291/32)-1:0]),
.VAR300 (VAR14[VAR154-1:0]),
.VAR187 (VAR135),
.VAR172 (VAR294),
.VAR152 (VAR175[VAR291-1:0]),
.VAR199 (VAR227[(VAR291/32)-1:0]),
.VAR289 (VAR363[VAR15-1:0]),
.VAR41 (VAR75),
.VAR202 (VAR176)
);
VAR44
.VAR291 (VAR291),
.VAR191 (VAR191),
.VAR360 (VAR360),
.VAR124 (VAR124),
.VAR2 (VAR2),
.VAR162 (VAR162),
.VAR28 (VAR28))
VAR100
( .VAR143 (VAR218[VAR291-1:0]),
.VAR164 (VAR205),
.VAR120 (VAR197),
.VAR314 (VAR348[VAR329(VAR291/32)-1:0]),
.VAR116 (VAR333),
.VAR68 (VAR131[VAR329(VAR291/32)-1:0]),
.VAR371 (VAR295),
.VAR29 (VAR213[VAR216-1:0]),
.VAR270 (VAR34[VAR23-1:0]),
.VAR20 (VAR272[VAR84-1:0]),
.VAR285 (VAR21[VAR125-1:0]),
.VAR212 (VAR365[VAR182-1:0]),
.VAR215 (VAR38[VAR155-1:0]),
.VAR220 (VAR219[VAR327-1:0]),
.VAR260 (VAR60[VAR301-1:0]),
.VAR334 (VAR340[VAR54-1:0]),
.VAR126 (VAR186[VAR177-1:0]),
.VAR24 (VAR85),
.VAR338 (VAR362),
.VAR286 (VAR203[VAR291-1:0]),
.VAR369 (VAR90),
.VAR165 (VAR353[VAR329(VAR291/32)-1:0]),
.VAR195 (VAR214),
.VAR250 (VAR239[VAR329(VAR291/32)-1:0]),
.VAR1 (VAR180),
.VAR11 (VAR77[VAR216-1:0]),
.VAR47 (VAR52[VAR23-1:0]),
.VAR269 (VAR284[VAR324-1:0]),
.VAR10 (VAR228[VAR182-1:0]),
.VAR244 (VAR7[VAR327-1:0]),
.VAR138 (VAR201[VAR54-1:0]),
.VAR308 (VAR66[VAR177-1:0]),
.VAR25 (VAR104[VAR125-1:0]),
.VAR287 (VAR102),
.VAR129 (VAR87),
.VAR55 (clk),
.VAR17 (VAR3[VAR291-1:0]),
.VAR370 (VAR240),
.VAR319 (VAR230),
.VAR193 (VAR237[VAR329(VAR291/32)-1:0]),
.VAR279 (VAR276[(VAR291/32)-1:0]),
.VAR59 (VAR6),
.VAR158 (VAR171[VAR329(VAR291/32)-1:0]),
.VAR331 (VAR118[VAR216-1:0]),
.VAR174 (VAR12[VAR23-1:0]),
.VAR108 (VAR303[VAR54-1:0]),
.VAR8 (VAR356[VAR177-1:0]),
.VAR134 (VAR188[VAR327-1:0]),
.VAR361 (VAR50[VAR125-1:0]),
.VAR157 (VAR189[VAR324-1:0]),
.VAR91 (VAR144[VAR312-1:0]),
.VAR94 (VAR99[VAR301-1:0]),
.VAR328 (VAR95[VAR182-1:0]),
.VAR326 (VAR30),
.VAR31 (VAR88),
.VAR178 (VAR323[VAR291-1:0]),
.VAR249 (VAR51),
.VAR173 (VAR342[VAR329(VAR291/32)-1:0]),
.VAR311 (VAR278[(VAR291/32)-1:0]),
.VAR89 (VAR310),
.VAR273 (VAR280[VAR329(VAR291/32)-1:0]),
.VAR190 (VAR318[VAR216-1:0]),
.VAR97 (VAR151[VAR23-1:0]),
.VAR122 (VAR74[VAR327-1:0]),
.VAR62 (VAR321[VAR84-1:0]),
.VAR169 (VAR36[VAR125-1:0]),
.VAR148 (VAR232[VAR182-1:0]),
.VAR65 (VAR61[VAR155-1:0]),
.VAR48 (VAR123[VAR275-1:0]),
.VAR142 (VAR364),
.VAR200 (VAR346),
.VAR33 (VAR350),
.VAR352 (VAR344),
.VAR110 (VAR183),
.VAR96 (VAR231),
.VAR211 (VAR156),
.VAR236 (VAR40[VAR275-1:0]),
.VAR146 (VAR222),
.VAR282 (VAR223[VAR343-1:0]),
.VAR57 (VAR119[VAR82-1:0]),
.VAR339 (VAR368[VAR354-1:0]),
.VAR64 (VAR18[VAR317-1:0]),
.VAR32 (VAR86),
.VAR67 (VAR337),
.VAR293 (VAR367[VAR261-1:0]),
.VAR39 (VAR345[VAR247-1:0]),
.VAR112 (VAR160),
.VAR221 (VAR320),
.VAR283 (VAR267),
.VAR243 (VAR349),
.VAR121 (VAR121),
.VAR217 (VAR217[VAR191-1:0]),
.VAR208 (VAR208[VAR191-1:0]),
.VAR274 (VAR274[(VAR191*32)-1:0]),
.VAR92 (VAR92[(VAR191*31)-1:0]),
.VAR58 (VAR58[(VAR191*VAR291)-1:0]),
.VAR306 (VAR306[VAR191-1:0]),
.VAR241 (VAR241[VAR191-1:0]),
.VAR192 (VAR192[VAR191-1:0]),
.VAR16 (VAR16[VAR191-1:0]),
.VAR141 (VAR141[VAR191-1:0]),
.VAR147 (VAR147[VAR191-1:0]),
.VAR137 (VAR137[VAR191-1:0]),
.VAR184 (VAR184[VAR191-1:0]),
.VAR78 (VAR78[VAR191-1:0]),
.VAR233 (VAR233[(VAR191*32)-1:0]),
.VAR150 (VAR150[(VAR191*31)-1:0]),
.VAR206 (VAR206[(VAR191*VAR291)-1:0]),
.VAR204 (VAR204[VAR191-1:0]));
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a21oi/sky130_fd_sc_hdll__a21oi.pp.blackbox.v | 1,367 | module MODULE1 (
VAR2 ,
VAR7 ,
VAR6 ,
VAR8 ,
VAR1,
VAR3,
VAR4 ,
VAR5
);
output VAR2 ;
input VAR7 ;
input VAR6 ;
input VAR8 ;
input VAR1;
input VAR3;
input VAR4 ;
input VAR5 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/nand4bb/sky130_fd_sc_hdll__nand4bb.functional.v | 1,444 | module MODULE1 (
VAR2 ,
VAR10,
VAR3,
VAR6 ,
VAR5
);
output VAR2 ;
input VAR10;
input VAR3;
input VAR6 ;
input VAR5 ;
wire VAR7;
wire VAR4;
nand VAR8 (VAR7, VAR5, VAR6 );
or VAR9 (VAR4, VAR3, VAR10, VAR7);
buf VAR1 (VAR2 , VAR4 );
endmodule | apache-2.0 |
Marcoslz22/Tercer_Proyecto | Counter.v | 1,621 | module MODULE1(
VAR2, VAR6, VAR4, VAR7 );
parameter VAR1 = 799; parameter VAR5 = 10; parameter VAR3 = VAR1;
input VAR2; input VAR6; output reg VAR4; output reg [VAR5 - 1: 0] VAR7;
VAR8 begin VAR4 = 0;
VAR7 = VAR3;
end
always@(posedge VAR2) begin
if (VAR6) begin if (VAR7 == VAR1) VAR7 <= 0;
end
else
VAR7 <= VAR7 + 1; end
end
always @(posedge VAR2) begin if (VAR6 && (VAR7 == VAR1-1)) VAR4 <= 1;
end
else VAR4 <= 0; end
endmodule | mit |
jairov4/accel-oil | solution_spartan6/syn/verilog/nfa_accept_sample.v | 43,248 | module MODULE1 (
VAR29,
VAR99,
VAR73,
VAR247,
VAR11,
VAR208,
VAR209,
VAR106,
VAR240,
VAR164,
VAR122,
VAR125,
VAR2,
VAR51,
VAR268,
VAR239,
VAR219,
VAR55,
VAR89,
VAR207,
VAR194,
VAR189,
VAR15,
VAR254,
VAR230,
VAR273,
VAR38,
VAR174,
VAR217,
VAR185,
VAR220,
VAR50,
VAR78,
VAR210,
VAR158,
VAR203,
VAR171,
VAR281,
VAR119,
VAR280,
VAR235,
VAR155,
VAR153,
VAR159,
VAR267,
VAR116
);
input VAR29;
input VAR99;
input VAR73;
output VAR247;
output VAR11;
output VAR208;
output VAR209;
input VAR106;
output VAR240;
input VAR164;
output VAR122;
output [31:0] VAR125;
input [31:0] VAR2;
output [31:0] VAR51;
output [31:0] VAR268;
output VAR239;
input VAR219;
output VAR55;
input VAR89;
output VAR207;
output [31:0] VAR194;
input [31:0] VAR189;
output [31:0] VAR15;
output [31:0] VAR254;
output VAR230;
input VAR273;
output VAR38;
input VAR174;
output VAR217;
output [31:0] VAR185;
input [31:0] VAR220;
output [31:0] VAR50;
output [31:0] VAR78;
input [7:0] VAR210;
output VAR158;
input VAR203;
output VAR171;
input VAR281;
output VAR119;
output [31:0] VAR280;
input [7:0] VAR235;
output [7:0] VAR155;
output [31:0] VAR153;
input [31:0] VAR159;
input [15:0] VAR267;
output [0:0] VAR116;
reg VAR247;
reg VAR11;
reg VAR208;
reg VAR38;
reg VAR217;
reg[31:0] VAR185;
reg VAR171;
reg VAR119;
reg[0:0] VAR116;
reg [5:0] VAR265 = 6'b000000;
reg [31:0] VAR231;
reg [31:0] VAR156;
reg [31:0] VAR64;
wire [0:0] VAR79;
reg [0:0] VAR24;
wire [15:0] VAR91;
reg [15:0] VAR134;
reg [31:0] VAR275;
wire [0:0] VAR52;
reg [0:0] VAR243;
wire [31:0] VAR147;
reg [31:0] VAR127;
reg [7:0] VAR132;
wire [0:0] VAR13;
reg [0:0] VAR87;
wire [4:0] VAR90;
reg [4:0] VAR53;
wire [1:0] VAR40;
wire [7:0] VAR17;
wire [7:0] VAR251;
wire [13:0] VAR205;
reg [13:0] VAR33;
wire [0:0] VAR143;
wire [5:0] VAR7;
reg [5:0] VAR162;
wire [13:0] VAR23;
reg [13:0] VAR204;
wire [13:0] VAR250;
reg [13:0] VAR260;
reg [7:0] VAR257;
reg [7:0] VAR110;
reg [31:0] VAR160;
reg [0:0] VAR152;
wire [31:0] VAR178;
reg [31:0] VAR62;
wire [31:0] VAR146;
reg [31:0] VAR111;
reg [31:0] VAR278;
wire [31:0] VAR216;
reg [31:0] VAR4;
wire [31:0] VAR157;
reg [31:0] VAR246;
wire [31:0] VAR270;
reg [31:0] VAR59;
wire [0:0] VAR139;
reg [0:0] VAR248;
wire [31:0] VAR187;
wire [7:0] VAR123;
wire [7:0] VAR165;
wire [31:0] VAR225;
wire [7:0] VAR227;
wire [7:0] VAR201;
wire [31:0] VAR149;
wire [0:0] VAR173;
reg VAR75;
reg VAR168;
wire VAR141;
wire VAR214;
wire VAR36;
wire VAR67;
wire VAR71;
wire VAR27;
wire VAR166;
wire VAR133;
wire [31:0] VAR63;
wire [31:0] VAR104;
wire [31:0] VAR5;
wire [31:0] VAR16;
wire VAR271;
wire [31:0] VAR12;
wire [31:0] VAR9;
wire VAR69;
wire VAR206;
wire VAR129;
wire VAR22;
wire VAR163;
wire VAR138;
wire VAR181;
wire VAR131;
wire VAR202;
wire [31:0] VAR179;
wire [31:0] VAR244;
wire [31:0] VAR126;
wire [31:0] VAR85;
wire VAR61;
wire [31:0] VAR74;
wire [31:0] VAR30;
wire [31:0] VAR56;
reg VAR282;
reg [15:0] VAR142;
wire [0:0] VAR88;
reg [31:0] VAR117;
reg [31:0] VAR196;
reg [31:0] VAR154;
reg [31:0] VAR249;
reg [0:0] VAR212;
reg [31:0] VAR114;
reg [1:0] VAR252;
reg [4:0] VAR112;
reg [0:0] VAR54;
reg [31:0] VAR232;
reg [31:0] VAR228;
reg [31:0] VAR101;
reg [7:0] VAR57;
reg [7:0] VAR43;
reg [0:0] VAR151;
reg [0:0] VAR236;
reg [0:0] VAR266;
reg [5:0] VAR256;
reg VAR45 = 1'b0;
wire [31:0] VAR103;
wire [31:0] VAR86;
wire [31:0] VAR269;
wire [31:0] VAR245;
wire [31:0] VAR145;
wire [15:0] VAR175;
wire [15:0] VAR26;
wire [31:0] VAR195;
wire [31:0] VAR170;
wire [0:0] VAR198;
wire [5:0] VAR258;
wire [5:0] VAR255;
wire [7:0] VAR180;
wire [5:0] VAR100;
wire [13:0] VAR264;
wire [13:0] VAR95;
wire [14:0] VAR109;
wire [14:0] VAR261;
wire VAR77;
wire VAR197;
reg VAR46;
wire VAR224;
wire VAR213;
wire VAR161;
reg [0:0] VAR176 = 1'b0;
wire [13:0] VAR96;
wire [13:0] VAR274;
parameter VAR137 = 1'b1;
parameter VAR183 = 1'b0;
parameter VAR215 = 6'b000000;
parameter VAR277 = 6'b1;
parameter VAR177 = 6'b10;
parameter VAR72 = 6'b11;
parameter VAR82 = 6'b100;
parameter VAR223 = 6'b101;
parameter VAR237 = 6'b110;
parameter VAR66 = 6'b111;
parameter VAR184 = 6'b1000;
parameter VAR211 = 6'b1001;
parameter VAR8 = 6'b1010;
parameter VAR241 = 6'b1011;
parameter VAR186 = 6'b1100;
parameter VAR115 = 6'b1101;
parameter VAR221 = 6'b1110;
parameter VAR39 = 6'b1111;
parameter VAR190 = 6'b10000;
parameter VAR20 = 6'b10001;
parameter VAR193 = 6'b10010;
parameter VAR44 = 6'b10011;
parameter VAR14 = 6'b10100;
parameter VAR42 = 6'b10101;
parameter VAR1 = 6'b10110;
parameter VAR136 = 6'b10111;
parameter VAR19 = 6'b11000;
parameter VAR144 = 6'b11001;
parameter VAR200 = 6'b11010;
parameter VAR6 = 6'b11011;
parameter VAR120 = 6'b11100;
parameter VAR31 = 6'b11101;
parameter VAR140 = 6'b11110;
parameter VAR32 = 6'b11111;
parameter VAR102 = 6'b100000;
parameter VAR105 = 6'b100001;
parameter VAR118 = 6'b100010;
parameter VAR81 = 6'b100011;
parameter VAR135 = 6'b100100;
parameter VAR229 = 6'b100101;
parameter VAR94 = 6'b100110;
parameter VAR262 = 6'b100111;
parameter VAR233 = 6'b101000;
parameter VAR48 = 6'b101001;
parameter VAR128 = 6'b101010;
parameter VAR98 = 6'b101011;
parameter VAR35 = 6'b101100;
parameter VAR169 = 1'b0;
parameter VAR60 = 16'b0000000000000000;
parameter VAR80 = 32'b00000000000000000000000000000000;
parameter VAR276 = 1'b1;
parameter VAR18 = 2'b10;
parameter VAR70 = 32'b1;
parameter VAR28 = 16'b1;
parameter VAR279 = 5'b00000;
parameter VAR83 = 8'b00000000;
parameter VAR21 = 1'b1;
VAR242 VAR3(
.VAR29( VAR29 ),
.VAR99( VAR99 ),
.VAR259( VAR187 ),
.VAR34( VAR123 ),
.VAR222( VAR165 ),
.VAR58( VAR225 ),
.VAR167( VAR227 ),
.VAR76( VAR201 ),
.VAR226( VAR149 ),
.VAR92( VAR173 ),
.VAR150( VAR75 )
);
VAR218 VAR47(
.VAR29( VAR29 ),
.VAR99( VAR99 ),
.VAR73( VAR168 ),
.VAR247( VAR141 ),
.VAR11( VAR214 ),
.VAR208( VAR36 ),
.VAR209( VAR67 ),
.VAR106( VAR71 ),
.VAR240( VAR27 ),
.VAR164( VAR166 ),
.VAR122( VAR133 ),
.VAR125( VAR63 ),
.VAR2( VAR104 ),
.VAR51( VAR5 ),
.VAR268( VAR16 ),
.VAR150( VAR271 ),
.VAR167( VAR12 ),
.VAR76( VAR9 )
);
VAR25 VAR188(
.VAR29( VAR29 ),
.VAR99( VAR99 ),
.VAR73( VAR69 ),
.VAR247( VAR206 ),
.VAR11( VAR129 ),
.VAR208( VAR22 ),
.VAR239( VAR163 ),
.VAR219( VAR138 ),
.VAR55( VAR181 ),
.VAR89( VAR131 ),
.VAR207( VAR202 ),
.VAR194( VAR179 ),
.VAR189( VAR244 ),
.VAR15( VAR126 ),
.VAR254( VAR85 ),
.VAR150( VAR61 ),
.VAR167( VAR74 ),
.VAR76( VAR30 )
);
VAR272 VAR172(
.VAR29( VAR29 ),
.VAR99( VAR99 ),
.VAR238( VAR56 ),
.VAR116( VAR90 ),
.VAR150( VAR282 )
);
VAR49 #(
.VAR113( 17 ),
.VAR199( 8 ),
.VAR97( 32 ),
.VAR41( 32 ),
.VAR148( 32 ))
VAR108(
.clk( VAR29 ),
.reset( VAR99 ),
.VAR84( VAR245 ),
.VAR234( VAR145 ),
.VAR253( VAR77 ),
.dout( VAR103 )
);
VAR10 #(
.VAR113( 18 ),
.VAR199( 4 ),
.VAR97( 16 ),
.VAR41( 16 ),
.VAR148( 16 ))
VAR263(
.clk( VAR29 ),
.reset( VAR99 ),
.VAR84( VAR175 ),
.VAR234( VAR26 ),
.VAR253( VAR197 ),
.dout( VAR91 )
);
VAR49 #(
.VAR113( 19 ),
.VAR199( 8 ),
.VAR97( 32 ),
.VAR41( 32 ),
.VAR148( 32 ))
VAR182(
.clk( VAR29 ),
.reset( VAR99 ),
.VAR84( VAR195 ),
.VAR234( VAR170 ),
.VAR253( VAR46 ),
.dout( VAR147 )
);
VAR68 #(
.VAR113( 20 ),
.VAR199( 2 ),
.VAR97( 6 ),
.VAR41( 6 ),
.VAR148( 6 ))
VAR124(
.clk( VAR29 ),
.reset( VAR99 ),
.VAR84( VAR258 ),
.VAR234( VAR255 ),
.VAR253( VAR224 ),
.dout( VAR7 )
);
VAR65 #(
.VAR113( 21 ),
.VAR199( 4 ),
.VAR97( 8 ),
.VAR41( 6 ),
.VAR148( 14 ))
VAR191(
.clk( VAR29 ),
.reset( VAR99 ),
.VAR84( VAR180 ),
.VAR234( VAR100 ),
.VAR253( VAR213 ),
.dout( VAR23 )
);
VAR192 #(
.VAR113( 22 ),
.VAR199( 4 ),
.VAR97( 14 ),
.VAR41( 14 ),
.VAR148( 14 ))
VAR107(
.clk( VAR29 ),
.reset( VAR99 ),
.VAR84( VAR264 ),
.VAR234( VAR95 ),
.VAR253( VAR161 ),
.dout( VAR250 )
);
always @ (posedge VAR29)
begin : VAR93
if (VAR99 == 1'b1) begin
VAR265 <= VAR215;
end else begin
VAR265 <= VAR256;
end
end
always @ (posedge VAR29)
begin : VAR37
if (VAR99 == 1'b1) begin
VAR176 <= VAR169;
end else begin
if ((VAR35 == VAR265)) begin
VAR176 <= VAR266;
end
end
end
always @ (posedge VAR29)
begin : VAR121
if (VAR99 == 1'b1) begin
VAR45 <= VAR183;
end else begin
if (((VAR241 == VAR256) & (VAR8 == VAR265) & (VAR24 == VAR169))) begin
VAR45 <= VAR137;
end else if ((VAR137 == VAR22)) begin
VAR45 <= VAR183;
end
end
end
always @(posedge VAR29)
begin
if (((VAR44 == VAR265) & (VAR87 == VAR169))) begin
VAR212 <= VAR276;
end else if (((VAR193 == VAR265) & ~(VAR281 == VAR183) & (VAR243 == VAR169))) begin
VAR212 <= VAR169;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR236 <= VAR169;
end else if ((VAR229 == VAR265)) begin
VAR236 <= VAR276;
end
end
always @(posedge VAR29)
begin
if (((VAR44 == VAR265) & (VAR87 == VAR169))) begin
VAR249 <= VAR196;
end else if (((VAR193 == VAR265) & ~(VAR281 == VAR183) & (VAR243 == VAR169))) begin
VAR249 <= VAR154;
end
end
always @(posedge VAR29)
begin
if (((VAR19 == VAR265) & ~(VAR169 == VAR143) & ~(VAR169 == VAR88))) begin
VAR142 <= VAR134;
end else if ((VAR72 == VAR265)) begin
VAR142 <= VAR60;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR43 <= VAR251;
end else if ((VAR229 == VAR265)) begin
VAR43 <= VAR257;
end
end
always @(posedge VAR29)
begin
if ((VAR1 == VAR265)) begin
VAR114 <= VAR249;
end else if (((VAR44 == VAR265) & ~(VAR87 == VAR169))) begin
VAR114 <= VAR80;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR101 <= VAR114;
end else if ((VAR229 == VAR265)) begin
VAR101 <= VAR160;
end
end
always @(posedge VAR29)
begin
if ((VAR1 == VAR265)) begin
VAR252 <= VAR40;
end else if (((VAR44 == VAR265) & ~(VAR87 == VAR169))) begin
VAR252 <= VAR18;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR57 <= VAR17;
end else if ((VAR229 == VAR265)) begin
VAR57 <= VAR110;
end
end
always @(posedge VAR29)
begin
if ((VAR1 == VAR265)) begin
VAR54 <= VAR169;
end else if (((VAR44 == VAR265) & ~(VAR87 == VAR169))) begin
VAR54 <= VAR276;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR151 <= VAR54;
end else if ((VAR229 == VAR265)) begin
VAR151 <= VAR152;
end
end
always @(posedge VAR29)
begin
if (((VAR19 == VAR265) & ~(VAR169 == VAR143) & ~(VAR169 == VAR88))) begin
VAR154 <= VAR228;
end else if ((VAR72 == VAR265)) begin
VAR154 <= VAR156;
end
end
always @(posedge VAR29)
begin
if (((VAR19 == VAR265) & ~(VAR169 == VAR143) & ~(VAR169 == VAR88))) begin
VAR196 <= VAR232;
end else if ((VAR72 == VAR265)) begin
VAR196 <= VAR64;
end
end
always @(posedge VAR29)
begin
if (((VAR19 == VAR265) & ~(VAR169 == VAR143) & ~(VAR169 == VAR88))) begin
VAR117 <= VAR127;
end else if ((VAR72 == VAR265)) begin
VAR117 <= VAR80;
end
end
always @(posedge VAR29)
begin
if (((VAR19 == VAR265) & ~(VAR169 == VAR143) & (VAR169 == VAR88))) begin
VAR266 <= VAR169;
end else if ((VAR98 == VAR265)) begin
VAR266 <= VAR248;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR228 <= VAR80;
end else if ((VAR229 == VAR265)) begin
VAR228 <= VAR62;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR232 <= VAR80;
end else if ((VAR229 == VAR265)) begin
VAR232 <= VAR146;
end
end
always @(posedge VAR29)
begin
if ((VAR233 == VAR265)) begin
VAR4 <= VAR216;
VAR246 <= VAR157;
end
end
always @(posedge VAR29)
begin
if ((VAR177 == VAR265)) begin
VAR156 <= VAR12;
VAR64 <= VAR9;
end
end
always @(posedge VAR29)
begin
if ((VAR66 == VAR265)) begin
VAR134 <= VAR91;
end
end
always @(posedge VAR29)
begin
if ((VAR1 == VAR265)) begin
VAR112 <= VAR53;
end
end
always @(posedge VAR29)
begin
if (((VAR81 == VAR265) & ~(VAR174 == VAR183))) begin
VAR257 <= VAR227;
VAR110 <= VAR201;
VAR160 <= VAR149;
VAR152 <= VAR173;
end
end
always @(posedge VAR29)
begin
if ((~(VAR174 == VAR183) & (VAR135 == VAR265))) begin
VAR62 <= VAR178;
end
end
always @(posedge VAR29)
begin
if ((VAR105 == VAR265)) begin
VAR260 <= VAR250;
end
end
always @(posedge VAR29)
begin
if (((VAR193 == VAR265) & ~(VAR281 == VAR183))) begin
VAR127 <= VAR147;
VAR132 <= VAR235;
end
end
always @(posedge VAR29)
begin
if ((VAR42 == VAR265)) begin
VAR53 <= VAR90;
end
end
always @(posedge VAR29)
begin
if ((((VAR81 == VAR265) & ~(VAR174 == VAR183)) | (~(VAR174 == VAR183) & (VAR135 == VAR265)))) begin
VAR231 <= VAR220;
end
end
always @(posedge VAR29)
begin
if ((VAR241 == VAR265)) begin
VAR275 <= VAR103;
end
end
always @(posedge VAR29)
begin
if ((VAR144 == VAR265)) begin
VAR162 <= VAR7;
end
end
always @(posedge VAR29)
begin
if ((VAR31 == VAR265)) begin
VAR204 <= VAR23;
end
end
always @(posedge VAR29)
begin
if ((VAR136 == VAR265)) begin
VAR33[0] <= VAR205[0];
VAR33[1] <= VAR205[1];
VAR33[2] <= VAR205[2];
VAR33[3] <= VAR205[3];
VAR33[4] <= VAR205[4];
VAR33[5] <= VAR205[5];
VAR33[6] <= VAR205[6];
VAR33[7] <= VAR205[7];
end
end
always @(posedge VAR29)
begin
if ((VAR48 == VAR265)) begin
VAR59 <= VAR270;
end
end
always @(posedge VAR29)
begin
if (((VAR193 == VAR265) & ~(VAR281 == VAR183) & ~(VAR243 == VAR169))) begin
VAR87 <= VAR13;
end
end
always @(posedge VAR29)
begin
if ((VAR20 == VAR265)) begin
VAR243 <= VAR52;
end
end
always @(posedge VAR29)
begin
if ((VAR128 == VAR265)) begin
VAR248 <= VAR139;
end
end
always @(posedge VAR29)
begin
if ((VAR262 == VAR265)) begin
VAR111 <= VAR74;
VAR278 <= VAR30;
end
end
always @(posedge VAR29)
begin
if ((VAR82 == VAR265)) begin
VAR24 <= VAR79;
end
end
always @ (VAR73 or VAR265)
begin
if (((~(VAR137 == VAR73) & (VAR215 == VAR265)) | (VAR35 == VAR265))) begin
VAR247 = VAR137;
end else begin
VAR247 = VAR183;
end
end
always @ (VAR73 or VAR265)
begin
if ((~(VAR137 == VAR73) & (VAR215 == VAR265))) begin
VAR11 = VAR137;
end else begin
VAR11 = VAR183;
end
end
always @ (VAR265)
begin
if ((VAR35 == VAR265)) begin
VAR208 = VAR137;
end else begin
VAR208 = VAR183;
end
end
always @ (VAR265 or VAR266 or VAR176)
begin
if ((VAR35 == VAR265)) begin
VAR116 = VAR266;
end else begin
VAR116 = VAR176;
end
end
always @ (VAR265 or VAR174 or VAR143)
begin
if ((((VAR81 == VAR265) & ~(VAR174 == VAR183)) | ((VAR19 == VAR265) & (VAR169 == VAR143)) | (VAR144 == VAR265) | (VAR200 == VAR265) | (VAR31 == VAR265) | (VAR105 == VAR265) | (VAR118 == VAR265) | (VAR6 == VAR265) | (VAR120 == VAR265) | (VAR140 == VAR265) | (VAR32 == VAR265) | (VAR102 == VAR265))) begin
VAR75 = VAR137;
end else begin
VAR75 = VAR183;
end
end
always @ (VAR265 or VAR281 or VAR24)
begin
if (((VAR20 == VAR265) | ((VAR193 == VAR265) & ~(VAR281 == VAR183)) | ((VAR241 == VAR265) & ~(VAR24 == VAR169)) | (VAR186 == VAR265) | (VAR115 == VAR265) | (VAR221 == VAR265) | (VAR39 == VAR265) | (VAR190 == VAR265))) begin
VAR46 = VAR137;
end else begin
VAR46 = VAR183;
end
end
always @ (VAR73 or VAR265)
begin
if (((VAR215 == VAR265) & ~(VAR73 == VAR183))) begin
VAR168 = VAR137;
end else begin
VAR168 = VAR183;
end
end
always @ (VAR265)
begin
if (((VAR42 == VAR265) | (VAR14 == VAR265))) begin
VAR282 = VAR137;
end else begin
VAR282 = VAR183;
end
end
always @ (VAR265 or VAR174 or VAR86 or VAR269)
begin
if (((VAR81 == VAR265) & ~(VAR174 == VAR183))) begin
VAR185 = VAR269;
end else if ((VAR118 == VAR265)) begin
VAR185 = VAR86;
end else begin
VAR185 = 'VAR130;
end
end
always @ (VAR265 or VAR174)
begin
if ((((VAR81 == VAR265) & ~(VAR174 == VAR183)) | (VAR118 == VAR265))) begin
VAR38 = VAR137;
end else begin
VAR38 = VAR183;
end
end
always @ (VAR265 or VAR174)
begin
if ((((VAR81 == VAR265) & ~(VAR174 == VAR183)) | (~(VAR174 == VAR183) & (VAR135 == VAR265)))) begin
VAR217 = VAR137;
end else begin
VAR217 = VAR183;
end
end
always @ (VAR265)
begin
if ((VAR20 == VAR265)) begin
VAR171 = VAR137;
end else begin
VAR171 = VAR183;
end
end
always @ (VAR265 or VAR281)
begin
if (((VAR193 == VAR265) & ~(VAR281 == VAR183))) begin
VAR119 = VAR137;
end else begin
VAR119 = VAR183;
end
end
always @ (VAR73 or VAR265 or VAR174 or VAR281 or VAR24 or VAR243 or VAR87 or VAR143 or VAR88)
begin
case (VAR265)
VAR215 :
if (~(VAR73 == VAR183)) begin
VAR256 = VAR277;
end else begin
VAR256 = VAR215;
end
VAR277 :
VAR256 = VAR177;
VAR177 :
VAR256 = VAR72;
VAR72 :
VAR256 = VAR82;
VAR82 :
VAR256 = VAR223;
VAR223 :
VAR256 = VAR237;
VAR237 :
VAR256 = VAR66;
VAR66 :
VAR256 = VAR184;
VAR184 :
VAR256 = VAR211;
VAR211 :
VAR256 = VAR8;
VAR8 :
VAR256 = VAR241;
VAR241 :
if ((VAR24 == VAR169)) begin
VAR256 = VAR94;
end else begin
VAR256 = VAR186;
end
VAR186 :
VAR256 = VAR115;
VAR115 :
VAR256 = VAR221;
VAR221 :
VAR256 = VAR39;
VAR39 :
VAR256 = VAR190;
VAR190 :
VAR256 = VAR20;
VAR20 :
VAR256 = VAR193;
VAR193 :
if ((~(VAR281 == VAR183) & (VAR243 == VAR169))) begin
VAR256 = VAR14;
end else if ((~(VAR281 == VAR183) & ~(VAR243 == VAR169))) begin
VAR256 = VAR44;
end else begin
VAR256 = VAR193;
end
VAR44 :
if (~(VAR87 == VAR169)) begin
VAR256 = VAR136;
end else begin
VAR256 = VAR14;
end
VAR14 :
VAR256 = VAR42;
VAR42 :
VAR256 = VAR1;
VAR1 :
VAR256 = VAR136;
VAR136 :
VAR256 = VAR19;
VAR19 :
if ((~(VAR169 == VAR143) & ~(VAR169 == VAR88))) begin
VAR256 = VAR82;
end else if ((~(VAR169 == VAR143) & (VAR169 == VAR88))) begin
VAR256 = VAR35;
end else begin
VAR256 = VAR144;
end
VAR144 :
VAR256 = VAR200;
VAR200 :
VAR256 = VAR6;
VAR6 :
VAR256 = VAR120;
VAR120 :
VAR256 = VAR31;
VAR31 :
VAR256 = VAR140;
VAR140 :
VAR256 = VAR32;
VAR32 :
VAR256 = VAR102;
VAR102 :
VAR256 = VAR105;
VAR105 :
VAR256 = VAR118;
VAR118 :
VAR256 = VAR81;
VAR81 :
if (~(VAR174 == VAR183)) begin
VAR256 = VAR135;
end else begin
VAR256 = VAR81;
end
VAR135 :
if (~(VAR174 == VAR183)) begin
VAR256 = VAR229;
end else begin
VAR256 = VAR135;
end
VAR229 :
VAR256 = VAR19;
VAR94 :
VAR256 = VAR262;
VAR262 :
VAR256 = VAR233;
VAR233 :
VAR256 = VAR48;
VAR48 :
VAR256 = VAR128;
VAR128 :
VAR256 = VAR98;
VAR98 :
VAR256 = VAR35;
VAR35 :
VAR256 = VAR215;
default :
VAR256 = 'VAR130;
endcase
end
assign VAR40 = (VAR212);
assign VAR88 = VAR236;
assign VAR216 = (VAR154 & VAR111);
assign VAR157 = (VAR196 & VAR278);
assign VAR187 = VAR196;
assign VAR123 = VAR43;
assign VAR225 = VAR101;
assign VAR165 = VAR57;
assign VAR77 = VAR137;
assign VAR245 = VAR117;
assign VAR145 = VAR159;
assign VAR197 = VAR137;
assign VAR175 = VAR142;
assign VAR26 = VAR28;
assign VAR195 = VAR117;
assign VAR170 = VAR70;
assign VAR224 = VAR137;
assign VAR258 = {{VAR198}, {VAR279}};
assign VAR255 = VAR43[5:0];
assign VAR213 = VAR137;
assign VAR180 = VAR96;
assign VAR96 = (VAR210);
assign VAR100 = VAR274;
assign VAR274 = (VAR162);
assign VAR161 = VAR137;
assign VAR264 = VAR204;
assign VAR95 = VAR33;
assign VAR61 = VAR137;
assign VAR69 = VAR45;
assign VAR244 = VAR189;
assign VAR138 = VAR219;
assign VAR131 = VAR89;
assign VAR271 = VAR137;
assign VAR104 = VAR2;
assign VAR71 = VAR106;
assign VAR166 = VAR164;
assign VAR56 = VAR249;
assign VAR251 = (VAR112);
assign VAR17 = (VAR252);
assign VAR143 = VAR151;
assign VAR178 = (VAR228 | VAR231);
assign VAR146 = (VAR232 | VAR231);
assign VAR194 = VAR179;
assign VAR15 = VAR126;
assign VAR239 = VAR163;
assign VAR55 = VAR181;
assign VAR207 = VAR202;
assign VAR254 = VAR85;
assign VAR50 = VAR80;
assign VAR230 = VAR183;
assign VAR78 = VAR70;
assign VAR125 = VAR63;
assign VAR51 = VAR5;
assign VAR209 = VAR67;
assign VAR240 = VAR27;
assign VAR122 = VAR133;
assign VAR268 = VAR16;
assign VAR280 = VAR275;
assign VAR155 = VAR83;
assign VAR158 = VAR183;
assign VAR153 = VAR70;
assign VAR205 = (VAR132);
assign VAR270 = (VAR246 | VAR4);
assign VAR13 = (VAR196 == VAR80? 1'b1: 1'b0);
assign VAR139 = (VAR59 != VAR80? 1'b1: 1'b0);
assign VAR52 = (VAR154 == VAR80? 1'b1: 1'b0);
assign VAR198 = VAR57[0:0];
assign VAR86 = (VAR109);
assign VAR109 = {{VAR260}, {VAR169}};
assign VAR269 = (VAR261);
assign VAR261 = {{VAR260}, {VAR276}};
assign VAR79 = (VAR142 < VAR267? 1'b1: 1'b0);
always @ (posedge VAR29)
begin
VAR33[13:8] <= 6'b000000;
end
endmodule | lgpl-3.0 |
Fabeltranm/FPGA-Game-D1 | HW/RTL/011J1G2/hdl/uart/uart.v | 1,287 | module MODULE1(
VAR8, VAR10, VAR5, VAR9, VAR7, VAR13 );
input VAR5;
input [7:0] VAR9;
input VAR7;
input VAR13;
output VAR8;
output VAR10;
reg [3:0] VAR11;
reg [8:0] VAR1;
reg VAR10;
wire VAR8 = |VAR11[3:1];
wire VAR3 = |VAR11;
reg [28:0] VAR2;
wire [28:0] VAR12 = VAR2[28] ? (115200) : (115200 - 100000000);
wire [28:0] VAR6 = VAR2 + VAR12;
wire VAR4 = ~VAR2[28];
always @(posedge VAR7)
if (VAR13) begin
VAR2 = 0;
end else begin
VAR2 = VAR6;
end
always @(posedge VAR7)
begin
if (VAR13) begin
VAR10 <= 1;
VAR11 <= 0;
VAR1 <= 0;
end else begin
if (VAR5 & ~VAR8) begin
VAR1 <= { VAR9[7:0], 1'h0 };
VAR11 <= (1 + 8 + 2);
end
if (VAR3 & VAR4) begin
{ VAR1, VAR10 } <= { 1'h1, VAR1 };
VAR11 <= VAR11 - 1;
end
end
end
endmodule | gpl-3.0 |
fbelavenuto/msx1fpga | src/audio/jt51/jt51.v | 8,336 | module MODULE1(
input clk, input rst, input VAR100, input VAR50, input VAR25,
input [7:0] din, output [7:0] dout, output VAR2,
output VAR110,
output VAR7, output reg VAR11,
output VAR36, output signed [15:0] VAR69,
output signed [15:0] VAR35,
output signed [15:0] VAR104,
output signed [15:0] VAR71,
output [15:0] VAR68,
output [15:0] VAR13
);
reg VAR56, VAR23;
assign VAR68 = { ~VAR104 [15], VAR104[14:0] };
assign VAR13 = { ~VAR71[15], VAR71[14:0] };
always @(posedge clk or posedge rst)
if( rst )
VAR11 <= 1'b0;
else
VAR11 <= ~VAR11;
always @(posedge VAR11 or posedge rst)
if( rst ) begin
VAR23 <= 1'b1;
VAR56 <= 1'b1;
end
else begin
VAR23 <= 1'b0;
VAR56 <= VAR23;
end
wire [9:0] VAR27;
wire [7:0] VAR76;
wire VAR34, VAR42;
wire VAR117, VAR79;
wire VAR46, VAR70;
wire VAR86, VAR81, VAR102;
wire VAR105, VAR113;
wire VAR38, VAR75;
VAR94 VAR114(
.clk ( clk ),
.rst ( VAR56 ),
.VAR27 ( VAR27 ),
.VAR76 ( VAR76 ),
.VAR34 ( VAR34 ),
.VAR42 ( VAR42 ),
.VAR117( VAR117 ),
.VAR79( VAR79 ),
.VAR46 ( VAR46 ),
.VAR70 ( VAR70 ),
.VAR105 ( VAR105 ),
.VAR113 ( VAR113 ),
.VAR38 ( VAR38 ),
.VAR75 ( VAR75 ),
.VAR86 ( VAR86 ),
.VAR81 ( VAR81 ),
.VAR102 ( VAR102 ),
.VAR7 ( VAR7 )
);
wire [1:0] VAR51;
wire [2:0] VAR14;
wire [2:0] VAR54;
wire [6:0] VAR57;
wire [5:0] VAR10;
wire [2:0] VAR1;
wire [1:0] VAR22;
wire [2:0] VAR107;
wire [3:0] VAR103;
wire [6:0] VAR15;
wire [1:0] VAR16;
wire [4:0] VAR80;
wire VAR96;
wire [4:0] VAR111;
wire [1:0] VAR109;
wire [4:0] VAR33;
wire [3:0] VAR29;
wire [3:0] VAR92;
wire [1:0] VAR93;
wire VAR84;
assign VAR36 =VAR84;
wire VAR12;
wire [7:0] VAR31;
wire [1:0] VAR64;
wire VAR90;
wire [6:0] VAR40;
wire [7:0] VAR89;
wire [6:0] VAR116, VAR47;
wire VAR60, VAR6, VAR82, VAR55;
wire VAR52,VAR8,VAR88, VAR43,VAR66;
VAR108 VAR87(
.rst ( VAR56 ),
.clk ( clk ),
.VAR84 ( VAR84 ),
.VAR90 ( VAR90 ),
.VAR31 ( VAR31 ),
.VAR64 ( VAR64 ),
.VAR28 ( VAR116 ),
.VAR20 ( VAR47 ),
.VAR40 ( VAR40 ),
.VAR19 ( VAR89 )
);
wire [ 4:0] VAR77;
wire [ 9:0] VAR85;
wire VAR59;
VAR112 VAR95(
.clk ( VAR11 ), .VAR84 ( VAR84 ),
.VAR57 ( VAR57 ),
.VAR10 ( VAR10 ),
.VAR103 ( VAR103 ),
.VAR107 ( VAR107 ),
.VAR109 ( VAR109 ),
.VAR1 ( VAR1 ),
.VAR89 ( VAR89 ),
.VAR59 ( VAR59 ),
.VAR77( VAR77 ),
.VAR37 ( VAR85 )
);
wire VAR101, VAR61;
wire [9:0] VAR30;
VAR26 VAR44(
.VAR101 ( VAR101 ),
.rst ( VAR56 ),
.clk ( VAR11 ),
.VAR84 ( VAR84 ),
.VAR77(VAR77), .VAR80 ( VAR80 ),
.VAR111 ( VAR111 ),
.VAR33 ( VAR33 ),
.VAR92 ( VAR92 ),
.VAR29 ( VAR29 ),
.VAR16 ( VAR16 ),
.VAR12 ( VAR12 ),
.VAR59 ( VAR59),
.VAR15 ( VAR15 ),
.VAR40 ( VAR40 ),
.VAR22 ( VAR22 ),
.VAR96 ( VAR96 ),
.VAR30 ( VAR30 )
);
wire signed [13:0] VAR97;
VAR4 VAR106(
.VAR101 ( VAR101 ),
.VAR61 ( VAR61 ),
.clk ( VAR11 ),
.VAR37 ( VAR85 ),
.VAR54 ( VAR54 ),
.VAR14 ( VAR14 ),
.VAR53 ( VAR30 ),
.VAR60 ( VAR60 ),
.VAR82 ( VAR82 ),
.VAR52 ( VAR52 ),
.VAR8 ( VAR8 ),
.VAR88 ( VAR88 ),
.VAR43 ( VAR43 ),
.VAR66 ( VAR66 ),
.VAR63 ( 1'b0 ),
.VAR84 ( VAR84 ),
.VAR78 ( VAR97 )
);
wire [4:0] VAR5;
wire [10:0] VAR74;
wire VAR83, VAR32, VAR18;
VAR49 VAR48(
.rst ( VAR56 ),
.clk ( VAR11 ),
.VAR5 ( VAR5 ),
.VAR58 ( VAR30 ),
.out ( VAR74 ),
.VAR18( VAR18 )
);
VAR45 VAR21(
.rst ( VAR56 ),
.clk ( VAR11 ),
.VAR60 ( VAR60 ),
.VAR6 ( VAR6 ),
.VAR82 ( VAR82 ),
.VAR55 ( VAR55 ),
.VAR32 ( VAR32 ),
.VAR51 ( VAR51 ),
.VAR54 ( VAR54 ),
.VAR97 ( VAR97 ),
.VAR83 ( VAR83 ),
.VAR41 ( VAR74 ),
.VAR69 ( VAR69 ),
.VAR35 ( VAR35 ),
.VAR104 ( VAR104 ),
.VAR71 ( VAR71 )
);
reg VAR62;
wire VAR9;
reg [1:0] VAR73;
reg VAR115, VAR98;
assign dout = { VAR62, 5'h0, VAR115, VAR98 };
always @(posedge clk )
{ VAR115, VAR98 } <= { VAR81, VAR86 };
wire write = !VAR100 && !VAR50;
reg [7:0] VAR99;
reg VAR17;
reg VAR67;
always @(posedge clk) begin : VAR65
if( rst ) begin
VAR62 <= 1'b0;
VAR17 <= 1'b0;
VAR99 <= 8'd0;
VAR67 <= 1'b0;
end
else begin
VAR73 <= { VAR73[0], VAR9 };
if( write && !VAR62 ) begin
VAR62 <= 1'b1;
VAR67 <= 1'b1;
VAR17 <= VAR25;
VAR99 <= din;
end
else begin
if( VAR9 ) VAR67 <= 1'b0;
if( VAR62 && VAR73==2'b10 ) VAR62 <= 1'b0;
end
end
end
reg VAR72, VAR39;
reg [7:0] VAR3;
always @(posedge VAR11 )
{ VAR72, VAR39, VAR3 } <= { VAR67, VAR17, VAR99 };
VAR24 VAR91(
.clk ( VAR11 ),
.rst ( VAR56 ),
.VAR25 ( VAR39 ),
.write ( VAR72 ),
.din ( VAR3 ),
.VAR62 ( VAR9 ),
.VAR2 ( VAR2 ),
.VAR110 ( VAR110 ),
.VAR31 ( VAR31 ),
.VAR64 ( VAR64 ),
.VAR28 ( VAR116 ),
.VAR20 ( VAR47 ),
.VAR90 ( VAR90 ),
.VAR83 ( VAR83 ),
.VAR5 ( VAR5 ),
.VAR27 ( VAR27 ),
.VAR76 ( VAR76 ),
.VAR34 ( VAR34 ),
.VAR42 ( VAR42 ),
.VAR117( VAR117 ),
.VAR79( VAR79 ),
.VAR46 ( VAR46 ),
.VAR70 ( VAR70 ),
.VAR38 ( VAR38 ),
.VAR75 ( VAR75 ),
.VAR105 ( VAR105 ),
.VAR113 ( VAR113 ),
.VAR102 ( VAR102 ),
.VAR101 ( VAR101 ),
.VAR61 ( VAR61 ),
.VAR51 ( VAR51 ),
.VAR14 ( VAR14 ),
.VAR54 ( VAR54 ),
.VAR57 ( VAR57 ),
.VAR10 ( VAR10 ),
.VAR1 ( VAR1 ),
.VAR22 ( VAR22 ),
.VAR107 ( VAR107 ),
.VAR103 ( VAR103 ),
.VAR15 ( VAR15 ),
.VAR16 ( VAR16 ),
.VAR80 ( VAR80 ),
.VAR96 ( VAR96 ),
.VAR111 ( VAR111 ),
.VAR109 ( VAR109 ),
.VAR33 ( VAR33 ),
.VAR29 ( VAR29 ),
.VAR92 ( VAR92 ),
.VAR12 ( VAR12 ),
.VAR93 ( VAR93 ),
.VAR18 ( VAR18 ),
.VAR32 ( VAR32 ),
.VAR84 ( VAR84 ),
.VAR60 ( VAR60 ),
.VAR6 ( VAR6 ),
.VAR82 ( VAR82 ),
.VAR55 ( VAR55 ),
.VAR52 ( VAR52 ),
.VAR8 ( VAR8 ),
.VAR88 ( VAR88 ),
.VAR43 ( VAR43 ),
.VAR66 ( VAR66 )
);
endmodule | gpl-3.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/jbi/jbi_min/rtl/jbi_min_rq.v | 13,490 | module MODULE1(
VAR55, VAR22, VAR77, VAR61,
VAR10, VAR71, VAR7,
VAR63, VAR21, VAR64,
VAR26, VAR70, VAR74, VAR38,
VAR28, VAR54, VAR76, VAR46,
VAR65, VAR32, VAR84, VAR48,
VAR33, VAR13,
VAR52, VAR18,
VAR59, VAR23, VAR49, VAR57, VAR53, clk,
VAR34
);
input VAR34; input clk; input VAR53; input VAR57; input VAR49; input VAR23; input [4:0] VAR59; input [1:0] VAR18; input [3:0] VAR52; input [1:0] VAR13;input [31:0] VAR33;input VAR48; input VAR84; input VAR32; input VAR65; input VAR46; input VAR76; input VAR54; input VAR28; input VAR38; input [VAR62-1:0]VAR74; input VAR70; input [VAR29-1:0]VAR26; input VAR64; input [VAR56-1:0]VAR21;input [VAR56-1:0]VAR63;
output [6:0] VAR7; output [31:0] VAR71; output VAR10; output VAR61; output [3:0] VAR77; output VAR22; output VAR55;
wire VAR27; wire VAR50; wire VAR12; wire VAR3; wire [VAR9-1:0]VAR81; wire VAR11; wire [VAR62-1:0]VAR17; wire [VAR9-1:0]VAR16; wire VAR58; wire VAR19; wire VAR79; wire VAR39; wire [VAR31-1:0]VAR35; wire [VAR29-1:0]VAR60; wire VAR20; wire VAR69; wire [VAR31-1:0]VAR75; wire [VAR31-1:0]VAR37;
VAR51 #(1) VAR1 (
.VAR40 (VAR27), .din (VAR49), .clk (VAR53));
VAR51 #(1) VAR73 (
.VAR40 (VAR50), .din (VAR23), .clk (VAR53));
VAR2 VAR68 (
.VAR3(VAR3),
.VAR12(VAR12),
.VAR20 (VAR20),
.VAR30(), .VAR14(), .VAR10(VAR10),
.VAR71(VAR71[31:0]),
.VAR7(VAR7[6:0]),
.clk (clk),
.VAR65 (VAR65),
.VAR53 (VAR53),
.VAR57 (VAR57),
.VAR49 (VAR27), .VAR13(VAR13[1:0]),
.VAR39 (VAR39),
.VAR60 (VAR60[VAR29-1:0]),
.VAR17 (VAR17[VAR62-1:0]),
.VAR82 (1'b0), .VAR78({VAR67{1'b0}}), .VAR25({VAR66{1'b0}}), .VAR76(VAR76),
.VAR54(VAR54));
VAR24 VAR44 (
.VAR61(VAR61),
.VAR77(VAR77[3:0]),
.VAR55 (VAR55),
.VAR39 (VAR39),
.VAR79(VAR79),
.VAR19(VAR19),
.VAR37(VAR37[VAR31-1:0]),
.VAR35(VAR35[VAR31-1:0]),
.VAR75(VAR75[VAR31-1:0]),
.clk (clk),
.VAR65 (VAR65),
.VAR53 (VAR53),
.VAR57(VAR57),
.VAR23(VAR50), .VAR49(VAR27), .VAR33(VAR33[31:0]),
.VAR18(VAR18[1:0]),
.VAR52(VAR52[3:0]),
.VAR70(VAR70),
.VAR3(VAR3),
.VAR20(VAR20),
.VAR69(VAR69),
.VAR84(VAR84),
.VAR32(VAR32));
VAR8 VAR83 (
.VAR60(VAR60[VAR29-1:0]),
.clk (clk),
.VAR53 (VAR53),
.VAR48 (VAR48),
.VAR59(VAR59[4:0]),
.VAR28(VAR28),
.VAR79(VAR79),
.VAR19(VAR19),
.VAR37(VAR37[VAR31-1:0]),
.VAR35(VAR35[VAR31-1:0]),
.VAR26(VAR26[VAR29-1:0]));
VAR80 VAR6 (
.VAR42(VAR69), .clk (clk),
.VAR65 (VAR65),
.VAR53 (VAR53),
.VAR57 (VAR57),
.VAR49 (VAR27), .VAR63(VAR63[VAR56-1:0]),
.VAR47 (VAR75[3:0]), .VAR5 (VAR64), .VAR41 (VAR21[VAR56-1:0]), .VAR15 (VAR79), .VAR43 (VAR37[3:0]));
VAR36 VAR45 (
.VAR22 (VAR22),
.VAR58(VAR58),
.VAR11(VAR11),
.VAR16(VAR16[VAR9-1:0]),
.VAR81(VAR81[VAR9-1:0]),
.clk (clk),
.VAR65 (VAR65),
.VAR53 (VAR53),
.VAR57(VAR57),
.VAR23(VAR50), .VAR49(VAR27), .VAR38(VAR38),
.VAR12(VAR12));
VAR4 VAR72 (
.VAR17(VAR17[VAR62-1:0]),
.clk (clk),
.VAR53 (VAR53),
.VAR34 (VAR34),
.VAR48 (VAR48),
.VAR46(VAR46),
.VAR58(VAR58),
.VAR11(VAR11),
.VAR16(VAR16[VAR9-1:0]),
.VAR81(VAR81[VAR9-1:0]),
.VAR74(VAR74[VAR62-1:0]));
endmodule | gpl-2.0 |
Cosmos-OpenSSD/Cosmos-plus-OpenSSD | source/hardware/nfc-substrate/tiger4_nfc_substrate-1.0.0/CompletionCommandChannel.v | 6,656 | module MODULE1
(
parameter VAR4 = 32 ,
parameter VAR31 = 32 ,
parameter VAR8 = 16 ,
parameter VAR15 = 1
)
(
VAR3 ,
VAR1 ,
VAR24 ,
VAR14 ,
VAR29 ,
VAR18 ,
VAR25 ,
VAR17 ,
VAR7 ,
VAR9 ,
VAR28 ,
VAR12 ,
VAR19 ,
VAR11 ,
VAR20 ,
VAR5 ,
VAR21
);
input VAR3 ;
input VAR1 ;
input [5:0] VAR24 ;
input [4:0] VAR14 ;
input [4:0] VAR29 ;
input [VAR4 - 1:0] VAR18 ;
input [VAR8 - 1:0] VAR25 ;
input VAR17 ;
output VAR7 ;
output [5:0] VAR9 ;
output [4:0] VAR28 ;
output [4:0] VAR12 ;
output [VAR4 - 1:0] VAR19 ;
output [VAR8 - 1:0] VAR11 ;
output VAR20 ;
input VAR5 ;
input VAR21 ;
reg [5:0] VAR23 ;
reg [4:0] VAR6 ;
reg [4:0] VAR30 ;
reg [VAR4 - 1:0] VAR26 ;
reg [VAR8 - 1:0] VAR16 ;
reg VAR22 ;
assign VAR9 = VAR23 ;
assign VAR28 = VAR6 ;
assign VAR12 = VAR30 ;
assign VAR19 = VAR26 ;
assign VAR11 = VAR16 ;
assign VAR20 = VAR22 ;
localparam VAR10 = 2'b00;
localparam VAR13 = 2'b01;
localparam VAR32 = 2'b11;
reg [1:0] VAR2 ;
reg [1:0] VAR27 ;
always @ (posedge VAR3)
if (VAR1)
VAR2 <= VAR10;
else
VAR2 <= VAR27;
always @
case (VAR2)
VAR13:
VAR22 <= 1'b1;
VAR32:
VAR22 <= 1'b1;
default:
VAR22 <= 1'b0;
endcase
endmodule | gpl-3.0 |
peteasa/oh | src/emesh/hdl/emesh2packet.v | 2,224 | module MODULE1 #(parameter VAR5 = 32, parameter VAR3 = 104) (
input VAR11,
input [1:0] VAR4,
input [4:0] VAR8,
input [VAR5-1:0] VAR9,
input [VAR5-1:0] VAR2,
input [VAR5-1:0] VAR6,
output [VAR3-1:0] VAR10
);
assign VAR10[0] = VAR11;
assign VAR10[2:1] = VAR4[1:0];
assign VAR10[7:3] = VAR8[4:0];
generate
if(VAR3==136)
begin : VAR7
assign VAR10[39:8] = VAR9[31:0];
assign VAR10[71:40] = VAR2[31:0]; assign VAR10[103:72] = VAR6[31:0]; assign VAR10[135:104] = VAR9[63:32];
end
else if(VAR3==104)
begin : VAR12
assign VAR10[39:8] = VAR9[31:0];
assign VAR10[71:40] = VAR2[31:0];
assign VAR10[103:72] = VAR6[31:0];
end
else if(VAR3==72)
begin : VAR1
assign VAR10[39:8] = VAR9[31:0];
assign VAR10[71:40] = VAR2[31:0];
end
else if(VAR3==40)
begin : VAR13
assign VAR10[23:8] = VAR9[15:0];
assign VAR10[39:24] = VAR2[15:0];
end
endgenerate
endmodule | mit |
iamllama/EE2020 | ee2020.srcs/sources_1/new/vga.v | 38,916 | module MODULE6(
input VAR40,
output reg VAR22,
output reg VAR26 = 0,
output reg VAR7 = 0,
output reg[10:0] hc = 0,
output reg[10:0] VAR51 = 0
);
parameter VAR50 = 800;
parameter VAR39 = 600;
parameter VAR14 = 56;
parameter VAR49 = 120;
parameter VAR20 = 1040;
parameter VAR33 = 37;
parameter VAR18 = 6;
parameter VAR56 = 666;
always @(posedge VAR40) begin
hc <= (hc == VAR20 - 1) ? 0 : hc + 1;
if((hc == (VAR20 - 1)) && (VAR51 == (VAR56 - 1)))
VAR51 <= 0;
end
else if(hc == (VAR20 - 1))
VAR51 <= VAR51 + 1;
VAR26 <= (hc >= (VAR14 + VAR50 - 1)) && (hc < (VAR14 + VAR50 + VAR49 - 1)) ? 1 : 0;
VAR7 <= (VAR51 >= (VAR33 + VAR39 - 1)) && (VAR51 < (VAR33 + VAR39 + VAR18 - 1)) ? 1 : 0;
VAR22 <= (hc < VAR50 && VAR51 < VAR39);
end
endmodule
module MODULE7(
input [10:0] hc,
input [10:0] VAR51,
input [10:0] VAR26,
input [10:0] VAR7,
output reg VAR11
);
parameter VAR53 = 0;
parameter VAR25 = 0;
always @ (*) begin
case (VAR53)
8'd65:VAR11=((hc==VAR26+1||hc==VAR26+7)&&(VAR51>=VAR7+5&&VAR51<=VAR7+11))||((hc==VAR26+2||hc==VAR26+6)&&(VAR51>=VAR7+4&&VAR51<=VAR7+11))||((hc==VAR26+3||hc==VAR26+5)&&((VAR51>=VAR7+3&&VAR51<=VAR7+4)||(VAR51==VAR7+8)))||((hc==VAR26+4)&&((VAR51>=VAR7+2&&VAR51<=VAR7+3)||(VAR51==VAR7+8)));
8'd66:VAR11=((hc==VAR26+1||hc==VAR26+6)&&(VAR51==VAR7+2||VAR51==VAR7+11))||((hc==VAR26+2||hc==VAR26+3)&&(VAR51>=VAR7+2&&VAR51<=VAR7+11))||((hc==VAR26+4||hc==VAR26+5)&&(VAR51==VAR7+2||VAR51==VAR7+6||VAR51==VAR7+11))||((hc==VAR26+7)&&((VAR51>=VAR7+3&&VAR51<=VAR7+5)||(VAR51>=VAR7+7&&VAR51<=VAR7+10)));
8'd67:VAR11=((hc==VAR26+1)&&(VAR51>=VAR7+4&&VAR51<=VAR7+9))||((hc==VAR26+2)&&(VAR51>=VAR7+3&&VAR51<=VAR7+10))||((hc==VAR26+3||hc==VAR26+6)&&((VAR51>=VAR7+2&&VAR51<=VAR7+3)||(VAR51>=VAR7+10&&VAR51<=VAR7+11)))||((hc==VAR26+4||hc==VAR26+5)&&(VAR51==VAR7+2||VAR51==VAR7+11))||((hc==VAR26+7)&&((VAR51>=VAR7+3&&VAR51<=VAR7+4)||(VAR51>=VAR7+9&&VAR51<=VAR7+10)));
8'd68:VAR11=((hc==VAR26+1||hc==VAR26+4)&&(VAR51==VAR7+2||VAR51==VAR7+11))||((hc==VAR26+2||hc==VAR26+3)&&(VAR51>=VAR7+2&&VAR51<=VAR7+11))||((hc==VAR26+5)&&((VAR51>=VAR7+2&&VAR51<=VAR7+11)||(VAR51>=VAR7+10&&VAR51<=VAR7+11)))||((hc==VAR26+6)&&(VAR51>=VAR7+3&&VAR51<=VAR7+10))||((hc==VAR26+7)&&(VAR51>=VAR7+4&&VAR51==VAR7+9));
8'd69:VAR11=((hc==VAR26+1)&&(VAR51==VAR7+2||VAR51==VAR7+11))||((hc==VAR26+2||hc==VAR26+3)&&(VAR51>=VAR7+2&&VAR51<=VAR7+11))||((hc==VAR26+4)&&(VAR51==VAR7+2||VAR51==VAR7+6||VAR51==VAR7+11))||((hc==VAR26+5)&&(VAR51==VAR7+2||(VAR51>=VAR7+5&&VAR51<=VAR7+7)||VAR51==VAR7+11))||((hc==VAR26+6)&&((VAR51>=VAR7+2&&VAR51<=VAR7+3)||(VAR51>=VAR7+10&&VAR51<=VAR7+11)))||((hc==VAR26+7)&&((VAR51>=VAR7+2&&VAR51<=VAR7+4)||(VAR51>=VAR7+9&&VAR51<=VAR7+11)));
8'd70:VAR11=((hc==VAR26+1)&&(VAR51==VAR7+2||VAR51==VAR7+11))||((hc==VAR26+2||hc==VAR26+3)&&(VAR51>=VAR7+2&&VAR51<=VAR7+11))||((hc==VAR26+4)&&(VAR51==VAR7+2||VAR51==VAR7+6||VAR51==VAR7+11))||((hc==VAR26+5)&&(VAR51==VAR7+2||(VAR51>=VAR7+5&&VAR51<=VAR7+7)))||((hc==VAR26+6)&&(VAR51>=VAR7+2&&VAR51<=VAR7+3))||((hc==VAR26+7)&&(VAR51>=VAR7+2&&VAR51<=VAR7+4));
8'd71:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||0))||0;
8'd72:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd73:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+4)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+5)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+6)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+9)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+10)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+11)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd74:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+3)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+4)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||0;
8'd75:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd76:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd77:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||0;
8'd78:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd79:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd80:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||0))||0;
8'd81:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+12)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+13)&&((hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd82:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd83:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+6)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd84:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+6)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+9)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+10)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+11)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd85:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd86:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+11)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||0;
8'd87:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd88:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||0;
8'd89:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+9)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+10)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+11)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd90:VAR11=((VAR51==VAR7+2)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+3)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+8)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+11)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||0;
8'd97:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+5)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd98:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+2)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd99:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd100:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+2)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+4)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd101:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd102:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+2)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||0))||0;
8'd103:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+11)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+12)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+13)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||0;
8'd104:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+2)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd105:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+2)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+4)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+5)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+6)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+9)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+10)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd106:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+2)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+4)&&((hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+12)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+13)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd107:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+2)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+3)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd108:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+2)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+3)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+4)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+5)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+6)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+9)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+10)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd109:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||0;
8'd110:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd111:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd112:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+11)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+12)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+13)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||0))||0;
8'd113:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+11)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+12)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+13)&&((hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd114:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||0))||0;
8'd115:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+7)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd116:VAR11=((VAR51==VAR7+1)&&((hc==VAR26+4)||0))||((VAR51==VAR7+2)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+3)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+6)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+7)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+8)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+9)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||0;
8'd117:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd118:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+10)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||0;
8'd119:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
8'd120:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||((VAR51==VAR7+5)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+7)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+8)&&((hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+9)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+7)||(hc==VAR26+8)||0))||0;
8'd121:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+6)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+7)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+8)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+11)&&((hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+12)&&((hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+13)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||0))||0;
8'd122:VAR11=((VAR51==VAR7+4)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+5)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+5)||(hc==VAR26+6)||0))||((VAR51==VAR7+6)&&((hc==VAR26+4)||(hc==VAR26+5)||0))||((VAR51==VAR7+7)&&((hc==VAR26+3)||(hc==VAR26+4)||0))||((VAR51==VAR7+8)&&((hc==VAR26+2)||(hc==VAR26+3)||0))||((VAR51==VAR7+9)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+6)||(hc==VAR26+7)||0))||((VAR51==VAR7+10)&&((hc==VAR26+1)||(hc==VAR26+2)||(hc==VAR26+3)||(hc==VAR26+4)||(hc==VAR26+5)||(hc==VAR26+6)||(hc==VAR26+7)||0))||0;
default:VAR11=0;
endcase
end
endmodule
module MODULE1(input[17:0] VAR23, input[3:0] VAR44, input[3:0] VAR16, input[3:0] VAR32, input[3:0] VAR37, input[10:0] hc, input[10:0] VAR51, input[10:0] VAR26, input[10:0] VAR7, input[10:0] VAR45, input[10:0] VAR21, output VAR42, output within);
parameter VAR52 = 15;
parameter VAR43 = 8;
parameter VAR15 = 30;
wire [5:0] VAR54;
wire [3:0] VAR3;
wire [3:0] VAR41;
assign VAR3 = (VAR23 % 1000000) / 100000;
assign VAR41 = (VAR23 % 100000) / 10000;
MODULE8 MODULE5(VAR3, hc, VAR51, VAR26, VAR7, VAR54[5]);
MODULE8 MODULE4(VAR41, hc, VAR51, VAR26+VAR52+VAR43, VAR7, VAR54[4]);
MODULE8 MODULE6(VAR44, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[3]);
MODULE8 MODULE1(VAR16, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[2]);
MODULE8 MODULE3(VAR32, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[1]);
MODULE8 MODULE2(VAR37, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[0]);
assign VAR42 = (( | VAR3 ) ? ( | VAR54[5:0] ) :
( | VAR41 ) ? ( | VAR54[4:0] ) :
( | VAR44 ) ? ( | VAR54[3:0] ) :
( | VAR16 ) ? ( | VAR54[2:0] ) :
( | VAR32 ) ? ( | VAR54[1:0] ) :
VAR54[0])? 1 : 0;
assign within = (VAR45>=VAR26 && VAR45<=VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52 && VAR21>=VAR7 && VAR21<=VAR7+VAR15);
endmodule
module MODULE2(input[17:0] VAR23, input[3:0] VAR44, input[3:0] VAR16, input[3:0] VAR32, input[3:0] VAR37, input[10:0] hc, input[10:0] VAR51, input[10:0] VAR26, input[10:0] VAR7, input[10:0] VAR45, input[10:0] VAR21, output VAR42, output within);
parameter VAR52 = 15;
parameter VAR43 = 8;
parameter VAR15 = 30;
wire [5:0] VAR54;
wire [3:0] VAR3;
wire [3:0] VAR41;
assign VAR3 = (VAR23 % 1000000) / 100000;
assign VAR41 = (VAR23 % 100000) / 10000;
wire VAR28 = ( | VAR3 );
wire VAR31 = ( | VAR41 );
wire VAR4 = ( | VAR44 );
wire VAR24 = ( | VAR16 );
wire VAR48 = ( | VAR32 );
wire[3:0] VAR47 = VAR28 ? VAR3 :
VAR31 ? VAR41 :
VAR4 ? VAR44 :
VAR24 ? VAR16 :
VAR48 ? VAR32 : VAR37;
wire[3:0] VAR2 = VAR28 ? VAR41 :
VAR31 ? VAR44 :
VAR4 ? VAR16 :
VAR24 ? VAR32 : VAR37;
wire[3:0] VAR46 = VAR28 ? VAR44 :
VAR31 ? VAR16 :
VAR4 ? VAR32 : VAR37;
wire[3:0] VAR1 = VAR28 ? VAR16 :
VAR31 ? VAR32 : VAR37;
wire[3:0] VAR36 = VAR28 ? VAR32 : VAR37;
wire[3:0] VAR10 = VAR37;
MODULE8 MODULE5(VAR47, hc, VAR51, VAR26, VAR7, VAR54[5]);
MODULE8 MODULE4(VAR2, hc, VAR51, VAR26+VAR52+VAR43, VAR7, VAR54[4]);
MODULE8 MODULE6(VAR46, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[3]);
MODULE8 MODULE1(VAR1, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[2]);
MODULE8 MODULE3(VAR36, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[1]);
MODULE8 MODULE2(VAR10, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[0]);
assign VAR42 = (VAR28 ? ( | VAR54[5:0] ) :
VAR31 ? ( | VAR54[5:1] ) :
VAR4 ? ( | VAR54[5:2] ) :
VAR24 ? ( | VAR54[5:3] ) :
VAR48 ? ( | VAR54[5:4] ) :
VAR54[5] )? 1 : 0;
assign within = (VAR45>=VAR26 && VAR45<=VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43+VAR52 && VAR21>=VAR7 && VAR21<=VAR7+VAR15);
endmodule
module MODULE5(input[15:0] VAR23, input[3:0] VAR44, input[3:0] VAR16, input[3:0] VAR32, input[3:0] VAR37, input[10:0] hc, input[10:0] VAR51, input[10:0] VAR26, input[10:0] VAR7, input[10:0] VAR45, input[10:0] VAR21, output VAR42, output within);
parameter VAR52 = 15;
parameter VAR43 = 8;
wire [4:0] VAR54;
MODULE8 MODULE6(VAR44, hc, VAR51, VAR26, VAR7, VAR54[3]);
MODULE8 MODULE1(VAR16, hc, VAR51, VAR26+VAR52+VAR43, VAR7, VAR54[2]);
MODULE8 MODULE3(VAR32, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[1]);
MODULE8 MODULE2(VAR37, hc, VAR51, VAR26+VAR52+VAR43+VAR52+VAR43+VAR52+VAR43, VAR7, VAR54[0]);
assign VAR42 = (( | VAR44 ) ? ( | VAR54[3:0] ) :
( | VAR16 ) ? ( | VAR54[2:0] ) :
( | VAR32 ) ? ( | VAR54[1:0] ) :
VAR54[0])? 1 : 0;
assign within = (VAR45>=VAR26 && VAR45<=VAR26+120 && VAR21>=VAR7 && VAR21<=VAR7+30);
endmodule
module MODULE3(input[10:0] VAR9, input[10:0] VAR17, input[10:0] VAR45, input[10:0] VAR21, output[3:0] VAR11);
assign VAR11 = (((VAR17==(VAR21+1))&&((VAR9==(VAR45+1))||0))||((VAR17==(VAR21+2))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||0))||((VAR17==(VAR21+3))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||0))||((VAR17==(VAR21+4))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||(VAR9==(VAR45+4))||0))||((VAR17==(VAR21+5))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||(VAR9==(VAR45+4))||(VAR9==(VAR45+5))||0))||((VAR17==(VAR21+6))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||(VAR9==(VAR45+4))||(VAR9==(VAR45+5))||(VAR9==(VAR45+6))||0))||((VAR17==(VAR21+7))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||(VAR9==(VAR45+4))||(VAR9==(VAR45+5))||(VAR9==(VAR45+6))||(VAR9==(VAR45+7))||0))||((VAR17==(VAR21+8))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||(VAR9==(VAR45+4))||(VAR9==(VAR45+5))||0))||((VAR17==(VAR21+9))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||(VAR9==(VAR45+4))||(VAR9==(VAR45+5))||0))||((VAR17==(VAR21+10))&&((VAR9==(VAR45+1))||(VAR9==(VAR45+4))||(VAR9==(VAR45+5))||0))||((VAR17==(VAR21+11))&&((VAR9==(VAR45+5))||(VAR9==(VAR45+6))||0))||((VAR17==(VAR21+12))&&((VAR9==(VAR45+5))||(VAR9==(VAR45+6))||0))||((VAR17==(VAR21+13))&&((VAR9==(VAR45+6))||(VAR9==(VAR45+7))||0))||((VAR17==(VAR21+14))&&((VAR9==(VAR45+6))||(VAR9==(VAR45+7))||0))||0) ? 4'b1111 : 4'b0;
endmodule
module MODULE4(input[10:0] VAR9, input[10:0] VAR17, input[10:0] VAR45, input[10:0] VAR21, output[3:0] VAR11);
assign VAR11 = (((VAR17==(VAR21+0))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+1))||0))||((VAR17==(VAR21+1))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+2))||0))||((VAR17==(VAR21+2))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+3))||0))||((VAR17==(VAR21+3))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+4))||0))||((VAR17==(VAR21+4))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+5))||0))||((VAR17==(VAR21+5))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+6))||0))||((VAR17==(VAR21+6))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+7))||0))||((VAR17==(VAR21+7))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+8))||0))||((VAR17==(VAR21+8))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+6))||(VAR9==(VAR45+7))||(VAR9==(VAR45+8))||(VAR9==(VAR45+9))||0))||((VAR17==(VAR21+9))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+6))||0))||((VAR17==(VAR21+10))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+2))||(VAR9==(VAR45+3))||(VAR9==(VAR45+6))||0))||((VAR17==(VAR21+11))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+1))||(VAR9==(VAR45+4))||(VAR9==(VAR45+7))||0))||((VAR17==(VAR21+12))&&((VAR9==(VAR45+0))||(VAR9==(VAR45+4))||(VAR9==(VAR45+7))||0))||((VAR17==(VAR21+13))&&((VAR9==(VAR45+5))||(VAR9==(VAR45+8))||0))||((VAR17==(VAR21+14))&&((VAR9==(VAR45+5))||(VAR9==(VAR45+8))||0))||((VAR17==(VAR21+15))&&((VAR9==(VAR45+6))||(VAR9==(VAR45+7))||0))||0) ? 4'b1111 : 4'b0;
endmodule
module MODULE8(
input [3:0] VAR23,
input [10:0] hc,
input [10:0] VAR51,
input [10:0] VAR26,
input [10:0] VAR7,
output VAR11);
wire VAR13 = (hc>=VAR26+2 && hc<=VAR26+13 && VAR51>=VAR7 && VAR51<=VAR7+2);
wire VAR35 = (hc>=VAR26+13 && hc<=VAR26+15 && VAR51>=VAR7+2 && VAR51<=VAR7+14);
wire VAR55 = (hc>=VAR26+13 && hc<=VAR26+15 && VAR51>=VAR7+16 && VAR51<=VAR7+28);
wire VAR6 = (hc>=VAR26+2 && hc<=VAR26+13 && VAR51>=VAR7+28 && VAR51<=VAR7+30);
wire VAR38 = (hc>=VAR26 && hc<=VAR26+2 && VAR51>=VAR7+16 && VAR51<=VAR7+28);
wire VAR29 = (hc>=VAR26 && hc<=VAR26+2 && VAR51>=VAR7+2 && VAR51<=VAR7+14);
wire VAR30 = (hc>=VAR26+2 && hc<=VAR26+13 && VAR51>=VAR7+14 && VAR51<=VAR7+16);
assign VAR11 = (VAR23 == 0) ? VAR13|VAR35|VAR55|VAR6|VAR38|VAR29 :
(VAR23 == 1) ? VAR35|VAR55 :
(VAR23 == 2) ? VAR13|VAR35|VAR6|VAR38|VAR30 :
(VAR23 == 3) ? VAR13|VAR35|VAR55|VAR6|VAR30 :
(VAR23 == 4) ? VAR35|VAR55|VAR29|VAR30 :
(VAR23 == 5) ? VAR13|VAR55|VAR6|VAR29|VAR30 :
(VAR23 == 6) ? VAR13|VAR55|VAR6|VAR38|VAR29|VAR30 :
(VAR23 == 7) ? VAR13|VAR35|VAR55 :
(VAR23 == 8) ? VAR13|VAR35|VAR55|VAR6|VAR38|VAR29|VAR30 :
(VAR23 == 9) ? VAR13|VAR35|VAR55|VAR6|VAR29|VAR30 : 0;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/sedfxtp/sky130_fd_sc_ls__sedfxtp.functional.v | 1,909 | module MODULE1 (
VAR13 ,
VAR14,
VAR9 ,
VAR5 ,
VAR7,
VAR4
);
output VAR13 ;
input VAR14;
input VAR9 ;
input VAR5 ;
input VAR7;
input VAR4;
wire VAR12 ;
wire VAR11;
wire VAR16 ;
VAR8 VAR15 (VAR11, VAR16, VAR7, VAR4 );
VAR8 VAR6 (VAR16 , VAR12, VAR9, VAR5 );
VAR1 VAR10 VAR3 (VAR12 , VAR11, VAR14 );
buf VAR2 (VAR13 , VAR12 );
endmodule | apache-2.0 |
wyvernSemi/lm32fpga | HDL/rtl/SEG7_LUT_4.v | 1,152 | module MODULE1 (VAR1, VAR7, VAR5, VAR8, VAR6);
input [31:0] VAR6;
output [6:0] VAR1, VAR7, VAR5, VAR8;
VAR2 VAR3 (VAR1, {VAR6[16], VAR6[3:0]} );
VAR2 VAR9 (VAR7, {VAR6[17], VAR6[7:4]} );
VAR2 VAR10 (VAR5, {VAR6[18], VAR6[11:8]} );
VAR2 VAR4 (VAR8, {VAR6[19], VAR6[15:12]});
endmodule | gpl-3.0 |
AE9RB/peaberry | peaberry.cydsn/FracN/FracN.v | 2,283 | module MODULE1 (
input clk
);
wire [7:0] VAR2;
wire [7:0] VAR9;
wire [13:0] VAR14 = {VAR2 [5:0], VAR9 [7:0]};
reg [13:0] VAR7;
reg [13:0] VAR1;
wire [14:0] VAR6 = VAR7 + VAR14;
wire [14:0] VAR17 = VAR1 + VAR7;
reg VAR5;
reg [6:0] VAR12;
VAR8 #(.VAR18 (8'h3D), .VAR10(VAR3))
VAR4 ( .VAR19(VAR2));
VAR8 #(.VAR18 (8'hF4), .VAR10(VAR3))
VAR11 ( .VAR19(VAR9));
VAR16 #(.VAR13(8'h00), .VAR10(VAR3))
VAR20 ( .VAR15( {1'b0, VAR12} ));
always @(posedge clk)
begin
VAR7 <= VAR6 [13:0];
VAR1 <= VAR17 [13:0];
VAR5 <= VAR17 [14];
VAR12 <= 8'h13 + {6'b0, VAR6 [14]} + {6'b0, VAR17 [14]} + {7{VAR5}};
end
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/a22oi/sky130_fd_sc_hvl__a22oi.behavioral.pp.v | 2,175 | module MODULE1 (
VAR8 ,
VAR11 ,
VAR4 ,
VAR9 ,
VAR18 ,
VAR1,
VAR5,
VAR17 ,
VAR12
);
output VAR8 ;
input VAR11 ;
input VAR4 ;
input VAR9 ;
input VAR18 ;
input VAR1;
input VAR5;
input VAR17 ;
input VAR12 ;
wire VAR19 ;
wire VAR13 ;
wire VAR2 ;
wire VAR14;
nand VAR15 (VAR19 , VAR4, VAR11 );
nand VAR10 (VAR13 , VAR18, VAR9 );
and VAR16 (VAR2 , VAR19, VAR13 );
VAR3 VAR7 (VAR14, VAR2, VAR1, VAR5);
buf VAR6 (VAR8 , VAR14 );
endmodule | apache-2.0 |
twlostow/dsi-shield | hdl/rtl/hpdmc/hpdmc_ctlif.v | 3,326 | module MODULE1 #(
parameter VAR1 = 4'h0
) (
input VAR20,
input VAR19,
input [13:0] VAR5,
input VAR27,
input [31:0] VAR18,
output reg [31:0] VAR3,
output reg VAR6,
output reg VAR13,
output reg VAR2,
output reg VAR4,
output reg VAR9,
output reg VAR11,
output reg VAR23,
output reg [12:0] VAR21,
output reg [1:0] VAR17,
output reg [2:0] VAR14,
output reg [2:0] VAR22,
output reg VAR10,
output reg [10:0] VAR15,
output reg [3:0] VAR12,
output reg [1:0] VAR26,
output reg VAR16,
output reg VAR7,
output reg VAR8,
output reg VAR25
);
wire VAR24 = VAR5[13:10] == VAR1;
always @(posedge VAR20) begin
if(VAR19) begin
VAR3 <= 32'd0;
VAR6 <= 1'b1;
VAR13 <= 1'b1;
VAR2 <= 1'b0;
VAR21 <= 13'd0;
VAR17 <= 2'd0;
VAR14 <= 3'd2;
VAR22 <= 3'd2;
VAR10 <= 1'b0;
VAR15 <= 11'd620;
VAR12 <= 4'd6;
VAR26 <= 2'd2;
VAR25 <= 0;
end else begin
VAR4 <= 1'b1;
VAR9 <= 1'b1;
VAR11 <= 1'b1;
VAR23 <= 1'b1;
VAR16 <= 1'b0;
VAR7 <= 1'b0;
VAR8 <= 1'b0;
VAR3 <= 32'd0;
if(VAR24) begin
if(VAR27) begin
case(VAR5[1:0])
2'b00: begin
VAR6 <= VAR18[0];
VAR13 <= VAR18[1];
VAR2 <= VAR18[2];
end
2'b01: begin
VAR4 <= ~VAR18[0];
VAR9 <= ~VAR18[1];
VAR11 <= ~VAR18[2];
VAR23 <= ~VAR18[3];
VAR21 <= VAR18[16:4];
VAR17 <= VAR18[18:17];
end
2'b10: begin
VAR14 <= VAR18[2:0];
VAR22 <= VAR18[5:3];
VAR10 <= VAR18[6];
VAR15 <= VAR18[17:7];
VAR12 <= VAR18[21:18];
VAR26 <= VAR18[23:22];
end
2'b11: begin
VAR16 <= VAR18[0];
VAR7 <= VAR18[1];
VAR8 <= VAR18[2];
VAR25 <= VAR18[3];
end
endcase
end
case(VAR5[1:0])
2'b00: VAR3 <= {VAR2, VAR13, VAR6};
2'b01: VAR3 <= {VAR17, VAR21, 4'h0};
2'b10: VAR3 <= {VAR26, VAR12, VAR15, VAR10, VAR22, VAR14};
2'b11: VAR3 <= 4'd0;
endcase
end
end
end
endmodule | lgpl-3.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/wb_conmax/wb_conmax_pri_enc.v | 7,395 | module MODULE1(
valid,
VAR17, VAR24, VAR8, VAR7,
VAR19, VAR14, VAR11, VAR16,
VAR9
);
parameter [1:0] VAR15 = 2'd0;
input [7:0] valid;
input [1:0] VAR17, VAR24, VAR8, VAR7;
input [1:0] VAR19, VAR14, VAR11, VAR16;
output [1:0] VAR9;
wire [3:0] VAR21, VAR30, VAR25, VAR12;
wire [3:0] VAR26, VAR22, VAR31, VAR1;
wire [3:0] VAR13;
reg [1:0] VAR23, VAR5;
wire [1:0] VAR9;
VAR28 #(VAR15) VAR3(
.valid( valid[0] ),
.VAR18( VAR17 ),
.VAR9( VAR21 )
);
VAR28 #(VAR15) VAR27(
.valid( valid[1] ),
.VAR18( VAR24 ),
.VAR9( VAR30 )
);
VAR28 #(VAR15) VAR2(
.valid( valid[2] ),
.VAR18( VAR8 ),
.VAR9( VAR25 )
);
VAR28 #(VAR15) VAR4(
.valid( valid[3] ),
.VAR18( VAR7 ),
.VAR9( VAR12 )
);
VAR28 #(VAR15) VAR10(
.valid( valid[4] ),
.VAR18( VAR19 ),
.VAR9( VAR26 )
);
VAR28 #(VAR15) VAR6(
.valid( valid[5] ),
.VAR18( VAR14 ),
.VAR9( VAR22 )
);
VAR28 #(VAR15) VAR20(
.valid( valid[6] ),
.VAR18( VAR11 ),
.VAR9( VAR31 )
);
VAR28 #(VAR15) VAR29(
.valid( valid[7] ),
.VAR18( VAR16 ),
.VAR9( VAR1 )
);
assign VAR13 = VAR21 | VAR30 | VAR25 | VAR12 |
VAR26 | VAR22 | VAR31 | VAR1;
always @(VAR13)
if(VAR13[3]) VAR5 = 2'h3;
else
if(VAR13[2]) VAR5 = 2'h2;
else
if(VAR13[1]) VAR5 = 2'h1;
else VAR5 = 2'h0;
always @(VAR13)
if(VAR13[1]) VAR23 = 2'h1;
else VAR23 = 2'h0;
assign VAR9 = (VAR15==2'd0) ? 2'h0 : ( (VAR15==2'd1) ? VAR23 : VAR5 );
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o311ai/sky130_fd_sc_lp__o311ai.behavioral.v | 1,577 | module MODULE1 (
VAR13 ,
VAR14,
VAR7,
VAR3,
VAR11,
VAR15
);
output VAR13 ;
input VAR14;
input VAR7;
input VAR3;
input VAR11;
input VAR15;
supply1 VAR12;
supply0 VAR10;
supply1 VAR8 ;
supply0 VAR4 ;
wire VAR6 ;
wire VAR2;
or VAR1 (VAR6 , VAR7, VAR14, VAR3 );
nand VAR5 (VAR2, VAR15, VAR6, VAR11);
buf VAR9 (VAR13 , VAR2 );
endmodule | apache-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.