repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
ShepardSiegel/ocpi
rtl/mkWciInitiator.v
53,748
module MODULE1(VAR126, VAR237, VAR198, VAR132, VAR199, VAR146, VAR87, VAR69, VAR152, VAR144, VAR250, VAR15, VAR251); input VAR126; input VAR237; output [2 : 0] VAR198; output VAR132; output [3 : 0] VAR199; output [31 : 0] VAR146; output [31 : 0] VAR87; input [1 : 0] VAR69; input [31 : 0] VAR152; input VAR144; input [1 : 0] VAR250; output [1 : 0] VAR15; output VAR251; wire [31 : 0] VAR146, VAR87; wire [3 : 0] VAR199; wire [2 : 0] VAR198; wire [1 : 0] VAR15; wire VAR251, VAR132; wire [71 : 0] VAR105; wire [33 : 0] VAR1; wire [31 : 0] VAR227; wire [1 : 0] VAR20; wire VAR66, VAR235, VAR8, VAR52, VAR239, VAR194, VAR129, VAR215, VAR164, VAR112, VAR2, VAR49, VAR56, VAR252, VAR122, VAR25, VAR17, VAR51, VAR242, VAR245, VAR173; reg VAR205; wire VAR63, VAR42; reg VAR145; wire VAR214, VAR13; reg VAR74; wire VAR11, VAR118; reg VAR158; wire VAR82, VAR81; reg [3 : 0] VAR166; reg [3 : 0] VAR73; wire VAR167; reg VAR168; wire VAR188, VAR234; reg [32 : 0] VAR31; wire [32 : 0] VAR190; wire VAR210; reg [4 : 0] VAR24; wire [4 : 0] VAR77; wire VAR221; reg [3 : 0] VAR156; wire [3 : 0] VAR193; wire VAR127; reg [1 : 0] VAR151; wire [1 : 0] VAR78; wire VAR35; reg [1 : 0] VAR37; wire [1 : 0] VAR219; wire VAR121; reg [11 : 0] VAR43; wire [11 : 0] VAR178; wire VAR44; reg [2 : 0] VAR27; reg [2 : 0] VAR101; wire VAR79; reg [2 : 0] VAR157; reg [2 : 0] VAR224; wire VAR3; reg VAR94; wire VAR6, VAR195; reg [71 : 0] VAR231; reg [71 : 0] VAR50; wire VAR153; reg [1 : 0] VAR76; reg [1 : 0] VAR202; wire VAR211; reg [2 : 0] VAR187; reg [2 : 0] VAR138; wire VAR57; reg [31 : 0] VAR9; wire [31 : 0] VAR217; wire VAR41; reg VAR177; wire VAR48, VAR68; reg VAR189; wire VAR29, VAR10; reg VAR16; wire VAR54, VAR119; reg VAR93; wire VAR154, VAR229; reg VAR136; wire VAR197, VAR59; reg VAR30; wire VAR38, VAR155; reg VAR191; wire VAR7, VAR254; reg [31 : 0] VAR176; wire [31 : 0] VAR39; wire VAR160; reg [4 : 0] VAR182; wire [4 : 0] VAR170; wire VAR91; reg VAR12; wire VAR18, VAR106; wire VAR64, VAR241; reg [33 : 0] VAR75; wire [33 : 0] VAR124; wire VAR218, VAR183, VAR244, VAR58, VAR130; wire VAR61, VAR14, VAR184, VAR141, VAR65, VAR96, VAR165, VAR243, VAR232, VAR246, VAR196, VAR115, VAR186, VAR62, VAR47, VAR143, VAR85; reg [71 : 0] VAR201; wire [71 : 0] VAR67, VAR225, VAR161; wire [33 : 0] VAR99; wire [31 : 0] VAR204; wire VAR98, VAR206, VAR128, VAR100, VAR86, VAR108, VAR220, VAR60, VAR249, VAR4, VAR113, VAR222, VAR236, VAR209, VAR142; reg [63 : 0] VAR148, VAR137, VAR135, VAR103, VAR45, VAR175, VAR5, VAR40, VAR179, VAR125, VAR107, VAR233, VAR23, VAR84, VAR36, VAR111, VAR83; wire [31 : 0] VAR226, VAR104, VAR171; wire [26 : 0] VAR253; wire VAR203, VAR216, VAR110, VAR116, VAR240, VAR172, VAR192; assign VAR251 = VAR241 ; assign VAR198 = VAR189 ? 3'd0 : VAR231[71:69] ; assign VAR132 = !VAR189 && VAR231[68] ; assign VAR199 = VAR189 ? 4'd0 : VAR231[67:64] ; assign VAR146 = VAR189 ? 32'd0 : VAR231[63:32] ; assign VAR87 = VAR231[31:0] ; assign VAR15 = VAR37 ; VAR238 #(.VAR150(32'd16), .VAR139(1'd0)) VAR131(.VAR126(VAR126), .VAR80(VAR237), .VAR149(VAR126), .VAR95(VAR64), .VAR140(), .VAR70(VAR241)); VAR19 #(.VAR33(32'd34), .VAR71(32'd1)) VAR46(.VAR80(VAR237), .VAR126(VAR126), .VAR34(VAR75), .VAR213(VAR58), .VAR22(VAR183), .VAR163(VAR218), .VAR230(VAR124), .VAR174(VAR130), .VAR159(VAR244)); assign VAR14 = !VAR168 && VAR130 && VAR166 == 4'd1 ; assign VAR184 = VAR244 && VAR166 == 4'd2 ; assign VAR141 = VAR203 && VAR166 == 4'd3 ; assign VAR65 = VAR244 && VAR166 == 4'd4 ; assign VAR96 = VAR203 && VAR166 == 4'd5 ; assign VAR165 = VAR244 && VAR166 == 4'd6 ; assign VAR243 = VAR203 && VAR166 == 4'd7 ; assign VAR232 = VAR244 && VAR166 == 4'd8 ; assign VAR246 = VAR203 && VAR166 == 4'd9 ; assign VAR196 = VAR244 && VAR166 == 4'd10 ; assign VAR115 = VAR172 && VAR205 ; assign VAR61 = VAR194 && (VAR166 == 4'd0 || VAR166 == 4'd11) ; assign VAR186 = !VAR194 && VAR166 == 4'd11 ; assign VAR85 = ((VAR1[33:32] == 2'd0) ? VAR192 || VAR130 : VAR130) && VAR168 ; assign VAR143 = (VAR94 || VAR56) && VAR49 && !VAR2 ; assign VAR47 = VAR2 && !VAR49 ; assign VAR62 = (!VAR94 || VAR56) && VAR2 && VAR49 ; assign VAR98 = VAR172 && !VAR205 && !VAR12 ; assign VAR206 = VAR246 || VAR243 || VAR96 || VAR141 ; assign VAR128 = VAR206 && VAR191 ; assign VAR100 = VAR85 && (!VAR192 || VAR1[33:32] != 2'd0) ; assign VAR86 = VAR141 && VAR191 ; assign VAR108 = VAR96 && VAR191 ; assign VAR220 = VAR246 && VAR191 ; assign VAR60 = VAR243 && VAR191 ; assign VAR113 = VAR143 && !VAR94 ; assign VAR222 = VAR96 || VAR141 ; assign VAR236 = VAR222 && VAR191 ; assign VAR209 = VAR85 && VAR1[33:32] != 2'd0 ; assign VAR142 = VAR206 && !VAR191 ; assign VAR249 = VAR94 + 1'd1 ; assign VAR4 = VAR94 - 1'd1 ; assign VAR67 = VAR94 ? VAR201 : 72'h0000000000AAAAAAAA ; always@(VAR60 or VAR225 or VAR220 or VAR161 or VAR86 or VAR108) begin case (1'b1) VAR60: VAR201 = VAR225; VAR220: VAR201 = VAR161; VAR86: VAR201 = 72'h4F0000000080000000; VAR108: VAR201 = 72'h4F0000000480000000; default: VAR201 = 72'hAAAAAAAAAAAAAAAAAA ; endcase end assign VAR225 = { 8'd63, VAR104, 32'h80000042 } ; assign VAR161 = { 8'd95, VAR104, 32'h80000000 } ; assign VAR99 = (VAR1[33:32] == 2'd0) ? 34'h1C0DE4203 : VAR1 ; assign VAR204 = (VAR1[33:32] == 2'd0) ? (VAR192 ? VAR171 : 32'd0) : 32'd0 ; assign VAR105 = VAR201 ; assign VAR56 = VAR243 && VAR191 || VAR246 && VAR191 || VAR141 && VAR191 || VAR96 && VAR191 ; assign VAR1 = { VAR69, VAR152 } ; assign VAR242 = 1'd1 ; assign VAR17 = VAR250[0] ; assign VAR51 = 1'd1 ; assign VAR122 = 1'b0 ; assign VAR25 = 1'b0 ; assign VAR239 = 1'd1 ; assign VAR194 = VAR115 || VAR145 && !VAR158 ; assign VAR8 = 1'd1 ; assign VAR52 = VAR194 ; assign VAR66 = 1'b0 ; assign VAR235 = 1'b0 ; assign VAR129 = 1'd1 ; assign VAR215 = VAR112 ; assign VAR20 = VAR69 ; assign VAR173 = 1'd1 ; assign VAR227 = VAR152 ; assign VAR245 = 1'd1 ; assign VAR49 = VAR206 && VAR191 ; assign VAR2 = !VAR189 && VAR1[33:32] == 2'd0 && VAR94 ; assign VAR252 = VAR144 ; assign VAR112 = VAR186 || VAR196 || VAR246 || VAR232 || VAR243 || VAR165 || VAR96 || VAR65 || VAR141 || VAR184 || VAR14 || VAR61 ; assign VAR164 = 1'b0 ; assign VAR63 = !VAR115 ; assign VAR42 = VAR115 || VAR172 && !VAR205 && !VAR12 ; assign VAR214 = VAR194 ; assign VAR13 = 1'd1 ; assign VAR11 = VAR112 || VAR74 ; assign VAR118 = 1'd1 ; assign VAR82 = VAR112 ; assign VAR81 = 1'd1 ; always@(VAR186 or VAR61 or VAR14 or VAR184 or VAR141 or VAR65 or VAR96 or VAR165 or VAR243 or VAR232 or VAR246 or VAR196) begin case (1'b1) VAR186: VAR73 = 4'd0; VAR61: VAR73 = 4'd1; VAR14: VAR73 = 4'd2; VAR184: VAR73 = 4'd3; VAR141: VAR73 = 4'd4; VAR65: VAR73 = 4'd5; VAR96: VAR73 = 4'd6; VAR165: VAR73 = 4'd7; VAR243: VAR73 = 4'd8; VAR232: VAR73 = 4'd9; VAR246: VAR73 = 4'd10; VAR196: VAR73 = 4'd11; default: VAR73 = 4'b1010 ; endcase end assign VAR167 = VAR186 || VAR61 || VAR14 || VAR184 || VAR141 || VAR65 || VAR96 || VAR165 || VAR243 || VAR232 || VAR246 || VAR196 ; assign VAR188 = VAR128 ; assign VAR234 = VAR216 || VAR85 && (!VAR192 || VAR1[33:32] != 2'd0) ; assign VAR190 = 33'h100000000 ; assign VAR210 = VAR116 && VAR191 ; assign VAR77 = 5'd31 ; assign VAR221 = VAR240 && VAR191 ; assign VAR193 = VAR86 ? 4'd8 : 4'd9 ; assign VAR127 = VAR141 && VAR191 || VAR96 && VAR191 ; assign VAR78 = VAR220 ? 2'd2 : 2'd3 ; assign VAR35 = VAR246 && VAR191 || VAR243 && VAR191 ; assign VAR219 = 2'h0 ; assign VAR121 = 1'b0 ; assign VAR178 = 12'h0 ; assign VAR44 = 1'b0 ; always@(VAR76 or VAR27) begin case (VAR76) 2'd1: VAR101 = { 1'd1, VAR27[1:0] }; 2'd2: VAR101 = { VAR27[2], 1'd1, VAR27[0] }; default: VAR101 = { VAR27[2:1], 1'd1 }; endcase end assign VAR79 = VAR85 && VAR1[33:32] == 2'd3 && (VAR76 == 2'd1 || VAR76 == 2'd2 || VAR76 == 2'd3) ; always@(VAR76 or VAR157) begin case (VAR76) 2'd1: VAR224 = { 1'd1, VAR157[1:0] }; 2'd2: VAR224 = { VAR157[2], 1'd1, VAR157[0] }; default: VAR224 = { VAR157[2:1], 1'd1 }; endcase end assign VAR3 = VAR85 && VAR1[33:32] == 2'd2 && (VAR76 == 2'd1 || VAR76 == 2'd2 || VAR76 == 2'd3) ; assign VAR6 = VAR143 ? VAR249 : VAR4 ; assign VAR195 = VAR143 || VAR47 ; always@(VAR62 or VAR67 or VAR113 or VAR201 or VAR47) begin case (1'b1) VAR62: VAR50 = VAR67; VAR113: VAR50 = VAR201; VAR47: VAR50 = 72'h0000000000AAAAAAAA; default: VAR50 = 72'hAAAAAAAAAAAAAAAAAA ; endcase end assign VAR153 = VAR62 || VAR143 && !VAR94 || VAR47 ; always@(VAR60 or VAR220 or VAR236 or VAR209) begin case (1'b1) VAR60: VAR202 = 2'd1; VAR220: VAR202 = 2'd2; VAR236: VAR202 = 2'd3; VAR209: VAR202 = 2'd0; default: VAR202 = 2'b10 ; endcase end assign VAR211 = VAR243 && VAR191 || VAR246 && VAR191 || (VAR96 || VAR141) && VAR191 || VAR85 && VAR1[33:32] != 2'd0 ; always@(VAR76 or VAR187) begin case (VAR76) 2'd1: VAR138 = { 1'd1, VAR187[1:0] }; 2'd2: VAR138 = { VAR187[2], 1'd1, VAR187[0] }; default: VAR138 = { VAR187[2:1], 1'd1 }; endcase end assign VAR57 = VAR85 && VAR1[33:32] == 2'd0 && !VAR192 && (VAR76 == 2'd1 || VAR76 == 2'd2 || VAR76 == 2'd3) ; assign VAR217 = VAR94 ? 32'd0 : VAR204 ; assign VAR41 = VAR85 || VAR94 ; assign VAR48 = VAR94 ; assign VAR68 = VAR85 && (!VAR192 || VAR1[33:32] != 2'd0) || VAR94 ; assign VAR29 = VAR144 ; assign VAR10 = 1'd1 ; assign VAR54 = VAR136 ; assign VAR119 = VAR136 || VAR93 ; assign VAR154 = 1'b0 ; assign VAR229 = 1'd1 ; assign VAR197 = VAR250[0] ; assign VAR59 = 1'd1 ; assign VAR38 = VAR250[1] ; assign VAR155 = 1'd1 ; assign VAR7 = 1'd1 ; assign VAR254 = VAR14 ; assign VAR39 = { 4'b0, !VAR151[1] || VAR151[0], VAR253 } ; assign VAR160 = 1'd1 ; assign VAR170 = 5'd4 ; assign VAR91 = VAR14 ; assign VAR18 = 1'd1 ; assign VAR106 = VAR98 ; assign VAR64 = !VAR191 ; always@(VAR142 or VAR100 or VAR99 or VAR14) begin case (1'b1) VAR142: VAR75 = 34'h1C0DE4204; VAR100: VAR75 = VAR99; VAR14: VAR75 = 34'h100000000; default: VAR75 = 34'h2AAAAAAAA ; endcase end assign VAR58 = VAR110 || VAR85 && (!VAR192 || VAR1[33:32] != 2'd0) || VAR14 ; assign VAR183 = VAR196 || VAR232 || VAR165 || VAR65 || VAR184 ; assign VAR218 = 1'b0 ; assign VAR253 = { VAR156[3] ? VAR156[2:0] : 3'b111, VAR24[4] ? VAR24[3:0] : 4'hF, VAR151[1], VAR156[3], VAR24[4], VAR31[32], 6'b0, VAR16, VAR187, VAR157, VAR27 } ; assign VAR203 = !VAR168 && (VAR191 ? !VAR94 : VAR130) ; assign VAR216 = (VAR246 || VAR243 || VAR96 || VAR141) && VAR191 ; assign VAR110 = (VAR246 || VAR243 || VAR96 || VAR141) && !VAR191 ; assign VAR116 = VAR246 || VAR243 ; assign VAR240 = VAR246 || VAR243 ; assign VAR172 = (VAR166 == 4'd0 || VAR166 == 4'd11) && (!VAR145 || VAR158) ; assign VAR192 = VAR9 < VAR226 ; assign VAR226 = 32'd1 << VAR182 ; assign VAR104 = { VAR43, 20'h0 } ; assign VAR171 = VAR9 + 32'd1 ; always@(posedge VAR126) begin if (VAR237 == VAR97) begin VAR205 <= VAR92 1'd0; VAR145 <= VAR92 1'd0; VAR74 <= VAR92 1'd1; VAR158 <= VAR92 1'd0; VAR166 <= VAR92 4'd0; VAR168 <= VAR92 1'd0; VAR31 <= VAR92 33'h0AAAAAAAA; VAR24 <= VAR92 5'd10; VAR156 <= VAR92 4'd2; VAR151 <= VAR92 2'd0; VAR37 <= VAR92 2'b10; VAR43 <= VAR92 12'd0; VAR27 <= VAR92 3'd0; VAR157 <= VAR92 3'd0; VAR94 <= VAR92 1'd0; VAR231 <= VAR92 72'h0000000000AAAAAAAA; VAR76 <= VAR92 2'd0; VAR187 <= VAR92 3'd0; VAR9 <= VAR92 32'd0; VAR177 <= VAR92 1'd0; VAR189 <= VAR92 1'd1; VAR16 <= VAR92 1'd0; VAR93 <= VAR92 1'd0; VAR136 <= VAR92 1'd0; VAR30 <= VAR92 1'd0; VAR191 <= VAR92 1'd0; VAR182 <= VAR92 5'h04; VAR12 <= VAR92 1'd0; end else begin if (VAR42) VAR205 <= VAR92 VAR63; if (VAR13) VAR145 <= VAR92 VAR214; if (VAR118) VAR74 <= VAR92 VAR11; if (VAR81) VAR158 <= VAR92 VAR82; if (VAR167) VAR166 <= VAR92 VAR73; if (VAR234) VAR168 <= VAR92 VAR188; if (VAR210) VAR31 <= VAR92 VAR190; if (VAR221) VAR24 <= VAR92 VAR77; if (VAR127) VAR156 <= VAR92 VAR193; if (VAR35) VAR151 <= VAR92 VAR78; if (VAR121) VAR37 <= VAR92 VAR219; if (VAR44) VAR43 <= VAR92 VAR178; if (VAR79) VAR27 <= VAR92 VAR101; if (VAR3) VAR157 <= VAR92 VAR224; if (VAR195) VAR94 <= VAR92 VAR6; if (VAR153) VAR231 <= VAR92 VAR50; if (VAR211) VAR76 <= VAR92 VAR202; if (VAR57) VAR187 <= VAR92 VAR138; if (VAR41) VAR9 <= VAR92 VAR217; if (VAR68) VAR177 <= VAR92 VAR48; if (VAR10) VAR189 <= VAR92 VAR29; if (VAR119) VAR16 <= VAR92 VAR54; if (VAR229) VAR93 <= VAR92 VAR154; if (VAR59) VAR136 <= VAR92 VAR197; if (VAR155) VAR30 <= VAR92 VAR38; if (VAR254) VAR191 <= VAR92 VAR7; if (VAR91) VAR182 <= VAR92 VAR170; if (VAR106) VAR12 <= VAR92 VAR18; end if (VAR160) VAR176 <= VAR92 VAR39; end begin VAR205 = 1'h0; VAR145 = 1'h0; VAR74 = 1'h0; VAR158 = 1'h0; VAR166 = 4'hA; VAR168 = 1'h0; VAR31 = 33'h0AAAAAAAA; VAR24 = 5'h0A; VAR156 = 4'hA; VAR151 = 2'h2; VAR37 = 2'h2; VAR43 = 12'hAAA; VAR27 = 3'h2; VAR157 = 3'h2; VAR94 = 1'h0; VAR231 = 72'hAAAAAAAAAAAAAAAAAA; VAR76 = 2'h2; VAR187 = 3'h2; VAR9 = 32'hAAAAAAAA; VAR177 = 1'h0; VAR189 = 1'h0; VAR16 = 1'h0; VAR93 = 1'h0; VAR136 = 1'h0; VAR30 = 1'h0; VAR191 = 1'h0; VAR176 = 32'hAAAAAAAA; VAR182 = 5'h0A; VAR12 = 1'h0; end always@(negedge VAR126) begin if (VAR237 != VAR97) if (VAR184) begin VAR137 = end if (VAR237 != VAR97) if (VAR184) VAR114("[%0d]: %VAR169: VAR120 VAR109 VAR200 VAR88 %0x", VAR137, VAR124[31:0]); if (VAR237 != VAR97) if (VAR141) begin VAR135 = end if (VAR237 != VAR97) if (VAR141) if (VAR237 != VAR97) if (VAR65) begin VAR103 = end if (VAR237 != VAR97) if (VAR65) VAR114("[%0d]: %VAR169: VAR120 VAR109 VAR200 VAR88 %0x", VAR103, VAR124[31:0]); if (VAR237 != VAR97) if (VAR96) begin VAR45 = end if (VAR237 != VAR97) if (VAR96) if (VAR237 != VAR97) if (VAR165) begin VAR175 = end if (VAR237 != VAR97) if (VAR165) VAR114("[%0d]: %VAR169: VAR120 VAR109 VAR200 VAR88 %0x", VAR175, VAR124[31:0]); if (VAR237 != VAR97) if (VAR232) begin VAR5 = end if (VAR237 != VAR97) if (VAR232) VAR114("[%0d]: %VAR169: VAR120 VAR109 VAR200 VAR88 %0x", VAR5, VAR124[31:0]); if (VAR237 != VAR97) if (VAR196) begin VAR40 = end if (VAR237 != VAR97) if (VAR196) VAR114("[%0d]: %VAR169: VAR120 VAR109 VAR200 VAR88 %0x", VAR40, VAR124[31:0]); if (VAR237 != VAR97) if (VAR14 && (VAR184 || VAR141 || VAR65 || VAR96 || VAR165 || VAR243 || VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR89] and\VAR212 [VAR32, VAR208,\VAR212 VAR255, VAR72,\VAR212 VAR55, VAR247,\VAR212 VAR123, VAR185,\VAR212 VAR90] ) VAR147 in VAR117 VAR162 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR184 && (VAR141 || VAR65 || VAR96 || VAR165 || VAR243 || VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR32] and\VAR212 [VAR208, VAR255,\VAR212 VAR72, VAR55,\VAR212 VAR247, VAR123,\VAR212 VAR185, VAR90] ) VAR147 in VAR117 VAR162\VAR212 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR141 && (VAR65 || VAR96 || VAR165 || VAR243 || VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR208] and\VAR212 [VAR255, VAR72,\VAR212 VAR55, VAR247,\VAR212 VAR123, VAR185,\VAR212 VAR90] ) VAR147 in VAR117 VAR162 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR65 && (VAR96 || VAR165 || VAR243 || VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR255] and\VAR212 [VAR72, VAR55,\VAR212 VAR247, VAR123,\VAR212 VAR185, VAR90] ) VAR147 in VAR117 VAR162\VAR212 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR96 && (VAR165 || VAR243 || VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR72] and\VAR212 [VAR55, VAR247,\VAR212 VAR123, VAR185,\VAR212 VAR90] ) VAR147 in VAR117 VAR162 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR165 && (VAR243 || VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR55] and\VAR212 [VAR247, VAR123,\VAR212 VAR185, VAR90] ) VAR147 in VAR117 VAR162\VAR212 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR232 && (VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR123] and\VAR212 [VAR185, VAR90] ) VAR147 in VAR117 VAR162\VAR212 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR243 && (VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR247] and\VAR212 [VAR123, VAR185,\VAR212 VAR90] ) VAR147 in VAR117 VAR162 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR246 && VAR196) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR185] and\VAR212 [VAR90] ) VAR147 in VAR117 VAR162 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR61) begin VAR148 = end if (VAR237 != VAR97) if (VAR61) VAR114("[%0d]: %VAR169: VAR120 VAR109 VAR180 VAR53 out VAR134 VAR248...", VAR148); if (VAR237 != VAR97) if (VAR61 && (VAR14 || VAR184 || VAR141 || VAR65 || VAR96 || VAR165 || VAR243 || VAR232 || VAR246 || VAR196)) \VAR212 VAR133 VAR28 VAR207 (VAR223 VAR117 VAR26 VAR181 [VAR21] and\VAR212 [VAR89, VAR32,\VAR212 VAR208, VAR255,\VAR212 VAR72, VAR55,\VAR212 VAR247, VAR123,\VAR212 VAR185, VAR90] ) VAR147 in VAR117 VAR162\VAR212 VAR102 VAR228.\VAR212"); if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd0 && !VAR192 && VAR76 == 2'd1) begin VAR179 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd0 && !VAR192 && VAR76 == 2'd1) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd0 && !VAR192 && VAR76 == 2'd2) begin VAR125 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd0 && !VAR192 && VAR76 == 2'd2) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd0 && !VAR192 && VAR76 == 2'd3) begin VAR107 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd0 && !VAR192 && VAR76 == 2'd3) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd2 && VAR76 == 2'd1) begin VAR233 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd2 && VAR76 == 2'd1) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd2 && VAR76 == 2'd2) begin VAR23 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd2 && VAR76 == 2'd2) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd2 && VAR76 == 2'd3) begin VAR84 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd2 && VAR76 == 2'd3) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd3 && VAR76 == 2'd1) begin VAR36 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd3 && VAR76 == 2'd1) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd3 && VAR76 == 2'd2) begin VAR111 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd3 && VAR76 == 2'd2) if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd3 && VAR76 == 2'd3) begin VAR83 = end if (VAR237 != VAR97) if (VAR85 && VAR1[33:32] == 2'd3 && VAR76 == 2'd3) end endmodule
lgpl-3.0
fredyamalves/Collision-detection-for-a-CPU-FPGA-heterogeneous-System
Verilog design/dCollideSpheres.v
13,615
module MODULE1( VAR76, VAR70, VAR22, VAR56, VAR8, VAR3, VAR154, VAR48, VAR86, VAR174, VAR18, input[31:0] VAR76, VAR70, VAR22, VAR56, VAR8, VAR3, VAR154, VAR48; input clk, rst; input[31:0] VAR59, VAR111; output reg[31:0] VAR86, VAR174, VAR18, VAR134, VAR152, VAR19, VAR6; output reg VAR162, VAR34; output reg[31:0] VAR180; output reg[31:0] VAR60; output reg[31:0] VAR69; reg[31:0] VAR144; reg[31:0] VAR75; reg[31:0] VAR109; reg[31:0] VAR130; reg flag = 1'b0; reg VAR97 = 1'b0; reg VAR114, VAR80; reg VAR36 = 1'b0; reg VAR100, VAR47, VAR165, VAR35, VAR51, VAR50, VAR30; reg VAR43,VAR87; wire VAR139; wire[31:0] VAR72; wire VAR27; VAR2 VAR78( .VAR100(clk), .VAR67(VAR76), .VAR64(VAR70), .VAR121(VAR22), .b1(VAR8), .VAR123(VAR3), .VAR79(VAR154), .VAR57(rst), .VAR17(VAR72), .VAR15(VAR27) ); wire[31:0] VAR44; wire VAR160; wire VAR181; wire VAR142; wire VAR155; VAR133 VAR4 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR56 ), .VAR137 ( VAR48 ), .VAR159 ( VAR44 ), .reset(rst), .VAR34(VAR181) ); wire[31:0] VAR84; assign VAR84 = {~VAR8[31], VAR8[30:0]}; wire[31:0] VAR158; assign VAR158 = {~VAR3[31], VAR3[30:0]}; wire[31:0] VAR146; assign VAR146 = {~VAR154[31], VAR154[30:0]}; wire[31:0] VAR10; assign VAR10 = {~VAR56[31], VAR56[30:0]}; wire[31:0] VAR95; assign VAR95 = {~VAR72[31], VAR72[30:0]}; wire VAR29; wire[31:0] VAR110; wire VAR26; wire VAR25; wire VAR88; VAR133 VAR92 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR76 ), .VAR137 ( VAR84 ), .VAR159 ( VAR110 ), .reset(rst), .VAR34(VAR29) ); wire VAR106; wire[31:0] VAR156; wire VAR175; wire VAR58; wire VAR127; VAR133 VAR124 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR70 ), .VAR137 ( VAR158 ), .VAR159 ( VAR156 ), .reset(rst), .VAR34(VAR106) ); wire VAR1; wire[31:0] VAR119; wire VAR77; wire VAR105; wire VAR170; VAR133 VAR71 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR22 ), .VAR137 ( VAR146 ), .VAR159 ( VAR119 ), .reset(rst), .VAR34(VAR1) ); wire VAR81; wire[31:0] VAR62; wire VAR132; wire VAR16; wire VAR73; VAR133 VAR13 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR48 ), .VAR137 ( VAR10 ), .VAR159 ( VAR62 ), .reset(rst), .VAR34(VAR81) ); wire VAR135; wire[31:0] VAR129; wire[31:0] VAR115; assign VAR115 = 32'b00111111100000000000000000000000; wire VAR98; wire VAR38; wire VAR176; VAR55 VAR118 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR74 ( VAR72 ), .VAR159 ( VAR129 ), .reset(VAR165), .VAR34(VAR135) ); wire[31:0] VAR113; assign VAR113 = {~VAR44[31], VAR44[30:0]}; wire[31:0] VAR46; wire VAR24; wire VAR145; wire VAR141; wire VAR63; VAR133 VAR54 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR72 ), .VAR137 ( VAR113 ), .VAR159 ( VAR46 ), .reset(VAR165), .VAR34(VAR145) ); wire VAR173; wire[31:0] VAR166; wire VAR161; wire VAR149; wire VAR93; VAR133 VAR20 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR44 ), .VAR137 ( VAR95 ), .VAR159 ( VAR166 ), .reset(VAR165), .VAR34(VAR173) ); wire VAR178; wire[31:0] VAR37; wire VAR103; wire VAR82; wire VAR42; VAR133 VAR96 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR62 ), .VAR137 ( VAR95 ), .VAR159 ( VAR37 ), .reset(VAR165), .VAR34(VAR178) ); wire VAR116; wire[31:0] VAR85; wire VAR150; wire VAR40; wire VAR117; VAR107 VAR153 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR110 ), .VAR137 ( VAR129 ), .VAR159 ( VAR85 ), .reset(VAR35), .VAR34(VAR116) ); wire VAR5; wire[31:0] VAR128; wire VAR138; wire VAR99; wire VAR21; VAR107 VAR14 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR156 ), .VAR137 ( VAR129 ), .VAR159 ( VAR128 ), .reset(VAR35), .VAR34(VAR5) ); wire VAR120; wire[31:0] VAR61; wire VAR9; wire VAR177; wire VAR122; VAR107 VAR136 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR119 ), .VAR137 ( VAR129 ), .VAR159 ( VAR61 ), .reset(VAR35), .VAR34(VAR120) ); wire VAR151; wire[31:0] VAR112; wire VAR32; wire VAR126; wire VAR169; VAR107 VAR65 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR37 ), .VAR137 ( 32'b00111111000000000000000000000000 ), .VAR159 ( VAR112 ), .reset(VAR35), .VAR34(VAR151) ); wire VAR143; wire[31:0] VAR179; wire VAR104; wire VAR12; wire VAR108; VAR107 VAR41 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR85 ), .VAR137 ( VAR112 ), .VAR159 ( VAR179 ), .reset(VAR51), .VAR34(VAR143) ); wire VAR28; wire[31:0] VAR102; wire VAR147; wire VAR101; wire VAR11; VAR107 VAR182 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR128 ), .VAR137 ( VAR112 ), .VAR159 ( VAR102 ), .reset(VAR51), .VAR34(VAR28) ); wire VAR66; wire[31:0] VAR45; wire VAR163; wire VAR131; wire VAR52; VAR107 VAR23 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR61 ), .VAR137 ( VAR112 ), .VAR159 ( VAR45 ), .reset(VAR51), .VAR34(VAR66) ); wire VAR49; wire[31:0] VAR90; wire VAR89; wire VAR31; wire VAR157; VAR133 VAR91 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR76 ), .VAR137 ( VAR179 ), .VAR159 ( VAR90 ), .reset(VAR50), .VAR34(VAR49) ); wire VAR33; wire[31:0] VAR140; wire VAR125; wire VAR53; wire VAR172; VAR133 VAR164 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR70 ), .VAR137 ( VAR102 ), .VAR159 ( VAR140 ), .reset(VAR50), .VAR34(VAR33) ); wire VAR83; wire[31:0] VAR68; wire VAR167; wire VAR148; wire VAR39; VAR133 VAR171 ( .VAR7 ( 1'b1 ), .VAR94 ( clk ), .VAR168 ( VAR22 ), .VAR137 ( VAR45 ), .VAR159 ( VAR68 ), .reset(VAR50), .VAR34(VAR83) ); assign VAR139 = ~VAR43 && ~VAR87 && VAR49 && VAR33 && VAR83; always @(posedge VAR27 or posedge VAR145 or posedge VAR151 or posedge VAR181 or posedge VAR135 or posedge VAR29 or posedge VAR106 or posedge VAR1 or posedge VAR81 or posedge VAR173 or posedge VAR116 or posedge VAR5 or posedge VAR120 or posedge VAR178 or posedge VAR143 or posedge VAR28 or posedge VAR66 or posedge VAR49 or posedge VAR33 or posedge VAR83 or negedge rst) begin if(rst == 1'b0) begin VAR97 <= 1'b0; VAR165 <= 1'b0; VAR35 <= 1'b0; VAR51 <= 1'b0; VAR50 <= 1'b0; VAR43 <= 1'b0; VAR87 <= 1'b0; VAR162 <= 1'b0; VAR34 <= 1'b0; end else begin if(VAR27) begin if(VAR81) begin if(VAR29) begin if(VAR106) begin if(VAR1) begin if(VAR181) begin VAR97 <= 1'b1; VAR165 <= 1'b1; end end end end end end if(VAR145) begin if(VAR135) begin if(VAR173) begin if(VAR178) begin if(VAR46[31] == 1'b0) begin VAR86 <= 32'd0; VAR174 <= 32'd0; VAR18 <= 32'd0; VAR134 <= 32'd0; VAR152 <= 32'd0; VAR19 <= 32'd0; VAR6 <= 32'd0; VAR162 <= 1'b0; VAR34 <= 1'b1; VAR43 <= 1'b1; end else begin VAR43 <= 1'b0; if(VAR72 == 32'b00000000000000000000000000000000 || VAR72[31] == 1'b1) begin VAR87 <= 1'b1; VAR30 <= 1'b1; end else begin VAR87 <= 1'b0; VAR35 <= 1'b1; end end end end end end if(VAR116) begin if(VAR5) begin if(VAR120) begin if(VAR151) begin VAR51 <= 1'b1; end end end end if(VAR143) begin if(VAR28) begin if(VAR66) begin VAR50 <= 1'b1; end end end if(VAR49) begin if(VAR33) begin if(VAR83) begin VAR86 <= (VAR43) ? 32'd0: (VAR87) ? VAR76:VAR90; VAR174 <= (VAR43) ? 32'd0: (VAR87) ? VAR70:VAR140; VAR18 <= (VAR43) ? 32'd0: (VAR87) ? VAR22:VAR68; VAR134 <= (VAR43) ? 32'd0: (VAR87) ? 32'd1:VAR85; VAR152 <= (VAR43) ? 32'd0: (VAR87) ? 32'd0:VAR128; VAR19 <= (VAR43) ? 32'd0: (VAR87) ? 32'd0:VAR61; VAR6 <= (VAR43) ? 32'd0: (VAR87) ? VAR44:VAR166; VAR162 <= (VAR43) ? 1'b0:1'b1; VAR34 <= 1'b1; end end end end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/fahcon/sky130_fd_sc_ms__fahcon.blackbox.v
1,369
module MODULE1 ( VAR5, VAR4 , VAR2 , VAR9 , VAR8 ); output VAR5; output VAR4 ; input VAR2 ; input VAR9 ; input VAR8 ; supply1 VAR7; supply0 VAR3; supply1 VAR6 ; supply0 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/conb/sky130_fd_sc_hd__conb_1.v
2,042
module MODULE2 ( VAR5 , VAR6 , VAR3, VAR2, VAR8 , VAR4 ); output VAR5 ; output VAR6 ; input VAR3; input VAR2; input VAR8 ; input VAR4 ; VAR1 VAR7 ( .VAR5(VAR5), .VAR6(VAR6), .VAR3(VAR3), .VAR2(VAR2), .VAR8(VAR8), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR5, VAR6 ); output VAR5; output VAR6; supply1 VAR3; supply0 VAR2; supply1 VAR8 ; supply0 VAR4 ; VAR1 VAR7 ( .VAR5(VAR5), .VAR6(VAR6) ); endmodule
apache-2.0
olajep/oh
src/common/hdl/oh_mux6.v
1,125
module MODULE1 #(parameter VAR4 = 1 ) ( input VAR9, input VAR12, input VAR5, input VAR13, input VAR3, input VAR8, input [VAR4-1:0] VAR6, input [VAR4-1:0] VAR10, input [VAR4-1:0] VAR7, input [VAR4-1:0] VAR11, input [VAR4-1:0] VAR1, input [VAR4-1:0] VAR2, output [VAR4-1:0] out ); assign out[VAR4-1:0] = ({(VAR4){VAR8}} & VAR2[VAR4-1:0] | {(VAR4){VAR3}} & VAR1[VAR4-1:0] | {(VAR4){VAR13}} & VAR11[VAR4-1:0] | {(VAR4){VAR5}} & VAR7[VAR4-1:0] | {(VAR4){VAR12}} & VAR10[VAR4-1:0] | {(VAR4){VAR9}} & VAR6[VAR4-1:0]); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfsbp/sky130_fd_sc_hd__sdfsbp.pp.blackbox.v
1,471
module MODULE1 ( VAR1 , VAR6 , VAR11 , VAR7 , VAR10 , VAR3 , VAR5, VAR2 , VAR8 , VAR9 , VAR4 ); output VAR1 ; output VAR6 ; input VAR11 ; input VAR7 ; input VAR10 ; input VAR3 ; input VAR5; input VAR2 ; input VAR8 ; input VAR9 ; input VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/clkdlybuf4s25/sky130_fd_sc_lp__clkdlybuf4s25_1.v
2,163
module MODULE1 ( VAR6 , VAR4 , VAR5, VAR2, VAR3 , VAR7 ); output VAR6 ; input VAR4 ; input VAR5; input VAR2; input VAR3 ; input VAR7 ; VAR1 VAR8 ( .VAR6(VAR6), .VAR4(VAR4), .VAR5(VAR5), .VAR2(VAR2), .VAR3(VAR3), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR6, VAR4 ); output VAR6; input VAR4; supply1 VAR5; supply0 VAR2; supply1 VAR3 ; supply0 VAR7 ; VAR1 VAR8 ( .VAR6(VAR6), .VAR4(VAR4) ); endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_xbar_3/synth/design_1_xbar_3.v
20,753
module MODULE1 ( VAR15, VAR92, VAR76, VAR14, VAR21, VAR43, VAR115, VAR49, VAR128, VAR108, VAR10, VAR38, VAR103, VAR74, VAR107, VAR7, VAR68, VAR20, VAR17, VAR59, VAR53, VAR5, VAR129, VAR41, VAR55, VAR54, VAR56, VAR52, VAR4, VAR114, VAR91, VAR96, VAR106, VAR123, VAR44, VAR112, VAR33, VAR77, VAR22, VAR18, VAR86, VAR127, VAR25, VAR90, VAR79, VAR110, VAR2, VAR97, VAR27, VAR63, VAR126, VAR40, VAR26, VAR45, VAR67, VAR65, VAR6, VAR87, VAR48, VAR100, VAR80, VAR58, VAR125, VAR50, VAR120, VAR36, VAR121, VAR12, VAR99, VAR82 ); input wire VAR15; input wire VAR92; input wire [31 : 0] VAR76; input wire [7 : 0] VAR14; input wire [2 : 0] VAR21; input wire [1 : 0] VAR43; input wire [0 : 0] VAR115; input wire [3 : 0] VAR49; input wire [2 : 0] VAR128; input wire [3 : 0] VAR108; input wire [0 : 0] VAR10; output wire [0 : 0] VAR38; input wire [63 : 0] VAR103; input wire [7 : 0] VAR74; input wire [0 : 0] VAR107; input wire [0 : 0] VAR7; output wire [0 : 0] VAR68; output wire [1 : 0] VAR20; output wire [0 : 0] VAR17; input wire [0 : 0] VAR59; input wire [31 : 0] VAR53; input wire [7 : 0] VAR5; input wire [2 : 0] VAR129; input wire [1 : 0] VAR41; input wire [0 : 0] VAR55; input wire [3 : 0] VAR54; input wire [2 : 0] VAR56; input wire [3 : 0] VAR52; input wire [0 : 0] VAR4; output wire [0 : 0] VAR114; output wire [63 : 0] VAR91; output wire [1 : 0] VAR96; output wire [0 : 0] VAR106; output wire [0 : 0] VAR123; input wire [0 : 0] VAR44; output wire [63 : 0] VAR112; output wire [15 : 0] VAR33; output wire [5 : 0] VAR77; output wire [3 : 0] VAR22; output wire [1 : 0] VAR18; output wire [7 : 0] VAR86; output wire [5 : 0] VAR127; output wire [7 : 0] VAR25; output wire [7 : 0] VAR90; output wire [1 : 0] VAR79; input wire [1 : 0] VAR110; output wire [127 : 0] VAR2; output wire [15 : 0] VAR97; output wire [1 : 0] VAR27; output wire [1 : 0] VAR63; input wire [1 : 0] VAR126; input wire [3 : 0] VAR40; input wire [1 : 0] VAR26; output wire [1 : 0] VAR45; output wire [63 : 0] VAR67; output wire [15 : 0] VAR65; output wire [5 : 0] VAR6; output wire [3 : 0] VAR87; output wire [1 : 0] VAR48; output wire [7 : 0] VAR100; output wire [5 : 0] VAR80; output wire [7 : 0] VAR58; output wire [7 : 0] VAR125; output wire [1 : 0] VAR50; input wire [1 : 0] VAR120; input wire [127 : 0] VAR36; input wire [3 : 0] VAR121; input wire [1 : 0] VAR12; input wire [1 : 0] VAR99; output wire [1 : 0] VAR82; VAR35 #( .VAR3("VAR94"), .VAR42(1), .VAR72(2), .VAR69(1), .VAR116(32), .VAR101(64), .VAR16(0), .VAR31(1), .VAR83(128'VAR34), .VAR71(64'VAR109), .VAR124(32'VAR8), .VAR84(32'VAR8), .VAR81(0), .VAR93(1), .VAR73(1), .VAR23(1), .VAR122(1), .VAR29(1), .VAR118(64'VAR113), .VAR13(64'VAR113), .VAR19(0), .VAR28(32'VAR8), .VAR89(32'VAR111), .VAR85(32'VAR111), .VAR9(64'VAR104), .VAR47(64'VAR104), .VAR70(32'VAR8), .VAR24(32'VAR8), .VAR95(1) ) VAR102 ( .VAR15(VAR15), .VAR92(VAR92), .VAR64(1'VAR37), .VAR76(VAR76), .VAR14(VAR14), .VAR21(VAR21), .VAR43(VAR43), .VAR115(VAR115), .VAR49(VAR49), .VAR128(VAR128), .VAR108(VAR108), .VAR46(1'VAR37), .VAR10(VAR10), .VAR38(VAR38), .VAR61(1'VAR37), .VAR103(VAR103), .VAR74(VAR74), .VAR107(VAR107), .VAR1(1'VAR37), .VAR7(VAR7), .VAR68(VAR68), .VAR105(), .VAR20(VAR20), .VAR117(), .VAR17(VAR17), .VAR59(VAR59), .VAR88(1'VAR37), .VAR53(VAR53), .VAR5(VAR5), .VAR129(VAR129), .VAR41(VAR41), .VAR55(VAR55), .VAR54(VAR54), .VAR56(VAR56), .VAR52(VAR52), .VAR75(1'VAR37), .VAR4(VAR4), .VAR114(VAR114), .VAR119(), .VAR91(VAR91), .VAR96(VAR96), .VAR106(VAR106), .VAR66(), .VAR123(VAR123), .VAR44(VAR44), .VAR30(), .VAR112(VAR112), .VAR33(VAR33), .VAR77(VAR77), .VAR22(VAR22), .VAR18(VAR18), .VAR86(VAR86), .VAR127(VAR127), .VAR25(VAR25), .VAR90(VAR90), .VAR32(), .VAR79(VAR79), .VAR110(VAR110), .VAR57(), .VAR2(VAR2), .VAR97(VAR97), .VAR27(VAR27), .VAR11(), .VAR63(VAR63), .VAR126(VAR126), .VAR51(2'VAR37), .VAR40(VAR40), .VAR60(2'VAR37), .VAR26(VAR26), .VAR45(VAR45), .VAR62(), .VAR67(VAR67), .VAR65(VAR65), .VAR6(VAR6), .VAR87(VAR87), .VAR48(VAR48), .VAR100(VAR100), .VAR80(VAR80), .VAR58(VAR58), .VAR125(VAR125), .VAR78(), .VAR50(VAR50), .VAR120(VAR120), .VAR98(2'VAR37), .VAR36(VAR36), .VAR121(VAR121), .VAR12(VAR12), .VAR39(2'VAR37), .VAR99(VAR99), .VAR82(VAR82) ); endmodule
mit
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/tx_hdr_fifo.v
7,754
module MODULE1 parameter VAR6 = 128, parameter VAR30 = 1, parameter VAR10 = 1, parameter VAR56 = "VAR14" ) ( input VAR58, input VAR20, input VAR54, input [(VAR6)-1:0] VAR29, input [VAR57-1:0] VAR52, input [VAR31-1:0] VAR3, input [VAR11-1:0] VAR33, input VAR51, output VAR40, output VAR4, output [(VAR6)-1:0] VAR38, output [VAR57-1:0] VAR27, output [VAR31-1:0] VAR13, output [VAR11-1:0] VAR18, output VAR46, input VAR2 ); localparam VAR48 = (VAR6) + VAR31 + VAR11 + 1 + VAR57; wire VAR8; wire VAR59; wire VAR28; wire [(VAR6)-1:0] VAR17; wire [VAR31-1:0] VAR15; wire [VAR11-1:0] VAR53; wire [VAR57-1:0] VAR37; wire VAR26; wire VAR36; wire VAR42; wire [VAR6-1:0] VAR25; wire [VAR31-1:0] VAR7; wire [VAR11-1:0] VAR55; wire [VAR57-1:0] VAR5; wire VAR45; assign VAR8 = VAR20; VAR16 .VAR44 (VAR10?1:0), .VAR24 (0), .VAR48 (VAR48)) VAR1 ( .VAR23 (VAR40), .VAR43 ({VAR17,VAR15,VAR53,VAR37,VAR26}), .VAR9 (VAR28), .VAR32 ({VAR29,VAR3,VAR33,VAR52,VAR51}), .VAR22 (VAR54), .VAR21 (VAR59), .VAR58 (VAR58), .VAR20 (VAR20)); VAR49 .VAR41 (0), .VAR48 (VAR48), .VAR44 (VAR39)) VAR19 ( .VAR43 ({VAR25,VAR7,VAR55,VAR5,VAR45}), .VAR47 (VAR59), .VAR35 (VAR42), .VAR32 ({VAR17,VAR15,VAR53,VAR37,VAR26}), .VAR34 (VAR28), .VAR50 (VAR36), .VAR58 (VAR58), .VAR8 (VAR8)); VAR16 .VAR44 (VAR30?1:0), .VAR24 (0), .VAR48 (VAR48)) VAR12 ( .VAR23 (VAR36), .VAR43 ({VAR38,VAR13,VAR18,VAR27,VAR46}), .VAR9 (VAR4), .VAR32 ({VAR25,VAR7,VAR55,VAR5,VAR45}), .VAR22 (VAR42), .VAR21 (VAR2), .VAR58 (VAR58), .VAR20 (VAR20)); endmodule
gpl-3.0
mammenx/synesthesia_moksha
wxp/dgn/syn/limbus/synthesis/submodules/limbus_mm_interconnect_0_avalon_st_adapter.v
6,152
module MODULE1 #( parameter VAR13 = 34, parameter VAR24 = 0, parameter VAR15 = 34, parameter VAR1 = 0, parameter VAR17 = 0, parameter VAR9 = 0, parameter VAR6 = 1, parameter VAR5 = 1, parameter VAR4 = 0, parameter VAR23 = 34, parameter VAR2 = 0, parameter VAR10 = 1, parameter VAR12 = 0, parameter VAR11 = 1, parameter VAR18 = 1, parameter VAR19 = 0 ) ( input wire VAR3, input wire VAR20, input wire [33:0] VAR22, input wire VAR8, output wire VAR7, output wire [33:0] VAR25, output wire VAR21, input wire VAR14, output wire [0:0] VAR16 ); generate if (VAR13 != 34) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-3.0
varunnagpaal/Digital-Hardware-Modelling
systemverilog/Sequential/FSM/moore_fsm.v
3,190
module MODULE1 ( input wire clk, input wire rst, input wire VAR6, output reg VAR8, output wire [2:0] VAR10, output wire [2:0] VAR13 ); reg [2:0] VAR4; reg [2:0] VAR14; localparam VAR9 = 1'b0; localparam VAR12 = 1'b1; localparam VAR5 = 3'b000; localparam VAR2 = 3'b001; localparam VAR7 = 3'b010; localparam VAR11 = 3'b011; localparam VAR1 = 3'b100; localparam VAR3 = 3'b101; always @ ( posedge clk, posedge rst ) begin if ( rst == 1'b1 ) begin VAR4 <= 3'b0; end else if ( clk == 1'b1) begin VAR4 <= VAR14; end end assign VAR10 = VAR4; assign VAR13 = VAR14; always @ ( * ) begin case (VAR4) VAR5: begin if (VAR6 == VAR9) begin VAR14 = VAR3; end else if (VAR6 == VAR12) begin VAR14 = VAR7; end end VAR2: begin if (VAR6 == VAR9) begin VAR14 = VAR3; end else if (VAR6 == VAR12) begin VAR14 = VAR7; end end VAR7: begin if (VAR6 == VAR9) begin VAR14 = VAR11; end else if (VAR6 == VAR12) begin VAR14 = VAR5; end end VAR11: begin if (VAR6 == VAR9) begin VAR14 = VAR1; end else if (VAR6 == VAR12) begin VAR14 = VAR11; end end VAR1: begin if (VAR6 == VAR9) begin VAR14 = VAR2; end else if (VAR6 == VAR12) begin VAR14 = VAR1; end end VAR3: begin if (VAR6 == VAR9) begin VAR14 = VAR1; end else if (VAR6 == VAR12) begin VAR14 = VAR11; end end default: begin VAR14 = VAR5; end endcase end always @ ( * ) begin case (VAR4) VAR5: begin VAR8 = 1'b1; end VAR2: begin VAR8 = 1'b0; end VAR7: begin VAR8 = 1'b0; end VAR11: begin VAR8 = 1'b0; end VAR1: begin VAR8 = 1'b1; end VAR3: begin VAR8 = 1'b1; end default: begin VAR8 = 1'b0; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/and2/sky130_fd_sc_ms__and2.behavioral.v
1,350
module MODULE1 ( VAR6, VAR3, VAR8 ); output VAR6; input VAR3; input VAR8; supply1 VAR5; supply0 VAR1; supply1 VAR2 ; supply0 VAR4 ; wire VAR9; and VAR10 (VAR9, VAR3, VAR8 ); buf VAR7 (VAR6 , VAR9 ); endmodule
apache-2.0
gigglesninja/digital-system-design
uart/uart_rx.v
5,291
module MODULE1(clk, reset, VAR7, VAR40, din, dout, VAR48, addr); input clk, reset, VAR7, VAR40; input [7:0] din; output [8:0] dout; input VAR48; input [2:0] addr; reg [8:0] dout, VAR43; reg [9:0] VAR35; reg [7:0] VAR32; reg VAR47, VAR27, VAR19, VAR26, VAR30; reg VAR34, VAR25, VAR12, VAR28, VAR22, VAR24; reg [3:0] VAR21, VAR16; reg [2:0] VAR15, VAR38; reg VAR39, VAR23, VAR44, VAR14, VAR49, VAR2, VAR6; wire [7:0] VAR17; wire [8:0] VAR8; wire VAR10, VAR36, VAR4; parameter VAR11 = 8'h1A; VAR46 #(.VAR11(VAR11)) VAR9( .VAR7 (VAR47), .VAR40 (VAR27), .reset (reset), .din (din), .clk (clk), .VAR25 (VAR25), .VAR10 (VAR10), .dout (VAR17) ); VAR1 VAR20( .clk (clk), .VAR3 (VAR44), .din (VAR43), .VAR7 (VAR19), .VAR40 (VAR26), .dout (VAR8), .VAR36 (VAR36), .VAR4 (VAR4) ); always @(posedge clk) begin VAR39 <= VAR48; VAR23 <= VAR39; end always @(posedge clk or posedge reset) begin if(reset) begin VAR35 <= 10'b1111111111; VAR2 = 0; end else begin if(VAR34) begin VAR35 <= {VAR23, VAR35[9:1]}; VAR2 = 1; end else VAR2 = 0; end end always @(negedge clk or posedge reset) begin if(reset) begin VAR49 = 0; end else begin if(VAR2) VAR49 = 1; if(VAR6) VAR49 = 0; end end always @(posedge clk or posedge reset) begin if(reset) begin VAR43 <= 8'b00000000; VAR14 <= 0; end else begin if(VAR22)begin VAR43[7:0] <= VAR35[8:1]; if(VAR31 | ~VAR42) end else VAR14 <= 1; end else VAR14 <= 0; end end always @* begin VAR47 = 0; VAR30 = 0; case(addr) if(VAR7) VAR47 = 1; end if(VAR7) VAR30 = 1; end endcase end always @* begin VAR27 = 0; VAR26 = 0; dout = 9'b000000000; case(addr) if(VAR40) VAR27 = 1; dout = VAR17; end if(VAR40) VAR26 = 1; dout = VAR8; end if(VAR40) dout = VAR32; end endcase end always @(posedge clk or posedge reset) begin if(reset) VAR32[7:0] <= 8'b00000000; end else begin if(VAR30) VAR32[0] <= din[0]; if(VAR14 & VAR36) if(~VAR29) begin end end end always @(posedge VAR10 or posedge reset) begin if(reset) VAR21 <= 4'b0000; end else begin if(VAR24) VAR21 <= VAR21 + 1; end end always @(posedge clk or posedge reset) begin if(reset)begin VAR16 <= 4'b0000; end else begin if(VAR12) VAR16 <= VAR16 + 1; if(VAR28) VAR16 <= 4'b0000; end end always @(posedge clk or posedge reset) begin if (reset) VAR38 <= VAR45; end else begin VAR38 <= VAR15; if(VAR33) VAR38 <= VAR18; end end always @* begin VAR44 = 0; VAR34 = 0; VAR6 = 0; VAR25 = 0; VAR12 = 0; VAR28 = 0; VAR24 = 0; VAR22 = 0; VAR19 = 0; VAR15 = VAR38; case (VAR38) VAR44 = 1; VAR25 = 1; if(VAR29 == 1) VAR15 = VAR5; end VAR5: begin VAR25 = 1; if(~VAR48) begin VAR25 = 0; VAR15 = VAR37; end end if(VAR16 == 4'b1010) begin VAR15 = VAR13; VAR28 = 1; VAR22 = 1; end else begin if(VAR10) VAR15 = VAR41; VAR24 = 1; end end VAR24 = 1; if(VAR21 == 4'b1000 & ~VAR49) VAR34 = 1; if(~VAR10 & (VAR21 == 4'b0000)) begin VAR12 = 1; VAR6 = 1; VAR15 = VAR37; end end VAR19 = 1; VAR15 = VAR5; end if(~VAR33) VAR15 = VAR45; end endcase end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkinv/sky130_fd_sc_ls__clkinv.blackbox.v
1,230
module MODULE1 ( VAR5, VAR6 ); output VAR5; input VAR6; supply1 VAR4; supply0 VAR1; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v
1,298
module MODULE1 #(parameter VAR7(VAR6 ) , parameter VAR7(VAR5 ) ) (input [VAR6-1:0] VAR13 , output [VAR6-1:0] VAR9 , output VAR4 ); logic [VAR6-1:0] VAR10; if (VAR6 == 1) begin: VAR2 assign VAR9 = VAR13; assign VAR4 = VAR13; end else begin: VAR8 VAR3 #(.VAR6(VAR6) ,.VAR1 (1) ,.VAR5(VAR5) ) VAR12 (.VAR13 (VAR13) ,.VAR9(VAR10) ); if (VAR5) begin : VAR11 assign VAR9 = VAR10 & { (~VAR10[VAR6-2:0]), 1'b1 }; assign VAR4 = VAR10[VAR6-1]; end else begin : VAR11 assign VAR9 = VAR10 & { 1'b1, (~VAR10[VAR6-1:1]) }; assign VAR4 = VAR10[0]; end end endmodule
bsd-3-clause
SeanZarzycki/openSPARC-FPU
dc_compiler/iscas_benchmarks/s510.v
9,655
module MODULE2 (VAR14,VAR175,VAR99); input VAR14,VAR99; output VAR175; wire VAR223,VAR376; trireg VAR91,VAR258; nmos VAR461 (VAR258,VAR99,VAR376); not VAR288 (VAR223,VAR258); nmos VAR90 (VAR91,VAR223,VAR14); not VAR390 (VAR175,VAR91); not VAR227 (VAR376,VAR14); endmodule module MODULE1(VAR85,VAR133,VAR14,VAR18,VAR39,VAR453,VAR56,VAR114,VAR178,VAR35,VAR95, VAR317,VAR163,VAR104,VAR423,VAR451,VAR13,VAR393,VAR129,VAR101,VAR252,VAR267,VAR365, VAR194,VAR268,VAR331,VAR342,VAR398,VAR44); input VAR85,VAR133,VAR14,VAR252,VAR56,VAR114,VAR317,VAR398,VAR178,VAR163,VAR194,VAR268, VAR393,VAR104,VAR13,VAR342,VAR95,VAR35,VAR453,VAR451,VAR331,VAR423; output VAR129,VAR365,VAR267,VAR39,VAR44,VAR18,VAR101; wire VAR140,VAR165,VAR228,VAR397,VAR332,VAR180,VAR324,VAR290,VAR24,VAR287,VAR382,VAR412,VAR440,VAR121,VAR260, VAR279,VAR187,VAR413,VAR207,VAR210,VAR234,VAR282,VAR106,VAR9,VAR460,VAR363,VAR307,VAR235,VAR357, VAR300,VAR405,VAR271,VAR63,VAR117,VAR355,VAR102,VAR220,VAR192,VAR146,VAR4,VAR149, VAR128,VAR337,VAR170,VAR232,VAR154,VAR238,VAR385,VAR81,VAR179,VAR245,VAR424,VAR156, VAR43,VAR249,VAR305,VAR148,VAR47,VAR350,VAR465,VAR462,VAR328,VAR316,VAR221,VAR391, VAR209,VAR292,VAR250,VAR172,VAR346,VAR379,VAR364,VAR193,VAR441, VAR420,VAR108,VAR411,VAR312,VAR429,VAR130,VAR123,VAR356,VAR152, VAR432,VAR68,VAR275,VAR435,VAR198,VAR62,VAR225,VAR222,VAR236,VAR320, VAR349,VAR348,VAR107,VAR329,VAR38,VAR266,VAR124,VAR333,VAR8,VAR361, VAR94,VAR118,VAR17,VAR256,VAR201,VAR264,VAR53,VAR87,VAR295,VAR297, VAR418,VAR269,VAR339,VAR98,VAR428,VAR206,VAR374,VAR230,VAR452, VAR55,VAR395,VAR445,VAR224,VAR42,VAR188,VAR89,VAR211,VAR119, VAR21,VAR262,VAR186,VAR416,VAR103,VAR40,VAR134,VAR284,VAR438,VAR27, VAR135,VAR217,VAR199,VAR459,VAR197,VAR233,VAR113,VAR76,VAR447, VAR368,VAR372,VAR309,VAR388,VAR243,VAR2,VAR466,VAR164,VAR59,VAR272, VAR162,VAR456,VAR263,VAR321,VAR301,VAR168,VAR41,VAR11,VAR28,VAR386,VAR274, VAR362,VAR73,VAR255,VAR65,VAR71,VAR455,VAR259,VAR402,VAR373,VAR327,VAR185,VAR276, VAR359,VAR304,VAR308,VAR344,VAR450,VAR116,VAR410,VAR431,VAR184,VAR294,VAR345,VAR444, VAR82,VAR463,VAR414,VAR111,VAR125,VAR218,VAR50,VAR80,VAR360,VAR6,VAR78,VAR70, VAR97,VAR96,VAR191; MODULE2 VAR48(VAR14,VAR140,VAR165); MODULE2 VAR340(VAR14,VAR228,VAR397); MODULE2 VAR160(VAR14,VAR332,VAR180); MODULE2 VAR231(VAR14,VAR324,VAR290); MODULE2 VAR22(VAR14,VAR24,VAR287); MODULE2 VAR139(VAR14,VAR382,VAR412); not VAR36(VAR440,VAR163); not VAR242(VAR121,VAR178); not VAR421(VAR260,VAR451); not VAR239(VAR279,VAR13); not VAR446(VAR187,VAR382); not VAR132(VAR413,VAR24); not VAR46(VAR207,VAR324); not VAR322(VAR210,VAR332); not VAR285(VAR234,VAR228); not VAR45(VAR282,VAR140); not VAR289(VAR106,VAR194); not VAR33(VAR9,VAR268); not VAR112(VAR460,VAR363); not VAR281(VAR307,VAR235); not VAR75(VAR357,VAR300); not VAR248(VAR405,VAR271); not VAR425(VAR63,VAR117); not VAR319(VAR355,VAR102); not VAR261(VAR220,VAR192); not VAR115(VAR146,VAR4); not VAR371(VAR149,VAR128); not VAR464(VAR337,VAR170); not VAR212(VAR232,VAR154); not VAR299(VAR238,VAR385); not VAR417(VAR81,VAR179); not VAR377(VAR245,VAR424); not VAR311(VAR156,VAR43); not VAR200(VAR249,VAR305); not VAR430(VAR148,VAR47); not VAR336(VAR350,VAR465); not VAR15(VAR462,VAR328); not VAR257(VAR316,VAR221); and VAR127(VAR391,VAR35,VAR324); and VAR88(VAR209,VAR453,VAR140); and VAR110(VAR292,VAR252,VAR228); and VAR253(VAR250,VAR393,VAR207); and VAR434(VAR172,VAR207,VAR363); and VAR173(VAR346,VAR9,VAR317); and VAR409(VAR379,VAR364,VAR332); and VAR203(VAR193,VAR282,VAR441); and VAR77(VAR420,VAR140,VAR108); and VAR145(VAR411,VAR312,VAR108); and VAR151(VAR429,VAR413,VAR312); and VAR58(VAR130,VAR312,VAR123); and VAR60(VAR356,VAR152,VAR234); and VAR7(VAR432,VAR68,VAR382,VAR324); and VAR226(VAR275,VAR435,VAR198); and VAR293(VAR62,VAR225,VAR222); and VAR54(VAR236,VAR320,VAR349); and VAR387(VAR348,VAR170,VAR95); and VAR439(VAR107,VAR329,VAR104); and VAR182(VAR38,VAR140,VAR329); and VAR406(VAR266,VAR104,VAR124,VAR333); and VAR83(VAR8,VAR361,VAR94,VAR210); and VAR137(VAR118,VAR234,VAR361); and VAR26(VAR17,VAR146,VAR413); and VAR401(VAR256,VAR333,VAR201); and VAR330(VAR264,VAR53,VAR87); and VAR157(VAR295,VAR297,VAR232); and VAR351(VAR418,VAR140,VAR423,VAR238); and VAR314(VAR269,VAR324,VAR81); and VAR240(VAR339,VAR187,VAR98); and VAR159(VAR428,VAR355,VAR206); and VAR16(VAR374,VAR228,VAR230); and VAR436(VAR452,VAR210,VAR462); and VAR291(VAR55,VAR187,VAR316); or VAR196(VAR395,VAR114,VAR382); or VAR323(VAR445,VAR342,VAR279); or VAR169(VAR224,VAR413,VAR187); or VAR208(VAR42,VAR228,VAR235); or VAR84(VAR188,VAR234,VAR210); or VAR237(VAR89,VAR234,VAR207); or VAR392(VAR211,VAR317,VAR119); or VAR389(VAR21,VAR102,VAR117); or VAR143(VAR262,VAR210,VAR186); or VAR313(VAR416,VAR228,VAR186); or VAR244(VAR103,VAR40,VAR4,VAR140); or VAR448(VAR134,VAR4,VAR68); or VAR399(VAR284,VAR128,VAR438); or VAR334(VAR27,VAR128,VAR260); or VAR296(VAR135,VAR56,VAR217); or VAR31(VAR199,VAR217,VAR459); or VAR213(VAR197,VAR179,VAR235); or VAR161(VAR233,VAR113,VAR43); or VAR302(VAR76,VAR355,VAR405); or VAR343(VAR447,VAR355,VAR364); or VAR400(VAR368,VAR146,VAR349); or VAR394(VAR372,VAR282,VAR309); or VAR190(VAR388,VAR305,VAR243); or VAR204(VAR2,VAR337,VAR279); or VAR64(VAR466,VAR424,VAR81); or VAR155(VAR164,VAR81,VAR59); or VAR3(VAR272,VAR382,VAR245); or VAR370(VAR162,VAR187,VAR245); or VAR422(VAR456,VAR382,VAR263); nand VAR442(VAR235,VAR24,VAR324); nand VAR202(VAR40,VAR332,VAR24); nand VAR457(VAR94,VAR317,VAR268); nand VAR251(VAR364,VAR187,VAR24); nand VAR325(VAR117,VAR187,VAR332); nand VAR378(VAR321,VAR324,VAR210); nand VAR92(VAR301,VAR210,VAR114,VAR300); nand VAR303(VAR168,VAR332,VAR234); nand VAR72(VAR152,VAR41,VAR124); nand VAR454(VAR11,VAR41,VAR382); nand VAR93(VAR119,VAR28,VAR324); nand VAR195(VAR102,VAR282,VAR234); nand VAR167(VAR68,VAR40,VAR282); nand VAR241(VAR198,VAR56,VAR282); nand VAR126(VAR243,VAR282,VAR382); nand VAR34(VAR222,VAR106,VAR163); nand VAR426(VAR186,VAR271,VAR28); nand VAR69(VAR4,VAR234,VAR271); nand VAR449(VAR386,VAR140,VAR271,VAR40); nand VAR86(VAR128,VAR332,VAR435); nand VAR358(VAR217,VAR435,VAR210); nand VAR183(VAR385,VAR123,VAR324); nand VAR205(VAR333,VAR188,VAR282); nand VAR20(VAR179,VAR210,VAR312); nand VAR326(VAR274,VAR382,VAR362); nand VAR353(VAR73,VAR42,VAR119); nand VAR404(VAR87,VAR255,VAR207); nand VAR109(VAR53,VAR225,VAR234); nand VAR147(VAR65,VAR282,VAR63); nand VAR443(VAR305,VAR320,VAR234); nand VAR341(VAR465,VAR445,VAR170); nand VAR100(VAR71,VAR398,VAR317,VAR329); nand VAR51(VAR455,VAR121,VAR113,VAR441); nand VAR181(VAR98,VAR89,VAR211); nand VAR277(VAR259,VAR416,VAR385); nand VAR298(VAR402,VAR201,VAR168); nand VAR25(VAR373,VAR149,VAR453); nand VAR12(VAR327,VAR395,VAR238); nand VAR153(VAR365,VAR103,VAR197); nand VAR254(VAR185,VAR233,VAR187); nand VAR158(VAR267,VAR134,VAR276); nand VAR403(VAR359,VAR332,VAR304); nand VAR5(VAR308,VAR76,VAR245); nand VAR219(VAR344,VAR135,VAR447,VAR386); nand VAR74(VAR450,VAR368,VAR24); nand VAR176(VAR206,VAR2,VAR27,VAR71); nand VAR384(VAR39,VAR156,VAR116,VAR405,VAR274); nand VAR79(VAR230,VAR410,VAR301,VAR373,VAR327); nand VAR310(VAR431,VAR466,VAR413); nand VAR144(VAR184,VAR164,VAR24); nand VAR338(VAR397,VAR388,VAR262,VAR294); nand VAR166(VAR44,VAR224,VAR345,VAR444); nand VAR375(VAR18,VAR272,VAR82); nand VAR215(VAR180,VAR431,VAR65,VAR359,VAR450); nand VAR61(VAR463,VAR162,VAR414,VAR185); nand VAR229(VAR290,VAR184,VAR111,VAR125,VAR402); nand VAR52(VAR101,VAR456,VAR21,VAR218); nand VAR306(VAR287,VAR284,VAR50,VAR80); nand VAR415(VAR165,VAR372,VAR360); nand VAR105(VAR6,VAR199,VAR78,VAR70); nand VAR315(VAR412,VAR148,VAR455,VAR97); nor VAR318(VAR363,VAR382,VAR24); nor VAR467(VAR124,VAR24,VAR324); nor VAR37(VAR300,VAR382,VAR324); nor VAR270(VAR41,VAR332,VAR140); nor VAR433(VAR28,VAR140,VAR24); nor VAR286(VAR438,VAR331,VAR260); nor VAR19(VAR271,VAR187,VAR324); nor VAR437(VAR441,VAR187,VAR24); nor VAR141(VAR435,VAR235,VAR382); nor VAR66(VAR108,VAR40,VAR207); nor VAR214(VAR123,VAR24,VAR210); nor VAR10(VAR312,VAR187,VAR234); nor VAR246(VAR362,VAR41,VAR413); nor VAR120(VAR349,VAR187,VAR282); nor VAR383(VAR192,VAR282,VAR210,VAR207); nor VAR408(VAR459,VAR209,VAR292); nor VAR32(VAR255,VAR364,VAR282); nor VAR283(VAR225,VAR332,VAR324,VAR364); nor VAR419(VAR320,VAR124,VAR307); nor VAR67(VAR170,VAR40,VAR357); nor VAR458(VAR154,VAR250,VAR391); nor VAR273(VAR329,VAR460,VAR321); nor VAR136(VAR113,VAR321,VAR282); nor VAR247(VAR424,VAR168,VAR207); nor VAR265(VAR297,VAR460,VAR140,VAR168); nor VAR150(VAR43,VAR228,VAR152); nor VAR189(VAR361,VAR243,VAR235); nor VAR335(VAR59,VAR11,VAR346); nor VAR381(VAR201,VAR405,VAR413); nor VAR138(VAR309,VAR300,VAR379); nor VAR177(VAR47,VAR320,VAR228,VAR11); nor VAR354(VAR96,VAR382,VAR356); nor VAR347(VAR276,VAR43,VAR411); nor VAR30(VAR444,VAR73,VAR172); nor VAR57(VAR304,VAR355,VAR324); nor VAR174(VAR125,VAR424,VAR275); nor VAR367(VAR129,VAR363,VAR220); nor VAR280(VAR410,VAR107,VAR348); nor VAR131(VAR116,VAR38,VAR193,VAR312); nor VAR1(VAR294,VAR96,VAR420); nor VAR396(VAR345,VAR304,VAR332); nor VAR29(VAR328,VAR255,VAR17); nor VAR352(VAR82,VAR192,VAR256); nor VAR122(VAR191,VAR440,VAR264); nor VAR278(VAR263,VAR362,VAR249); nor VAR142(VAR221,VAR418,VAR266); nor VAR380(VAR414,VAR8,VAR269); nor VAR49(VAR111,VAR236,VAR339,VAR259); nor VAR369(VAR218,VAR429,VAR118,VAR308); nor VAR216(VAR50,VAR344,VAR350,VAR130); nor VAR23(VAR70,VAR191,VAR428); nor VAR366(VAR80,VAR463,VAR62); nor VAR427(VAR360,VAR432,VAR452); nor VAR171(VAR78,VAR295,VAR55); nor VAR407(VAR97,VAR6,VAR374); endmodule
gpl-3.0
Obijuan/open-fpga-verilog-tutorial
tutorial/ICESTICK/T27-rom-param/genromleds.v
1,314
module MODULE1 (input wire clk, output wire [4:0] VAR12); parameter VAR7 = VAR4; parameter VAR16 = "VAR17.VAR8"; parameter VAR13 = 5; parameter VAR10 = 5; reg [VAR13-1: 0] addr; reg VAR5 = 0; wire VAR11; VAR9 .VAR13(VAR13), .VAR10(VAR10)) VAR15 ( .clk(clk), .addr(addr), .VAR1(VAR12) ); always @(negedge clk) if (VAR5 == 0) addr <= 0; else if (VAR11) addr <= addr + 1; VAR6 #(.VAR3(VAR7)) VAR14 ( .clk(clk), .VAR2(VAR11) ); always @(negedge clk) VAR5 <= 1; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkinvlp/sky130_fd_sc_hd__clkinvlp.pp.symbol.v
1,286
module MODULE1 ( input VAR1 , output VAR5 , input VAR6 , input VAR3, input VAR2, input VAR4 ); endmodule
apache-2.0
lab11/M-ulator
platforms/HT_m3/hardware/ICE/hdl/toplevel.v
1,742
module MODULE1( input VAR11, input [4:1] VAR2, output [8:1] VAR1, input VAR43, output VAR31, inout VAR7, output VAR38, output VAR41, input VAR17, input VAR5, output VAR21, output VAR3, output VAR30, output VAR4, output VAR42, output VAR45, output VAR44, output VAR29, output VAR23, input VAR35, input VAR28 ); wire reset, VAR39, VAR14; assign reset = VAR39 | (~VAR14); wire VAR6; VAR24 VAR15(VAR6, VAR11); VAR13 VAR20( .VAR18(VAR6), .VAR32(~VAR2[1]), .VAR10(VAR39) ); VAR25 VAR46( .clk(VAR6), .VAR8(VAR7), .reset(VAR14) ); VAR33 VAR9( .reset(reset), .clk(VAR6), .VAR2(VAR2[4:1]), .VAR43(VAR43), .VAR31(VAR31), .VAR19(VAR30), .VAR26(VAR3), .VAR12(VAR21), .VAR34(VAR38), .VAR40(VAR41), .VAR22(VAR5), .VAR16(VAR17), .VAR4(VAR4), .VAR42(VAR42), .VAR45(VAR45), .VAR44(VAR44), .VAR29(VAR29), .VAR23(VAR23), .VAR35(VAR35), .VAR28(VAR28), .VAR27(1'b0), .VAR37(1'b0), .VAR36(VAR1[8:1]) ); endmodule
apache-2.0
aj-michael/Digital-Systems
Pong/Phase3/TermProjectPhase3/PongWithSound.v
1,057
module MODULE1( input VAR2, VAR4, VAR19, VAR8, output [2:0] VAR12, output [2:0] VAR7, output [1:0] VAR1, output VAR5, VAR18, output VAR15 ); wire [9:0] VAR6; wire [9:0] VAR14; parameter [9:0] VAR16=10'd640, VAR3=10'd480; parameter [9:0] VAR20=10'd100, VAR11=10'd25; VAR13 VAR10(VAR16, VAR3, VAR20, VAR11, VAR5, VAR18, VAR6, VAR14, VAR4, VAR2); VAR17 VAR9(VAR2, VAR4, VAR6, VAR14, VAR19, VAR8, VAR12, VAR7, VAR1, VAR15); endmodule
mit
travisg/cpu
rtl/sramcontroller.v
4,114
module MODULE1( input clk, input [29:0] addr, input [31:0] VAR2, output reg [31:0] VAR12, input VAR13, input VAR8, output VAR11, output VAR4, input VAR5, output reg [17:0] VAR7, inout [15:0] VAR10, output reg VAR3, output reg VAR1, output reg VAR6 ); reg [29:0] VAR9; reg[1:0] state;
mit
AbhishekShah212/School_Projects
ELEN232/pset7/Wholepart.v
1,389
module MODULE1 ( input [7:0] VAR12, input VAR17, input VAR4, input VAR10, output [7:0] VAR14 ); VAR5 VAR6 ( .VAR12(VAR12[0]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[0]) ); VAR5 VAR1 ( .VAR12(VAR12[1]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[1]) ); VAR5 VAR2 ( .VAR12(VAR12[2]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[2]) ); VAR5 VAR13 ( .VAR12(VAR12[3]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[3]) ); VAR5 VAR8 ( .VAR12(VAR12[4]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[4]) ); VAR5 VAR15 ( .VAR12(VAR12[5]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[5]) ); VAR5 VAR9 ( .VAR12(VAR12[6]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[6]) ); VAR5 VAR11 ( .VAR12(VAR12[7]), .VAR7(VAR17), .VAR16(VAR4), .VAR3(VAR10), .VAR14(VAR14[7]) ); endmodule
mit
vipinkmenon/scas
hw/fpga/source/memory_if/round_robin_arb.v
7,531
module MODULE1 parameter VAR3 = 100, parameter VAR8 = 3 ) ( VAR20, VAR11, clk, rst, req, VAR10, VAR4, VAR19 ); input clk; input rst; input [VAR8-1:0] req; wire [VAR8-1:0] VAR1; reg [VAR8*2-1:0] VAR17; always @(VAR1) VAR17 = {VAR1, VAR1}; reg [VAR8*2-1:0] VAR13; always @(req) VAR13 = {req, req}; reg [VAR8-1:0] VAR2 = {VAR8{1'b0}}; genvar VAR5; genvar VAR15; generate for (VAR5 = 0; VAR5 < VAR8; VAR5 = VAR5 + 1) begin : VAR16 wire [VAR8-1:1] VAR14; for (VAR15 = 0; VAR15 < (VAR8-1); VAR15 = VAR15 + 1) begin : VAR9 assign VAR14[VAR15+1] = VAR17[VAR5+VAR15] && |VAR13[VAR5+VAR8-1:VAR5+VAR15+1]; end always @(VAR14) VAR2[VAR5] = |VAR14; end endgenerate input VAR10; output wire [VAR8-1:0] VAR20; assign VAR20 = req & ~VAR2 & {VAR8{~VAR10}}; output reg [VAR8-1:0] VAR11; input [VAR8-1:0] VAR4; input VAR19; reg [VAR8-1:0] VAR7; localparam VAR12 = 1 << (VAR8 - 1); assign VAR1 = rst ? VAR12[0+:VAR8] : VAR19 ? VAR4 : VAR7; VAR18: assert property (@(posedge clk) (rst || 0(VAR20))); VAR6: assert property (@(posedge clk) (rst || (VAR7))); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/isobufsrc/sky130_fd_sc_lp__isobufsrc.functional.v
1,421
module MODULE1 ( VAR3 , VAR5, VAR4 ); output VAR3 ; input VAR5; input VAR4 ; wire VAR8 ; wire VAR6; not VAR1 (VAR8 , VAR5 ); and VAR2 (VAR6, VAR8, VAR4 ); buf VAR7 (VAR3 , VAR6 ); endmodule
apache-2.0
chiralhat/fpga-pulses-ice
ECP5/ecppll.v
1,327
module MODULE1 ( input VAR34, output VAR21, output VAR20 ); VAR40 #( .VAR33("VAR13"), .VAR16("VAR13"), .VAR31("VAR13"), .VAR9("VAR13"), .VAR15("VAR12"), .VAR32("VAR36"), .VAR7("VAR2"), .VAR23("VAR30"), .VAR11(3), .VAR39("VAR25"), .VAR24(6), .VAR3(2), .VAR35(0), .VAR37("VAR18"), .VAR1(25) ) VAR28 ( .VAR22(1'b0), .VAR10(1'b0), .VAR27(VAR34), .VAR18(VAR21), .VAR29(VAR21), .VAR8(), .VAR19(1'b0), .VAR26(1'b0), .VAR6(1'b1), .VAR17(1'b1), .VAR5(1'b1), .VAR14(1'b0), .VAR4(1'b0), .VAR38(VAR20) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkdlyinv3sd1/sky130_fd_sc_hs__clkdlyinv3sd1.pp.symbol.v
1,324
module MODULE1 ( input VAR1 , output VAR4 , input VAR3, input VAR2 ); endmodule
apache-2.0
toyoshim/tvcl
Multiplexer4.v
1,050
module MODULE1( VAR7, VAR9, VAR2, VAR1, VAR5, VAR10, VAR12, VAR8, VAR4, VAR3); parameter VAR11 = 1; input [VAR11 - 1:0] VAR7; input [VAR11 - 1:0] VAR9; input [VAR11 - 1:0] VAR2; input [VAR11 - 1:0] VAR1; input VAR5; input VAR10; input VAR12; input VAR8; output [VAR11 - 1:0] VAR4; output VAR3; wire [ 2:0] VAR6; assign VAR4 = VAR5 ? VAR7 : VAR10 ? VAR9 : VAR12 ? VAR2 : VAR8 ? VAR1 : 0; assign VAR6 = VAR5 + VAR10 + VAR12 + VAR8; assign VAR3 = VAR6[2] | VAR6[1] | (VAR6 == 3'h0); endmodule
bsd-3-clause
freecores/orsoc_graphics_accelerator
rtl/verilog/gfx/gfx_wbs.v
23,265
module MODULE1( VAR2, VAR64, VAR98, VAR142, VAR137, VAR22, VAR91, VAR41, VAR28, VAR138, VAR36, VAR46, VAR78, VAR154, VAR34, VAR63, VAR124, VAR76, VAR27, VAR67, VAR50, VAR44, VAR59, VAR187, VAR199, VAR18, VAR164, VAR149, VAR25, VAR5, VAR84, VAR122, VAR75, VAR165, VAR68, VAR118, VAR160, VAR168, VAR161, VAR71, VAR94, VAR174, VAR105, VAR173, VAR55, VAR61, VAR13, VAR101, VAR191, VAR130, VAR65, VAR43, VAR182, VAR48, VAR133, VAR120, VAR87, VAR33, VAR39, VAR32, VAR106, VAR163, VAR107, VAR8, VAR202, VAR146, VAR16, VAR123, VAR125, VAR10, VAR60, VAR208, VAR88, VAR129, VAR19, VAR73 ); parameter VAR117 = 9; parameter VAR148 = 16; parameter VAR66 = 16; parameter VAR15 = 10; input VAR2; input VAR64; input [VAR117:0] VAR98; input [31:0] VAR142; output reg [31:0] VAR137; input [ 3:0] VAR22; input VAR91; input VAR41; input VAR28; output reg VAR138; output reg VAR36; output reg VAR46; output reg VAR78; output [VAR148-1:0] VAR154; output [VAR148-1:0] VAR34; output [VAR148-1:0] VAR63; output [VAR148-1:0] VAR124; output signed [VAR148-1:-VAR66] VAR76; output signed [VAR148-1:-VAR66] VAR27; output signed [VAR148-1:-VAR66] VAR67; output [1:0] VAR50; output signed [VAR148-1:-VAR66] VAR44; output signed [VAR148-1:-VAR66] VAR59; output signed [VAR148-1:-VAR66] VAR187; output signed [VAR148-1:-VAR66] VAR199; output signed [VAR148-1:-VAR66] VAR18; output signed [VAR148-1:-VAR66] VAR164; output signed [VAR148-1:-VAR66] VAR149; output signed [VAR148-1:-VAR66] VAR25; output signed [VAR148-1:-VAR66] VAR5; output signed [VAR148-1:-VAR66] VAR84; output signed [VAR148-1:-VAR66] VAR122; output signed [VAR148-1:-VAR66] VAR75; output VAR165; output VAR68; output [VAR148-1:0] VAR118; output [VAR148-1:0] VAR160; output [VAR148-1:0] VAR168; output [VAR148-1:0] VAR161; output [31:0] VAR71; output [31:0] VAR94; output [31:0] VAR174; output [VAR148-1:0] VAR105; output [VAR148-1:0] VAR173; output [VAR148-1:0] VAR55; output [VAR148-1:0] VAR61; output [VAR148-1:0] VAR13; output [VAR148-1:0] VAR101; output [7:0] VAR191; output [7:0] VAR130; output [7:0] VAR65; output [31:2] VAR43; output [VAR148-1:0] VAR182; output [VAR148-1:0] VAR48; output [31:2] VAR133; output [VAR148-1:0] VAR120; output [VAR148-1:0] VAR87; output [1:0] VAR33; output VAR39; output VAR32; output VAR106; output VAR163; output VAR107; input VAR8; input VAR202; input VAR146; input VAR16; output VAR123; output VAR125; output [7:0] VAR10; output VAR60; output [31:0] VAR208; output VAR88; output VAR129; output VAR19; output [31:2] VAR73; wire [VAR117:0] VAR193 = {VAR98[VAR117 : 2], 2'b00}; reg [31:0] VAR184, VAR167, VAR156, VAR126; reg [31:0] VAR111, VAR178, VAR53, VAR116; reg [31:0] VAR29, VAR211, VAR51, VAR188; reg [31:0] VAR42, VAR40, VAR162, VAR52; reg signed [31:0] VAR99, VAR141, VAR201; reg signed [31:0] VAR100, VAR157, VAR37, VAR49; reg signed [31:0] VAR207, VAR113, VAR80, VAR26; reg signed [31:0] VAR85, VAR192, VAR198, VAR31; reg [31:0] VAR204, VAR177, VAR170; reg [31:0] VAR151, VAR57, VAR136, VAR45, VAR140, VAR30; reg [31:0] VAR197; reg [31:0] VAR145; reg [31:0] VAR127; wire [1:0] VAR180; wire VAR131, VAR62, VAR132, VAR121; reg state; parameter VAR20 = 1'b0, VAR196 = 1'b1; assign VAR131 = VAR28 & VAR41; assign VAR62 = (VAR22 == 4'b1111); assign VAR132 = VAR131 & VAR62; assign VAR121 = VAR132 & VAR91; always @(posedge VAR2 or posedge VAR64) if(VAR64) VAR138 <= 1'b0; else VAR138 <= VAR132 & VAR62 & ~VAR138 ; always @(posedge VAR2 or posedge VAR64) if(VAR64) VAR36 <= 1'b0; else VAR36 <= 1'b0; always @(posedge VAR2 or posedge VAR64) if(VAR64) VAR46 <= 1'b0; else VAR46 <= VAR131 & ~VAR62 & ~VAR46; always @(posedge VAR2 or posedge VAR64) if(VAR64) VAR78 <= 1'b0; else VAR78 <= VAR8 | VAR202; always @(posedge VAR2 or posedge VAR64) begin : VAR152 if (VAR64) begin VAR184 <= 32'h00000000; VAR156 <= 32'h00000000; VAR111 <= 32'h00000000; VAR178 <= 32'h00000000; VAR126 <= 32'h00000000; VAR53 <= 32'h00000000; VAR116 <= 32'h00000000; VAR29 <= 32'h00000000; VAR211 <= 32'h00000000; VAR51 <= 32'h00000000; VAR188 <= 32'h00000000; VAR99 <= 32'h00000000; VAR141 <= 32'h00000000; VAR201 <= 32'h00000000; VAR100 <= (1'b1 << VAR66); VAR157 <= 32'h00000000; VAR37 <= 32'h00000000; VAR49 <= 32'h00000000; VAR207 <= 32'h00000000; VAR113 <= (1'b1 << VAR66); VAR80 <= 32'h00000000; VAR26 <= 32'h00000000; VAR85 <= 32'h00000000; VAR192 <= 32'h00000000; VAR198 <= (1'b1 << VAR66); VAR31 <= 32'h00000000; VAR42 <= 32'h00000000; VAR40 <= 32'h00000000; VAR162 <= 32'h00000000; VAR52 <= 32'h00000000; VAR204 <= 32'h00000000; VAR177 <= 32'h00000000; VAR170 <= 32'h00000000; VAR151 <= 32'h00000000; VAR57 <= 32'h00000000; VAR136 <= 32'h00000000; VAR45 <= 32'h00000000; VAR140 <= 32'h00000000; VAR30 <= 32'h00000000; VAR197 <= 32'hffffffff; VAR145 <= 32'h00000000; VAR127 <= 32'h00000000; end else if (VAR175) begin case (VAR179) VAR150 : VAR184 <= VAR158; VAR109 : VAR156 <= VAR158; VAR172 : VAR111 <= VAR158; VAR200 : VAR178 <= VAR158; VAR206 : VAR126 <= VAR158; VAR82 : VAR53 <= VAR158; VAR89 : VAR116 <= VAR158; VAR92 : VAR29 <= VAR158; VAR195 : VAR211 <= VAR158; VAR108 : VAR51 <= VAR158; VAR79 : VAR188 <= VAR158; VAR21 : VAR99 <= (VAR158); VAR77 : VAR141 <= (VAR158); VAR171 : VAR201 <= (VAR158); VAR56 : VAR100 <= (VAR158); VAR115 : VAR157 <= (VAR158); VAR96 : VAR37 <= (VAR158); VAR9 : VAR49 <= (VAR158); VAR104 : VAR207 <= (VAR158); VAR95 : VAR113 <= (VAR158); VAR210 : VAR80 <= (VAR158); VAR11 : VAR26 <= (VAR158); VAR93 : VAR85 <= (VAR158); VAR70 : VAR192 <= (VAR158); VAR135 : VAR198 <= (VAR158); VAR97 : VAR31 <= (VAR158); VAR1 : VAR42 <= VAR158; VAR72 : VAR40 <= VAR158; VAR103 : VAR162 <= VAR158; VAR181 : VAR52 <= VAR158; VAR186 : VAR204 <= VAR158; VAR147 : VAR177 <= VAR158; VAR176 : VAR170 <= VAR158; VAR209 : VAR151 <= VAR158; VAR205 : VAR57 <= VAR158; VAR86 : VAR136 <= VAR158; VAR23 : VAR45 <= VAR158; VAR81 : VAR140 <= VAR158; VAR112 : VAR30 <= VAR158; VAR110 : VAR197 <= VAR158; VAR139 : VAR145 <= VAR158; VAR189 : VAR127 <= VAR158; endcase end else begin VAR184[VAR90] <= 1'b0; VAR184[VAR54] <= 1'b0; VAR184[VAR69] <= 1'b0; VAR184[VAR6] <= 1'b0; VAR184[VAR155] <= 1'b0; end end always @(posedge VAR2 or posedge VAR64) if (VAR64) VAR167 <= 32'h00000000; else begin VAR167[VAR38] <= (state == VAR196); VAR167[31:16] <= VAR83; end assign VAR43 = VAR156[31:2]; assign VAR182 = VAR111[VAR148-1:0]; assign VAR48 = VAR178[VAR148-1:0]; assign VAR133 = VAR126[31:2]; assign VAR120 = VAR53[VAR148-1:0]; assign VAR87 = VAR116[VAR148-1:0]; assign VAR154 = VAR29[VAR148-1:0]; assign VAR34 = VAR211[VAR148-1:0]; assign VAR63 = VAR51[VAR148-1:0]; assign VAR124 = VAR188[VAR148-1:0]; assign VAR118 = VAR42[VAR148-1:0]; assign VAR160 = VAR40[VAR148-1:0]; assign VAR168 = VAR162[VAR148-1:0]; assign VAR161 = VAR52[VAR148-1:0]; assign VAR76[VAR148-1:-VAR66] = (VAR99); assign VAR27[VAR148-1:-VAR66] = (VAR141); assign VAR67[VAR148-1:-VAR66] = (VAR201); assign VAR50 = VAR180; assign VAR44 = (VAR100); assign VAR59 = (VAR157); assign VAR187 = (VAR37); assign VAR199 = (VAR49); assign VAR18 = (VAR207); assign VAR164 = (VAR113); assign VAR149 = (VAR80); assign VAR25 = (VAR26); assign VAR5 = (VAR85); assign VAR84 = (VAR192); assign VAR122 = (VAR198); assign VAR75 = (VAR31); assign VAR71 = VAR204; assign VAR94 = VAR177; assign VAR174 = VAR170; assign VAR105 = VAR151[VAR148-1:0]; assign VAR173 = VAR57[VAR148-1:0]; assign VAR55 = VAR136[VAR148-1:0]; assign VAR61 = VAR45[VAR148-1:0]; assign VAR13 = VAR140[VAR148-1:0]; assign VAR101 = VAR30[VAR148-1:0]; assign VAR191 = VAR197[31:24]; assign VAR130 = VAR197[23:16]; assign VAR65 = VAR197[15:8]; assign VAR10 = VAR197[7:0]; assign VAR208 = VAR145; assign VAR73 = VAR127[31:2]; assign VAR33 = VAR184[VAR185+1:VAR185]; assign VAR123 = VAR184[VAR102 ]; assign VAR125 = VAR184[VAR134]; assign VAR60 = VAR184[VAR190]; assign VAR88 = VAR184[VAR47]; assign VAR19 = VAR184[VAR3 ]; assign VAR39 = VAR184[VAR90 ]; assign VAR32 = VAR184[VAR54 ]; assign VAR106 = VAR184[VAR69 ]; assign VAR163 = VAR184[VAR159 ]; assign VAR107 = VAR184[VAR14 ]; assign VAR129 = VAR184[VAR128 ]; assign VAR180 = VAR184[VAR153+1:VAR153]; assign VAR68 = VAR184[VAR6]; assign VAR165 = VAR184[VAR155]; always @(posedge VAR2 or posedge VAR64) if(VAR64) VAR137 <= 32'h00000000; else case (VAR193) VAR150 : VAR137 <= VAR184; VAR194 : VAR137 <= VAR167; VAR109 : VAR137 <= VAR156; VAR172 : VAR137 <= VAR111; VAR200 : VAR137 <= VAR178; VAR206 : VAR137 <= VAR126; VAR82 : VAR137 <= VAR53; VAR89 : VAR137 <= VAR116; VAR92 : VAR137 <= VAR29; VAR195 : VAR137 <= VAR211; VAR108 : VAR137 <= VAR51; VAR79 : VAR137 <= VAR188; VAR21 : VAR137 <= VAR99; VAR77 : VAR137 <= VAR141; VAR171 : VAR137 <= VAR201; VAR56 : VAR137 <= VAR100; VAR115 : VAR137 <= VAR157; VAR96 : VAR137 <= VAR37; VAR9 : VAR137 <= VAR49; VAR104 : VAR137 <= VAR207; VAR95 : VAR137 <= VAR113; VAR210 : VAR137 <= VAR80; VAR11 : VAR137 <= VAR26; VAR93 : VAR137 <= VAR85; VAR70 : VAR137 <= VAR192; VAR135 : VAR137 <= VAR198; VAR97 : VAR137 <= VAR31; VAR1 : VAR137 <= VAR42; VAR72 : VAR137 <= VAR40; VAR103 : VAR137 <= VAR162; VAR181 : VAR137 <= VAR52; VAR186 : VAR137 <= VAR204; VAR147 : VAR137 <= VAR177; VAR176 : VAR137 <= VAR170; VAR209 : VAR137 <= VAR151; VAR205 : VAR137 <= VAR57; VAR86 : VAR137 <= VAR136; VAR23 : VAR137 <= VAR45; VAR81 : VAR137 <= VAR140; VAR112 : VAR137 <= VAR30; VAR110 : VAR137 <= VAR197; VAR139 : VAR137 <= VAR145; VAR189 : VAR137 <= VAR127; default : VAR137 <= 32'h00000000; endcase always @(posedge VAR2 or posedge VAR64) if(VAR64) state <= VAR20; else case (state) VAR20: if(VAR39 | VAR32 | VAR106 | VAR68 | VAR165) state <= VAR196; VAR196: if(VAR146 | VAR16) state <= VAR20; endcase wire VAR58; wire [31:0] VAR158; wire VAR175; wire VAR144; reg VAR143; reg VAR12; wire [VAR117:0] VAR179; wire [VAR15:0] VAR83; always @(posedge VAR2 or posedge VAR64) if(VAR64) VAR143 <= 1'b0; else VAR143 <= VAR175 & !VAR143; wire VAR35 = (state == VAR20) & ~VAR39 & ~VAR32 & ~VAR106 & ~VAR68 & ~VAR165; assign VAR175 = VAR144 & ~VAR143 & VAR35; always @(posedge VAR2 or posedge VAR64) if(VAR64) VAR12 <= 1'b0; else VAR12 <= VAR58 ? !VAR12 : VAR121; assign VAR58 = VAR121 & ~VAR12; VAR119 VAR183( .VAR2 ( VAR2 ), .VAR64 ( VAR64 ), .VAR7 ( {VAR193, VAR142} ), .VAR24 ( VAR58 ), .VAR17 ( ), .VAR166 ( VAR83 ), .VAR114 ( {VAR179, VAR158} ), .VAR74 ( VAR144 ), .VAR169 ( VAR175 ) ); endmodule
gpl-3.0
Ricky-Gong/LegoCar
DE0-Nano/DE0Course/ip/Encoder_IP/pulse_senor_read.v
4,824
module MODULE1(clk,VAR2,VAR8,address,write,VAR6,read,VAR18,VAR13,VAR19); input clk; input VAR2; input VAR8; input [1:0]address; input write; input [31:0] VAR6; input read; input [3:0] VAR18; output [31:0] VAR13; input [1:0]VAR19; reg [31:0] VAR11; reg [31:0] VAR3; reg [31:0] VAR17; reg [31:0] VAR16; reg [31:0] VAR7; reg VAR12; reg VAR9; reg VAR5; reg VAR21; reg [31:0] VAR13; reg VAR10; reg VAR14; reg [31:0] VAR20; reg VAR1; reg VAR15; wire VAR4; assign VAR4=VAR16[0]; always@(negedge clk or negedge VAR2) begin if(!VAR2) begin VAR10<=0; VAR14<=0; end else begin VAR10<=VAR19[0]; VAR14<=VAR10; end end always@(posedge clk or negedge VAR2) begin if(!VAR2) begin VAR17<=32'h80000000; end else begin if(VAR4) begin VAR17<=32'h80000000; end else if(write & VAR8 & VAR9) begin if(VAR18[0]) VAR17[7:0]<=VAR6[7:0]; if(VAR18[1]) VAR17[15:8]<=VAR6[15:8]; if(VAR18[2]) VAR17[23:16]<=VAR6[23:16]; if(VAR18[3]) VAR17[31:24]<=VAR6[31:24]; end else begin if(VAR10==1&&VAR14==0) begin if(VAR19[1]) VAR17<=VAR17+1; end else VAR17<=VAR17-1; end end end end always@(negedge clk or negedge VAR2) begin if(!VAR2) begin VAR20<=32'h0FF307B0; VAR1<=0; end else begin if(VAR20[31]) begin VAR1<=1; VAR20[30:0]<=VAR7[30:0]; VAR20[31]<=0; end else begin VAR1<=0; VAR20<=VAR20+1; end end end always@(posedge clk or negedge VAR2) begin if(!VAR2) begin VAR3<=32'h00000000; VAR11<=32'h00000000; end else begin if(VAR4) begin VAR3<=32'h00000000; VAR11<=32'h00000000; end else if(VAR1) begin VAR3<=32'h00000000; VAR11<=VAR3; end else begin if(VAR10==1&&VAR14==0) begin if(VAR19[1]) VAR3<=VAR3+1; end else VAR3<=VAR3-1; end end end end always @ (address) begin VAR12<=0; VAR9<=0; VAR5<=0; VAR21<=0; case(address) 2'b00:VAR12<=1; 2'b01:VAR9<=1; 2'b10:VAR5<=1; 2'b11:VAR21<=1; endcase end always @ (posedge clk or negedge VAR2) begin if(!VAR2) VAR16<=0; end else begin if(write & VAR8 & VAR5) begin if(VAR18[0]) VAR16[7:0]<=VAR6[7:0]; if(VAR18[1]) VAR16[15:8]<=VAR6[15:8]; if(VAR18[2]) VAR16[23:16]<=VAR6[23:16]; if(VAR18[3]) VAR16[31:24]<=VAR6[31:24]; end end end always @ (posedge clk or negedge VAR2) begin if(!VAR2) end VAR7<=32'h0FF307B0; else begin if(write & VAR8 & VAR21) begin if(VAR18[0]) VAR7[7:0]<=VAR6[7:0]; if(VAR18[1]) VAR7[15:8]<=VAR6[15:8]; if(VAR18[2]) VAR7[23:16]<=VAR6[23:16]; if(VAR18[3]) VAR7[31:24]<=VAR6[31:24]; end end end always @ (address or read or VAR11 or VAR17 or VAR16 or VAR7 or VAR8) begin if(read & VAR8) case(address) 2'b00: begin VAR13<=VAR11; end 2'b01: begin VAR13<=VAR17; end 2'b10: begin VAR13<=VAR16; end 2'b11: begin VAR13<=VAR7; end endcase end endmodule
gpl-2.0
SI-RISCV/e200_opensource
rtl/e203/mems/sirv_mrom.v
4,416
module MODULE1 # ( parameter VAR4 = 12, parameter VAR7 = 32, parameter VAR11 = 1024 )( input [VAR4-1:2] VAR1, output [VAR7-1:0] VAR13 ); wire [31:0] VAR5 [0:VAR11-1]; assign VAR13 = VAR5[VAR1]; genvar VAR8; generate if(1) begin: VAR3 for (VAR8=0;VAR8<1024;VAR8=VAR8+1) begin: VAR9 if(VAR8==0) begin: VAR2 assign VAR5[VAR8] = 32'h7ffff297; end else if(VAR8==1) begin: VAR10 assign VAR5[VAR8] = 32'h00028067; end else begin: VAR12 assign VAR5[VAR8] = 32'h00000000; end end end else begin: VAR6 for (VAR8=0;VAR8<1024;VAR8=VAR8+1) begin: VAR9 if(VAR8==0) begin: VAR2 assign VAR5[VAR8] = 32'h100006f; end else if(VAR8==1) begin: VAR10 assign VAR5[VAR8] = 32'h13; end else if(VAR8==2) begin: VAR10 assign VAR5[VAR8] = 32'h13; end else if(VAR8==3) begin: VAR10 assign VAR5[VAR8] = 32'h6661; end else if(VAR8==4) begin: VAR10 assign VAR5[VAR8] = 32'h20400000 | 32'h000002b7; end else if(VAR8==5) begin: VAR10 assign VAR5[VAR8] = 32'h28067; end else begin: VAR12 assign VAR5[VAR8] = 32'h00000000; end end end endgenerate endmodule
apache-2.0
bangonkali/sram
sram.v
7,282
module MODULE1 ( address, VAR10, VAR1, VAR8, VAR7, reset ); parameter VAR4 = 16; parameter VAR9 = 8; parameter VAR2 = 256; input [VAR9-1:0] address; input VAR1; input VAR8; input VAR7; input reset; inout [VAR4-1:0] VAR10 ; reg [VAR4-1:0] VAR3 ; reg [VAR4-1:0] VAR11 [0:VAR2-1]; assign VAR10 = (!VAR7 && VAR8) ? VAR3 : 16'VAR12; always @ (address or VAR10 or VAR1 or VAR8 or VAR7) begin : VAR6 if ( !VAR1 && !VAR8 && VAR7) begin VAR11[address] = VAR10; end end always @ (address or VAR1 or VAR8 or VAR7) begin : VAR5 if (!VAR1 && VAR8 && !VAR7) begin VAR3 = VAR11[address]; end end always @ (posedge reset) begin VAR11 [0] = 16'b0; VAR11 [1] = 16'b0; VAR11 [2] = 16'b0; VAR11 [3] = 16'b0; VAR11 [4] = 16'b0; VAR11 [5] = 16'b0; VAR11 [6] = 16'b0; VAR11 [7] = 16'b0; VAR11 [8] = 16'b0; VAR11 [9] = 16'b0; VAR11 [10] = 16'b0; VAR11 [11] = 16'b0; VAR11 [12] = 16'b0; VAR11 [13] = 16'b0; VAR11 [14] = 16'b0; VAR11 [15] = 16'b0; VAR11 [16] = 16'b0; VAR11 [17] = 16'b0; VAR11 [18] = 16'b0; VAR11 [19] = 16'b0; VAR11 [20] = 16'b0; VAR11 [21] = 16'b0; VAR11 [22] = 16'b0; VAR11 [23] = 16'b0; VAR11 [24] = 16'b0; VAR11 [25] = 16'b0; VAR11 [26] = 16'b0; VAR11 [27] = 16'b0; VAR11 [28] = 16'b0; VAR11 [29] = 16'b0; VAR11 [30] = 16'b0; VAR11 [31] = 16'b0; VAR11 [32] = 16'b0; VAR11 [33] = 16'b0; VAR11 [34] = 16'b0; VAR11 [35] = 16'b0; VAR11 [36] = 16'b0; VAR11 [37] = 16'b0; VAR11 [38] = 16'b0; VAR11 [39] = 16'b0; VAR11 [40] = 16'b0; VAR11 [41] = 16'b0; VAR11 [42] = 16'b0; VAR11 [43] = 16'b0; VAR11 [44] = 16'b0; VAR11 [45] = 16'b0; VAR11 [46] = 16'b0; VAR11 [47] = 16'b0; VAR11 [48] = 16'b0; VAR11 [49] = 16'b0; VAR11 [50] = 16'd115; VAR11 [51] = 16'b0; VAR11 [52] = 16'b0; VAR11 [53] = 16'b0; VAR11 [54] = 16'b0; VAR11 [55] = 16'b0; VAR11 [56] = 16'b0; VAR11 [57] = 16'b0; VAR11 [58] = 16'b0; VAR11 [59] = 16'b0; VAR11 [60] = 16'b0; VAR11 [61] = 16'b0; VAR11 [62] = 16'b0; VAR11 [63] = 16'b0; VAR11 [64] = 16'b0; VAR11 [65] = 16'b0; VAR11 [66] = 16'b0; VAR11 [67] = 16'b0; VAR11 [68] = 16'b0; VAR11 [69] = 16'b0; VAR11 [70] = 16'b0; VAR11 [71] = 16'b0; VAR11 [72] = 16'b0; VAR11 [73] = 16'b0; VAR11 [74] = 16'b0; VAR11 [75] = 16'b0; VAR11 [76] = 16'b0; VAR11 [77] = 16'b0; VAR11 [78] = 16'b0; VAR11 [79] = 16'b0; VAR11 [80] = 16'b0; VAR11 [81] = 16'b0; VAR11 [82] = 16'b0; VAR11 [83] = 16'b0; VAR11 [84] = 16'b0; VAR11 [85] = 16'b0; VAR11 [86] = 16'b0; VAR11 [87] = 16'b0; VAR11 [88] = 16'b0; VAR11 [89] = 16'b0; VAR11 [90] = 16'b0; VAR11 [91] = 16'b0; VAR11 [92] = 16'b0; VAR11 [93] = 16'b0; VAR11 [94] = 16'b0; VAR11 [95] = 16'b0; VAR11 [96] = 16'b0; VAR11 [97] = 16'b0; VAR11 [98] = 16'b0; VAR11 [99] = 16'b0; VAR11 [100] = 16'b0; VAR11 [101] = 16'b0; VAR11 [102] = 16'b0; VAR11 [103] = 16'b0; VAR11 [104] = 16'b0; VAR11 [105] = 16'b0; VAR11 [106] = 16'b0; VAR11 [107] = 16'b0; VAR11 [108] = 16'b0; VAR11 [109] = 16'b0; VAR11 [110] = 16'b0; VAR11 [111] = 16'b0; VAR11 [112] = 16'b0; VAR11 [113] = 16'b0; VAR11 [114] = 16'b0; VAR11 [115] = 16'b0; VAR11 [116] = 16'b0; VAR11 [117] = 16'b0; VAR11 [118] = 16'b0; VAR11 [119] = 16'b0; VAR11 [120] = 16'b0; VAR11 [121] = 16'b0; VAR11 [122] = 16'b0; VAR11 [123] = 16'b0; VAR11 [124] = 16'b0011011101111001; VAR11 [125] = 16'b0; VAR11 [126] = 16'b0; VAR11 [127] = 16'b0; VAR11 [128] = 16'b0; VAR11 [129] = 16'b0; VAR11 [130] = 16'b0; VAR11 [131] = 16'b0; VAR11 [132] = 16'b0; VAR11 [133] = 16'b0; VAR11 [134] = 16'b0; VAR11 [135] = 16'b0; VAR11 [136] = 16'b0; VAR11 [137] = 16'b0; VAR11 [138] = 16'b0; VAR11 [139] = 16'b0; VAR11 [140] = 16'b0; VAR11 [141] = 16'b0; VAR11 [142] = 16'b0; VAR11 [143] = 16'b0; VAR11 [144] = 16'b0; VAR11 [145] = 16'b0; VAR11 [146] = 16'b0; VAR11 [147] = 16'b0; VAR11 [148] = 16'b0; VAR11 [149] = 16'b0; VAR11 [150] = 16'b0; VAR11 [151] = 16'b0; VAR11 [152] = 16'b0; VAR11 [153] = 16'b0; VAR11 [154] = 16'b0; VAR11 [155] = 16'b0; VAR11 [156] = 16'b0; VAR11 [157] = 16'b0; VAR11 [158] = 16'b0; VAR11 [159] = 16'b0; VAR11 [160] = 16'b0; VAR11 [161] = 16'b0; VAR11 [162] = 16'b0; VAR11 [163] = 16'b0; VAR11 [164] = 16'b0; VAR11 [165] = 16'b0; VAR11 [166] = 16'b0; VAR11 [167] = 16'b0; VAR11 [168] = 16'b0; VAR11 [169] = 16'b0; VAR11 [170] = 16'b0; VAR11 [171] = 16'b0; VAR11 [172] = 16'b0; VAR11 [173] = 16'b0; VAR11 [174] = 16'b0; VAR11 [175] = 16'b0; VAR11 [176] = 16'b0; VAR11 [177] = 16'b0; VAR11 [178] = 16'b0; VAR11 [179] = 16'b0; VAR11 [180] = 16'b0; VAR11 [181] = 16'b0; VAR11 [182] = 16'b0; VAR11 [183] = 16'b0; VAR11 [184] = 16'b0; VAR11 [185] = 16'b0; VAR11 [186] = 16'b0; VAR11 [187] = 16'b0; VAR11 [188] = 16'b0; VAR11 [189] = 16'b0; VAR11 [190] = 16'b0; VAR11 [191] = 16'b0; VAR11 [192] = 16'b0; VAR11 [193] = 16'b0; VAR11 [194] = 16'b0; VAR11 [195] = 16'b0; VAR11 [196] = 16'b0; VAR11 [197] = 16'b0; VAR11 [198] = 16'b0; VAR11 [199] = 16'b0; VAR11 [200] = 16'b0; VAR11 [201] = 16'b0; VAR11 [202] = 16'b0; VAR11 [203] = 16'b0; VAR11 [204] = 16'b0; VAR11 [205] = 16'b0; VAR11 [206] = 16'b0; VAR11 [207] = 16'b0; VAR11 [208] = 16'b0; VAR11 [209] = 16'b0; VAR11 [210] = 16'b0; VAR11 [211] = 16'b0; VAR11 [212] = 16'b0; VAR11 [213] = 16'b0; VAR11 [214] = 16'b0; VAR11 [215] = 16'b0; VAR11 [216] = 16'b0; VAR11 [217] = 16'b0; VAR11 [218] = 16'b0; VAR11 [219] = 16'b0; VAR11 [220] = 16'b0; VAR11 [221] = 16'b0; VAR11 [222] = 16'b0; VAR11 [223] = 16'b0; VAR11 [224] = 16'b0; VAR11 [225] = 16'b0; VAR11 [226] = 16'b0; VAR11 [227] = 16'b0; VAR11 [228] = 16'b0; VAR11 [229] = 16'b0; VAR11 [230] = 16'b0; VAR11 [231] = 16'b0; VAR11 [232] = 16'b0; VAR11 [233] = 16'b0; VAR11 [234] = 16'b0; VAR11 [235] = 16'b0; VAR11 [236] = 16'b0; VAR11 [237] = 16'b0; VAR11 [238] = 16'b0; VAR11 [239] = 16'b0; VAR11 [240] = 16'b0; VAR11 [241] = 16'b0; VAR11 [242] = 120; VAR11 [243] = 16'b0; VAR11 [244] = 16'b0; VAR11 [245] = 16'b0; VAR11 [246] = 16'b0; VAR11 [247] = 16'b0; VAR11 [248] = 16'b0; VAR11 [249] = 16'b0; VAR11 [250] = 16'b0; VAR11 [251] = 16'b0; VAR11 [252] = 16'b0; VAR11 [253] = 16'b0; VAR11 [254] = 16'b0; VAR11 [255] = 16'b0; end endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/ddr_ch_b.v
53,530
module MODULE1(VAR206 ,VAR114 ,VAR117 ,VAR205 ,VAR161 ,VAR20 ,VAR17 ,VAR125 ,VAR92 ,VAR66 ,VAR129 ,VAR31 ,VAR46 ,VAR33 ,VAR159 ,VAR160 , VAR177 ,VAR43 ,VAR185 ,VAR16 ,VAR196 , VAR150 ,VAR120 ,VAR85 ,VAR47 ,VAR98 ,VAR164 ,VAR210 ,VAR111 ,VAR55 ,VAR88 , VAR130, VAR53 ,VAR83 ,VAR52 ,VAR223 , VAR15 ,VAR91 ,VAR84 ,VAR224 , VAR146 ,VAR24 ,VAR200 ,VAR48 ,VAR232 ,VAR28 ,VAR149 ,VAR25 ,VAR30 ,VAR104 ,VAR65 , VAR147 ,VAR41 ,VAR193 ,VAR171 ,VAR69 , VAR94 ,VAR103 ,VAR188 , VAR189 ,VAR198 ,VAR19 ,VAR124 ,VAR61 ,VAR225 ,VAR38, VAR209 ); output [143:0] VAR117 ; output [143:0] VAR205 ; output [255:0] VAR53 ; output [31:0] VAR83 ; input [143:0] VAR206 ; input [143:0] VAR114 ; input [287:0] VAR33 ; input [9:0] VAR160 ; input [4:0] VAR177 ; input [14:0] VAR52 ; input [2:0] VAR91 ; input [3:0] VAR24 ; input [4:0] VAR198 ; input [8:1] VAR61 ; input [8:1] VAR38 ; inout [9:0] VAR159 ; inout [127:0] VAR200 ; inout [14:0] VAR48 ; inout [15:0] VAR232 ; inout [35:0] VAR28 ; inout [2:0] VAR25 ; inout [3:0] VAR30 ; inout [3:0] VAR104 ; inout [3:0] VAR171 ; output VAR130 ; output VAR125 ; output VAR92 ; output VAR31 ; output VAR43 ; output VAR196 ; output VAR150 ; output VAR120 ; output VAR85 ; output VAR47 ; output VAR65 ; input VAR161 ; input VAR20 ; input VAR17 ; input VAR66 ; input VAR129 ; input VAR46 ; input VAR185 ; input VAR16 ; input VAR98 ; input VAR164 ; input VAR210 ; input VAR111 ; input VAR55 ; input VAR88 ; input VAR223 ; input VAR15 ; input VAR84 ; input VAR224 ; input VAR146 ; input VAR69 ; input VAR94 ; input VAR103 ; input VAR188 ; input VAR189 ; input VAR209 ; input VAR19 ; input VAR124 ; input VAR225 ; inout VAR149 ; inout VAR147 ; inout VAR41 ; inout VAR193 ; wire [8:1] VAR113 ; wire [7:0] VAR68 ; wire [7:0] VAR132 ; wire [7:0] VAR71 ; wire [7:0] VAR184 ; wire [7:0] VAR89 ; wire [8:1] VAR63 ; wire [1:0] VAR21 ; wire [1:0] VAR197 ; wire VAR179 ; wire VAR133 ; wire VAR60 ; wire VAR107 ; wire VAR166 ; wire VAR216 ; wire VAR101 ; wire VAR180 ; wire VAR173 ; wire VAR202 ; wire VAR212 ; wire VAR139 ; wire VAR204 ; wire VAR110 ; wire VAR37 ; wire VAR77 ; wire VAR122 ; wire VAR183 ; wire VAR178 ; wire VAR135 ; wire VAR2 ; wire VAR181 ; wire VAR174 ; wire VAR221 ; wire VAR23 ; wire VAR90 ; wire VAR218 ; wire VAR82 ; wire VAR70 ; wire VAR231 ; wire VAR112 ; wire VAR228 ; wire VAR57 ; wire VAR12 ; wire VAR27 ; wire VAR222 ; VAR62 VAR165 ( .VAR42 ({VAR132[0] ,VAR132[1] ,VAR132[2] ,VAR132[3] , VAR132[4] ,VAR132[5] ,VAR132[6] ,VAR132[7] } ), .VAR80 ({VAR132[0] ,VAR132[1] ,VAR132[2] ,VAR132[3] , VAR132[4] ,VAR132[5] ,VAR132[6] ,VAR132[7] } ), .VAR206 ({VAR206[71:0] } ), .VAR114 ({VAR114[71:0] } ), .VAR205 ({VAR205[71:0] } ), .VAR117 ({VAR117[71:0] } ), .VAR100 ({VAR63 } ), .VAR95 ({VAR113 } ), .VAR123 ({VAR198 } ), .VAR121 ({VAR177[1:0] } ), .VAR190 ({VAR21 } ), .VAR1 ({VAR197 } ), .VAR36 ({VAR184[0] ,VAR184[1] ,VAR184[2] ,VAR184[3] , VAR184[4] ,VAR184[5] ,VAR184[6] ,VAR184[7] } ), .VAR119 ({VAR89[0] ,VAR89[1] ,VAR89[2] ,VAR89[3] , VAR89[4] ,VAR89[5] ,VAR89[6] ,VAR89[7] } ), .VAR152 ({VAR71[0] ,VAR71[1] ,VAR71[2] ,VAR71[3] , VAR71[4] ,VAR71[5] ,VAR71[6] ,VAR71[7] } ), .VAR213 ({VAR68[0] ,VAR68[1] ,VAR68[2] ,VAR68[3] , VAR68[4] ,VAR68[5] ,VAR68[6] ,VAR68[7] } ), .VAR160 ({VAR160[9:8] } ), .VAR187 ({VAR198 } ), .VAR49 ({VAR177[1:0] } ), .VAR58 ({VAR89[0] ,VAR89[1] ,VAR89[2] ,VAR89[3] , VAR89[4] ,VAR89[5] ,VAR89[6] ,VAR89[7] } ), .VAR159 ({VAR159[9:8] } ), .VAR30 ({VAR30 } ), .VAR104 ({VAR104 } ), .VAR91 ({VAR91[2] } ), .VAR25 ({VAR25[2] } ), .VAR137 ({VAR197 } ), .VAR99 ({VAR184[0] ,VAR184[1] ,VAR184[2] ,VAR184[3] , VAR184[4] ,VAR184[5] ,VAR184[6] ,VAR184[7] } ), .VAR232 ({VAR232[7:0] } ), .VAR128 ({VAR21 } ), .VAR96 (VAR178 ), .VAR102 (VAR57 ), .VAR196 (VAR110 ), .VAR150 (VAR204 ), .VAR120 (VAR139 ), .VAR85 (VAR202 ), .VAR47 (VAR180 ), .VAR125 (VAR101 ), .VAR92 (VAR166 ), .VAR29 (VAR17 ), .VAR35 (VAR88 ), .VAR141 (VAR20 ), .VAR215 (VAR69 ), .VAR191 (VAR103 ), .VAR109 (VAR188 ), .VAR72 (VAR189 ), .VAR167 (VAR209 ), .VAR50 (VAR19 ), .VAR51 (VAR124 ), .VAR155 (VAR129 ), .VAR39 (VAR174 ), .VAR22 (VAR23 ), .VAR156 (VAR218 ), .VAR144 (VAR70 ), .VAR157 (VAR221 ), .VAR225 (VAR225 ), .VAR3 (VAR88 ), .VAR97 (VAR216 ), .VAR105 (VAR112 ), .VAR106 (VAR173 ), .VAR56 (VAR17 ), .VAR54 (VAR20 ), .VAR194 (VAR103 ), .VAR203 (VAR69 ), .\VAR33[95] (VAR33[95] ), .\VAR33[94] (VAR33[94] ), .\VAR33[93] (VAR33[93] ), .\VAR33[92] (VAR33[92] ), .\VAR33[91] (VAR33[91] ), .\VAR33[90] (VAR33[90] ), .\VAR33[89] (VAR33[89] ), .\VAR33[88] (VAR33[88] ), .\VAR33[87] (VAR33[87] ), .\VAR33[86] (VAR33[86] ), .\VAR33[85] (VAR33[85] ), .\VAR33[84] (VAR33[84] ), .\VAR33[83] (VAR33[83] ), .\VAR33[82] (VAR33[82] ), .\VAR33[81] (VAR33[81] ), .\VAR33[80] (VAR33[80] ), .\VAR33[79] (VAR33[79] ), .\VAR33[78] (VAR33[78] ), .\VAR33[77] (VAR33[77] ), .\VAR33[76] (VAR33[76] ), .\VAR33[75] (VAR33[75] ), .\VAR33[74] (VAR33[74] ), .\VAR33[73] (VAR33[73] ), .\VAR33[72] (VAR33[72] ), .\VAR33[71] (VAR33[71] ), .\VAR33[70] (VAR33[70] ), .\VAR33[69] (VAR33[69] ), .\VAR33[68] (VAR33[68] ), .\VAR33[67] (VAR33[67] ), .\VAR33[66] (VAR33[66] ), .\VAR33[65] (VAR33[65] ), .\VAR33[64] (VAR33[64] ), .\VAR33[31] (VAR33[31] ), .\VAR33[30] (VAR33[30] ), .\VAR33[29] (VAR33[29] ), .\VAR33[28] (VAR33[28] ), .\VAR33[27] (VAR33[27] ), .\VAR33[26] (VAR33[26] ), .\VAR33[25] (VAR33[25] ), .\VAR33[24] (VAR33[24] ), .\VAR33[23] (VAR33[23] ), .\VAR33[22] (VAR33[22] ), .\VAR33[21] (VAR33[21] ), .\VAR33[20] (VAR33[20] ), .\VAR33[19] (VAR33[19] ), .\VAR33[18] (VAR33[18] ), .\VAR33[17] (VAR33[17] ), .\VAR33[16] (VAR33[16] ), .\VAR33[15] (VAR33[15] ), .\VAR33[14] (VAR33[14] ), .\VAR33[13] (VAR33[13] ), .\VAR33[12] (VAR33[12] ), .\VAR33[11] (VAR33[11] ), .\VAR33[10] (VAR33[10] ), .\VAR33[9] (VAR33[9] ), .\VAR33[8] (VAR33[8] ), .\VAR33[7] (VAR33[7] ), .\VAR33[6] (VAR33[6] ), .\VAR33[5] (VAR33[5] ), .\VAR33[4] (VAR33[4] ), .\VAR33[3] (VAR33[3] ), .\VAR33[2] (VAR33[2] ), .\VAR33[1] (VAR33[1] ), .\VAR33[0] (VAR33[0] ), .VAR208 (VAR19 ), .VAR207 (VAR188 ), .VAR66 (VAR66 ), .\VAR33[175] (VAR33[175] ), .\VAR33[174] (VAR33[174] ), .\VAR33[173] (VAR33[173] ), .\VAR33[172] (VAR33[172] ), .\VAR33[171] (VAR33[171] ), .\VAR33[170] (VAR33[170] ), .\VAR33[169] (VAR33[169] ), .\VAR33[168] (VAR33[168] ), .\VAR33[167] (VAR33[167] ), .\VAR33[166] (VAR33[166] ), .\VAR33[165] (VAR33[165] ), .\VAR33[164] (VAR33[164] ), .\VAR33[163] (VAR33[163] ), .\VAR33[162] (VAR33[162] ), .\VAR33[161] (VAR33[161] ), .\VAR33[160] (VAR33[160] ), .\VAR33[159] (VAR33[159] ), .\VAR33[158] (VAR33[158] ), .\VAR33[157] (VAR33[157] ), .\VAR33[156] (VAR33[156] ), .\VAR33[155] (VAR33[155] ), .\VAR33[154] (VAR33[154] ), .\VAR33[153] (VAR33[153] ), .\VAR33[152] (VAR33[152] ), .\VAR33[151] (VAR33[151] ), .\VAR33[150] (VAR33[150] ), .\VAR33[149] (VAR33[149] ), .\VAR33[148] (VAR33[148] ), .\VAR33[147] (VAR33[147] ), .\VAR33[146] (VAR33[146] ), .\VAR33[145] (VAR33[145] ), .\VAR33[144] (VAR33[144] ), .\VAR33[279] (VAR33[279] ), .\VAR33[278] (VAR33[278] ), .\VAR33[277] (VAR33[277] ), .\VAR33[276] (VAR33[276] ), .\VAR33[275] (VAR33[275] ), .\VAR33[274] (VAR33[274] ), .\VAR33[273] (VAR33[273] ), .\VAR33[272] (VAR33[272] ), .\VAR53[223] (VAR53[223] ), .\VAR53[222] (VAR53[222] ), .\VAR53[221] (VAR53[221] ), .\VAR53[220] (VAR53[220] ), .\VAR53[219] (VAR53[219] ), .\VAR53[218] (VAR53[218] ), .\VAR53[217] (VAR53[217] ), .\VAR53[216] (VAR53[216] ), .\VAR53[215] (VAR53[215] ), .\VAR53[214] (VAR53[214] ), .\VAR53[213] (VAR53[213] ), .\VAR53[212] (VAR53[212] ), .\VAR53[211] (VAR53[211] ), .\VAR53[210] (VAR53[210] ), .\VAR53[209] (VAR53[209] ), .\VAR53[208] (VAR53[208] ), .\VAR53[207] (VAR53[207] ), .\VAR53[206] (VAR53[206] ), .\VAR53[205] (VAR53[205] ), .\VAR53[204] (VAR53[204] ), .\VAR53[203] (VAR53[203] ), .\VAR53[202] (VAR53[202] ), .\VAR53[201] (VAR53[201] ), .\VAR53[200] (VAR53[200] ), .\VAR53[199] (VAR53[199] ), .\VAR53[198] (VAR53[198] ), .\VAR53[197] (VAR53[197] ), .\VAR53[196] (VAR53[196] ), .\VAR53[195] (VAR53[195] ), .\VAR53[194] (VAR53[194] ), .\VAR53[193] (VAR53[193] ), .\VAR53[192] (VAR53[192] ), .\VAR33[135] (VAR33[135] ), .\VAR33[134] (VAR33[134] ), .\VAR33[133] (VAR33[133] ), .\VAR33[132] (VAR33[132] ), .\VAR33[131] (VAR33[131] ), .\VAR33[130] (VAR33[130] ), .\VAR33[129] (VAR33[129] ), .\VAR33[128] (VAR33[128] ), .\VAR83[23] (VAR83[23] ), .\VAR83[22] (VAR83[22] ), .\VAR83[21] (VAR83[21] ), .\VAR83[20] (VAR83[20] ), .\VAR83[19] (VAR83[19] ), .\VAR83[18] (VAR83[18] ), .\VAR83[17] (VAR83[17] ), .\VAR83[16] (VAR83[16] ), .\VAR83[7] (VAR83[7] ), .\VAR83[6] (VAR83[6] ), .\VAR83[5] (VAR83[5] ), .\VAR83[4] (VAR83[4] ), .\VAR83[3] (VAR83[3] ), .\VAR83[2] (VAR83[2] ), .\VAR83[1] (VAR83[1] ), .\VAR83[0] (VAR83[0] ), .\VAR53[159] (VAR53[159] ), .\VAR53[158] (VAR53[158] ), .\VAR53[157] (VAR53[157] ), .\VAR53[156] (VAR53[156] ), .\VAR53[155] (VAR53[155] ), .\VAR53[154] (VAR53[154] ), .\VAR53[153] (VAR53[153] ), .\VAR53[152] (VAR53[152] ), .\VAR53[151] (VAR53[151] ), .\VAR53[150] (VAR53[150] ), .\VAR53[149] (VAR53[149] ), .\VAR53[148] (VAR53[148] ), .\VAR53[147] (VAR53[147] ), .\VAR53[146] (VAR53[146] ), .\VAR53[145] (VAR53[145] ), .\VAR53[144] (VAR53[144] ), .\VAR53[143] (VAR53[143] ), .\VAR53[142] (VAR53[142] ), .\VAR53[141] (VAR53[141] ), .\VAR53[140] (VAR53[140] ), .\VAR53[139] (VAR53[139] ), .\VAR53[138] (VAR53[138] ), .\VAR53[137] (VAR53[137] ), .\VAR53[136] (VAR53[136] ), .\VAR53[135] (VAR53[135] ), .\VAR53[134] (VAR53[134] ), .\VAR53[133] (VAR53[133] ), .\VAR53[132] (VAR53[132] ), .\VAR53[131] (VAR53[131] ), .\VAR53[130] (VAR53[130] ), .\VAR53[129] (VAR53[129] ), .\VAR53[128] (VAR53[128] ), .\VAR53[31] (VAR53[31] ), .\VAR53[30] (VAR53[30] ), .\VAR53[29] (VAR53[29] ), .\VAR53[28] (VAR53[28] ), .\VAR53[27] (VAR53[27] ), .\VAR53[26] (VAR53[26] ), .\VAR53[25] (VAR53[25] ), .\VAR53[24] (VAR53[24] ), .\VAR53[23] (VAR53[23] ), .\VAR53[22] (VAR53[22] ), .\VAR53[21] (VAR53[21] ), .\VAR53[20] (VAR53[20] ), .\VAR53[19] (VAR53[19] ), .\VAR53[18] (VAR53[18] ), .\VAR53[17] (VAR53[17] ), .\VAR53[16] (VAR53[16] ), .\VAR53[15] (VAR53[15] ), .\VAR53[14] (VAR53[14] ), .\VAR53[13] (VAR53[13] ), .\VAR53[12] (VAR53[12] ), .\VAR53[11] (VAR53[11] ), .\VAR53[10] (VAR53[10] ), .\VAR53[9] (VAR53[9] ), .\VAR53[8] (VAR53[8] ), .\VAR53[7] (VAR53[7] ), .\VAR53[6] (VAR53[6] ), .\VAR53[5] (VAR53[5] ), .\VAR53[4] (VAR53[4] ), .\VAR53[3] (VAR53[3] ), .\VAR53[2] (VAR53[2] ), .\VAR53[1] (VAR53[1] ), .\VAR53[0] (VAR53[0] ), .VAR31 (VAR183 ), .VAR161 (VAR27 ), .\VAR48[9] (VAR48[9] ), .\VAR48[8] (VAR48[8] ), .\VAR48[7] (VAR48[7] ), .\VAR48[6] (VAR48[6] ), .\VAR48[5] (VAR48[5] ), .\VAR48[4] (VAR48[4] ), .\VAR48[3] (VAR48[3] ), .\VAR48[2] (VAR48[2] ), .\VAR48[1] (VAR48[1] ), .\VAR48[0] (VAR48[0] ), .\VAR52[9] (VAR52[9] ), .\VAR52[8] (VAR52[8] ), .\VAR52[7] (VAR52[7] ), .\VAR52[6] (VAR52[6] ), .\VAR52[5] (VAR52[5] ), .\VAR52[4] (VAR52[4] ), .\VAR52[3] (VAR52[3] ), .\VAR52[2] (VAR52[2] ), .\VAR52[1] (VAR52[1] ), .\VAR52[0] (VAR52[0] ), .\VAR28[12] (VAR28[12] ), .\VAR28[11] (VAR28[11] ), .\VAR28[10] (VAR28[10] ), .\VAR28[9] (VAR28[9] ), .\VAR28[8] (VAR28[8] ), .VAR43 (VAR122 ), .VAR185 (VAR185 ), .VAR223 (VAR223 ), .\VAR48[14] (VAR48[14] ), .\VAR48[13] (VAR48[13] ), .\VAR48[12] (VAR48[12] ), .\VAR48[11] (VAR48[11] ), .VAR149 (VAR149 ), .VAR15 (VAR15 ), .\VAR52[14] (VAR52[14] ), .\VAR52[13] (VAR52[13] ), .\VAR52[12] (VAR52[12] ), .\VAR52[11] (VAR52[11] ), .\VAR200[95] (VAR200[95] ), .\VAR200[94] (VAR200[94] ), .\VAR200[93] (VAR200[93] ), .\VAR200[92] (VAR200[92] ), .\VAR200[91] (VAR200[91] ), .\VAR200[90] (VAR200[90] ), .\VAR200[89] (VAR200[89] ), .\VAR200[88] (VAR200[88] ), .\VAR200[87] (VAR200[87] ), .\VAR200[86] (VAR200[86] ), .\VAR200[85] (VAR200[85] ), .\VAR200[84] (VAR200[84] ), .\VAR200[83] (VAR200[83] ), .\VAR200[82] (VAR200[82] ), .\VAR200[81] (VAR200[81] ), .\VAR200[80] (VAR200[80] ), .\VAR200[79] (VAR200[79] ), .\VAR200[78] (VAR200[78] ), .\VAR200[77] (VAR200[77] ), .\VAR200[76] (VAR200[76] ), .\VAR200[75] (VAR200[75] ), .\VAR200[74] (VAR200[74] ), .\VAR200[73] (VAR200[73] ), .\VAR200[72] (VAR200[72] ), .\VAR200[71] (VAR200[71] ), .\VAR200[70] (VAR200[70] ), .\VAR200[69] (VAR200[69] ), .\VAR200[68] (VAR200[68] ), .\VAR200[67] (VAR200[67] ), .\VAR200[66] (VAR200[66] ), .\VAR200[65] (VAR200[65] ), .\VAR200[64] (VAR200[64] ), .\VAR200[31] (VAR200[31] ), .\VAR200[30] (VAR200[30] ), .\VAR200[29] (VAR200[29] ), .\VAR200[28] (VAR200[28] ), .\VAR200[27] (VAR200[27] ), .\VAR200[26] (VAR200[26] ), .\VAR200[25] (VAR200[25] ), .\VAR200[24] (VAR200[24] ), .\VAR200[23] (VAR200[23] ), .\VAR200[22] (VAR200[22] ), .\VAR200[21] (VAR200[21] ), .\VAR200[20] (VAR200[20] ), .\VAR200[19] (VAR200[19] ), .\VAR200[18] (VAR200[18] ), .\VAR200[17] (VAR200[17] ), .\VAR200[16] (VAR200[16] ), .\VAR200[15] (VAR200[15] ), .\VAR200[14] (VAR200[14] ), .\VAR200[13] (VAR200[13] ), .\VAR200[12] (VAR200[12] ), .\VAR200[11] (VAR200[11] ), .\VAR200[10] (VAR200[10] ), .\VAR200[9] (VAR200[9] ), .\VAR200[8] (VAR200[8] ), .\VAR200[7] (VAR200[7] ), .\VAR200[6] (VAR200[6] ), .\VAR200[5] (VAR200[5] ), .\VAR200[4] (VAR200[4] ), .\VAR200[3] (VAR200[3] ), .\VAR200[2] (VAR200[2] ), .\VAR200[1] (VAR200[1] ), .\VAR200[0] (VAR200[0] ), .\VAR28[3] (VAR28[3] ), .\VAR28[2] (VAR28[2] ), .\VAR28[1] (VAR28[1] ), .\VAR28[0] (VAR28[0] ), .\VAR28[21] (VAR28[21] ), .\VAR28[20] (VAR28[20] ), .\VAR28[19] (VAR28[19] ), .\VAR28[18] (VAR28[18] ), .\VAR28[17] (VAR28[17] ), .\VAR28[30] (VAR28[30] ), .\VAR28[29] (VAR28[29] ), .\VAR28[28] (VAR28[28] ), .\VAR28[27] (VAR28[27] ), .VAR229 (VAR189 ), .VAR74 (VAR209 ), .VAR201 (VAR231 ), .VAR9 (VAR107 ), .VAR18 (VAR133 ), .VAR199 (VAR228 ), .VAR151 (VAR124 ), .VAR75 (VAR129 ), .VAR145 (VAR12 ), .VAR79 (VAR60 ), .\VAR53[95] (VAR53[95] ), .\VAR53[94] (VAR53[94] ), .\VAR53[93] (VAR53[93] ), .\VAR53[92] (VAR53[92] ), .\VAR53[91] (VAR53[91] ), .\VAR53[90] (VAR53[90] ), .\VAR53[89] (VAR53[89] ), .\VAR53[88] (VAR53[88] ), .\VAR53[87] (VAR53[87] ), .\VAR53[86] (VAR53[86] ), .\VAR53[85] (VAR53[85] ), .\VAR53[84] (VAR53[84] ), .\VAR53[83] (VAR53[83] ), .\VAR53[82] (VAR53[82] ), .\VAR53[81] (VAR53[81] ), .\VAR53[80] (VAR53[80] ), .\VAR53[79] (VAR53[79] ), .\VAR53[78] (VAR53[78] ), .\VAR53[77] (VAR53[77] ), .\VAR53[76] (VAR53[76] ), .\VAR53[75] (VAR53[75] ), .\VAR53[74] (VAR53[74] ), .\VAR53[73] (VAR53[73] ), .\VAR53[72] (VAR53[72] ), .\VAR53[71] (VAR53[71] ), .\VAR53[70] (VAR53[70] ), .\VAR53[69] (VAR53[69] ), .\VAR53[68] (VAR53[68] ), .\VAR53[67] (VAR53[67] ), .\VAR53[66] (VAR53[66] ), .\VAR53[65] (VAR53[65] ), .\VAR53[64] (VAR53[64] ), .\VAR33[239] (VAR33[239] ), .\VAR33[238] (VAR33[238] ), .\VAR33[237] (VAR33[237] ), .\VAR33[236] (VAR33[236] ), .\VAR33[235] (VAR33[235] ), .\VAR33[234] (VAR33[234] ), .\VAR33[233] (VAR33[233] ), .\VAR33[232] (VAR33[232] ), .\VAR33[231] (VAR33[231] ), .\VAR33[230] (VAR33[230] ), .\VAR33[229] (VAR33[229] ), .\VAR33[228] (VAR33[228] ), .\VAR33[227] (VAR33[227] ), .\VAR33[226] (VAR33[226] ), .\VAR33[225] (VAR33[225] ), .\VAR33[224] (VAR33[224] ), .\VAR33[223] (VAR33[223] ), .\VAR33[222] (VAR33[222] ), .\VAR33[221] (VAR33[221] ), .\VAR33[220] (VAR33[220] ), .\VAR33[219] (VAR33[219] ), .\VAR33[218] (VAR33[218] ), .\VAR33[217] (VAR33[217] ), .\VAR33[216] (VAR33[216] ), .\VAR33[215] (VAR33[215] ), .\VAR33[214] (VAR33[214] ), .\VAR33[213] (VAR33[213] ), .\VAR33[212] (VAR33[212] ), .\VAR33[211] (VAR33[211] ), .\VAR33[210] (VAR33[210] ), .\VAR33[209] (VAR33[209] ), .\VAR33[208] (VAR33[208] ) ); VAR67 VAR6 ( .VAR42 ({VAR132[0] ,VAR132[1] ,VAR132[2] ,VAR132[3] , VAR132[4] ,VAR132[5] ,VAR132[6] ,VAR132[7] } ), .VAR80 ({VAR132[0] ,VAR132[1] ,VAR132[2] ,VAR132[3] , VAR132[4] ,VAR132[5] ,VAR132[6] ,VAR132[7] } ), .VAR114 ({VAR114[143:72] } ), .VAR206 ({VAR206[143:72] } ), .VAR205 ({VAR205[143:72] } ), .VAR117 ({VAR117[143:72] } ), .VAR160 ({VAR160[7:0] } ), .VAR159 ({VAR159[7:0] } ), .VAR36 ({VAR71[0] ,VAR71[1] ,VAR71[2] ,VAR71[3] , VAR71[4] ,VAR71[5] ,VAR71[6] ,VAR71[7] } ), .VAR119 ({VAR68[0] ,VAR68[1] ,VAR68[2] ,VAR68[3] , VAR68[4] ,VAR68[5] ,VAR68[6] ,VAR68[7] } ), .VAR49 ({VAR177[1:0] } ), .VAR130 (VAR130 ), .VAR187 ({VAR198 } ), .VAR128 ({VAR21 } ), .VAR137 ({VAR197 } ), .VAR171 ({VAR171 } ), .VAR99 ({VAR63 } ), .VAR232 ({VAR232[15:8] } ), .VAR1 ({VAR197 } ), .VAR91 ({VAR91[1:0] } ), .VAR48 ({VAR48[10] } ), .VAR123 ({VAR198 } ), .VAR58 ({VAR113 } ), .VAR121 ({VAR177[1:0] } ), .VAR24 ({VAR24 } ), .VAR25 ({VAR25[1:0] } ), .VAR52 ({VAR52[10] } ), .VAR190 ({VAR21 } ), .VAR105 (VAR90 ), .VAR56 (VAR17 ), .VAR54 (VAR20 ), .VAR29 (VAR17 ), .VAR97 (VAR82 ), .VAR201 (VAR82 ), .VAR106 (VAR90 ), .VAR214 (VAR12 ), .VAR148 (VAR133 ), .VAR186 (VAR60 ), .VAR81 (VAR107 ), .VAR154 (VAR216 ), .VAR142 (VAR112 ), .VAR116 (VAR174 ), .VAR211 (VAR221 ), .VAR155 (VAR129 ), .VAR39 (VAR181 ), .VAR156 (VAR135 ), .VAR168 (VAR228 ), .VAR144 (VAR2 ), .VAR157 (VAR77 ), .VAR13 (VAR23 ), .VAR109 (VAR188 ), .VAR192 (VAR218 ), .VAR176 (VAR70 ), .VAR153 (VAR173 ), .VAR66 (VAR66 ), .VAR141 (VAR20 ), .VAR203 (VAR69 ), .VAR194 (VAR103 ), .VAR207 (VAR188 ), .VAR229 (VAR189 ), .VAR74 (VAR209 ), .VAR3 (VAR88 ), .VAR208 (VAR19 ), .VAR151 (VAR124 ), .VAR50 (VAR19 ), .VAR224 (VAR224 ), .\VAR33[63] (VAR33[63] ), .\VAR33[62] (VAR33[62] ), .\VAR33[61] (VAR33[61] ), .\VAR33[60] (VAR33[60] ), .\VAR33[59] (VAR33[59] ), .\VAR33[58] (VAR33[58] ), .\VAR33[57] (VAR33[57] ), .\VAR33[56] (VAR33[56] ), .\VAR33[55] (VAR33[55] ), .\VAR33[54] (VAR33[54] ), .\VAR33[53] (VAR33[53] ), .\VAR33[52] (VAR33[52] ), .\VAR33[51] (VAR33[51] ), .\VAR33[50] (VAR33[50] ), .\VAR33[49] (VAR33[49] ), .\VAR33[48] (VAR33[48] ), .\VAR33[47] (VAR33[47] ), .\VAR33[46] (VAR33[46] ), .\VAR33[45] (VAR33[45] ), .\VAR33[44] (VAR33[44] ), .\VAR33[43] (VAR33[43] ), .\VAR33[42] (VAR33[42] ), .\VAR33[41] (VAR33[41] ), .\VAR33[40] (VAR33[40] ), .\VAR33[39] (VAR33[39] ), .\VAR33[38] (VAR33[38] ), .\VAR33[37] (VAR33[37] ), .\VAR33[36] (VAR33[36] ), .\VAR33[35] (VAR33[35] ), .\VAR33[34] (VAR33[34] ), .\VAR33[33] (VAR33[33] ), .\VAR33[32] (VAR33[32] ), .\VAR53[255] (VAR53[255] ), .\VAR53[254] (VAR53[254] ), .\VAR53[253] (VAR53[253] ), .\VAR53[252] (VAR53[252] ), .\VAR53[251] (VAR53[251] ), .\VAR53[250] (VAR53[250] ), .\VAR53[249] (VAR53[249] ), .\VAR53[248] (VAR53[248] ), .\VAR53[247] (VAR53[247] ), .\VAR53[246] (VAR53[246] ), .\VAR53[245] (VAR53[245] ), .\VAR53[244] (VAR53[244] ), .\VAR53[243] (VAR53[243] ), .\VAR53[242] (VAR53[242] ), .\VAR53[241] (VAR53[241] ), .\VAR53[240] (VAR53[240] ), .\VAR53[239] (VAR53[239] ), .\VAR53[238] (VAR53[238] ), .\VAR53[237] (VAR53[237] ), .\VAR53[236] (VAR53[236] ), .\VAR53[235] (VAR53[235] ), .\VAR53[234] (VAR53[234] ), .\VAR53[233] (VAR53[233] ), .\VAR53[232] (VAR53[232] ), .\VAR53[231] (VAR53[231] ), .\VAR53[230] (VAR53[230] ), .\VAR53[229] (VAR53[229] ), .\VAR53[228] (VAR53[228] ), .\VAR53[227] (VAR53[227] ), .\VAR53[226] (VAR53[226] ), .\VAR53[225] (VAR53[225] ), .\VAR53[224] (VAR53[224] ), .VAR146 (VAR146 ), .VAR41 (VAR41 ), .VAR193 (VAR193 ), .\VAR200[127] (VAR200[127] ), .\VAR200[126] (VAR200[126] ), .\VAR200[125] (VAR200[125] ), .\VAR200[124] (VAR200[124] ), .\VAR200[123] (VAR200[123] ), .\VAR200[122] (VAR200[122] ), .\VAR200[121] (VAR200[121] ), .\VAR200[120] (VAR200[120] ), .\VAR200[119] (VAR200[119] ), .\VAR200[118] (VAR200[118] ), .\VAR200[117] (VAR200[117] ), .\VAR200[116] (VAR200[116] ), .\VAR200[115] (VAR200[115] ), .\VAR200[114] (VAR200[114] ), .\VAR200[113] (VAR200[113] ), .\VAR200[112] (VAR200[112] ), .\VAR200[111] (VAR200[111] ), .\VAR200[110] (VAR200[110] ), .\VAR200[109] (VAR200[109] ), .\VAR200[108] (VAR200[108] ), .\VAR200[107] (VAR200[107] ), .\VAR200[106] (VAR200[106] ), .\VAR200[105] (VAR200[105] ), .\VAR200[104] (VAR200[104] ), .\VAR200[103] (VAR200[103] ), .\VAR200[102] (VAR200[102] ), .\VAR200[101] (VAR200[101] ), .\VAR200[100] (VAR200[100] ), .\VAR200[99] (VAR200[99] ), .\VAR200[98] (VAR200[98] ), .\VAR200[97] (VAR200[97] ), .\VAR200[96] (VAR200[96] ), .\VAR33[287] (VAR33[287] ), .\VAR33[286] (VAR33[286] ), .\VAR33[285] (VAR33[285] ), .\VAR33[284] (VAR33[284] ), .\VAR33[283] (VAR33[283] ), .\VAR33[282] (VAR33[282] ), .\VAR33[281] (VAR33[281] ), .\VAR33[280] (VAR33[280] ), .\VAR33[143] (VAR33[143] ), .\VAR33[142] (VAR33[142] ), .\VAR33[141] (VAR33[141] ), .\VAR33[140] (VAR33[140] ), .\VAR33[139] (VAR33[139] ), .\VAR33[138] (VAR33[138] ), .\VAR33[137] (VAR33[137] ), .\VAR33[136] (VAR33[136] ), .\VAR83[31] (VAR83[31] ), .\VAR83[30] (VAR83[30] ), .\VAR83[29] (VAR83[29] ), .\VAR83[28] (VAR83[28] ), .\VAR83[27] (VAR83[27] ), .\VAR83[26] (VAR83[26] ), .\VAR83[25] (VAR83[25] ), .\VAR83[24] (VAR83[24] ), .\VAR83[15] (VAR83[15] ), .\VAR83[14] (VAR83[14] ), .\VAR83[13] (VAR83[13] ), .\VAR83[12] (VAR83[12] ), .\VAR83[11] (VAR83[11] ), .\VAR83[10] (VAR83[10] ), .\VAR83[9] (VAR83[9] ), .\VAR83[8] (VAR83[8] ), .\VAR33[127] (VAR33[127] ), .\VAR33[126] (VAR33[126] ), .\VAR33[125] (VAR33[125] ), .\VAR33[124] (VAR33[124] ), .\VAR33[123] (VAR33[123] ), .\VAR33[122] (VAR33[122] ), .\VAR33[121] (VAR33[121] ), .\VAR33[120] (VAR33[120] ), .\VAR33[119] (VAR33[119] ), .\VAR33[118] (VAR33[118] ), .\VAR33[117] (VAR33[117] ), .\VAR33[116] (VAR33[116] ), .\VAR33[115] (VAR33[115] ), .\VAR33[114] (VAR33[114] ), .\VAR33[113] (VAR33[113] ), .\VAR33[112] (VAR33[112] ), .\VAR33[111] (VAR33[111] ), .\VAR33[110] (VAR33[110] ), .\VAR33[109] (VAR33[109] ), .\VAR33[108] (VAR33[108] ), .\VAR33[107] (VAR33[107] ), .\VAR33[106] (VAR33[106] ), .\VAR33[105] (VAR33[105] ), .\VAR33[104] (VAR33[104] ), .\VAR33[103] (VAR33[103] ), .\VAR33[102] (VAR33[102] ), .\VAR33[101] (VAR33[101] ), .\VAR33[100] (VAR33[100] ), .\VAR33[99] (VAR33[99] ), .\VAR33[98] (VAR33[98] ), .\VAR33[97] (VAR33[97] ), .\VAR33[96] (VAR33[96] ), .\VAR53[191] (VAR53[191] ), .\VAR53[190] (VAR53[190] ), .\VAR53[189] (VAR53[189] ), .\VAR53[188] (VAR53[188] ), .\VAR53[187] (VAR53[187] ), .\VAR53[186] (VAR53[186] ), .\VAR53[185] (VAR53[185] ), .\VAR53[184] (VAR53[184] ), .\VAR53[183] (VAR53[183] ), .\VAR53[182] (VAR53[182] ), .\VAR53[181] (VAR53[181] ), .\VAR53[180] (VAR53[180] ), .\VAR53[179] (VAR53[179] ), .\VAR53[178] (VAR53[178] ), .\VAR53[177] (VAR53[177] ), .\VAR53[176] (VAR53[176] ), .\VAR53[175] (VAR53[175] ), .\VAR53[174] (VAR53[174] ), .\VAR53[173] (VAR53[173] ), .\VAR53[172] (VAR53[172] ), .\VAR53[171] (VAR53[171] ), .\VAR53[170] (VAR53[170] ), .\VAR53[169] (VAR53[169] ), .\VAR53[168] (VAR53[168] ), .\VAR53[167] (VAR53[167] ), .\VAR53[166] (VAR53[166] ), .\VAR53[165] (VAR53[165] ), .\VAR53[164] (VAR53[164] ), .\VAR53[163] (VAR53[163] ), .\VAR53[162] (VAR53[162] ), .\VAR53[161] (VAR53[161] ), .\VAR53[160] (VAR53[160] ), .VAR72 (VAR189 ), .VAR167 (VAR209 ), .\VAR53[127] (VAR53[127] ), .\VAR53[126] (VAR53[126] ), .\VAR53[125] (VAR53[125] ), .\VAR53[124] (VAR53[124] ), .\VAR53[123] (VAR53[123] ), .\VAR53[122] (VAR53[122] ), .\VAR53[121] (VAR53[121] ), .\VAR53[120] (VAR53[120] ), .\VAR53[119] (VAR53[119] ), .\VAR53[118] (VAR53[118] ), .\VAR53[117] (VAR53[117] ), .\VAR53[116] (VAR53[116] ), .\VAR53[115] (VAR53[115] ), .\VAR53[114] (VAR53[114] ), .\VAR53[113] (VAR53[113] ), .\VAR53[112] (VAR53[112] ), .\VAR53[111] (VAR53[111] ), .\VAR53[110] (VAR53[110] ), .\VAR53[109] (VAR53[109] ), .\VAR53[108] (VAR53[108] ), .\VAR53[107] (VAR53[107] ), .\VAR53[106] (VAR53[106] ), .\VAR53[105] (VAR53[105] ), .\VAR53[104] (VAR53[104] ), .\VAR53[103] (VAR53[103] ), .\VAR53[102] (VAR53[102] ), .\VAR53[101] (VAR53[101] ), .\VAR53[100] (VAR53[100] ), .\VAR53[99] (VAR53[99] ), .\VAR53[98] (VAR53[98] ), .\VAR53[97] (VAR53[97] ), .\VAR53[96] (VAR53[96] ), .VAR161 (VAR222 ), .VAR147 (VAR147 ), .VAR191 (VAR103 ), .\VAR33[271] (VAR33[271] ), .\VAR33[270] (VAR33[270] ), .\VAR33[269] (VAR33[269] ), .\VAR33[268] (VAR33[268] ), .\VAR33[267] (VAR33[267] ), .\VAR33[266] (VAR33[266] ), .\VAR33[265] (VAR33[265] ), .\VAR33[264] (VAR33[264] ), .\VAR33[263] (VAR33[263] ), .\VAR33[262] (VAR33[262] ), .\VAR33[261] (VAR33[261] ), .\VAR33[260] (VAR33[260] ), .\VAR33[259] (VAR33[259] ), .\VAR33[258] (VAR33[258] ), .\VAR33[257] (VAR33[257] ), .\VAR33[256] (VAR33[256] ), .\VAR33[255] (VAR33[255] ), .\VAR33[254] (VAR33[254] ), .\VAR33[253] (VAR33[253] ), .\VAR33[252] (VAR33[252] ), .\VAR33[251] (VAR33[251] ), .\VAR33[250] (VAR33[250] ), .\VAR33[249] (VAR33[249] ), .\VAR33[248] (VAR33[248] ), .\VAR33[247] (VAR33[247] ), .\VAR33[246] (VAR33[246] ), .\VAR33[245] (VAR33[245] ), .\VAR33[244] (VAR33[244] ), .\VAR33[243] (VAR33[243] ), .\VAR33[242] (VAR33[242] ), .\VAR33[241] (VAR33[241] ), .\VAR33[240] (VAR33[240] ), .VAR199 (VAR181 ), .\VAR28[7] (VAR28[7] ), .\VAR28[6] (VAR28[6] ), .\VAR28[5] (VAR28[5] ), .\VAR28[4] (VAR28[4] ), .VAR185 (VAR122 ), .VAR43 (VAR108 ), .VAR215 (VAR69 ), .VAR35 (VAR88 ), .VAR145 (VAR77 ), .VAR9 (VAR2 ), .VAR79 (VAR135 ), .VAR18 (VAR37 ), .VAR75 (VAR129 ), .VAR31 (VAR31 ), .VAR84 (VAR84 ), .\VAR200[63] (VAR200[63] ), .\VAR200[62] (VAR200[62] ), .\VAR200[61] (VAR200[61] ), .\VAR200[60] (VAR200[60] ), .\VAR200[59] (VAR200[59] ), .\VAR200[58] (VAR200[58] ), .\VAR200[57] (VAR200[57] ), .\VAR200[56] (VAR200[56] ), .\VAR200[55] (VAR200[55] ), .\VAR200[54] (VAR200[54] ), .\VAR200[53] (VAR200[53] ), .\VAR200[52] (VAR200[52] ), .\VAR200[51] (VAR200[51] ), .\VAR200[50] (VAR200[50] ), .\VAR200[49] (VAR200[49] ), .\VAR200[48] (VAR200[48] ), .\VAR200[47] (VAR200[47] ), .\VAR200[46] (VAR200[46] ), .\VAR200[45] (VAR200[45] ), .\VAR200[44] (VAR200[44] ), .\VAR200[43] (VAR200[43] ), .\VAR200[42] (VAR200[42] ), .\VAR200[41] (VAR200[41] ), .\VAR200[40] (VAR200[40] ), .\VAR200[39] (VAR200[39] ), .\VAR200[38] (VAR200[38] ), .\VAR200[37] (VAR200[37] ), .\VAR200[36] (VAR200[36] ), .\VAR200[35] (VAR200[35] ), .\VAR200[34] (VAR200[34] ), .\VAR200[33] (VAR200[33] ), .\VAR200[32] (VAR200[32] ), .\VAR28[35] (VAR28[35] ), .\VAR28[34] (VAR28[34] ), .\VAR28[33] (VAR28[33] ), .\VAR28[32] (VAR28[32] ), .\VAR28[31] (VAR28[31] ), .\VAR28[16] (VAR28[16] ), .\VAR28[15] (VAR28[15] ), .\VAR28[14] (VAR28[14] ), .\VAR28[13] (VAR28[13] ), .\VAR28[26] (VAR28[26] ), .\VAR28[25] (VAR28[25] ), .\VAR28[24] (VAR28[24] ), .\VAR28[23] (VAR28[23] ), .\VAR28[22] (VAR28[22] ), .VAR51 (VAR124 ), .VAR22 (VAR37 ), .VAR76 (VAR231 ), .VAR225 (VAR225 ), .\VAR53[63] (VAR53[63] ), .\VAR53[62] (VAR53[62] ), .\VAR53[61] (VAR53[61] ), .\VAR53[60] (VAR53[60] ), .\VAR53[59] (VAR53[59] ), .\VAR53[58] (VAR53[58] ), .\VAR53[57] (VAR53[57] ), .\VAR53[56] (VAR53[56] ), .\VAR53[55] (VAR53[55] ), .\VAR53[54] (VAR53[54] ), .\VAR53[53] (VAR53[53] ), .\VAR53[52] (VAR53[52] ), .\VAR53[51] (VAR53[51] ), .\VAR53[50] (VAR53[50] ), .\VAR53[49] (VAR53[49] ), .\VAR53[48] (VAR53[48] ), .\VAR53[47] (VAR53[47] ), .\VAR53[46] (VAR53[46] ), .\VAR53[45] (VAR53[45] ), .\VAR53[44] (VAR53[44] ), .\VAR53[43] (VAR53[43] ), .\VAR53[42] (VAR53[42] ), .\VAR53[41] (VAR53[41] ), .\VAR53[40] (VAR53[40] ), .\VAR53[39] (VAR53[39] ), .\VAR53[38] (VAR53[38] ), .\VAR53[37] (VAR53[37] ), .\VAR53[36] (VAR53[36] ), .\VAR53[35] (VAR53[35] ), .\VAR53[34] (VAR53[34] ), .\VAR53[33] (VAR53[33] ), .\VAR53[32] (VAR53[32] ), .\VAR33[207] (VAR33[207] ), .\VAR33[206] (VAR33[206] ), .\VAR33[205] (VAR33[205] ), .\VAR33[204] (VAR33[204] ), .\VAR33[203] (VAR33[203] ), .\VAR33[202] (VAR33[202] ), .\VAR33[201] (VAR33[201] ), .\VAR33[200] (VAR33[200] ), .\VAR33[199] (VAR33[199] ), .\VAR33[198] (VAR33[198] ), .\VAR33[197] (VAR33[197] ), .\VAR33[196] (VAR33[196] ), .\VAR33[195] (VAR33[195] ), .\VAR33[194] (VAR33[194] ), .\VAR33[193] (VAR33[193] ), .\VAR33[192] (VAR33[192] ), .\VAR33[191] (VAR33[191] ), .\VAR33[190] (VAR33[190] ), .\VAR33[189] (VAR33[189] ), .\VAR33[188] (VAR33[188] ), .\VAR33[187] (VAR33[187] ), .\VAR33[186] (VAR33[186] ), .\VAR33[185] (VAR33[185] ), .\VAR33[184] (VAR33[184] ), .\VAR33[183] (VAR33[183] ), .\VAR33[182] (VAR33[182] ), .\VAR33[181] (VAR33[181] ), .\VAR33[180] (VAR33[180] ), .\VAR33[179] (VAR33[179] ), .\VAR33[178] (VAR33[178] ), .\VAR33[177] (VAR33[177] ), .\VAR33[176] (VAR33[176] ) ); VAR230 VAR219 ( .VAR197 ({VAR197 } ), .VAR21 ({VAR21 } ), .VAR20 (VAR20 ), .VAR7 (VAR129 ), .VAR4 (VAR183 ), .VAR94 (VAR94 ), .VAR103 (VAR103 ), .VAR69 (VAR69 ), .clk (VAR179 ), .VAR65 (VAR65 ), .VAR162 (VAR209 ), .VAR189 (VAR189 ), .VAR64 (VAR222 ) ); VAR136 VAR172 ( .VAR226 (VAR181 ), .VAR163 (VAR164 ) ); VAR136 VAR45 ( .VAR226 (VAR77 ), .VAR163 (VAR46 ) ); VAR136 VAR93 ( .VAR226 (VAR37 ), .VAR163 (VAR210 ) ); VAR136 VAR115 ( .VAR226 (VAR135 ), .VAR163 (VAR111 ) ); VAR136 VAR217 ( .VAR226 (VAR2 ), .VAR163 (VAR55 ) ); VAR136 VAR40 ( .VAR226 (VAR82 ), .VAR163 (VAR16 ) ); VAR136 VAR5 ( .VAR226 (VAR90 ), .VAR163 (VAR98 ) ); VAR136 VAR8 ( .VAR226 (VAR120 ), .VAR163 (VAR139 ) ); VAR136 VAR26 ( .VAR226 (VAR196 ), .VAR163 (VAR110 ) ); VAR136 VAR14 ( .VAR226 (VAR125 ), .VAR163 (VAR101 ) ); VAR136 VAR127 ( .VAR226 (VAR85 ), .VAR163 (VAR202 ) ); VAR136 VAR44 ( .VAR226 (VAR150 ), .VAR163 (VAR204 ) ); VAR136 VAR131 ( .VAR226 (VAR47 ), .VAR163 (VAR180 ) ); VAR136 VAR126 ( .VAR226 (VAR92 ), .VAR163 (VAR166 ) ); VAR138 VAR73 ( .VAR226 (VAR212 ), .VAR163 (VAR57 ) ); VAR34 VAR143 ( .VAR170 ({VAR38 } ), .VAR158 ({VAR61 } ), .VAR10 ({VAR184[0] ,VAR184[1] ,VAR184[2] ,VAR184[3] , VAR184[4] ,VAR184[5] ,VAR184[6] ,VAR184[7] } ), .VAR140 ({VAR89[0] ,VAR89[1] ,VAR89[2] ,VAR89[3] , VAR89[4] ,VAR89[5] ,VAR89[6] ,VAR89[7] } ), .VAR175 (VAR161 ), .en (VAR212 ), .VAR66 (VAR66 ), .VAR129 (VAR178 ), .VAR59 (VAR27 ) ); VAR78 VAR118 ( .VAR86 ({VAR132[0] ,VAR132[1] ,VAR132[2] ,VAR132[3] , VAR132[4] ,VAR132[5] ,VAR132[6] ,VAR132[7] } ), .VAR163 (VAR177[2] ), .VAR134 (VAR177[4] ), .VAR11 (VAR177[3] ), .VAR227 (VAR225 ) ); VAR182 VAR169 ( .clk (VAR179 ), .VAR66 (VAR66 ) ); VAR32 VAR220( .VAR59(VAR43), .VAR87(VAR108), .VAR195(VAR111)); endmodule
gpl-2.0
ThomasLee969/verilog-homework
homework1/4_thermometer_to_bcd/thermometer_to_bcd.v
1,068
module MODULE1(VAR2, VAR1); output [7:0] VAR2; input [15:0] VAR1; assign VAR2 = (VAR1 == 16'b0) ? 0 : (VAR1 == 16'b1) ? 1 : (VAR1 == 16'b11) ? 2 : (VAR1 == 16'b111) ? 3 : (VAR1 == 16'b1111) ? 4 : (VAR1 == 16'b11111) ? 5 : (VAR1 == 16'b111111) ? 6 : (VAR1 == 16'b1111111) ? 7 : (VAR1 == 16'b11111111) ? 8 : (VAR1 == 16'b111111111) ? 9 : (VAR1 == 16'b1111111111) ? 9 : (VAR1 == 16'b11111111111) ? {4'd1, 4'd0} : (VAR1 == 16'b111111111111) ? {4'd1, 4'd1} : (VAR1 == 16'b1111111111111) ? {4'd1, 4'd2} : (VAR1 == 16'b11111111111111) ? {4'd1, 4'd3} : (VAR1 == 16'b111111111111111) ? {4'd1, 4'd4} : (VAR1 == 16'b1111111111111111) ? {4'd1, 4'd5} : {4'd1, 4'd6}; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o221a/sky130_fd_sc_ls__o221a_4.v
2,444
module MODULE1 ( VAR3 , VAR9 , VAR11 , VAR8 , VAR5 , VAR6 , VAR2, VAR1, VAR10 , VAR7 ); output VAR3 ; input VAR9 ; input VAR11 ; input VAR8 ; input VAR5 ; input VAR6 ; input VAR2; input VAR1; input VAR10 ; input VAR7 ; VAR4 VAR12 ( .VAR3(VAR3), .VAR9(VAR9), .VAR11(VAR11), .VAR8(VAR8), .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR1(VAR1), .VAR10(VAR10), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR3 , VAR9, VAR11, VAR8, VAR5, VAR6 ); output VAR3 ; input VAR9; input VAR11; input VAR8; input VAR5; input VAR6; supply1 VAR2; supply0 VAR1; supply1 VAR10 ; supply0 VAR7 ; VAR4 VAR12 ( .VAR3(VAR3), .VAR9(VAR9), .VAR11(VAR11), .VAR8(VAR8), .VAR5(VAR5), .VAR6(VAR6) ); endmodule
apache-2.0
EmbeddedANT/XILINX_Spartan3AN-StarterKit
Spartan3AN_PicoBlaze_Leds/Spartan3AN_PicoBlaze_Leds.v
3,117
module MODULE1( VAR11, VAR7 ); parameter VAR15 = 8'h00; input wire VAR11; output wire [7:0] VAR7; wire [7:0] VAR6; wire [9:0] address; wire [17:0] VAR8; wire [7:0] VAR17; wire [7:0] VAR10; wire [7:0] VAR5; wire VAR13; wire VAR12; wire interrupt; wire reset; VAR4 VAR18 ( .address(address), .VAR8(VAR8), .VAR17(VAR17), .VAR13(VAR13), .VAR10(VAR10), .VAR12(VAR12), .VAR5(VAR5), .interrupt(interrupt), .VAR16(), .reset(reset), .clk(VAR11)); VAR14 VAR1 ( .address(address), .VAR8(VAR8), .clk(VAR11)); VAR19 #(.VAR2(VAR15)) VAR3( .clk(VAR11), .reset(reset), .VAR17(VAR17), .VAR13(VAR13), .VAR10(VAR10), .VAR9(VAR6)); assign VAR5 = 8'h00; assign interrupt = 1'b0; assign reset = 1'b0; assign VAR7 = VAR6; endmodule
gpl-3.0
jeremysalwen/combinatorial_aes
rtl/aes_256.v
4,199
module MODULE1 (state, VAR35, out); input [127:0] state; input [255:0] VAR35; output [127:0] out; reg [127:0] VAR63; reg [255:0] VAR36, VAR33, VAR5; wire [127:0] VAR47, VAR14, VAR28, VAR32, VAR46, VAR24, VAR41, VAR1, VAR34, VAR6, VAR2, VAR15, VAR30; wire [255:0] VAR43, VAR21, VAR51, VAR50, VAR17, VAR59, VAR22, VAR11, VAR10, VAR62, VAR44, VAR19; wire [127:0] VAR31, VAR45, VAR25, VAR60, VAR27, VAR8, VAR37, VAR53, VAR13, VAR26, VAR58, VAR9, VAR42, VAR55; always @ {VAR33, VAR23, VAR18, VAR20, VAR57, VAR48, VAR54, VAR4} <= {VAR3, VAR38, VAR7, VAR52, VAR51, VAR50, VAR17, VAR59}; VAR39 VAR61 ({VAR59[23:0], VAR59[31:24]}, VAR12); assign VAR31 = VAR33 ^ VAR12; assign VAR45 = VAR23 ^ VAR12; assign VAR25 = VAR18 ^ VAR12; assign VAR60 = VAR20 ^ VAR12; assign {VAR27, VAR8, VAR37, VAR53} = {VAR57, VAR48, VAR54, VAR4}; always @ {VAR33, VAR23, VAR18, VAR20, VAR57, VAR48, VAR54, VAR4} <= {VAR36, VAR5, VAR43, VAR21, VAR51, VAR40, VAR29, VAR16}; VAR39 VAR61 (VAR21, VAR12); assign {VAR31, VAR45, VAR25, VAR60} = {VAR33, VAR23, VAR18, VAR20}; assign VAR27 = VAR57 ^ VAR12; assign VAR8 = VAR48 ^ VAR12; assign VAR37 = VAR54 ^ VAR12; assign VAR53 = VAR4 ^ VAR12; always @ (*) VAR49 <= {VAR31, VAR45, VAR25, VAR60, VAR27, VAR8, VAR37, VAR53}; assign VAR56 = {VAR27, VAR8, VAR37, VAR53}; endmodule
apache-2.0
tmolteno/TART
hardware/FPGA/fifo/sync/sfifo16.v
3,961
module MODULE1 ( VAR21, VAR9, VAR20, VAR4, VAR5, VAR8, VAR3, VAR1 ); parameter VAR10 = 16; parameter VAR18 = VAR10 - 1; input VAR21; input VAR9; input VAR20; input VAR4; input [VAR18:0] VAR5; output [VAR18:0] VAR8; output VAR3; output VAR1; reg [4:0] VAR14 = 5'h0; reg [4:0] VAR6 = 5'h0; wire VAR11; reg [VAR18:0] VAR13 [0:15]; assign VAR11 = (VAR14 [3:0] == VAR6 [3:0]); assign VAR3 = !((VAR14 [4] == VAR6 [4]) && VAR11); assign VAR1 = !((VAR14 [4] != VAR6 [4]) && VAR11); assign VAR8 = VAR13 [VAR14 [3:0]]; always @(posedge VAR21) if (!VAR9) VAR14 <= 0; else begin if (VAR20 && VAR3) VAR14 <= VAR14 + 1; end else VAR14 <= VAR14; end always @(posedge VAR21) if (!VAR9) VAR6 <= 0; else begin if (VAR4 && VAR1) VAR6 <= VAR6 + 1; end else VAR6 <= VAR6; end always @ (posedge VAR21) if (VAR4 && VAR1) VAR13 [VAR6 [3:0]] <= VAR5; VAR15 begin : VAR12 VAR17 (0); end task VAR17; input VAR16; integer VAR16, VAR2; begin : VAR7 for (VAR2 = 0; VAR2 < 16; VAR2 = VAR2 + 1) VAR13[VAR2] = VAR16; end endtask VAR19 endmodule
lgpl-3.0
Canaan-Creative/MM
verilog/superkdf9/components/twi/twi_core.v
3,655
module MODULE1 ( input clk , input rst , input wr , input [7:0] VAR17,input [7:0] VAR15,output [7:0] VAR30 , output [7:0] VAR13 , output VAR33 , input VAR32 , output VAR6 ); parameter VAR24 = 3 ; parameter VAR20 = 600/VAR24+1 ; parameter VAR18 = 700/VAR24+1 ; parameter VAR22 = 600/VAR24+1 ; parameter VAR26 = VAR20+100/VAR24+1 ; parameter VAR23 = VAR26+1300/VAR24+1 ; parameter VAR9 = 100/VAR24+1 ; reg [7:0] VAR2 ; reg [11:0] VAR25 ; reg VAR16 ; reg [3:0] VAR5 ; reg [7:0] VAR27 ; reg [7:0] VAR31 ; assign VAR1 = VAR27 ; assign VAR13 = VAR2 ; reg VAR14 , VAR7 ; reg [2:0] VAR3 ; wire VAR29 = VAR3 == 3'b000 && VAR14 ; wire VAR11 = VAR3 == 3'b001 && VAR14 ; wire VAR4 = VAR3 == 3'b010 && VAR14 ; wire VAR28 = VAR3 == 3'b011 && VAR14 ; wire VAR8 = VAR3 == 3'b100 && VAR14 ; assign VAR30 = {1'b0,VAR3,1'b0,VAR16,VAR7,VAR14}; always @ ( posedge clk ) begin if( rst ) begin VAR14 <= 1'b0 ; VAR7 <= 1'b0 ; VAR3 <= 3'b0 ; end else if( VAR15 == VAR10 && wr ) begin VAR14 <= VAR17[0] ; VAR7 <= VAR17[1] ; VAR3 <= VAR17[6:4] ; end else begin VAR7 <= 1'b0 ; end end always @ ( posedge clk ) begin if( rst ) VAR27 <= 8'b0 ; end else if( VAR15 == VAR19 && wr ) VAR27 <= VAR17 ; else if( VAR11 && VAR25 == (VAR18*2+VAR22) ) VAR27 <= {VAR27[6:0],1'b1}; end always @ ( posedge clk ) begin if( rst ) VAR16 <= 1'b0 ; end else if( VAR15 == VAR10 && wr ) VAR16 <= VAR17[2] ; else if( VAR7 ) VAR16 <= 1'b0 ; else if( (VAR29 || VAR28 ) && VAR25 == VAR23 ) VAR16 <= 1'b1 ; else if( (VAR11 || VAR4) && VAR5 == 9 ) VAR16 <= 1'b1 ; end always @ ( posedge clk ) begin if( rst ) VAR5 <= 4'b0 ; end else if( VAR7 ) VAR5 <= 4'b0 ; else if( (VAR11 || VAR4) && (VAR25 == (VAR18*2+VAR22)) ) VAR5 <= VAR5 + 4'b1 ; end always @ ( posedge clk ) begin if( rst || ~VAR14 ) VAR25 <= 12'b0 ; end else if( (VAR29 || VAR28 ) && VAR7 ) VAR25 <= 12'b1 ; else if( (VAR29 || VAR28 ) && VAR25 != 0 ) VAR25 <= VAR25 + 12'b1 ; else if( (VAR11 || VAR4) && VAR7 ) VAR25 <= 12'b0 ; else if( (VAR11 || VAR4) && VAR25 < (VAR18*2+VAR22) && VAR5 < 9 ) VAR25 <= VAR25 + 12'b1 ; else if( (VAR11 || VAR4) && VAR25 == (VAR18*2+VAR22) ) VAR25 <= 12'b0 ; end reg VAR21 ; always @ ( posedge clk ) begin if( rst || ~VAR14 ) begin VAR21 <= 1'b1 ; end else if( VAR29 ) begin if( VAR25 == VAR26 ) VAR21 <= 1'b0 ; end else if( VAR11 || VAR4 ) begin VAR21 <= VAR25 == 12'b0 ? 1'b0 : VAR25 == VAR18 ? 1'b1 : VAR25 == (VAR18+VAR22) ? 1'b0 : VAR21 ; end else if( VAR28 && VAR25 == VAR18 ) begin VAR21 <= 1'b1 ; end end reg VAR12 ; always @ ( posedge clk ) begin if( rst || ~VAR14 ) begin VAR12 <= 1'b1 ; end else if( VAR29 ) begin if( VAR25 == VAR20 ) VAR12 <= 1'b0 ; end else if( VAR11 ) begin VAR12 <= VAR27[7] ; end else if( VAR4 ) begin if( VAR5 == 8 || VAR5 == 9) end VAR12 <= 1'b0 ; else VAR12 <= 1'b1 ; end else if( VAR28 ) begin if( VAR7 ) VAR12 <= 1'b0 ; end else if( VAR25 == VAR9+VAR18 ) VAR12 <= 1'b1 ; end else if( VAR8 ) begin VAR12 <= 1'b1 ; end end always @ ( posedge clk ) begin if( rst ) VAR2 <= 8'b0 ; end else if( VAR4 && VAR25 == (VAR18+100) && VAR5 <=7) VAR2 <= {VAR2[6:0],VAR32} ; end assign VAR33 = VAR21 ; assign VAR6 = VAR12 ; endmodule
unlicense
defano/digital-design
uart/rtl/tx.v
1,944
module MODULE1 ( clk, reset, VAR7, VAR6, VAR4, VAR3, MODULE1); input clk; input reset; input VAR7; input [7:0] VAR6; input VAR4; output VAR3; output MODULE1; reg MODULE1; reg [1:0] state; reg [7:0] VAR2; reg [2:0] VAR5; parameter VAR1 = 2'd0; parameter VAR10 = 2'd1; parameter VAR9 = 2'd2; parameter VAR8 = 2'd3; assign VAR3 = state == VAR1; always@ (posedge clk or negedge reset) if (!reset) state <= VAR1; else if (state == VAR1 && VAR4) state <= VAR10; else if (state == VAR10 && VAR7) state <= VAR9; else if (state == VAR9 && VAR7 && VAR5 == 3'd7) state <= VAR8; else if (state == VAR8 && VAR7) state <= VAR1; always@ (posedge clk or negedge reset) if (!reset) MODULE1 <= 1'b1; else if (state == VAR10 && VAR7) MODULE1 <= 1'b0; else if (state == VAR9 && VAR7) MODULE1 <= VAR2[VAR5]; else if (state == VAR8 && VAR7) MODULE1 <= 1'b1; always@ (posedge clk or negedge reset) if (!reset) VAR5 <= 3'd0; else if (state == VAR1) VAR5 <= 3'd0; else if (state == VAR9 && VAR7) VAR5 <= VAR5 + 3'd1; always@ (posedge clk or negedge reset) if (!reset) VAR2 <= 8'h0; else if (VAR4 && VAR3) VAR2 <= VAR6; endmodule
mit
LoadCode/FPGA_CODIC
CORDIC.v
6,723
module MODULE1 (VAR14, VAR9, VAR5, VAR3, VAR12, VAR1); parameter VAR18 = 16; localparam VAR13 = VAR18; input VAR14; input signed [31:0] VAR9; input signed [VAR18-1:0] VAR5; input signed [VAR18-1:0] VAR3; output signed [VAR18:0] VAR12; output signed [VAR18:0] VAR1; wire signed [31:0] VAR17 [0:30]; assign VAR17[00] = 32'b00100000000000000000000000000000; assign VAR17[01] = 32'b00010010111001000000010100011101; assign VAR17[02] = 32'b00001001111110110011100001011011; assign VAR17[03] = 32'b00000101000100010001000111010100; assign VAR17[04] = 32'b00000010100010110000110101000011; assign VAR17[05] = 32'b00000001010001011101011111100001; assign VAR17[06] = 32'b00000000101000101111011000011110; assign VAR17[07] = 32'b00000000010100010111110001010101; assign VAR17[08] = 32'b00000000001010001011111001010011; assign VAR17[09] = 32'b00000000000101000101111100101110; assign VAR17[10] = 32'b00000000000010100010111110011000; assign VAR17[11] = 32'b00000000000001010001011111001100; assign VAR17[12] = 32'b00000000000000101000101111100110; assign VAR17[13] = 32'b00000000000000010100010111110011; assign VAR17[14] = 32'b00000000000000001010001011111001; assign VAR17[15] = 32'b00000000000000000101000101111101; assign VAR17[16] = 32'b00000000000000000010100010111110; assign VAR17[17] = 32'b00000000000000000001010001011111; assign VAR17[18] = 32'b00000000000000000000101000101111; assign VAR17[19] = 32'b00000000000000000000010100011000; assign VAR17[20] = 32'b00000000000000000000001010001100; assign VAR17[21] = 32'b00000000000000000000000101000110; assign VAR17[22] = 32'b00000000000000000000000010100011; assign VAR17[23] = 32'b00000000000000000000000001010001; assign VAR17[24] = 32'b00000000000000000000000000101000; assign VAR17[25] = 32'b00000000000000000000000000010100; assign VAR17[26] = 32'b00000000000000000000000000001010; assign VAR17[27] = 32'b00000000000000000000000000000101; assign VAR17[28] = 32'b00000000000000000000000000000010; assign VAR17[29] = 32'b00000000000000000000000000000001; assign VAR17[30] = 32'b00000000000000000000000000000000; reg signed [VAR18:0] VAR10 [0:VAR13-1]; reg signed [VAR18:0] VAR16 [0:VAR13-1]; reg signed [31:0] VAR2 [0:VAR13-1]; wire [1:0] VAR7; assign VAR7 = VAR9[31:30]; always @(posedge VAR14) begin case (VAR7) 2'b00, 2'b11: begin VAR10[0] <= VAR5; VAR16[0] <= VAR3; VAR2[0] <= VAR9; end 2'b01: begin VAR10[0] <= -VAR3; VAR16[0] <= VAR5; VAR2[0] <= {2'b00,VAR9[29:0]}; end 2'b10: begin VAR10[0] <= VAR3; VAR16[0] <= -VAR5; VAR2[0] <= {2'b11,VAR9[29:0]}; end endcase end genvar VAR8; generate for (VAR8=0; VAR8 < (VAR13-1); VAR8=VAR8+1) begin: VAR4 wire VAR11; wire signed [VAR18:0] VAR6, VAR15; assign VAR6 = VAR10[VAR8] >>> VAR8; assign VAR15 = VAR16[VAR8] >>> VAR8; assign VAR11 = VAR2[VAR8][31]; always @(posedge VAR14) begin VAR10[VAR8+1] <= VAR11 ? VAR10[VAR8] + VAR15 : VAR10[VAR8] - VAR15; VAR16[VAR8+1] <= VAR11 ? VAR16[VAR8] - VAR6 : VAR16[VAR8] + VAR6; VAR2[VAR8+1] <= VAR11 ? VAR2[VAR8] + VAR17[VAR8] : VAR2[VAR8] - VAR17[VAR8]; end end endgenerate assign VAR12 = VAR10[VAR13-1]; assign VAR1 = VAR16[VAR13-1]; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sedfxbp/sky130_fd_sc_hdll__sedfxbp.behavioral.pp.v
2,792
module MODULE1 ( VAR10 , VAR23 , VAR5 , VAR12 , VAR13 , VAR14 , VAR26 , VAR15, VAR9, VAR7 , VAR16 ); output VAR10 ; output VAR23 ; input VAR5 ; input VAR12 ; input VAR13 ; input VAR14 ; input VAR26 ; input VAR15; input VAR9; input VAR7 ; input VAR16 ; wire VAR3 ; reg VAR1 ; wire VAR30 ; wire VAR24 ; wire VAR17; wire VAR19; wire VAR11; wire VAR4 ; wire VAR2 ; wire VAR29 ; wire VAR25 ; wire VAR31 ; wire VAR21 ; VAR22 VAR28 (VAR4, VAR2, VAR17, VAR19 ); VAR22 VAR8 (VAR2 , VAR3, VAR30, VAR24 ); VAR27 VAR20 (VAR3 , VAR4, VAR11, VAR1, VAR15, VAR9); assign VAR29 = ( VAR15 === 1'b1 ); assign VAR25 = ( VAR29 && ( VAR19 === 1'b0 ) && ( VAR24 === 1'b1 ) ); assign VAR31 = ( VAR29 && ( VAR19 === 1'b1 ) ); assign VAR21 = ( VAR29 && ( VAR24 === 1'b1 ) && ( VAR30 !== VAR17 ) ); buf VAR6 (VAR10 , VAR3 ); not VAR18 (VAR23 , VAR3 ); endmodule
apache-2.0
ShepardSiegel/ocpi
libsrc/hdl/ocpi/arSRLFIFO.v
1,731
module MODULE1 (VAR14,VAR5,VAR13,VAR3,VAR15,VAR2,VAR4,VAR8,VAR6); parameter VAR16 = 128; parameter VAR11 = 5; localparam VAR1 = 2**VAR11; input VAR14; input VAR5; input VAR6; input VAR13; input VAR3; output VAR15; output VAR2; input[VAR16-1:0] VAR4; output[VAR16-1:0] VAR8; reg[VAR11-1:0] pos; reg[VAR16-1:0] VAR10[VAR1-1:0]; reg VAR9, VAR12; integer VAR7; always@(posedge VAR14) begin if (VAR13) begin for(VAR7=VAR1-1;VAR7>0;VAR7=VAR7-1) VAR10[VAR7] <= VAR10[VAR7-1]; VAR10[0] <= VAR4; end end always@(posedge VAR14) begin if(!VAR5 || VAR6) begin pos <= 1'b0; VAR9 <= 1'b1; VAR12 <= 1'b0; end else begin if (!VAR13 && VAR3) pos <= pos - 1; if ( VAR13 && !VAR3) pos <= pos + 1; VAR9 <= ((pos==0 && !VAR13) || (pos==1 && (VAR3&&!VAR13))); VAR12 <= ((pos==(VAR1-1) && !VAR3) || (pos==(VAR1-2) && (VAR13&&!VAR3))); end end assign VAR15 = !VAR12; assign VAR2 = !VAR9; assign VAR8 = VAR10[pos-1]; endmodule
lgpl-3.0
CatherineH/QubitekkCC
TDH/src/DE0Nano/verilog/twentyonecounter_bb.v
3,909
module MODULE1 ( VAR1, VAR4, VAR2, VAR3); input VAR1; input VAR4; input VAR2; output [20:0] VAR3; endmodule
mit
benreynwar/fpga-sdrlib
verilog/flow/buffer_BB.v
3,442
module MODULE1 parameter VAR24 = 32, parameter VAR10 = 64 ) ( input wire clk, input wire VAR19, input wire VAR7, input wire [VAR24-1: 0] VAR21, input wire VAR6, output wire VAR14, output wire [VAR24-1: 0] VAR3, output wire VAR22 ); reg VAR17; reg VAR1; assign VAR22 = VAR17 | VAR1; function integer VAR5; input integer VAR15; begin VAR15 = VAR15-1; for (VAR5=0; VAR15>0; VAR5=VAR5+1) VAR15 = VAR15>>1; end endfunction localparam integer VAR23 = VAR5(VAR10); reg [VAR10-1:0] VAR18; reg [VAR24-1: 0] VAR11[VAR10-1:0]; reg [VAR23-1: 0] VAR13; reg [VAR23-1: 0] VAR20; reg VAR9; reg VAR12; reg [VAR24-1:0] VAR4; reg [VAR24-1:0] VAR16; wire [VAR23-1: 0] VAR8; wire [VAR23-1: 0] VAR2; assign VAR8 = VAR20 + 1; assign VAR2 = VAR20 + 2; assign VAR14 = (VAR6)?VAR12:VAR9; assign VAR3 = (VAR6)?VAR16:VAR4; always @(posedge clk) if (!VAR19) begin VAR1 <= 1'b0; VAR17 <= 1'b0; VAR18 <= {VAR10{1'b0}}; VAR13 <= {VAR23{1'b0}}; VAR20 <= {VAR23{1'b0}}; end else begin if (VAR22) if (VAR7) begin if (!VAR18[VAR13]) begin VAR11[VAR13] <= VAR21; VAR18[VAR13] <= 1'b1; VAR13 <= VAR13 + 1; end else VAR1 <= 1'b1; end if (VAR6) begin if (VAR18[VAR20]) begin VAR18[VAR20] <= 1'b0; VAR20 <= VAR8; VAR9 <= VAR18[VAR8]; VAR4 <= VAR11[VAR8]; VAR12 <= VAR18[VAR2]; VAR16 <= VAR11[VAR2]; end else begin VAR17 <= 1'b1; VAR9 <= VAR18[VAR20]; VAR4 <= VAR11[VAR20]; VAR12 <= VAR18[VAR8]; VAR16 <= VAR11[VAR8]; end end else begin VAR9 <= VAR18[VAR20]; VAR4 <= VAR11[VAR20]; VAR12 <= VAR18[VAR8]; VAR16 <= VAR11[VAR8]; end end endmodule
mit
jncronin/jca
cpu/uart.v
6,426
module MODULE1(clk, VAR7, VAR13, VAR17, VAR18, VAR14, VAR8, VAR24, VAR9); input clk; input [7:0] VAR13; input VAR17; output VAR7; output VAR18; input VAR14; output [7:0] VAR8; output VAR24; input VAR9; parameter VAR21 = 1302; localparam VAR10 = VAR21 >> 3; reg [7:0] VAR23 = 8'h48; reg [7:0] state = 0; reg [12:0] VAR26 = 0; always @(posedge clk) if(VAR26 == 0) case (state) 8'b00000000: if(VAR17) { VAR23, state, VAR26 } <= { VAR13, 8'b11000000, 13'd1 }; else { state, VAR26 } <= { 8'd0, 13'd0 }; 8'b11000000: { state, VAR26 } <= { 8'b10100000, 13'd1 }; 8'b10100000: { state, VAR26 } <= { 8'b10100001, 13'd1 }; 8'b10100001: { state, VAR26 } <= { 8'b10100010, 13'd1 }; 8'b10100010: { state, VAR26 } <= { 8'b10100011, 13'd1 }; 8'b10100011: { state, VAR26 } <= { 8'b10100100, 13'd1 }; 8'b10100100: { state, VAR26 } <= { 8'b10100101, 13'd1 }; 8'b10100101: { state, VAR26 } <= { 8'b10100110, 13'd1 }; 8'b10100110: { state, VAR26 } <= { 8'b10100111, 13'd1 }; 8'b10100111: { state, VAR26 } <= { 8'b10010000, 13'd1 }; 8'b10010000: { state, VAR26 } <= { 8'b10010001, 13'd1 }; default: { state, VAR26 } <= { 8'b00000000, 13'd0 }; endcase else if(VAR26 == VAR21) VAR26 <= 13'd0; else VAR26 <= VAR26 + 13'd1; assign VAR7 = (state[6:5] == 0) | (state[5] & VAR23[state[2:0]]); assign VAR18 = state != 0; reg [7:0] VAR8 = 8'd0; reg [3:0] VAR16 = 4'd0; reg [3:0] VAR5 = 4'd0; reg VAR11 = 1'b0; reg [2:0] VAR19 = 3'd0; reg [12:0] VAR12 = 13'd0; reg VAR22 = 1'b0; always @(posedge clk) case(VAR5) 4'b0000, 4'b0001, 4'b0010, 4'b0100, 4'b1000: VAR11 <= 1'b0; default: VAR11 <= 1'b1; endcase always @(posedge clk) if(~|VAR16) begin if(VAR9) VAR22 = 1'b0; if(~VAR14) end { VAR16, VAR12 } = { 4'd1, 13'd0 }; else { VAR16, VAR12 } = { 4'd0, 13'd0 }; end else if(VAR12 == VAR10) begin { VAR12, VAR19 } = { 13'd0, VAR19 + 3'd1 }; if(VAR19 == 3'd0) case(VAR16) 4'd1: if(VAR11) end VAR16 = 4'd0; else VAR16 = 4'd2; 4'd2: { VAR8[0], VAR16 } = { VAR11, 4'd3 }; 4'd3: { VAR8[1], VAR16 } = { VAR11, 4'd4 }; 4'd4: { VAR8[2], VAR16 } = { VAR11, 4'd5 }; 4'd5: { VAR8[3], VAR16 } = { VAR11, 4'd6 }; 4'd6: { VAR8[4], VAR16 } = { VAR11, 4'd7 }; 4'd7: { VAR8[5], VAR16 } = { VAR11, 4'd8 }; 4'd8: { VAR8[6], VAR16 } = { VAR11, 4'd9 }; 4'd9: { VAR8[7], VAR16 } = { VAR11, 4'd10 }; 4'd10: { VAR22, VAR16 } = { VAR11, 4'd0 }; default: { VAR22, VAR16 } = { 1'b0, 4'd0 }; endcase else if(VAR19 == 3'd2) VAR5[0] = VAR14; else if(VAR19 == 3'd3) VAR5[1] = VAR14; else if(VAR19 == 3'd4) VAR5[2] = VAR14; else if(VAR19 == 3'd5) VAR5[3] = VAR14; end else VAR12 = VAR12 + 13'd1; assign VAR24 = (~|VAR16 & VAR22); endmodule module MODULE2(clk, rst, VAR7, VAR3, addr, VAR20, VAR15, VAR1); input clk; input rst; output VAR7; inout [7:0] VAR3; input [7:0] addr; input VAR20; input VAR15; input VAR1; parameter VAR21 = 2604; localparam VAR2 = 8; reg [7:0] VAR27[0:VAR2 - 1]; reg VAR17 = 0; wire VAR18; integer VAR4; assign VAR3 = (~VAR20 & ~VAR15) ? VAR27[addr] : 8'VAR25; MODULE1 #(VAR21) VAR6(.clk(clk), .VAR7(VAR7), .VAR18(VAR18), .VAR13(VAR27[4]), .VAR17(VAR17)); always @(posedge clk) VAR27[0] = { 7'b0, VAR18 };
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2111o/sky130_fd_sc_hd__a2111o.behavioral.pp.v
2,070
module MODULE1 ( VAR8 , VAR15 , VAR9 , VAR12 , VAR3 , VAR2 , VAR7, VAR17, VAR10 , VAR4 ); output VAR8 ; input VAR15 ; input VAR9 ; input VAR12 ; input VAR3 ; input VAR2 ; input VAR7; input VAR17; input VAR10 ; input VAR4 ; wire VAR13 ; wire VAR1 ; wire VAR18; and VAR6 (VAR13 , VAR15, VAR9 ); or VAR11 (VAR1 , VAR3, VAR12, VAR13, VAR2 ); VAR14 VAR16 (VAR18, VAR1, VAR7, VAR17); buf VAR5 (VAR8 , VAR18 ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_k7_mig11/mig_7series_v1_1/user_design/rtl/clocking/iodelay_ctrl.v
7,616
module MODULE1 # ( parameter VAR18 = 100, parameter VAR12 = "VAR32", parameter VAR23 = "VAR35", parameter VAR34 = 1 ) ( input VAR15, input VAR19, input VAR25, input VAR20, output VAR13, output VAR11 ); localparam VAR16 = 15; wire VAR14; wire VAR33; wire VAR10; reg [VAR16-1:0] VAR7 ; wire VAR27; wire VAR21; assign VAR21 = VAR34 ? ~VAR20: VAR20; generate if (VAR23 == "VAR35") begin: VAR38 VAR28 # ( .VAR36 ("VAR30"), .VAR1 ("VAR37") ) VAR3 ( .VAR39 (VAR15), .VAR26 (VAR19), .VAR24 (VAR33) ); end else if (VAR23 == "VAR6") begin : VAR2 VAR9 # ( .VAR1 ("VAR37") ) VAR3 ( .VAR39 (VAR25), .VAR24 (VAR33) ); end endgenerate VAR31 VAR8 ( .VAR24 (VAR14), .VAR39 (VAR33) ); assign VAR13 = VAR14; assign VAR27 = VAR21; always @(posedge VAR14 or posedge VAR27) if (VAR27) end else assign VAR10 = VAR7[VAR16-1]; VAR17 VAR5 ( .VAR22 (VAR11), .VAR4 (VAR14), .VAR29 (VAR10) ); endmodule
lgpl-3.0
walkthetalk/fsref
ip/mm2s_adv/src/include/scale_1d.v
2,022
module MODULE1 # ( parameter integer VAR13 = 12, parameter integer VAR6 = 10, parameter integer VAR20 = 32 ) ( input wire clk, input wire VAR8, input wire [VAR6-1:0] VAR2, input wire [VAR13-1:0] VAR25, input wire VAR15, output wire VAR4, output wire [VAR6-1:0] VAR16, output wire [VAR13-1:0] VAR12, output wire VAR21, input wire VAR11, input wire [VAR20-1:0] VAR24, input wire [VAR20-1:0] VAR5, input wire [VAR20-1:0] VAR14, output reg [VAR20-1:0] VAR17 ); localparam integer VAR23 = VAR13 + VAR6; wire VAR3; assign VAR3 = ~VAR4 || VAR11; wire VAR19; assign VAR19 = VAR4 && VAR11; reg [VAR23-1 : 0] VAR1; reg [VAR23-1 : 0] VAR10; reg [VAR6-1 : 0] VAR7; assign VAR16 = VAR7; reg [VAR13-1 : 0] VAR18; assign VAR12 = VAR18; reg VAR9; always @(posedge clk) begin if (VAR8 == 0) begin VAR9 <= 0; end else if (VAR15) begin VAR9 <= 1; end else if (VAR19 && VAR18 == VAR25 - 1) begin VAR9 <= 0; end end assign VAR4 = (VAR9 && VAR1 >= VAR10); always @(posedge clk) begin if (VAR8 == 0) begin VAR1 <= 0; VAR7 <= 0; VAR17 <= 0; VAR10 <= 0; VAR18 <= 0; end else if (VAR15) begin VAR1 <= VAR25; VAR7 <= 0; VAR17 <= VAR24 + VAR5; VAR10 <= VAR2; VAR18 <= 0; end else if (VAR9) begin if (VAR3) begin if (VAR1 <= VAR10) begin VAR1 <= VAR1 + VAR25; VAR7 <= VAR7 + 1; VAR17 <= VAR17 + VAR14; end if (VAR1 >= VAR10) begin VAR10 <= VAR10 + VAR2; VAR18 <= VAR18 + 1; end end end end reg VAR22; assign VAR21 = VAR22; always @(posedge clk) begin if (VAR8 == 0) begin VAR22 <= 0; end else if (VAR15) begin VAR22 <= 0; end else if (VAR19 && VAR18 == VAR25 - 2) begin VAR22 <= 1; end end endmodule
gpl-3.0
tinkercnc/spi-fpga-driver
pluto_spi_servo_firmware/spi_servo_bbb.v
8,637
module MODULE1(in, out); input in; output out; assign out = in ? 1'VAR39 : 1'b0; endmodule module MODULE2(clk, VAR19, VAR60, VAR17, VAR13, VAR43, VAR25, VAR29, VAR31, VAR40, VAR57, VAR30, VAR58, dout, din); parameter VAR55=14; input clk; input VAR19, VAR13, VAR60, VAR29; output VAR17, VAR25; output VAR43; output [3:0] VAR58 = 4'VAR32; output [3:0] VAR30 = 4'VAR32; input [7:0] din; input [3:0] VAR31; input [3:0] VAR40; input [3:0] VAR57; assign VAR25 = VAR29; reg[9:0] VAR21; output [9:0] dout = 10'VAR38; MODULE1 MODULE2[9:0](VAR21, dout); wire[3:0] VAR16; MODULE1 MODULE3[3:0](VAR16, VAR58); wire[3:0] VAR41; MODULE1 MODULE1[3:0](VAR41, VAR30); reg VAR61; wire VAR27; reg [10:0] VAR3; wire [10:0] VAR9 = 11'd2047; assign VAR27 = (VAR3 == VAR9); reg [15:0] VAR44, VAR18, VAR28, VAR22; always @(posedge clk) begin if(VAR27) VAR3 <= 0; end else VAR3 <= VAR3 + 11'd1; end wire [10:0] VAR65 = { VAR3[4], VAR3[5], VAR3[6], VAR3[7], VAR3[8], VAR3[9], VAR3[10], VAR3[3:0]}; wire [10:0] VAR66 = VAR44[14] ? VAR65 : VAR3; wire VAR20 = VAR44[10:0] > VAR66; wire VAR5 = VAR18[10:0] > VAR66; wire VAR35 = VAR28[10:0] > VAR66; wire VAR33 = VAR22[10:0] > VAR66; assign VAR41[0] = VAR44[12] ^ (VAR44[15] ? 1'd0 : VAR20); assign VAR41[1] = VAR18[12] ^ (VAR18[15] ? 1'd0 : VAR5); assign VAR41[2] = VAR28[12] ^ (VAR28[15] ? 1'd0 : VAR35); assign VAR41[3] = VAR22[12] ^ (VAR22[15] ? 1'd0 : VAR33); assign VAR16[0] = VAR44[13] ^ (~VAR44[15] ? 1'd0 : VAR20); assign VAR16[1] = VAR18[13] ^ (~VAR18[15] ? 1'd0 : VAR5); assign VAR16[2] = VAR28[13] ^ (~VAR28[15] ? 1'd0 : VAR35); assign VAR16[3] = VAR22[13] ^ (~VAR22[15] ? 1'd0 : VAR33); reg VAR59; wire [2*VAR55:0] VAR7, VAR15, VAR49, VAR2; wire VAR14, VAR12, VAR48, VAR45; VAR34 VAR63(clk, VAR31[0], VAR40[0], VAR57[0]^VAR61, VAR14, VAR7); VAR34 VAR46(clk, VAR31[1], VAR40[1], VAR57[1]^VAR61, VAR12, VAR15); VAR34 VAR6(clk, VAR31[2], VAR40[2], VAR57[2]^VAR61, VAR48, VAR49); VAR34 VAR26(clk, VAR31[3], VAR40[3], VAR57[3]^VAR61, VAR45, VAR2); reg [2:0] VAR36; always @(posedge clk) VAR36 <= {VAR36[1:0], VAR19}; wire VAR10 = (VAR36[2:1]==2'b01); wire VAR50 = (VAR36[2:1]==2'b10); wire VAR23 = VAR36[1]; reg [2:0] VAR37; always @(posedge clk) VAR37 <= {VAR37[1:0], VAR13}; wire VAR51 = ~VAR37[1]; wire VAR42 = (VAR37[2:1]==2'b10); wire VAR53 = (VAR37[2:1]==2'b01); wire VAR8 = VAR60; reg [2:0] VAR1; reg VAR4; reg [4:0] VAR52; reg [7:0] VAR47; reg [7:0] VAR54; reg [7:0] VAR56; always @(posedge clk) begin if(VAR42) begin VAR1 <= 3'b000; VAR52 <= 5'b00000; end if(VAR51) begin if(VAR10) begin VAR47 <= {VAR47[6:0], VAR8}; VAR1 <= VAR1 + 3'b001; if(VAR1==3'b000) VAR54 <= VAR56; end else if(VAR50) begin VAR54 <= {VAR54[6:0], 1'b0}; if(VAR1==3'b000) begin VAR52 <= VAR52 + 5'b00001; end end VAR4 <= VAR10 && (VAR1==3'b111); end end assign VAR17 = VAR54[7]; reg [7:0] VAR62; always @(posedge clk) begin if(VAR51) begin if(VAR52 == 5'b00000) begin VAR56 <= VAR7[7:0]; if(VAR4) VAR62 <= VAR47; end else if(VAR52 == 5'b00001) begin VAR56 <= VAR7[15:8]; if(VAR4) VAR44 <= {VAR47,VAR62}; end else if(VAR52 == 5'b00010) begin VAR56 <= VAR7[23:16]; if(VAR4) VAR62 <= VAR47; end else if(VAR52 == 5'b00011) begin VAR56 <= {4'b0, VAR7[27:24]}; if(VAR4) VAR18 <= {VAR47,VAR62}; end else if(VAR52 == 5'b00100) begin VAR56 <= VAR15[7:0]; if(VAR4) VAR62 <= VAR47; end else if(VAR52 == 5'b00101) begin VAR56 <= VAR15[15:8]; if(VAR4) VAR28 <= {VAR47,VAR62}; end else if(VAR52 == 5'b00110) begin VAR56 <= VAR15[23:16]; if(VAR4) VAR62 <= VAR47; end else if(VAR52 == 5'b00111) begin VAR56 <= {4'b0, VAR15[27:24]}; if(VAR4) VAR22 <= {VAR47,VAR62}; end else if(VAR52 == 5'b01000) begin VAR56 <= VAR49[7:0]; if(VAR4) VAR62 <= VAR47; end else if(VAR52 == 5'b01001) begin VAR56 <= VAR49[15:8]; if(VAR4) begin VAR21 <= {VAR47[1:0],VAR62}; VAR61 <= VAR47[7]; VAR59 <= VAR47[5]; end end else if(VAR52 == 5'b01010) VAR56 <= VAR49[23:16]; else if(VAR52 == 5'b01011) VAR56 <= {4'b0, VAR49[27:24]}; else if(VAR52 == 5'b01100) VAR56 <= VAR2[7:0]; end else if(VAR52 == 5'b01101) VAR56 <= VAR2[15:8]; end else if(VAR52 == 5'b01110) VAR56 <= VAR2[23:16]; else if(VAR52 == 5'b01111) VAR56 <= {4'b0, VAR2[27:24]}; else if(VAR52 == 5'b10000) VAR56 <= din; else if(VAR52 == 5'b10001) VAR56 <= {VAR40, VAR57}; else if(VAR52 == 5'b10010) VAR56 <= {4'b0, VAR31}; else if(VAR52 == 5'b10011) VAR56 <= 8'b0; else VAR56 <= VAR52; end end assign VAR43 = (VAR41[0] ^ VAR16[0]); endmodule
gpl-2.0
bluespec/Flute
src_SSITH_P2/Verilog_RTL/mkDM_FPR_Tap.v
7,754
module MODULE1(VAR69, VAR14, VAR7, VAR57, VAR58, VAR16, VAR61, VAR28, VAR53, VAR37, VAR33, VAR15, VAR32, VAR42, VAR12, VAR44, VAR67); input VAR69; input VAR14; input VAR7; output [69 : 0] VAR57; output VAR58; input [64 : 0] VAR16; input VAR61; output VAR28; input [69 : 0] VAR53; input VAR37; output VAR33; input VAR15; output [64 : 0] VAR32; output VAR42; input VAR12; output [426 : 0] VAR44; output VAR67; wire [426 : 0] VAR44; wire [69 : 0] VAR57; wire [64 : 0] VAR32; wire VAR58, VAR28, VAR33, VAR42, VAR67; wire [69 : 0] VAR72, VAR25; wire VAR63, VAR19, VAR60, VAR62, VAR20; wire [69 : 0] VAR35, VAR45; wire VAR40, VAR48, VAR51, VAR8, VAR43; wire [64 : 0] VAR39, VAR26; wire VAR56, VAR17, VAR64, VAR10, VAR59; wire [426 : 0] VAR65, VAR11; wire VAR4, VAR55, VAR41, VAR22, VAR23; wire VAR6, VAR54, VAR38, VAR30, VAR18, VAR68, VAR50, VAR46, VAR21, VAR5, VAR36, VAR27; assign VAR57 = VAR45 ; assign VAR58 = VAR51 ; assign VAR54 = VAR51 ; assign VAR46 = VAR7 ; assign VAR28 = VAR59 ; assign VAR38 = VAR59 ; assign VAR21 = VAR61 ; assign VAR33 = VAR20 ; assign VAR30 = VAR20 ; assign VAR5 = VAR37 ; assign VAR32 = VAR26 ; assign VAR42 = VAR64 ; assign VAR18 = VAR64 ; assign VAR36 = VAR15 ; assign VAR44 = VAR11 ; assign VAR67 = VAR41 ; assign VAR68 = VAR41 ; assign VAR27 = VAR12 ; VAR31 #(.VAR70(32'd70), .VAR13(32'd1)) VAR66(.VAR24(VAR14), .VAR69(VAR69), .VAR1(VAR72), .VAR34(VAR62), .VAR47(VAR19), .VAR52(VAR63), .VAR49(VAR25), .VAR3(VAR20), .VAR29(VAR60)); VAR31 #(.VAR70(32'd70), .VAR13(32'd1)) VAR9(.VAR24(VAR14), .VAR69(VAR69), .VAR1(VAR35), .VAR34(VAR8), .VAR47(VAR48), .VAR52(VAR40), .VAR49(VAR45), .VAR3(VAR43), .VAR29(VAR51)); VAR31 #(.VAR70(32'd65), .VAR13(32'd1)) VAR2(.VAR24(VAR14), .VAR69(VAR69), .VAR1(VAR39), .VAR34(VAR10), .VAR47(VAR17), .VAR52(VAR56), .VAR49(VAR26), .VAR3(VAR59), .VAR29(VAR64)); VAR31 #(.VAR70(32'd427), .VAR13(32'd1)) VAR71(.VAR24(VAR14), .VAR69(VAR69), .VAR1(VAR65), .VAR34(VAR22), .VAR47(VAR55), .VAR52(VAR4), .VAR49(VAR11), .VAR3(VAR23), .VAR29(VAR41)); assign VAR6 = VAR60 && VAR43 && (!VAR25[69] || VAR23) ; assign VAR50 = VAR6 ; assign VAR72 = VAR53 ; assign VAR62 = VAR37 ; assign VAR19 = VAR6 ; assign VAR63 = 1'b0 ; assign VAR35 = VAR25 ; assign VAR8 = VAR6 ; assign VAR48 = VAR7 ; assign VAR40 = 1'b0 ; assign VAR39 = VAR16 ; assign VAR10 = VAR61 ; assign VAR17 = VAR15 ; assign VAR56 = 1'b0 ; assign VAR65 = { 102'h055555555555555554AAAAAAAA, VAR25[68:0], 256'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA } ; assign VAR22 = VAR50 && VAR25[69] ; assign VAR55 = VAR12 ; assign VAR4 = 1'b0 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfsbp/sky130_fd_sc_hs__sdfsbp.functional.v
2,149
module MODULE1 ( VAR14 , VAR6 , VAR9 , VAR1 , VAR5 , VAR17 , VAR3 , VAR12 , VAR18 ); input VAR14 ; input VAR6 ; output VAR9 ; output VAR1 ; input VAR5 ; input VAR17 ; input VAR3 ; input VAR12 ; input VAR18; wire VAR2 ; wire VAR15 ; wire VAR7; not VAR4 (VAR15 , VAR18 ); VAR11 VAR13 (VAR7, VAR17, VAR3, VAR12 ); VAR20 VAR10 VAR16 (VAR2 , VAR7, VAR5, VAR15, VAR14, VAR6); buf VAR8 (VAR9 , VAR2 ); not VAR19 (VAR1 , VAR2 ); endmodule
apache-2.0
q3k/q3kmips
rtl/verilog/qm_execute.v
2,499
module MODULE1( input wire [31:0] VAR4, input wire [31:0] VAR2, input wire [31:0] VAR20, input wire [4:0] VAR22, input wire [4:0] VAR19, output wire [31:0] VAR11, output wire [31:0] VAR23, output wire [31:0] VAR7, input wire VAR6, input wire VAR15, input wire VAR12, input wire [3:0] VAR1, input wire VAR14, input wire VAR10 output wire VAR3, output wire VAR17, output wire VAR9 ); assign VAR3 = VAR6; assign VAR17 = VAR15; assign VAR9 = VAR12; assign VAR23 = VAR2; wire VAR8 = VAR14 ? VAR2 : VAR20; assign VAR7 = VAR10 ? VAR22 : VAR19; VAR5 alu( .VAR13(VAR1), .VAR18(VAR4), .VAR16(VAR8), .VAR21(VAR11) ); endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fahcon/sky130_fd_sc_ls__fahcon.symbol.v
1,358
module MODULE1 ( input VAR5 , input VAR9 , input VAR6 , output VAR3, output VAR7 ); supply1 VAR8; supply0 VAR2; supply1 VAR4 ; supply0 VAR1 ; endmodule
apache-2.0
neale/CS-program
474-VLSI/Lab_5/db/brightness_clk_2hz_altpll.v
4,586
module MODULE1 ( VAR2, clk, VAR7, VAR6) ; input VAR2; output [4:0] clk; input [1:0] VAR7; output VAR6; tri0 VAR2; tri0 [1:0] VAR7; reg VAR1; wire [4:0] VAR3; wire VAR4; wire VAR5;
unlicense
markusC64/1541ultimate2
fpga/nios/nios/synthesis/submodules/nios_altmemddr_0_ex_lfsr8.v
1,405
module MODULE1 ( clk, VAR7, enable, VAR5, VAR4, VAR1, VAR6); parameter VAR3 = 32; input clk; input VAR7; input enable; input VAR5; input VAR4; output[8 - 1:0] VAR1; wire[8 - 1:0] VAR1; input[8 - 1:0] VAR6; reg[8 - 1:0] VAR2; assign VAR1 = VAR2 ; always @(posedge clk or negedge VAR7) begin if (!VAR7) begin VAR2 <= VAR3[7:0] ; end else begin if (!enable) begin VAR2 <= VAR3[7:0]; end else begin if (VAR4) begin VAR2 <= VAR6 ; end else begin if (!VAR5) begin VAR2[0] <= VAR2[7] ; VAR2[1] <= VAR2[0] ; VAR2[2] <= VAR2[1] ^ VAR2[7] ; VAR2[3] <= VAR2[2] ^ VAR2[7] ; VAR2[4] <= VAR2[3] ^ VAR2[7] ; VAR2[5] <= VAR2[4] ; VAR2[6] <= VAR2[5] ; VAR2[7] <= VAR2[6] ; end end end end end endmodule
gpl-3.0
myriadrf/A2300
hdl/main_128Dsp_32Msps.v
19,008
module MODULE1 ( VAR184, VAR44, VAR76, VAR188, VAR95, VAR243, VAR14, VAR57, VAR42, VAR104, VAR105, VAR151, VAR8, VAR23, VAR178, VAR232, VAR101, VAR159, VAR169, VAR157, VAR186, VAR147, VAR237, VAR11, VAR63, VAR217, VAR238, VAR224, VAR195, VAR226, VAR37, VAR90, VAR165, VAR213, VAR7, VAR48, VAR172, VAR4, VAR225, VAR182, VAR132, VAR144, VAR52, VAR16, VAR163, VAR93, VAR27, VAR99, VAR116, VAR59, VAR199, VAR142, VAR49, VAR148, VAR197, VAR22, VAR181, VAR146, VAR21, VAR79, VAR233, VAR192, VAR138, VAR9, VAR35, VAR92, VAR117, VAR47, VAR228, VAR25, VAR1, VAR100, VAR51, VAR180 ); input VAR184, VAR44, VAR76, VAR188; input VAR243, VAR95, VAR14, VAR57, VAR104; output VAR105; inout [7:0] VAR42; input VAR101; input [11:0] VAR169; output VAR159; output VAR232; output VAR23; output VAR8; output VAR151; output [11:0] VAR178; input VAR63; input [11:0] VAR238; output VAR217; output VAR11; output VAR147; output VAR186; output VAR157; output [11:0] VAR237; output VAR224; output VAR195; output VAR226; output VAR37; output VAR90; output VAR165; output VAR213; output VAR7; output VAR48; output VAR172; output VAR4; output VAR225; output VAR182; output VAR132; output VAR144; input VAR93; input VAR27; input VAR99; input VAR116; output [1:0] VAR59; output VAR52; output VAR163; output VAR16; output VAR49; output VAR142; output VAR148; inout [3:0] VAR197; inout [31:0] VAR199; inout [7:0] VAR22; output [12:0] VAR181; output [2:0] VAR146; output VAR21; output VAR79; output VAR233; output VAR192; output VAR138; output VAR9; output VAR35; inout [15:0] VAR92; inout VAR117; inout VAR47; inout VAR228; inout VAR25; output VAR1; output VAR100; inout VAR51; inout VAR180; wire VAR191, VAR164, VAR41; wire [7:0] VAR131; wire [11:0] VAR108; wire [7:0] VAR241; wire [7:0] VAR190; wire VAR104; wire [23:0] VAR160; wire [23:0] VAR72; wire [23:0] VAR168; wire [23:0] VAR66; wire VAR97, VAR173; wire VAR198, VAR187; wire [31:0] VAR126; wire [3:0] VAR139; wire [6:0] VAR87; VAR235 VAR200( .VAR36(VAR44), .VAR112(VAR191), .VAR162(VAR104)); VAR5 VAR206(.VAR36(VAR184), .VAR162(VAR104), .VAR112(VAR41), .VAR239(VAR164)); VAR62 #(VAR13) VAR196 (.reset(VAR104), .out( VAR131), .VAR108(VAR108), .VAR241(VAR241) ); VAR177 VAR53 ( .VAR193(VAR191), .address(VAR243), .reset(VAR104), .read(VAR14), .write(VAR57), .VAR96(VAR42), .VAR108(VAR108), .VAR241(VAR241)); VAR29 #(VAR223) VAR174 ( .VAR193( VAR191), .reset(VAR104), .VAR190( VAR190), .VAR105(VAR105), .VAR108(VAR108),.VAR241(VAR241) ); assign VAR195 = ~VAR224; assign VAR37 = ~VAR226; wire VAR71, VAR149; assign VAR165 = VAR71; assign VAR90 = ~VAR71; assign VAR7 = VAR149; assign VAR213 = ~VAR149; wire VAR33; assign VAR172 = VAR33; assign VAR48 = ~VAR33; wire VAR219, VAR2, VAR231, VAR55; wire VAR94; VAR15 VAR124 ( .clk(VAR41), .in(VAR131[4]), .VAR214( VAR94) ); VAR102 #( VAR158, VAR127, VAR185) VAR136 ( .VAR41(VAR41), .VAR208(VAR164), .VAR170(VAR164), .reset(VAR104 | VAR131[0]), .VAR38( VAR94), .VAR108(VAR108),.VAR241(VAR241), .VAR74(VAR232), .VAR58(VAR101), .VAR152(VAR159), .VAR103(VAR169[11:0]), .VAR153(VAR151), .VAR45(VAR8), .VAR128(VAR23), .VAR110(VAR178[11:0]), .VAR64( VAR97), .VAR82(VAR160), .VAR17( VAR173), .VAR75( VAR168 ) ); VAR102 #( VAR69, VAR50, VAR155) VAR115 ( .VAR41(VAR41), .VAR208(VAR164), .VAR170(VAR164), .reset(VAR104 | VAR131[1]), .VAR38( VAR94), .VAR108(VAR108), .VAR241(VAR241), .VAR74(VAR11), .VAR58(VAR63), .VAR152(VAR217), .VAR103(VAR238[11:0]), .VAR153(VAR157), .VAR45(VAR186), .VAR128(VAR147), .VAR110(VAR237[11:0]), .VAR64( VAR198), .VAR82(VAR72), .VAR17( VAR187), .VAR75(VAR66) ); wire [7:0] VAR46; assign VAR22 = { VAR46[2:0], VAR94, VAR198, VAR97, VAR63, VAR101}; VAR83 VAR118( .reset( VAR104 | VAR131[2]), .VAR68( VAR191), .VAR43( VAR41), .VAR160(VAR160 ), .VAR168(VAR168 ), .VAR97(VAR97 ), .VAR173(VAR173 ), .VAR72(VAR72 ), .VAR66(VAR66 ), .VAR198(VAR198 ), .VAR187(VAR187 ), .VAR220( {VAR144, VAR132, VAR182, VAR225} ), .VAR244({VAR226, VAR224, VAR71, VAR149, VAR33, VAR4}), .VAR22( VAR46), .VAR126( VAR126), .VAR139( VAR139), .VAR87( VAR87), .VAR190( VAR190), .VAR108(VAR108),.VAR241(VAR241) ); VAR19 VAR137 (.VAR28(VAR191), .reset(VAR104 | VAR131[3]), .VAR171(VAR93), .VAR215( VAR27), .VAR242(VAR99), .VAR221( VAR116), .addr(VAR59), .clk(VAR52), .VAR80(VAR163), .VAR211(VAR16), .VAR60(VAR148), .VAR143(VAR49), .VAR89(VAR142), .VAR183(VAR199[31:0]), .VAR156( VAR197), .VAR126( VAR126), .VAR139( VAR139), .VAR87( VAR87) ); VAR39 # ( .VAR176(4), .VAR34(32), .VAR31(4), .VAR61(32), .VAR179(0), .VAR230(3200), .VAR207("VAR129"), .VAR245("VAR81"), .VAR161(0), .VAR201("VAR120"), .VAR140("VAR111"), .VAR84(16), .VAR10(13), .VAR91(3) ) VAR40 ( .VAR188 (VAR188), .VAR98 (VAR104), .VAR92 (VAR92), .VAR181 (VAR181), .VAR146 (VAR146), .VAR21 (VAR21), .VAR79 (VAR79), .VAR233 (VAR233), .VAR192 (VAR192), .VAR138 (VAR138), .VAR9 (VAR9), .VAR35 (VAR35), .VAR117 (VAR117), .VAR47 (VAR47), .VAR228 (VAR228), .VAR25 (VAR25), .VAR100 (VAR100), .VAR1 (VAR1), .VAR121 (), .VAR229 (), .VAR141 (), .VAR51 (VAR51), .VAR180 (VAR180), .VAR3 (VAR3), .VAR227 (VAR227), .VAR113 (VAR113), .VAR202 (VAR202), .VAR222 (VAR222), .VAR56 (VAR56), .VAR236 (VAR236), .VAR6 (VAR6), .VAR85 (VAR85), .VAR204 (VAR204), .VAR175 (VAR175), .VAR133 (VAR133), .VAR30 (VAR30), .VAR123 (VAR123), .VAR88 (VAR88), .VAR77 (VAR77), .VAR240 (VAR240), .VAR134 (VAR134), .VAR210 (VAR210), .VAR189 (VAR189), .VAR67 (VAR67), .VAR209 (VAR209), .VAR216 (VAR216), .VAR234 (VAR234), .VAR54 (VAR54), .VAR73 (VAR73), .VAR12 (VAR12), .VAR166 (VAR166), .VAR167 (VAR167), .VAR18 (VAR18), .VAR122 (VAR122), .VAR26 (VAR26), .VAR205 (VAR205), .VAR70 (VAR70), .VAR145 (VAR145), .VAR119 (VAR119), .VAR106 (VAR106), .VAR78 (VAR78), .VAR212 (VAR212), .VAR154 (VAR154), .VAR203 (VAR203), .VAR24 (VAR24), .VAR86 (VAR86), .VAR65 (VAR65), .VAR32 (VAR32), .VAR125 (VAR125), .VAR135 (VAR135), .VAR107 (VAR107), .VAR150 (VAR150), .VAR130 (VAR130), .VAR109 (VAR109), .VAR114 (VAR114), .VAR194 (VAR194), .VAR20 (VAR20), .VAR218 (VAR218) ); endmodule
gpl-2.0
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/rw_manager_lfsr72.v
1,337
module MODULE1( clk, VAR1, VAR2, word ); input clk; input VAR1; input VAR2; output reg [71:0] word; always @(posedge clk or negedge VAR1) begin if(~VAR1) begin word <= 72'hAAF0F0AA55F0F0AA55; end else if(VAR2) begin word[71] <= word[0]; word[70:66] <= word[71:67]; word[65] <= word[66] ^ word[0]; word[64:25] <= word[65:26]; word[24] <= word[25] ^ word[0]; word[23:19] <= word[24:20]; word[18] <= word[19] ^ word[0]; word[17:0] <= word[18:1]; end end endmodule
gpl-3.0
grvmind/amber-cycloneiii
trunk/hw/vlog/system/afifo.v
4,620
module MODULE1 parameter VAR10 = 32 ) ( input VAR16, input VAR13, input [VAR10-1:0] VAR8, output [VAR10-1:0] VAR4, input VAR11, input VAR7, output VAR5, output VAR20 ); reg [2:0] VAR14 = 'd0, VAR2 = 'd0; reg [2:0] VAR15 = 'd0, VAR17 = 'd0; reg [2:0] VAR18 = 'd0, VAR3 = 'd0; wire [2:0] VAR22, VAR6; reg [VAR10-1:0] VAR12 [3:0]; always @( posedge VAR16 ) if ( VAR11 && !VAR5 ) begin VAR14 <= VAR14 + 1'd1; VAR12[VAR14[1:0]] <= VAR8; end always @( posedge VAR16 ) begin VAR17 <= VAR19(VAR2); VAR3 <= VAR17; end always @( posedge VAR13 ) if ( VAR7 && !VAR20 ) VAR2 <= VAR2 + 1'd1; always @( posedge VAR13 ) begin VAR15 <= VAR19(VAR14); VAR18 <= VAR15; end assign VAR22 = VAR21(VAR18); assign VAR6 = VAR21(VAR3); assign VAR4 = VAR12[VAR2[1:0]]; assign VAR5 = {~VAR14[2], VAR14[1:0]} == VAR6; assign VAR20 = VAR22 == VAR2; function [2:0] VAR19; input [2:0] VAR9; begin case(VAR9) 3'b000 : VAR19 = 3'b000; 3'b001 : VAR19 = 3'b001; 3'b010 : VAR19 = 3'b011; 3'b011 : VAR19 = 3'b010; 3'b100 : VAR19 = 3'b110; 3'b101 : VAR19 = 3'b111; 3'b110 : VAR19 = 3'b101; 3'b111 : VAR19 = 3'b100; endcase end endfunction function [2:0] VAR21; input [2:0] VAR1; begin case(VAR1) 3'b000 : VAR21 = 3'b000; 3'b001 : VAR21 = 3'b001; 3'b011 : VAR21 = 3'b010; 3'b010 : VAR21 = 3'b011; 3'b110 : VAR21 = 3'b100; 3'b111 : VAR21 = 3'b101; 3'b101 : VAR21 = 3'b110; 3'b100 : VAR21 = 3'b111; endcase end endfunction endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfrtn/sky130_fd_sc_ms__sdfrtn.functional.pp.v
2,348
module MODULE1 ( VAR2 , VAR6 , VAR4 , VAR7 , VAR8 , VAR11, VAR5 , VAR17 , VAR20 , VAR9 ); output VAR2 ; input VAR6 ; input VAR4 ; input VAR7 ; input VAR8 ; input VAR11; input VAR5 ; input VAR17 ; input VAR20 ; input VAR9 ; wire VAR1 ; wire VAR3 ; wire VAR22 ; wire VAR21; not VAR15 (VAR3 , VAR11 ); not VAR10 (VAR22 , VAR6 ); VAR16 VAR18 (VAR21, VAR4, VAR7, VAR8 ); VAR19 VAR14 VAR12 (VAR1 , VAR21, VAR22, VAR3, , VAR5, VAR17); buf VAR13 (VAR2 , VAR1 ); endmodule
apache-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/fme/fme_interpolator.v
5,652
module MODULE2 ( VAR8, VAR5 ); parameter VAR2 = 16; input [VAR2-1 :0] VAR8; output [VAR7-1:0] VAR5; assign VAR5 = ( VAR8 < 0 ) ? 'd0 : ( VAR8 > 255) ? 'd255 : VAR8[VAR7-1:0]; endmodule module MODULE1 ( VAR8, VAR5 ); parameter VAR2 = 16; input signed [VAR2-1 :0] VAR8; output [VAR7-1:0] VAR5; wire signed [10-1 :0] VAR12; assign VAR12 = (VAR8 + 'd8224) >> 6; assign VAR5 = ( VAR12 < 0 ) ? 'd0 : ( VAR12 > 255) ? 'd255 : VAR12[VAR7-1:0]; endmodule module MODULE3 ( VAR1 , VAR25 , VAR31 , VAR29 , VAR23 , VAR28 , VAR24 , VAR32 , VAR21 ); parameter VAR26 = 0; parameter VAR14 = 0; parameter VAR19 = 0; parameter VAR22 = 0; parameter VAR13 = 1; input signed [(VAR22+1)*VAR7-1:0] VAR1 ; input signed [(VAR22+1)*VAR7-1:0] VAR25 ; input signed [(VAR22+1)*VAR7-1:0] VAR31 ; input signed [(VAR22+1)*VAR7-1:0] VAR29 ; input signed [(VAR22+1)*VAR7-1:0] VAR23 ; input signed [(VAR22+1)*VAR7-1:0] VAR28 ; input signed [(VAR22+1)*VAR7-1:0] VAR24 ; input signed [(VAR22+1)*VAR7-1:0] VAR32 ; output signed [(VAR13+1)*VAR7-1:0] VAR21 ; wire signed [15 :0] VAR10 ; wire signed [31 :0] VAR12 ; wire signed [15 :0] VAR9 ; wire signed [15 :0] VAR18 ; wire signed [15 :0] VAR20 ; wire signed [15 :0] VAR34 ; wire signed [15 :0] VAR4 ; wire signed [15 :0] VAR3 ; wire signed [15 :0] VAR6 ; wire signed [15 :0] VAR27 ; generate if(VAR22 == 0) begin : VAR11 assign VAR9 = {8'b0,VAR1}; assign VAR18 = {8'b0,VAR25}; assign VAR20 = {8'b0,VAR31}; assign VAR34 = {8'b0,VAR29}; assign VAR4 = {8'b0,VAR23}; assign VAR3 = {8'b0,VAR28}; assign VAR6 = {8'b0,VAR24}; assign VAR27 = {8'b0,VAR32}; end else begin :VAR15 assign VAR9 = VAR1; assign VAR18 = VAR25; assign VAR20 = VAR31; assign VAR34 = VAR29; assign VAR4 = VAR23; assign VAR3 = VAR28; assign VAR6 = VAR24; assign VAR27 = VAR32; end endgenerate generate if ( VAR26 == 0 ) begin : VAR17 if( VAR19 ) begin assign VAR10 = (((-1)*(VAR9 + VAR27)+4*(VAR18 + VAR6)+(-11)*(VAR20 + VAR3)+40*(VAR34 + VAR4)) + 32 ) >> 6; end else begin if ( VAR14 ) begin assign VAR10 = (((-1)*(VAR9 + VAR27)+4*(VAR18 + VAR6)+(-11)*(VAR20 + VAR3)+40*(VAR34 + VAR4))-8192); end else begin assign VAR10 = (((-1)*(VAR9 + VAR27)+4*(VAR18 + VAR6)+(-11)*(VAR20 + VAR3)+40*(VAR34 + VAR4))+526336)>>12; end end end else if ( VAR26 == 1) begin : VAR33 if ( VAR19 ) begin assign VAR10 = (((-1)*VAR9+4*VAR18+(-10)*VAR20+58*VAR34+ 17*VAR4+(-5)*VAR3+VAR6) + 32 ) >> 6; end else begin if ( VAR14 ) begin assign VAR10 = (((-1)*VAR9+4*VAR18+(-10)*VAR20+58*VAR34+ 17*VAR4+(-5)*VAR3+VAR6) -8192 ); end else begin assign VAR10 = (((-1)*VAR9+4*VAR18+(-10)*VAR20+58*VAR34+ 17*VAR4+(-5)*VAR3+VAR6)+526336)>>12; end end end else begin : VAR30 if ( VAR19 ) begin assign VAR10 = ((VAR18 + (-5)*VAR20 + 17*VAR34 + 58*VAR4 + (-10)*VAR3 + 4*VAR6 + (-1)*VAR27) + 32 ) >> 6; end else begin if ( VAR14 ) begin assign VAR10 = ((VAR18 + (-5)*VAR20 + 17*VAR34 + 58*VAR4 + (-10)*VAR3 + 4*VAR6 + (-1)*VAR27) -8192); end else begin assign VAR10 = ((VAR18 + (-5)*VAR20 + 17*VAR34 + 58*VAR4 + (-10)*VAR3 + 4*VAR6 + (-1)*VAR27) +526336)>>12; assign VAR12 = (VAR18 + (-5)*VAR20 + 17*VAR34 + 58*VAR4 + (-10)*VAR3 + 4*VAR6 + (-1)*VAR27) +526336; end end end endgenerate generate if(VAR13 == 0) begin MODULE2 MODULE1 (.VAR8(VAR10),.VAR5(VAR21)); end else begin assign VAR21 = VAR10; end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a21o/sky130_fd_sc_lp__a21o_0.v
2,248
module MODULE2 ( VAR8 , VAR7 , VAR3 , VAR5 , VAR10, VAR2, VAR4 , VAR1 ); output VAR8 ; input VAR7 ; input VAR3 ; input VAR5 ; input VAR10; input VAR2; input VAR4 ; input VAR1 ; VAR6 VAR9 ( .VAR8(VAR8), .VAR7(VAR7), .VAR3(VAR3), .VAR5(VAR5), .VAR10(VAR10), .VAR2(VAR2), .VAR4(VAR4), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR8 , VAR7, VAR3, VAR5 ); output VAR8 ; input VAR7; input VAR3; input VAR5; supply1 VAR10; supply0 VAR2; supply1 VAR4 ; supply0 VAR1 ; VAR6 VAR9 ( .VAR8(VAR8), .VAR7(VAR7), .VAR3(VAR3), .VAR5(VAR5) ); endmodule
apache-2.0
mbus/mbus
mbus_example/verilog/lc_mbc_iso.v
3,346
module MODULE1 ( VAR14, VAR9, VAR23, VAR21, VAR18, VAR19, VAR4, VAR20, VAR6, VAR17, VAR7, VAR3, VAR15, VAR5, VAR22, VAR8, VAR16, VAR2, VAR10, VAR1, VAR12, VAR13, VAR11 ); input VAR14; input [31:0] VAR9; input [31:0] VAR23; input VAR21; input VAR18; input VAR19; input VAR4; input VAR20; output [31:0] VAR6; output [31:0] VAR17; output VAR7; output VAR3; output VAR15; output VAR5; output VAR22; input VAR8; input VAR16; input VAR2; output VAR10; output VAR1; output VAR12; input VAR13; output VAR11; assign VAR6 = ~VAR12 & VAR9; assign VAR17 = ~VAR12 & VAR23; assign VAR7 = ~VAR12 & VAR21; assign VAR3 = ~VAR12 & VAR18; assign VAR15 = ~VAR12 & VAR19; assign VAR5 = ~VAR12 & VAR4; assign VAR22 = ~VAR12 & VAR20; assign VAR10 = VAR14 | VAR8; assign VAR1 = VAR14 | VAR16; assign VAR12 = VAR14 | VAR2; assign VAR11 = ~VAR14 & VAR13; endmodule
apache-2.0
skyfex/svo-raycaster
raycaster1/raycast_core.v
10,875
module MODULE1 ( clk, rst, VAR125, VAR18, VAR31, VAR11, VAR69, VAR68, VAR8, VAR60, VAR2, VAR96, VAR103, VAR10, VAR77, VAR130, VAR81, VAR36, VAR6, VAR40 ); parameter VAR116 = 32; parameter VAR122 = 8; parameter VAR112 = 3; parameter VAR99 = 32; parameter VAR43 = 5; input clk; input rst; input VAR125; input [31:0] VAR18; input [2:0] VAR31; input [VAR116-1:0] VAR11, VAR69, VAR68; input [VAR116-1:0] VAR8, VAR60, VAR2; output [31:0] VAR96; input [31:0] VAR103; output VAR10; output VAR77; input VAR130; output reg VAR81; reg VAR42; output reg VAR36; reg VAR104; output [VAR116-1:0] VAR6; output [VAR43-1:0] VAR40; parameter VAR13 = 0, VAR139 = 1, VAR90 = 2, VAR120 = 3, VAR59 = 4, VAR5 = 5, VAR109 = 6, VAR49 = 7; parameter VAR127 = 2'b01, VAR55 = 2'b10, VAR118 = 2'b00; reg [31:0] VAR142, VAR94; reg [2:0] VAR4, VAR25; reg signed [VAR116-1:0] VAR53, VAR87; reg signed [VAR116-1:0] VAR12, VAR45; reg signed [VAR116-1:0] VAR98, VAR72; reg signed [VAR116-1:0] VAR1, VAR19; reg signed [VAR116-1:0] VAR27, VAR110; reg signed [VAR116-1:0] VAR113, VAR71; reg [2:0] state, VAR101; reg [VAR43-1:0] VAR137; reg [1:0] VAR51, VAR117; reg signed [VAR116-1:0] VAR144, VAR26; reg signed [VAR116-1:0] VAR30, VAR133; reg signed [VAR116-1:0] VAR140, VAR44; reg [2:0] VAR83, VAR32; reg [2:0] VAR70, VAR14; reg VAR93, VAR37; wire signed [VAR116-1:0] VAR132; wire signed [VAR116-1:0] VAR100; wire signed [VAR116-1:0] VAR39; wire signed [VAR116-1:0] VAR48; wire signed [VAR116-1:0] VAR76; wire signed [VAR116-1:0] VAR67; wire signed [VAR116-1:0] VAR16; wire signed [VAR116-1:0] VAR54; wire signed [VAR116-1:0] VAR138; wire signed [VAR116-1:0] VAR57; wire signed [VAR116-1:0] VAR22; wire signed [VAR116-1:0] VAR89; reg VAR119; wire [2:0] VAR82; wire VAR62; reg VAR79; reg VAR111; reg VAR46; wire signed [VAR116-1:0] VAR136; wire signed [VAR116-1:0] VAR78; wire [1:0] VAR47; assign VAR136 = (VAR132>=VAR100 && VAR132>=VAR39) ? VAR132 : (VAR100>=VAR39) ? VAR100 : VAR39; assign VAR47[0] = (VAR57 <= VAR22) && (VAR57 <= VAR89); assign VAR47[1] = !VAR47[0] && (VAR22 <= VAR89); assign VAR78 = VAR47[0] ? VAR57 : VAR47[1] ? VAR22 : VAR89; wire VAR129 = (VAR144 <= VAR140); wire VAR126 = (VAR137==0); wire [2:0] VAR3 = VAR83 ^ VAR4; assign VAR6 = VAR36 ? VAR30 : VAR144; reg VAR58; reg VAR7; reg VAR108; wire VAR135; wire [2:0] VAR97; reg VAR74; wire [15:0] VAR56; wire VAR123; wire [7:0] VAR28 = VAR56[7:0]; wire [7:0] VAR15 = VAR56[15:8]; wire VAR24 = VAR15[VAR3]; wire VAR64 = VAR28[VAR3]; VAR23 VAR38 ( .clk (clk), .rst (rst), .VAR80 (VAR58), .VAR50 (VAR7), .VAR102 (VAR108), .VAR91 (VAR119), .VAR21 (VAR83[2]), .VAR75 (VAR70[2]), .VAR128 (VAR53), .VAR29 (VAR1), .VAR114 (VAR132), .VAR66 (VAR48), .VAR121 (VAR16), .VAR124 (VAR57) ); VAR23 VAR9 ( .clk (clk), .rst (rst), .VAR80 (VAR58), .VAR50 (VAR7), .VAR102 (VAR108), .VAR91 (VAR119), .VAR21 (VAR83[1]), .VAR75 (VAR70[1]), .VAR128 (VAR12), .VAR29 (VAR27), .VAR114 (VAR100), .VAR66 (VAR76), .VAR121 (VAR54), .VAR124 (VAR22) ); VAR23 VAR20 ( .clk (clk), .rst (rst), .VAR80 (VAR58), .VAR50 (VAR7), .VAR102 (VAR108), .VAR91 (VAR119), .VAR21 (VAR83[0]), .VAR75 (VAR70[0]), .VAR128 (VAR98), .VAR29 (VAR113), .VAR114 (VAR39), .VAR66 (VAR67), .VAR121 (VAR138), .VAR124 (VAR89) ); VAR105 VAR52 ( .VAR84 (VAR93), .VAR21 (VAR83), .VAR86 (VAR48), .VAR17 (VAR76), .VAR92 (VAR67), .VAR65 (VAR30), .VAR34 (VAR51), .VAR131(VAR82), .VAR35(VAR62) ); VAR141 VAR41 ( .clk (clk), .rst (rst), .VAR96 (VAR96), .VAR103 (VAR103), .VAR10 (VAR10), .VAR77 (VAR77), .VAR130 (VAR130), .VAR80 (VAR58), .VAR102 (VAR108), .VAR18 (VAR142), .VAR85 (VAR3), .VAR88 (VAR74), .VAR33 (VAR123), .VAR95 (VAR56) ); always @(*) begin VAR94 = VAR142; VAR25 = VAR4; VAR87 = VAR53; VAR45 = VAR12; VAR72 = VAR98; VAR19 = VAR1; VAR110 = VAR27; VAR71 = VAR113; VAR101 = state; VAR117 = VAR51; VAR26 = VAR144; VAR133 = VAR30; VAR44 = VAR140; VAR14 = VAR70; VAR32 = VAR83; VAR37 = VAR93; VAR104 = VAR36; VAR42 = VAR81; VAR79 = 0; VAR111 = 0; VAR46 = 0; VAR119 = 0; VAR58 = 0; VAR7 = 0; VAR108 = 0; VAR74 = 0; case (state) VAR13: begin if (VAR125) begin VAR94 = VAR18; VAR25 = VAR31; VAR87 = VAR11; VAR45 = VAR69; VAR72 = VAR68; VAR19 = VAR8; VAR110 = VAR60; VAR71 = VAR2; VAR44 = 0; VAR42 = 0; VAR101 = VAR139; end end VAR139: begin VAR58 = 1; VAR37 = 1; VAR101 = VAR90; end VAR90: begin VAR119 = 1; VAR79 = 1; VAR133 = VAR136; if (VAR93) VAR101 = VAR120; end else VAR101 = VAR59; end VAR120: begin if (VAR123) begin VAR32 = VAR82; VAR37 = 0; VAR101 = VAR5; end end VAR59: begin if (VAR62) begin if (VAR126) begin VAR104 = 0; VAR101 = VAR49; end else if (VAR135) begin VAR44 = VAR144; VAR101 = VAR139; end else begin VAR108 = 1; VAR14 = VAR97; VAR32 = VAR70; VAR101 = VAR90; end end else begin VAR32 = VAR82; VAR101 = VAR5; end end VAR5: begin VAR111 = 1; VAR26 = VAR78; VAR117 = VAR47; VAR101 = VAR109; end VAR109: begin VAR46 = 1; if (VAR129) begin VAR101 = VAR59; end else if (VAR24) begin VAR7 = 1; VAR104 = 1; VAR101 = VAR49; end else if (VAR64) begin VAR7 = 1; VAR14 = VAR83; VAR37 = 1; VAR74 = 1; VAR101 = VAR90; end else begin VAR101 = VAR59; end end VAR49: begin VAR79 = 1; VAR133 = VAR136; VAR42 = 1; VAR101 = VAR13; end endcase end always @(posedge clk) begin if (rst) begin VAR142 <= 32'd0; VAR4 <= 3'd0; VAR53 <= 32'd0; VAR12 <= 32'd0; VAR98 <= 32'd0; VAR1 <= 32'd0; VAR27 <= 32'd0; VAR113 <= 32'd0; state <= 3'd0; VAR137 <= 0; VAR51 <= 2'd0; VAR144 <= 32'd0; VAR30 <= 32'd0; VAR140 <= 32'd0; VAR70 <= 3'd0; VAR83 <= 3'd0; VAR93 <= 0; VAR81 <= 1; VAR36 <= 0; end else begin VAR142 <= VAR94; VAR4 <= VAR25; VAR53 <= VAR87; VAR12 <= VAR45; VAR98 <= VAR72; VAR1 <= VAR19; VAR27 <= VAR110; VAR113 <= VAR71; state <= VAR101; VAR51 <= VAR117; VAR144 <= VAR26; VAR30 <= VAR133; VAR140 <= VAR44; VAR83 <= VAR32; VAR70 <= VAR14; VAR93 <= VAR37; VAR81 <= VAR42; VAR36 <= VAR104; if (VAR58) VAR137 <= 0; end else if (VAR7) VAR137 <= VAR137 + 1; end else if (VAR108) VAR137 <= VAR137 - 1; else VAR137 <= VAR137; end end reg [VAR112:0] VAR61; wire VAR115 = (VAR61==VAR122); assign VAR135 = (VAR61==0); always @(posedge clk) if (VAR58) begin VAR61 <= 0; end else if (VAR7) begin if (!VAR115) VAR61 <= VAR61 + 1; end else if (VAR108) begin VAR61 <= VAR61 - 1; end VAR143 VAR73 ( .clk (clk), .VAR7 (VAR7), .VAR108 (VAR108), .VAR63 (VAR70), .VAR107 (VAR97) ); assign VAR135 = 1; endmodule
mit
jakubfi/mera400f
src/alu181.v
1,079
module MODULE1( input [3:0] VAR10, VAR19, input VAR17, input VAR15, input [3:0] VAR7, output [3:0] VAR8, output VAR13, VAR14, output VAR6, output VAR11 ); wire [3:0] VAR16 = {4{VAR7[0]}}; wire [3:0] VAR1 = {4{VAR7[1]}}; wire [3:0] VAR12 = {4{VAR7[2]}}; wire [3:0] VAR9 = {4{VAR7[3]}}; wire [3:0] VAR3 = ~((VAR10) | (VAR19 & VAR16) | (~VAR19 & VAR1)); wire [3:0] VAR4 = ~((~VAR19 & VAR12 & VAR10) | (VAR19 & VAR9 & VAR10)); wire [3:0] VAR5 = VAR3 ^ VAR4; wire [3:0] VAR2; assign VAR2[0] = ~(~VAR17 & VAR15); assign VAR2[1] = ~(~VAR17 & ((VAR3[0]) | (VAR4[0] & VAR15))); assign VAR2[2] = ~(~VAR17 & ((VAR3[1]) | (VAR3[0] & VAR4[1]) | (VAR4[1] & VAR4[0] & VAR15))); assign VAR2[3] = ~(~VAR17 & ((VAR3[2]) | (VAR4[2] & VAR3[1]) | (VAR4[2] & VAR3[0] & VAR4[1]) | (VAR4[2] & VAR4[1] & VAR4[0] & VAR15))); assign VAR13 = ~((VAR3[0] & VAR4[1] & VAR4[2] & VAR4[3]) | (VAR3[1] & VAR4[2] & VAR4[3]) | (VAR3[2] & VAR4[3]) | (VAR3[3])); assign VAR14 = ~(&VAR4); wire VAR18 = ~(&VAR4 & VAR15); assign VAR6 = ~VAR18 | ~VAR13; assign VAR8 = VAR5 ^ VAR2; assign VAR11 = &VAR8; endmodule
gpl-2.0
jayant-sharma/uart
hdl/top_ADC.v
2,393
module MODULE1 ( input rst, input clk, input VAR8, input VAR11, input [VAR2-1:0] VAR18, output reg VAR13, output reg [VAR3-1:0] VAR19, output reg [VAR17-1:0] VAR10, output reg [VAR2-1:0] VAR22 ); wire VAR14, VAR1; wire VAR6, VAR16, VAR9, VAR20, VAR15; wire [4:0] VAR12; wire [6:0] VAR5; wire [16-1:0] dout, din; wire rd, wr, valid; wire [6:0] addr; wire [VAR3+3:0] VAR21, VAR4; assign rd = (VAR18 == VAR7) ? 1'b1 : 1'b0;
unlicense
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/bufkapwr/sky130_fd_sc_lp__bufkapwr.functional.pp.v
1,839
module MODULE1 ( VAR3 , VAR5 , VAR11 , VAR12 , VAR2, VAR1 , VAR13 ); output VAR3 ; input VAR5 ; input VAR11 ; input VAR12 ; input VAR2; input VAR1 ; input VAR13 ; wire VAR4 ; wire VAR7; buf VAR6 (VAR4 , VAR5 ); VAR9 VAR8 (VAR7, VAR4, VAR2, VAR12); buf VAR10 (VAR3 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/inv/sky130_fd_sc_hdll__inv_8.v
2,011
module MODULE1 ( VAR6 , VAR2 , VAR1, VAR3, VAR4 , VAR8 ); output VAR6 ; input VAR2 ; input VAR1; input VAR3; input VAR4 ; input VAR8 ; VAR7 VAR5 ( .VAR6(VAR6), .VAR2(VAR2), .VAR1(VAR1), .VAR3(VAR3), .VAR4(VAR4), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR6, VAR2 ); output VAR6; input VAR2; supply1 VAR1; supply0 VAR3; supply1 VAR4 ; supply0 VAR8 ; VAR7 VAR5 ( .VAR6(VAR6), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/fahcon/sky130_fd_sc_hs__fahcon.blackbox.v
1,333
module MODULE1 ( VAR5, VAR1 , VAR2 , VAR7 , VAR6 ); output VAR5; output VAR1 ; input VAR2 ; input VAR7 ; input VAR6 ; supply1 VAR3; supply0 VAR4; endmodule
apache-2.0
shailcoolboy/Warp-Trinity
PlatformSupport/Deprecated/pcores/SPI_Controller/hdl/verilog/ourspi.v
3,325
module MODULE1 ( VAR9, rst, VAR81, VAR60, VAR53, VAR63, VAR39, VAR16, VAR25, VAR19, VAR57, VAR4, VAR38, VAR12, VAR29, VAR77, VAR67, VAR33, VAR10, VAR7, VAR73, VAR28, VAR22, VAR79, VAR6, VAR30, VAR20, VAR35, VAR31, VAR21, VAR71, VAR70, VAR69, VAR72, VAR17, VAR18, VAR32 ); parameter VAR52 = 32'h80000000, VAR41 = 32'h800000ff; input VAR9; input rst; input [31:0] VAR81; input [3:0] VAR60; input [31:0] VAR53; input VAR63; input VAR39; input VAR16; output [31:0] VAR25; output VAR19; output VAR57; output VAR4; output VAR38; output VAR12; output VAR29; output VAR77; output VAR67; output VAR33; output VAR10; output VAR7; output VAR73; output VAR28; output VAR22; output VAR79; output VAR6; output VAR30; output VAR20; output VAR35; output VAR31; output VAR21; output VAR71; output VAR70; output VAR69; output VAR72; output VAR17; output VAR18; output VAR32; wire [4:0] VAR27; wire [31:0] VAR66; wire [31:0] VAR23; wire [3:0] VAR15; wire VAR8; wire VAR54; wire VAR14; wire VAR56; wire VAR40; wire VAR75; wire [7:0] VAR36; wire VAR62; wire VAR49; assign VAR12 = VAR62; assign VAR67 = VAR62; assign VAR7 = VAR62; assign VAR22 = VAR62; assign VAR30 = VAR62; assign VAR31 = VAR62; assign VAR70 = VAR62; assign VAR17 = VAR62; assign VAR29 = VAR49; assign VAR33 = VAR49; assign VAR73 = VAR49; assign VAR79 = VAR49; assign VAR20 = VAR49; assign VAR21 = VAR49; assign VAR69 = VAR49; assign VAR18 = VAR49; assign VAR77 = VAR36[0]; assign VAR10 = VAR36[1]; assign VAR28 = VAR36[2]; assign VAR6 = VAR36[3]; assign VAR35 = VAR36[4]; assign VAR71 = VAR36[5]; assign VAR72 = VAR36[6]; assign VAR32 = VAR36[7]; VAR58 VAR26 ( .VAR24(VAR9), .VAR34(rst), .VAR64(VAR27), .VAR74(VAR66), .VAR5(VAR23), .VAR1(VAR15), .VAR51(VAR8), .VAR61(VAR54), .VAR43(VAR14), .VAR76(VAR56), .VAR78(VAR40), .VAR2(VAR75), .VAR42(VAR36), .VAR47(VAR62), .VAR3(VAR49), .VAR65(1'b0) ); VAR37 VAR48 ( .VAR9(VAR9), .rst(rst), .VAR81(VAR81), .VAR60(VAR60), .VAR53(VAR53), .VAR63(VAR63), .VAR39(VAR39), .VAR16(VAR16), .VAR25(VAR25), .VAR19(VAR19), .VAR57(VAR57), .VAR4(VAR4), .VAR38(VAR38), .VAR80(VAR66), .VAR13(VAR23), .VAR68(VAR27), .VAR46(VAR14), .VAR59(VAR54), .VAR11(VAR15), .VAR55(VAR8), .VAR44(VAR56), .VAR45(VAR40), .VAR50(1'b0) ); endmodule
bsd-2-clause
donnaware/AGC
rtl/de0/modules/ng_CMP.v
10,618
module MODULE1( input VAR69, input [ 3:0] VAR58, input VAR42, input VAR30, input VAR11, input VAR40, input VAR32, input [ 3:0] VAR63, input VAR62, input VAR6, input VAR19, input VAR13, input [ 13:0] VAR33, input [ 5:0] VAR52, output [100:0] VAR35, output [ 7:0] VAR3, output [ 15:0] VAR50 ); assign VAR3 = {VAR19, VAR6, VAR63, VAR11, VAR30}; assign VAR50 = {VAR13, VAR62, VAR3, VAR58, VAR40, VAR32}; wire VAR17 = !(VAR58 == VAR20); wire VAR57 = !(VAR58 == VAR22); wire VAR59 = !(VAR58 == VAR5 ); wire VAR14 = !(VAR58 == VAR4 ); wire VAR2 = !(VAR58 == VAR72 ); wire VAR61 = !(VAR58 == VAR45 ); wire VAR55 = !(VAR58 == VAR7 ); wire VAR38 = VAR52[VAR10(VAR38)]; wire VAR31 = VAR52[VAR10(VAR31)]; wire VAR21 = VAR52[VAR10(VAR21)]; wire VAR29 = VAR52[VAR10(VAR29)]; wire VAR36 = VAR52[VAR10(VAR36)]; wire [55:0] VAR51; wire [13:0] VAR60; assign VAR60[13 ] = VAR19; assign VAR60[12 ] = VAR6; assign VAR60[11:8] = VAR63[3:0]; assign VAR60[ 7] = VAR11; assign VAR60[ 6] = VAR30; assign VAR60[ 5:2] = VAR58[3:0]; assign VAR60[ 1] = VAR40; assign VAR60[ 0] = VAR32; VAR39 reg [55:0] VAR70[0:16383]; assign VAR51 = VAR70[VAR60]; assign VAR35[ 1: 0] = VAR51[ 1: 0]; assign VAR35[ 2] = VAR51[ 2 ] & VAR62; assign VAR35[ 7: 3] = VAR51[ 7: 3]; assign VAR35[ 8] = VAR51[ 8 ] & !(VAR13 & VAR42 & !VAR55); assign VAR35[25: 9] = VAR51[25: 9]; assign VAR35[ 26] = VAR51[26 ] & VAR62; assign VAR35[34:27] = VAR51[34:27]; assign VAR35[ 35] = VAR51[35 ] & VAR57; assign VAR35[55:36] = VAR51[55:36]; wire VAR25 = VAR35[VAR15(VAR25)]; wire VAR12 = VAR35[VAR15(VAR12)]; wire VAR28 = VAR35[VAR15(VAR28)]; wire [3:0] VAR8 = VAR33[3:0]; reg [13:0] VAR44; always @(VAR8 or VAR21 or VAR25) begin if(VAR21 & !VAR25) case(VAR8) 4'd0 : VAR44 <= 14'b11111111111110; 4'd1 : VAR44 <= 14'b11111111111101; 4'd2 : VAR44 <= 14'b11111111111011; 4'd3 : VAR44 <= 14'b11111111110111; 4'd4 : VAR44 <= 14'b11111111101111; 4'd5 : VAR44 <= 14'b11111111011111; 4'd6 : VAR44 <= 14'b11111110111111; 4'd7 : VAR44 <= 14'b11111101111111; 4'd8 : VAR44 <= 14'b11111011111111; 4'd9 : VAR44 <= 14'b11110111111111; 4'd10 : VAR44 <= 14'b11101111111111; 4'd11 : VAR44 <= 14'b11011111111111; 4'd12 : VAR44 <= 14'b10111111111111; 4'd13 : VAR44 <= 14'b01111111111111; default: VAR44 <= 14'b11111111111111; endcase end else VAR44 <= 14'b11111111111111; end assign VAR35[69:56] = VAR44[13:0]; reg [9:0] VAR71; always @(VAR8 or VAR21 or VAR12) begin if(VAR21 & !VAR12) case(VAR8) 4'd0 : VAR71 <= 10'b1111111110; 4'd1 : VAR71 <= 10'b1111111101; 4'd2 : VAR71 <= 10'b1111111011; 4'd3 : VAR71 <= 10'b1111110111; 4'd8 : VAR71 <= 10'b1111101111; 4'd9 : VAR71 <= 10'b1111011111; 4'd10 : VAR71 <= 10'b1110111111; 4'd11 : VAR71 <= 10'b1101111111; 4'd12 : VAR71 <= 10'b1011111111; 4'd13 : VAR71 <= 10'b0111111111; default: VAR71 <= 10'b1111111111; endcase end else VAR71 <= 10'b1111111111; end assign VAR35[79:70] = VAR71[9:0]; wire [2:0] VAR65 = VAR33[2:0]; reg [3:0] VAR41; always @(VAR65 or VAR21 or VAR31 or VAR28) begin if(!VAR21 & VAR31 & !VAR28) case(VAR65) 3'd0 : VAR41 <= 4'b1110; 3'd1 : VAR41 <= 4'b1101; 3'd2 : VAR41 <= 4'b1011; 3'd3 : VAR41 <= 4'b0111; default: VAR41 <= 4'b1111; endcase end else VAR41 <= 4'b1111; end assign VAR35[84:81] = VAR41; wire VAR46 = VAR28 | VAR21 | !(&VAR41); assign VAR35[VAR15(VAR46)] = VAR46; wire VAR66 = VAR35[VAR15(VAR66)]; wire VAR18 = VAR35[VAR15(VAR18)]; wire VAR24 = VAR35[VAR15(VAR24)]; wire VAR68 = !(VAR18 & VAR66 & !VAR38); wire VAR56 = !(VAR18 & VAR66 & VAR38 & !VAR21); wire VAR48 = VAR17; wire VAR34 = VAR14 | VAR36; wire VAR27 = !(!VAR55 & VAR42); wire VAR26 = VAR23 & VAR16; wire VAR47 = !(VAR42 & !VAR55 & VAR13); wire VAR43 = VAR59; wire VAR64 = VAR61 | VAR24; wire VAR67 = VAR14 | VAR29; wire VAR53 = VAR49; wire VAR54 = !(!(VAR2 | VAR68) | !(VAR14 | VAR56)); wire VAR49 = !(VAR42 & !VAR13 & !VAR55); wire VAR9 = VAR61 | VAR56; wire VAR37 = VAR55; wire VAR23 = VAR55 | !VAR42; wire VAR16 = !(!(VAR55 | VAR42) & !(VAR6 | VAR19)); wire VAR1 = VAR57; assign VAR35[VAR15(VAR48)] = VAR48; assign VAR35[VAR15(VAR34) ] = VAR34; assign VAR35[VAR15(VAR27)] = VAR27; assign VAR35[VAR15(VAR26) ] = VAR26; assign VAR35[VAR15(VAR47) ] = VAR47; assign VAR35[VAR15(VAR43) ] = VAR43; assign VAR35[VAR15(VAR64) ] = VAR64; assign VAR35[VAR15(VAR67) ] = VAR67; assign VAR35[VAR15(VAR53) ] = VAR53; assign VAR35[VAR15(VAR54) ] = VAR54; assign VAR35[VAR15(VAR49)] = VAR49; assign VAR35[VAR15(VAR9) ] = VAR9; assign VAR35[VAR15(VAR37) ] = VAR37; assign VAR35[VAR15(VAR23) ] = VAR23; assign VAR35[VAR15(VAR16) ] = VAR16; assign VAR35[VAR15(VAR1) ] = VAR1; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor3/sky130_fd_sc_ls__nor3.behavioral.v
1,405
module MODULE1 ( VAR1, VAR10, VAR9, VAR3 ); output VAR1; input VAR10; input VAR9; input VAR3; supply1 VAR6; supply0 VAR5; supply1 VAR4 ; supply0 VAR8 ; wire VAR7; nor VAR2 (VAR7, VAR3, VAR10, VAR9 ); buf VAR11 (VAR1 , VAR7 ); endmodule
apache-2.0
vad-rulezz/megabot
minsoc/rtl/verilog/or1200/rtl/verilog/or1200_mem2reg.v
12,392
module MODULE1(addr, VAR14, VAR1, VAR28); parameter VAR10 = VAR18; input [1:0] addr; input [VAR21-1:0] VAR14; input [VAR10-1:0] VAR1; output [VAR10-1:0] VAR28; reg [7:0] VAR6; reg [7:0] VAR5; reg [7:0] VAR16; reg [7:0] VAR9; reg [VAR10-1:0] VAR7; reg [3:0] VAR23, VAR24, VAR3, VAR8; assign VAR28 = {VAR6, VAR5, VAR16, VAR9}; always @(addr or VAR14) begin casex({VAR14[2:0], addr}) {3'VAR27, 2'b00}: VAR23 = VAR20; {3'VAR27, 2'b01}, {3'VAR12, 2'b00}: VAR23 = VAR22; {3'VAR27, 2'b10}: VAR23 = VAR17; default: VAR23 = VAR11; endcase end always @(addr or VAR14) begin casex({VAR14[2:0], addr}) {3'b010, 2'VAR13}: VAR24 = VAR25; {3'b011, 2'b00}: VAR24 = VAR2; {3'b011, 2'b01}: VAR24 = VAR4; {3'b011, 2'b10}: VAR24 = VAR15; {3'b011, 2'b11}: VAR24 = VAR19; {3'VAR12, 2'b00}: VAR24 = VAR20; default: VAR24 = VAR17; endcase end always @(addr or VAR14) begin casex({VAR14[2:0], addr}) {3'b010, 2'VAR13}, {3'b100, 2'VAR13}: VAR3 = VAR25; {3'b011, 2'b00}, {3'b101, 2'b00}: VAR3 = VAR2; {3'b011, 2'b01}: VAR3 = VAR4; {3'b011, 2'b10}, {3'b101, 2'b10}: VAR3 = VAR15; {3'b011, 2'b11}: VAR3 = VAR19; default: VAR3 = VAR22; endcase end always @(addr or VAR14) begin casex({VAR14[2:0], addr}) {3'b010, 2'VAR13}, {3'b100, 2'VAR13}: VAR8 = VAR25; {3'b011, 2'b00}, {3'b101, 2'b00}: VAR8 = VAR2; {3'b011, 2'b01}: VAR8 = VAR4; {3'b011, 2'b10}, {3'b101, 2'b10}: VAR8 = VAR15; {3'b011, 2'b11}: VAR8 = VAR19; default: VAR8 = VAR20; endcase end always @(VAR23 or VAR1) begin end case(VAR23) else case(VAR23) VAR26 case(VAR23) else case(VAR23) VAR26 VAR9 = VAR1[7:0]; end VAR9 = VAR1[15:8]; end VAR9 = VAR1[23:16]; end default: begin VAR9 = VAR1[31:24]; end endcase end always @(VAR24 or VAR1) begin end case(VAR24) else case(VAR24) VAR26 case(VAR24) else case(VAR24) VAR26 VAR16 = 8'h00; end VAR16 = VAR1[15:8]; end VAR16 = VAR1[31:24]; end VAR16 = {8{VAR1[7]}}; end VAR16 = {8{VAR1[15]}}; end VAR16 = {8{VAR1[23]}}; end default: begin VAR16 = {8{VAR1[31]}}; end endcase end always @(VAR3 or VAR1) begin end case(VAR3) else case(VAR3) VAR26 case(VAR3) else case(VAR3) VAR26 VAR5 = 8'h00; end VAR5 = VAR1[23:16]; end VAR5 = {8{VAR1[7]}}; end VAR5 = {8{VAR1[15]}}; end VAR5 = {8{VAR1[23]}}; end default: begin VAR5 = {8{VAR1[31]}}; end endcase end always @(VAR8 or VAR1) begin end case(VAR8) else case(VAR8) VAR26 case(VAR8) else case(VAR8) VAR26 VAR6 = 8'h00; end VAR6 = VAR1[31:24]; end VAR6 = {8{VAR1[7]}}; end VAR6 = {8{VAR1[15]}}; end VAR6 = {8{VAR1[23]}}; end VAR6 = {8{VAR1[31]}}; end endcase end reg [VAR10-1:0] VAR28; reg [VAR10-1:0] VAR7; always @(addr or VAR1) begin end case(addr) else case(addr) VAR26 2'b00: VAR7 = VAR1; 2'b01: VAR7 = {VAR1[23:0], 8'b0}; 2'b10: VAR7 = {VAR1[15:0], 16'b0}; 2'b11: VAR7 = {VAR1[7:0], 24'b0}; endcase end always @(VAR14 or VAR7) begin end case(VAR14) else case(VAR14) VAR26 VAR28[7:0] = VAR7[31:24]; VAR28[31:8] = 24'b0; end VAR28[7:0] = VAR7[31:24]; VAR28[31:8] = {24{VAR7[31]}}; end VAR28[15:0] = VAR7[31:16]; VAR28[31:16] = 16'b0; end VAR28[15:0] = VAR7[31:16]; VAR28[31:16] = {16{VAR7[31]}}; end default: VAR28 = VAR7; endcase end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a22o/sky130_fd_sc_hs__a22o_2.v
2,212
module MODULE2 ( VAR6 , VAR5 , VAR1 , VAR3 , VAR4 , VAR9, VAR2 ); output VAR6 ; input VAR5 ; input VAR1 ; input VAR3 ; input VAR4 ; input VAR9; input VAR2; VAR7 VAR8 ( .VAR6(VAR6), .VAR5(VAR5), .VAR1(VAR1), .VAR3(VAR3), .VAR4(VAR4), .VAR9(VAR9), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR6 , VAR5, VAR1, VAR3, VAR4 ); output VAR6 ; input VAR5; input VAR1; input VAR3; input VAR4; supply1 VAR9; supply0 VAR2; VAR7 VAR8 ( .VAR6(VAR6), .VAR5(VAR5), .VAR1(VAR1), .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor4bb/sky130_fd_sc_lp__nor4bb.pp.symbol.v
1,334
module MODULE1 ( input VAR7 , input VAR3 , input VAR9 , input VAR1 , output VAR4 , input VAR6 , input VAR8, input VAR5, input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/clkbuf/sky130_fd_sc_ms__clkbuf.functional.pp.v
1,772
module MODULE1 ( VAR9 , VAR7 , VAR10, VAR1, VAR8 , VAR5 ); output VAR9 ; input VAR7 ; input VAR10; input VAR1; input VAR8 ; input VAR5 ; wire VAR3 ; wire VAR4; buf VAR6 (VAR3 , VAR7 ); VAR2 VAR11 (VAR4, VAR3, VAR10, VAR1); buf VAR12 (VAR9 , VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/tap/sky130_fd_sc_ls__tap.blackbox.v
1,208
module MODULE1 (); supply1 VAR1; supply0 VAR4; supply1 VAR2 ; supply0 VAR3 ; endmodule
apache-2.0
jhennessy/parallella-hw-old
boards/archive/gen1.1/fpga/hdl/pulse2toggle.v
1,319
module MODULE1( out, clk, in, reset ); input clk; input in; output out; input reset; reg out; wire VAR1; assign VAR1 = in ? ~out : out; always @ (posedge clk or posedge reset) if(reset) out <= 1'b0; else out <= VAR1; endmodule
gpl-3.0
eda-globetrotter/PicenoDecoders
viterbi/syn/src/commschannel.v
11,724
module MODULE1(); wire VAR22; wire [1:0] VAR18; wire [1:0] VAR24; wire VAR19; wire [1:0] VAR31; reg VAR10[0:255]; reg VAR28; reg [7:0] VAR36; reg VAR23; reg VAR35; reg [7:0] VAR12; reg [1:0] VAR30; reg [7:0] VAR6; wire [1:0] VAR7; wire [1:0] VAR17; reg VAR15; reg [7:0] VAR1; reg [1:0] VAR5; reg [1:0] VAR14; reg VAR11; reg VAR9; integer VAR8; parameter VAR25 = 9'd256; VAR27 VAR21 ( VAR22,VAR14,VAR23,VAR9); VAR32 VAR33 ( VAR31,VAR35,VAR23,VAR9); VAR3 VAR4 ( VAR6,VAR7,VAR1); VAR16 VAR34 ( VAR30,VAR7,VAR17); VAR13 VAR20 ( VAR5,VAR18,VAR23,VAR9); VAR13 VAR26 ( VAR14,VAR24,VAR23,VAR9); VAR29 VAR2 ( VAR11,VAR19,VAR23,VAR9); always begin VAR23 = 0; VAR23 = 1; end always@(posedge VAR23) begin if(VAR9) begin VAR35<=0; VAR12<=0; end else begin VAR12<=VAR36; VAR35<=VAR28; end end always@(posedge VAR23) begin if(VAR9) begin VAR30<=0; VAR6<=0; VAR15<=0; end else begin VAR30<=VAR31; VAR6<=VAR12; VAR15<=VAR35; end end always@(posedge VAR23) begin if(VAR9) begin VAR5<=0; VAR14<=0; VAR11<=0; end else begin VAR5<=VAR30; VAR14<=VAR17; VAR11<=VAR15; end end begin begin begin begin end begin
mit
P3Stor/P3Stor
ftl/Dynamic_Controller/ipcore_dir/tx_buf.v
13,499
module MODULE1( clk, VAR396, din, VAR112, VAR334, dout, VAR364, VAR28, VAR199, VAR276, VAR141 ); input clk; input VAR396; input [31 : 0] din; input VAR112; input VAR334; output [31 : 0] dout; output VAR364; output VAR28; output VAR199; output VAR276; output [6 : 0] VAR141; VAR262 #( .VAR347(0), .VAR189(0), .VAR225(0), .VAR216(0), .VAR127(0), .VAR123(0), .VAR278(0), .VAR69(32), .VAR157(1), .VAR166(1), .VAR370(1), .VAR100(64), .VAR188(4), .VAR226(1), .VAR101(0), .VAR158(1), .VAR251(64), .VAR93(4), .VAR316(8), .VAR79(4), .VAR399(4), .VAR63(4), .VAR351(0), .VAR132(1), .VAR5(0), .VAR368(7), .VAR41("VAR39"), .VAR210(32), .VAR213(1), .VAR184(32), .VAR296(64), .VAR408(32), .VAR315(64), .VAR140(2), .VAR395("0"), .VAR372(32), .VAR31(0), .VAR379(1), .VAR7(0), .VAR179(0), .VAR403(0), .VAR241(0), .VAR115(0), .VAR206(0), .VAR165(0), .VAR309("VAR122"), .VAR44(0), .VAR81(1), .VAR66(1), .VAR328(0), .VAR267(0), .VAR76(0), .VAR265(0), .VAR354(0), .VAR282(0), .VAR163(0), .VAR280(0), .VAR57(0), .VAR71(0), .VAR243(0), .VAR329(0), .VAR128(1), .VAR24(0), .VAR393(0), .VAR209(0), .VAR61(1), .VAR13(0), .VAR324(0), .VAR200(0), .VAR350(0), .VAR172(0), .VAR302(0), .VAR202(0), .VAR242(0), .VAR12(0), .VAR221(0), .VAR362(0), .VAR258(0), .VAR272(0), .VAR410(0), .VAR378(0), .VAR161(0), .VAR183(0), .VAR386(0), .VAR235(0), .VAR413(0), .VAR292(1), .VAR330(0), .VAR270(0), .VAR266(0), .VAR32(0), .VAR94(0), .VAR198(0), .VAR211(1), .VAR40(1), .VAR87(1), .VAR142(1), .VAR326(1), .VAR37(1), .VAR187(0), .VAR153(0), .VAR414(2), .VAR205("VAR39"), .VAR15(1), .VAR397(0), .VAR327(0), .VAR337(0), .VAR72(1), .VAR345("512x36"), .VAR80(4), .VAR219(1022), .VAR65(1022), .VAR19(1022), .VAR111(1022), .VAR11(1022), .VAR89(1022), .VAR176(5), .VAR78(0), .VAR26(5), .VAR356(5), .VAR143(5), .VAR146(5), .VAR95(5), .VAR148(5), .VAR30(63), .VAR167(1023), .VAR349(1023), .VAR145(1023), .VAR151(1023), .VAR268(1023), .VAR33(1023), .VAR85(62), .VAR249(0), .VAR277(5), .VAR253(5), .VAR321(5), .VAR147(5), .VAR240(5), .VAR339(5), .VAR291(0), .VAR289(7), .VAR191(64), .VAR108(1), .VAR411(6), .VAR190(0), .VAR125(0), .VAR73(0), .VAR96(0), .VAR407(0), .VAR401(0), .VAR160(0), .VAR245(2), .VAR138(0), .VAR404(0), .VAR375(0), .VAR92(0), .VAR186(1), .VAR14(0), .VAR168(0), .VAR59(0), .VAR88(0), .VAR323(0), .VAR106(0), .VAR1(0), .VAR21(0), .VAR194(0), .VAR175(1), .VAR38(0), .VAR312(0), .VAR369(0), .VAR340(0), .VAR103(7), .VAR377(64), .VAR54(1024), .VAR231(16), .VAR20(1024), .VAR230(16), .VAR134(1024), .VAR9(16), .VAR336(1), .VAR344(6), .VAR343(10), .VAR116(4), .VAR331(10), .VAR385(4), .VAR203(10), .VAR117(4), .VAR27(1), .VAR62(0) ) VAR308 ( .VAR279(clk), .VAR338(VAR396), .VAR400(din), .VAR50(VAR112), .VAR139(VAR334), .VAR150(dout), .VAR83(VAR364), .VAR75(VAR28), .VAR303(VAR199), .VAR102(VAR276), .VAR169(VAR141), .VAR136(), .VAR300(), .VAR374(), .VAR133(), .VAR294(), .VAR376(), .VAR10(), .VAR271(), .VAR415(), .VAR162(), .VAR174(), .VAR193(), .VAR394(), .VAR306(), .VAR114(), .VAR159(), .VAR256(), .VAR74(), .VAR43(), .VAR389(), .VAR388(), .VAR290(), .VAR250(), .VAR180(), .VAR259(), .VAR332(), .VAR310(), .VAR42(), .VAR333(), .VAR227(), .VAR212(), .VAR36(), .VAR201(), .VAR307(), .VAR287(), .VAR297(), .VAR254(), .VAR341(), .VAR170(), .VAR154(), .VAR197(), .VAR82(), .VAR261(), .VAR384(), .VAR301(), .VAR48(), .VAR105(), .VAR288(), .VAR119(), .VAR67(), .VAR195(), .VAR152(), .VAR60(), .VAR220(), .VAR104(), .VAR207(), .VAR137(), .VAR84(), .VAR260(), .VAR236(), .VAR6(), .VAR244(), .VAR367(), .VAR29(), .VAR155(), .VAR91(), .VAR228(), .VAR97(), .VAR217(), .VAR215(), .VAR318(), .VAR346(), .VAR357(), .VAR387(), .VAR23(), .VAR269(), .VAR86(), .VAR77(), .VAR412(), .VAR196(), .VAR222(), .VAR34(), .VAR56(), .VAR22(), .VAR149(), .VAR120(), .VAR405(), .VAR233(), .VAR229(), .VAR416(), .VAR293(), .VAR304(), .VAR246(), .VAR252(), .VAR360(), .VAR98(), .VAR238(), .VAR131(), .VAR398(), .VAR234(), .VAR371(), .VAR118(), .VAR223(), .VAR64(), .VAR121(), .VAR274(), .VAR366(), .VAR25(), .VAR239(), .VAR286(), .VAR164(), .VAR156(), .VAR214(), .VAR178(), .VAR232(), .VAR319(), .VAR129(), .VAR218(), .VAR8(), .VAR298(), .VAR390(), .VAR392(), .VAR365(), .VAR124(), .VAR144(), .VAR247(), .VAR47(), .VAR16(), .VAR363(), .VAR313(), .VAR352(), .VAR391(), .VAR99(), .VAR285(), .VAR353(), .VAR382(), .VAR284(), .VAR380(), .VAR264(), .VAR409(), .VAR283(), .VAR325(), .VAR348(), .VAR317(), .VAR355(), .VAR358(), .VAR192(), .VAR113(), .VAR49(), .VAR53(), .VAR361(), .VAR52(), .VAR311(), .VAR173(), .VAR177(), .VAR224(), .VAR208(), .VAR248(), .VAR373(), .VAR417(), .VAR35(), .VAR110(), .VAR17(), .VAR2(), .VAR58(), .VAR109(), .VAR126(), .VAR204(), .VAR51(), .VAR295(), .VAR281(), .VAR182(), .VAR314(), .VAR90(), .VAR257(), .VAR185(), .VAR171(), .VAR275(), .VAR320(), .VAR273(), .VAR3(), .VAR255(), .VAR237(), .VAR130(), .VAR299(), .VAR305(), .VAR135(), .VAR342(), .VAR18(), .VAR70(), .VAR263(), .VAR107(), .VAR383(), .VAR406(), .VAR381(), .VAR68(), .VAR322(), .VAR359(), .VAR55(), .VAR4(), .VAR335(), .VAR181(), .VAR45(), .VAR402(), .VAR46() ); endmodule
gpl-2.0
hoangt/NOCulator
hring/hw/buffered/src/c_decr.v
3,463
module MODULE1 (VAR2, VAR5); parameter VAR7 = 3; parameter [0:VAR7-1] VAR1 = 0; parameter [0:VAR7-1] VAR6 = (1 << VAR7) - 1; localparam VAR8 = VAR6 - VAR1 + 1; localparam VAR3 = VAR9(VAR8); input [0:VAR7-1] VAR2; output [0:VAR7-1] VAR5; wire [0:VAR7-1] VAR5; wire VAR4; assign VAR4 = ~|VAR2[(VAR7-VAR3):VAR7-1]; wire VAR10; assign VAR10 = (VAR2[(VAR7-VAR3):VAR7-1] == VAR1[(VAR7-VAR3):VAR7-1]); generate if((1 << VAR3) == VAR8) begin assign VAR5[(VAR7-VAR3):VAR7-1] = VAR2[(VAR7-VAR3):VAR7-1] - 1'b1; end else begin assign VAR5[(VAR7-VAR3):VAR7-1] = VAR10 ? VAR6[(VAR7-VAR3):VAR7-1] : (VAR2[(VAR7-VAR3):VAR7-1] - 1'b1); end if(VAR7 > VAR3) begin if(VAR1[0:(VAR7-VAR3)-1] == VAR6[0:(VAR7-VAR3)-1]) begin assign VAR5[0:(VAR7-VAR3)-1] = VAR2[0:(VAR7-VAR3)-1]; end else begin assign VAR5[0:(VAR7-VAR3)-1] = VAR2[0:(VAR7-VAR3)-1] - VAR4 + VAR10; end end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a21boi/sky130_fd_sc_hs__a21boi.functional.pp.v
2,063
module MODULE1 ( VAR1, VAR11, VAR10 , VAR8 , VAR16 , VAR2 ); input VAR1; input VAR11; output VAR10 ; input VAR8 ; input VAR16 ; input VAR2; wire VAR7 ; wire VAR13 ; wire VAR9 ; wire VAR6; not VAR12 (VAR7 , VAR2 ); and VAR14 (VAR13 , VAR8, VAR16 ); nor VAR4 (VAR9 , VAR7, VAR13 ); VAR15 VAR5 (VAR6, VAR9, VAR1, VAR11); buf VAR3 (VAR10 , VAR6 ); endmodule
apache-2.0
FAST-Switch/fast
projects/SDTS/example/hw-src/triple_speed_ethernet-library/altera_tse_pcs_pma.v
12,794
module MODULE1 ( address, clk, VAR93, VAR106, VAR101, VAR75, VAR20, VAR105, VAR6, VAR94, read, VAR32, reset, VAR35, VAR69, VAR76, write, VAR100, VAR99, VAR71, VAR1, VAR11, VAR91, VAR57, VAR51, VAR44, VAR3, VAR61, VAR88, VAR66, VAR47, VAR86, VAR31, VAR107, VAR14, VAR85, VAR5, VAR74, VAR68, VAR4, VAR36, VAR84, VAR2, VAR73 ); parameter VAR22 = 32'VAR19 00000000; parameter VAR81 = 16'VAR19 0001 ; parameter VAR80 = 1; parameter VAR97 = 1'b0; parameter VAR40 = "VAR33"; parameter VAR41 = 1'b1; parameter VAR95 = 0; parameter VAR28 = 3; output [7:0] VAR99; output VAR71; output VAR1; output VAR11; output VAR91; output VAR57; output VAR51; output VAR44; output VAR3; output VAR61; output VAR88; output VAR66; output [3:0] VAR47; output VAR86; output VAR31; output VAR107; output [15:0] VAR14; output VAR85; output VAR68; output VAR4; output VAR36; output VAR84; output VAR5; output VAR74; output VAR2; output VAR73; input [4:0] address; input clk; input [7:0] VAR93; input VAR106; input VAR101; input VAR20; input VAR75; input [3:0] VAR105; input VAR6; input VAR94; input read; input VAR32; input reset; input VAR35; input VAR69; input VAR76; input write; input [15:0] VAR100; wire VAR43; wire VAR98; wire VAR29; wire [7:0] VAR99; wire VAR71; wire VAR1; wire VAR11; wire VAR91; wire VAR57; wire VAR51; wire VAR44; wire VAR3; wire VAR61; wire VAR88; wire VAR66; wire [3:0] VAR47; wire VAR86; wire VAR31; wire [15:0] VAR14; wire VAR85; wire VAR68; wire VAR4; wire VAR36; wire VAR53; wire [9:0] VAR45; wire [9:0] VAR34; wire VAR84; wire VAR5; wire VAR74; wire VAR2; wire VAR73; wire VAR18; wire VAR89; wire VAR55; wire [9:0] VAR16; reg VAR56; reg VAR42; reg VAR24; reg [9:0] VAR37; reg [9:0] VAR25; always @(posedge clk or posedge VAR35) begin if (VAR35 == 1) begin VAR56 <= VAR35; VAR42 <= VAR35; VAR24 <= VAR35; end else begin VAR56 <= VAR35; VAR42 <= VAR56; VAR24 <= VAR42; end end assign VAR43 = VAR24; assign VAR98 = VAR69 | VAR24; assign VAR29 = reset | VAR24; VAR46 VAR104 ( .VAR99 (VAR99), .VAR71 (VAR71), .VAR1 (VAR1), .VAR93 (VAR93), .VAR106 (VAR106), .VAR101 (VAR101), .VAR11 (VAR11), .VAR91 (VAR91), .VAR57 (VAR57), .VAR51 (VAR51), .VAR44 (VAR44), .VAR3 (VAR3), .VAR61 (VAR61), .VAR88 (VAR88), .VAR66 (VAR66), .VAR47 (VAR47), .VAR86 (VAR86), .VAR31 (VAR31), .VAR105 (VAR105), .VAR6 (VAR6), .VAR94 (VAR94), .VAR92 (address), .VAR70 (VAR73), .VAR87 (clk), .VAR103 (VAR100), .VAR82 (VAR14), .VAR64 (read), .VAR21 (write), .VAR12 (VAR29), .VAR35 (VAR43), .VAR69 (VAR98), .VAR85 (VAR85), .VAR5(VAR5), .VAR74(VAR74), .VAR32(1'b0), .VAR68 (VAR68), .VAR4 (VAR4), .VAR36 (VAR36), .VAR18(VAR18), .VAR54(VAR89), .VAR53 (VAR53), .VAR45 (VAR45), .VAR8 (VAR8), .VAR34 (VAR34), .VAR84 (VAR84) ); VAR104.VAR22 = VAR22, VAR104.VAR81 = VAR81, VAR104.VAR80 = VAR80; generate if (VAR97 == 1) begin assign VAR55 = VAR20; assign VAR107 = VAR89; end else begin assign VAR55 = VAR89; assign VAR107 = 1'b0; end endgenerate generate if (VAR40 != "VAR33" && VAR41 == 0) begin VAR26 VAR17 ( .VAR10 (VAR75), .VAR48 (VAR55), .VAR13 (VAR32), .VAR59 (VAR35), .VAR90 (VAR53), .VAR77 (VAR32), .VAR65 (VAR76), .VAR67 (VAR45), .VAR38 (VAR24), .VAR23 (), .VAR83 (VAR18), .VAR7 (VAR8), .VAR63 (VAR34), .VAR78 (VAR2), .VAR108 (VAR24) ); end endgenerate generate if (VAR40 == "VAR33") begin VAR30 VAR58 ( .VAR10 (VAR75), .VAR48 (VAR55), .VAR13 (VAR32), .VAR59 (VAR35), .VAR90 (VAR53), .VAR77 (VAR32), .VAR65 (VAR76), .VAR67 (VAR45), .VAR38 (VAR24), .VAR23 (), .VAR83 (VAR18), .VAR7 (VAR8), .VAR63 (VAR34), .VAR78 (VAR2), .VAR108 (VAR24) ); end endgenerate generate if (VAR40 != "VAR33" && VAR41 == 1) begin assign VAR8 = VAR32; assign VAR45 = VAR37; always @(posedge VAR53 or posedge VAR35) begin if (VAR35 == 1) VAR37 <= 0; end else begin VAR37[0] <= VAR16[9]; VAR37[1] <= VAR16[8]; VAR37[2] <= VAR16[7]; VAR37[3] <= VAR16[6]; VAR37[4] <= VAR16[5]; VAR37[5] <= VAR16[4]; VAR37[6] <= VAR16[3]; VAR37[7] <= VAR16[2]; VAR37[8] <= VAR16[1]; VAR37[9] <= VAR16[0]; end end always @(posedge VAR32 or posedge VAR35) begin if (VAR35 == 1) VAR25 <= 0; end else begin VAR25[0] <= VAR34[9]; VAR25[1] <= VAR34[8]; VAR25[2] <= VAR34[7]; VAR25[3] <= VAR34[6]; VAR25[4] <= VAR34[5]; VAR25[5] <= VAR34[4]; VAR25[6] <= VAR34[3]; VAR25[7] <= VAR34[2]; VAR25[8] <= VAR34[1]; VAR25[9] <= VAR34[0]; end end VAR79 VAR62 ( .VAR27 (VAR53), .VAR50 (VAR76), .VAR9 (VAR32), .VAR39 (VAR16), .VAR96 (), .VAR49 (VAR35) ); VAR15 VAR72 ( .VAR60 (VAR25), .VAR102 (VAR32), .VAR52 (VAR2) ); end endgenerate endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or3/sky130_fd_sc_hd__or3_2.v
2,153
module MODULE1 ( VAR8 , VAR9 , VAR5 , VAR6 , VAR2, VAR4, VAR1 , VAR7 ); output VAR8 ; input VAR9 ; input VAR5 ; input VAR6 ; input VAR2; input VAR4; input VAR1 ; input VAR7 ; VAR3 VAR10 ( .VAR8(VAR8), .VAR9(VAR9), .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR4(VAR4), .VAR1(VAR1), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR8, VAR9, VAR5, VAR6 ); output VAR8; input VAR9; input VAR5; input VAR6; supply1 VAR2; supply0 VAR4; supply1 VAR1 ; supply0 VAR7 ; VAR3 VAR10 ( .VAR8(VAR8), .VAR9(VAR9), .VAR5(VAR5), .VAR6(VAR6) ); endmodule
apache-2.0
jmesmon/trifles
verilog/hw6/p13.v
1,563
module MODULE2 parameter VAR2 = VAR7) (output reg VAR8, input [VAR7-1:0] VAR12, input [VAR2-1:0] VAR6, input VAR11, VAR1); reg [VAR7-1:0] VAR3; always @(VAR1) begin VAR3 <= VAR3 + 1; end reg [VAR7:0] VAR4; wire VAR10 = VAR6[VAR2 - 1]; wire [VAR2 - 2:0] VAR9 = VAR6[VAR2-2:0]; always @(VAR3) begin if (VAR8) if (VAR10) VAR4 = VAR12 + VAR9; end else VAR4 = VAR12 - VAR9; else if (VAR10) VAR4 = VAR12 - VAR9; else VAR4 = VAR12 + VAR9; if (VAR3 >= VAR4) begin VAR8 <= ~VAR8; VAR3 <= 0; end end always @(VAR11) begin if (VAR11 == 0) begin VAR8 <= 0; VAR3 <= 0; end end endmodule module MODULE1(); wire VAR8; reg [7:0] VAR12, VAR6; reg VAR11, VAR1; MODULE2 MODULE1(VAR8, VAR12, VAR6, VAR11, VAR1);
gpl-3.0
carstenbru/fpga-log
spartanmc/hardware/uart_light/src/uart_light_tx_ctrl.v
3,777
module MODULE1 parameter VAR9 = 2, parameter VAR1 = 2'b00, parameter VAR5 = 2'b01, parameter VAR12 = 2'b10 )( input wire reset, input wire VAR10, input wire VAR2, output wire VAR17, output wire VAR11, input wire VAR15, output reg VAR8, output reg VAR16, output reg VAR3, input wire VAR13, input wire VAR7, output wire VAR4 ); reg [VAR9-1:0] VAR14, VAR6; assign VAR17 = VAR13; assign VAR11 = VAR7; assign VAR4 = VAR2 & (~VAR13); always @(*) begin VAR8 = 1'b0; VAR16 = 1'b0; VAR3 = 1'b0; VAR6 = VAR1; case(VAR14) VAR1: if (VAR7 == 1'b0) begin VAR6 = VAR5; end VAR5: begin VAR3 = 1'b1; VAR6 = VAR12; end VAR12: if(VAR15) begin VAR16 = 1'b1; VAR6 = VAR1; end else begin VAR8 = 1'b1; VAR6 = VAR12; end default: VAR6 = VAR1; endcase end always @(posedge VAR10, posedge reset) begin if(reset) begin VAR14 <= VAR1; end else begin VAR14 <= VAR6; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/xnor2/sky130_fd_sc_ms__xnor2.pp.symbol.v
1,304
module MODULE1 ( input VAR4 , input VAR7 , output VAR3 , input VAR6 , input VAR5, input VAR1, input VAR2 ); endmodule
apache-2.0
C-L-G/azpr_soc
azpr_soc/trunk/ic/digital/azpr_soc/cpu/rtl/mem_reg.v
3,615
module MODULE1 ( input wire clk, input wire reset, input wire [VAR5] out, input wire VAR23, input wire VAR20, input wire VAR7, input wire [VAR1] VAR14, input wire VAR16, input wire VAR31, input wire [VAR9] VAR4, input wire [VAR8] VAR25, input wire VAR10, input wire [VAR32] VAR24, output reg [VAR1] VAR27, output reg VAR28, output reg VAR26, output reg [VAR9] VAR29, output reg [VAR8] VAR30, output reg VAR12, output reg [VAR32] VAR3, output reg [VAR5] VAR6 ); always @(posedge clk or VAR19 reset) begin if (reset == VAR2) begin VAR27 <= VAR11'h0; VAR28 <= VAR17; VAR26 <= VAR17; VAR29 <= VAR33; VAR30 <= VAR21'h0; VAR12 <= VAR17; VAR3 <= VAR18; VAR6 <= VAR13'h0; end else begin if (VAR20 == VAR17) begin if (VAR7 == VAR22) begin VAR27 <= VAR11'h0; VAR28 <= VAR17; VAR26 <= VAR17; VAR29 <= VAR33; VAR30 <= VAR21'h0; VAR12 <= VAR17; VAR3 <= VAR18; VAR6 <= VAR13'h0; end else if (VAR23 == VAR22) begin VAR27 <= VAR14; VAR28 <= VAR16; VAR26 <= VAR31; VAR29 <= VAR33; VAR30 <= VAR21'h0; VAR12 <= VAR17; VAR3 <= VAR15; VAR6 <= VAR13'h0; end else begin VAR27 <= VAR14; VAR28 <= VAR16; VAR26 <= VAR31; VAR29 <= VAR4; VAR30 <= VAR25; VAR12 <= VAR10; VAR3 <= VAR24; VAR6 <= out; end end end end endmodule
apache-2.0
hoglet67/CoPro6502
src/m32632/DCACHE.v
12,301
module MODULE1( VAR168, VAR73, VAR82, VAR35, VAR40, VAR74, VAR37, VAR4, VAR152, VAR13, VAR116, VAR140, VAR17, VAR144, VAR102, VAR2, VAR124, VAR69, VAR86, VAR55, VAR14, VAR65, VAR150, VAR98, VAR166, VAR138, VAR23, VAR113, VAR28, VAR91, VAR44, VAR142, VAR109, VAR105, VAR63, VAR106, VAR12, VAR51, VAR118, VAR83, VAR170, VAR162, VAR161, VAR97, VAR3, VAR93, VAR108, VAR61, VAR145, VAR30, VAR123, VAR1, VAR129, VAR135, VAR10, VAR156, VAR53, VAR45 ); input VAR168; input VAR73; input VAR82; input VAR35; input VAR40; input VAR74; input VAR37; input VAR4; input VAR152; input VAR13; input VAR116; input VAR140; input VAR129; input VAR17; input VAR144; input VAR102; input VAR2; input VAR124; input VAR69; input [1:0] VAR86; input [1:0] VAR55; input [27:4] VAR14; input [63:0] VAR65; input [31:0] VAR150; input [31:12] VAR98; input [3:0] VAR166; input [31:0] VAR138; input [1:0] VAR23; input [3:0] VAR113; input [3:0] VAR28; input [1:0] VAR91; input [31:0] VAR44; input [11:2] VAR142; input [2:0] VAR109; input [2:0] VAR135; input [40:2] VAR156; input VAR45; output VAR105; output VAR63; output VAR106; output VAR12; output VAR51; output [1:0] VAR118; output VAR83; output VAR170; output VAR10; output [5:0] VAR162; output [31:0] VAR161; output [3:1] VAR93; output [1:0] VAR108; output [27:4] VAR123; output [23:0] VAR1; output reg [27:0] VAR97; output reg [35:0] VAR3; output reg [31:0] VAR61; output reg [3:0] VAR145; output reg [31:0] VAR30; output VAR53; reg [31:0] VAR90; reg [31:0] VAR25; reg [31:0] VAR72; reg VAR76; reg VAR70; wire [27:4] VAR50; wire VAR167; wire VAR7; wire VAR52; wire VAR114; wire VAR59; wire VAR115; wire [3:0] VAR27; wire VAR126; wire VAR158; wire VAR84; wire VAR121; wire VAR130; wire VAR159; wire VAR119; wire VAR62; wire [27:0] VAR56; wire [31:12] VAR24; wire [11:4] VAR79; wire [23:0] VAR107; wire [31:0] VAR26; wire VAR58; wire VAR33; wire VAR104; wire VAR85; wire VAR47; wire [31:0] VAR87; wire VAR149; wire VAR42; wire VAR5; wire [23:0] VAR100; wire [4:0] VAR131; wire VAR154; wire [3:0] VAR103; wire [31:0] VAR137; wire [9:0] VAR95; wire VAR94; wire VAR101; wire VAR22; wire [27:0] VAR128; wire VAR8; wire VAR147; wire VAR43; wire VAR34; wire VAR92; wire [31:0] VAR139; wire [31:0] VAR155; wire [31:0] VAR163; wire [3:0] VAR15; wire [3:0] VAR19; wire [31:0] VAR169; wire VAR110; wire VAR88; wire VAR32; wire VAR67; wire VAR80; wire [19:0] VAR36; wire VAR54; reg [7:0] VAR89 [0:1023]; reg [7:0] VAR157 [0:1023]; reg [7:0] VAR66 [0:1023]; reg [7:0] VAR57 [0:1023]; reg [31:0] VAR21; reg [7:0] VAR125 [0:1023]; reg [7:0] VAR38 [0:1023]; reg [7:0] VAR48 [0:1023]; reg [7:0] VAR46 [0:1023]; reg [31:0] VAR132; reg [15:0] VAR143 [0:255]; reg [15:0] VAR164; reg [15:0] VAR71 [0:255]; reg [15:0] VAR133; reg [23:0] VAR41 [0:31]; reg [23:0] VAR49; reg [35:0] VAR122 [0:255]; reg [35:0] VAR11; reg [31:0] VAR6 [0:15]; reg [31:0] VAR81; assign VAR167 = ({VAR24[27:12],VAR72[11:4]} == VAR97[27:4]); assign VAR155 = VAR76 ? VAR90 : VAR25 ; assign VAR24 = VAR149 ? VAR11[19:0] : VAR72[31:12] ; assign VAR128 = VAR42 ? VAR56 : {VAR24[27:12],VAR72[11:2],VAR58,VAR114} ; assign VAR123 = VAR115 ? VAR14 : VAR97[27:4] ; assign VAR139 = VAR114 ? VAR132 : VAR21 ; assign VAR149 = ~VAR55[0] & VAR147; assign VAR33 = ~VAR113[3] & VAR13; assign VAR137 = VAR116 ? VAR87 : VAR150 ; assign VAR103 = VAR27 | {~VAR116,~VAR116,~VAR116,~VAR116}; assign VAR50 = VAR83 ? VAR123 : {VAR24[27:12],VAR72[11:4]} ; assign VAR95 = VAR102 ? VAR142 : VAR72[11:2] ; assign VAR79 = VAR130 ? VAR123[11:4] : VAR44[11:4] ; assign VAR51 = VAR43 | VAR158; assign VAR92 = VAR76 | VAR7 | VAR67; assign VAR169 = VAR92 ? VAR155 : VAR139 ; assign VAR8 = ~(VAR106 | VAR54); assign VAR162[4] = VAR84; assign VAR162[5] = VAR52; always @(posedge VAR168) if (VAR84) begin VAR145 <= VAR27; VAR30 <= VAR87; VAR61 <= {VAR24[31:12],VAR72[11:0]}; end always @(posedge VAR168) if (VAR8) VAR97[27:0] <= VAR128[27:0]; always @(posedge VAR168) if (VAR105) VAR90 <= VAR138; always @(posedge VAR168) begin VAR3 <= {(VAR80 ? VAR36[19:16] : VAR27),VAR87[31:16], (VAR80 ? VAR36[15:0] : VAR87[15:0])}; VAR76 <= VAR70 | VAR105; VAR70 <= VAR105 & VAR91[0] & VAR91[1]; VAR72 <= VAR44; end always @(posedge VAR73) if (VAR109[2]) VAR25 <= VAR150; always @(posedge VAR168) VAR49 <= VAR41[VAR79[11:7]]; always @(negedge VAR168) if (VAR5) VAR41[VAR131] <= VAR100; always @(posedge VAR168) VAR164 <= VAR143[VAR79]; always @(negedge VAR168) if (VAR110) VAR143[VAR72[11:4]] <= VAR24[27:12]; always @(posedge VAR168) VAR133 <= VAR71[VAR79]; always @(negedge VAR168) if (VAR88) VAR71[VAR72[11:4]] <= VAR24[27:12]; always @(posedge VAR168) begin VAR21[31:24] <= VAR89[VAR44[11:2]]; VAR21[23:16] <= VAR157[VAR44[11:2]]; VAR21[15:8] <= VAR66[VAR44[11:2]]; VAR21[7:0] <= VAR57[VAR44[11:2]]; end always @(posedge VAR73) if (VAR154) begin if (VAR103[3]) VAR89[VAR95] <= VAR137[31:24]; if (VAR103[2]) VAR157[VAR95] <= VAR137[23:16]; if (VAR103[1]) VAR66[VAR95] <= VAR137[15:8]; if (VAR103[0]) VAR57[VAR95] <= VAR137[7:0]; end always @(posedge VAR168) begin VAR132[31:24] <= VAR125[VAR44[11:2]]; VAR132[23:16] <= VAR38[VAR44[11:2]]; VAR132[15:8] <= VAR48[VAR44[11:2]]; VAR132[7:0] <= VAR46[VAR44[11:2]]; end always @(posedge VAR73) if (VAR94) begin if (VAR103[3]) VAR125[VAR95] <= VAR137[31:24]; if (VAR103[2]) VAR38[VAR95] <= VAR137[23:16]; if (VAR103[1]) VAR48[VAR95] <= VAR137[15:8]; if (VAR103[0]) VAR46[VAR95] <= VAR137[7:0]; end VAR141 VAR64( .VAR168(VAR168), .VAR40(VAR40), .VAR147(VAR147), .VAR121(VAR121), .VAR35(VAR35), .VAR159(VAR159), .VAR52(VAR52), .VAR140(VAR140), .VAR116(VAR116), .VAR129(VAR129), .VAR17(VAR17), .VAR144(VAR144), .VAR58(VAR58), .VAR74(VAR74), .VAR37(VAR37), .VAR101(VAR101), .VAR4(VAR4), .VAR33(VAR33), .VAR68(VAR162[3]), .VAR32(VAR32), .VAR2(VAR2), .VAR104(VAR104), .VAR167(VAR167), .VAR124(VAR124), .VAR25(VAR25[31:0]), .VAR134(VAR65[59:44]), .VAR69(VAR69), .VAR98(VAR98), .VAR166(VAR166), .VAR72(VAR72[31:12]), .VAR119(VAR119), .VAR62(VAR62), .VAR106(VAR106), .VAR12(VAR12), .VAR84(VAR84), .VAR105(VAR105), .VAR63(VAR63), .VAR118(VAR118), .VAR112(VAR162[1]), .VAR47(VAR47), .VAR59(VAR59), .VAR7(VAR7), .VAR42(VAR42), .VAR120(VAR162[0]), .VAR153(VAR162[2]), .VAR93(VAR93), .VAR130(VAR130), .VAR83(VAR83), .VAR126(VAR126), .VAR115(VAR115), .VAR170(VAR170), .VAR135(VAR135[1:0]), .VAR10(VAR10), .VAR108(VAR108), .VAR1(VAR1), .VAR80(VAR80), .VAR54(VAR54), .VAR56(VAR56), .VAR36(VAR36)); VAR78 VAR117( .VAR60(VAR55[0]), .VAR22(VAR22), .VAR159(VAR159), .VAR116(VAR116), .VAR83(VAR83), .VAR50(VAR50), .VAR86(VAR86), .VAR45(VAR45), .VAR49(VAR49), .VAR164(VAR164), .VAR133(VAR133), .VAR52(VAR52), .VAR114(VAR114), .VAR104(VAR104), .VAR58(VAR58), .VAR136(VAR24[31:28]), .VAR121(VAR121), .VAR34(VAR34), .VAR20(VAR135[2]), .VAR146(VAR107)); VAR99 VAR148( .VAR168(VAR168), .VAR73(VAR73), .VAR40(VAR40), .VAR114(VAR114), .VAR126(VAR126), .VAR146(VAR107), .VAR72(VAR50[11:7]), .VAR106(VAR106), .VAR59(VAR59), .VAR34(VAR34), .VAR116(VAR116), .VAR82(VAR82), .VAR109(VAR109[1:0]), .VAR151(VAR55[1]), .VAR100(VAR100), .VAR131(VAR131), .VAR5(VAR5), .VAR158(VAR158), .VAR110(VAR110), .VAR88(VAR88), .VAR154(VAR154), .VAR94(VAR94)); VAR111 VAR160( .VAR33(VAR33), .VAR116(VAR116), .VAR140(VAR140), .VAR17(VAR17), .VAR23(VAR23), .VAR113(VAR113[2:0]), .VAR77(VAR11[35:20]), .VAR81(VAR81), .VAR72(VAR72[31:12]), .VAR159(VAR159), .VAR32(VAR32), .VAR147(VAR147), .VAR22(VAR22), .VAR101(VAR101), .VAR146(VAR26)); VAR75 VAR96( .VAR168(VAR168), .VAR40(VAR40), .VAR119(VAR119), .VAR23(VAR23[1]), .VAR165(VAR62), .VAR47(VAR47), .VAR81(VAR81), .VAR146(VAR26), .VAR44(VAR44[19:16]), .VAR72(VAR72[19:16]), .VAR85(VAR85), .VAR43(VAR43), .VAR163(VAR163), .VAR15(VAR15), .VAR19(VAR19)); always @(posedge VAR168) VAR81 <= VAR6[VAR15]; always @(negedge VAR168) if (VAR85) VAR6[VAR19] <= VAR163; always @(posedge VAR168) VAR11 <= VAR122[VAR44[19:12]]; always @(negedge VAR168) if (VAR47) VAR122[VAR72[19:12]] <= {VAR72[31:20],VAR1[23:0]}; VAR39 VAR18( .VAR168(VAR168), .VAR120(VAR162[0]), .VAR152(VAR152), .VAR28(VAR28), .VAR29(VAR169), .VAR91(VAR91), .VAR52(VAR52), .VAR161(VAR161), .VAR67(VAR67)); VAR127 VAR31( .VAR65(VAR65), .VAR28(VAR28), .VAR91(VAR91), .VAR27(VAR27), .VAR87(VAR87)); VAR9 VAR16( .VAR156(VAR156), .VAR140(VAR140), .VAR116(VAR116), .VAR33(VAR33), .VAR147(VAR147), .VAR120(VAR162[0]), .VAR72(VAR72[31:2]), .VAR11(VAR11[19:0]), .VAR27(VAR27), .VAR53(VAR53)); endmodule
gpl-3.0
ayaovi/yoda
UART/UART_Tx.v
3,147
module MODULE1 #( parameter VAR3 = 5, parameter VAR18 = 5'd29 )( input VAR12, input VAR15, input [7:0]VAR17, input VAR1, output reg VAR4, output reg VAR10 ); reg VAR6; reg [ 7:0]VAR14; reg [VAR3-1:0]VAR7; reg [ 2:0]VAR16; reg [1:0]VAR2; localparam VAR9 = 2'b00; localparam VAR11 = 2'b01; localparam VAR5 = 2'b11; localparam VAR13 = 2'b10; reg VAR8; always @(posedge VAR15) begin VAR8 <= VAR12; if(VAR8) begin VAR4 <= 1'b0; VAR10 <= 1'b1; VAR6 <= 0; VAR7 <= 0; VAR16 <= 0; VAR2 <= VAR9; end else begin VAR6 <= VAR1; if(~|VAR7) begin case(VAR2) VAR9: begin if(VAR6) begin VAR7 <= VAR18; VAR16 <= 3'd7; {VAR14, VAR10} <= {VAR17, 1'b0}; VAR4 <= 1'b1; VAR2 <= VAR11; end end VAR11: begin VAR7 <= VAR18; {VAR14[6:0], VAR10} <= VAR14; if(~|VAR16) VAR2 <= VAR5; VAR16 <= VAR16 - 1'b1; end VAR5: begin VAR10 <= 1'b1; VAR7 <= VAR18; VAR2 <= VAR13; end VAR13: begin if(~VAR6) begin VAR4 <= 1'b0; VAR2 <= VAR9; end end default:; endcase end else begin VAR7 <= VAR7 - 1'b1; end end end endmodule
gpl-3.0
medav/conware
Prototype/hw/archive/axis_boilerplate.v
8,231
module MODULE1 ( VAR17, VAR11, VAR5, VAR15, VAR10, VAR12, VAR1, VAR2, VAR14, VAR6 ); input VAR17; input VAR11; output VAR5; input [31 : 0] VAR15; input VAR10; input VAR12; output VAR1; output [31 : 0] VAR2; output VAR14; input VAR6; localparam VAR9 = 8; localparam VAR3 = 8; localparam VAR4 = 3'b100; localparam VAR7 = 3'b010; localparam VAR8 = 3'b001; reg [2:0] state; reg [31:0] sum; reg [VAR9 - 1:0] VAR13; reg [VAR3 - 1:0] VAR16; assign VAR5 = (state == VAR7); assign VAR1 = (state == VAR8); assign VAR2 = sum; assign VAR14 = 1'b0; always @(posedge VAR17) begin if (!VAR11) begin state <= VAR4; VAR13 <= 0; VAR16 <= 0; sum <= 0; end else case (state) VAR4: if (VAR12 == 1) begin state <= VAR7; VAR13 <= VAR9 - 1; sum <= 0; end VAR7: if (VAR12 == 1) begin sum <= sum + VAR15; if (VAR13 == 0) begin state <= VAR8; VAR16 <= VAR3 - 1; end else VAR13 <= VAR13 - 1; end VAR8: if (VAR6 == 1) begin if (VAR16 == 0) state <= VAR4; end else VAR16 <= VAR16 - 1; end endcase end endmodule
mit
vad-rulezz/megabot
fusesoc/orpsoc-cores/systems/atlys/rtl/verilog/xilinx_ddr2/iodrp_controller.v
11,430
module MODULE1( input wire [7:0] VAR11, input wire [7:0] VAR26, output reg [7:0] VAR5, input wire VAR1, input wire VAR29, output wire VAR53, input wire VAR52, input wire VAR28, input wire VAR20, output reg VAR22, output wire VAR21, output reg VAR42, output reg VAR34, input wire VAR3 ); reg [7:0] VAR30; reg [7:0] VAR44; reg [7:0] VAR38; reg VAR10; reg VAR9; reg [2:0] VAR37; reg VAR49; reg VAR47; reg VAR55; reg [2:0] state, VAR45; wire [7:0] VAR50; wire VAR7; localparam VAR14 = 3'h0; localparam VAR2 = 3'h1; localparam VAR32 = 3'h2; localparam VAR35 = 3'h3; localparam VAR27 = 3'h4; localparam VAR48 = 3'h5; localparam VAR16 = 3'h6; localparam VAR54 = 3'h7; localparam VAR4 = 5'h01; localparam VAR13 = 5'h00; localparam VAR23 = 5'h03; localparam VAR25 = 5'h02; localparam VAR33 = 5'h05; localparam VAR41 = 5'h04; localparam VAR19 = 5'h07; localparam VAR18 = 5'h06; localparam VAR6 = 5'h09; localparam VAR40 = 5'h08; localparam VAR24 = 5'h0B; localparam VAR36 = 5'h0A; localparam VAR43 = 5'h0D; localparam VAR39 = 5'h0C; localparam VAR31 = 5'h0F; localparam VAR46 = 5'h0E; localparam VAR8 = 5'h1D; localparam VAR51 = 5'h1C; localparam VAR17 = 5'h1F; localparam VAR15 = 5'h1E; reg [32*8-1:0] VAR12; always @ (state) begin case (state) VAR14 :VAR12 <= "VAR14"; VAR2 :VAR12 <= "VAR2"; VAR32 :VAR12 <= "VAR32"; VAR35 :VAR12 <= "VAR35"; VAR27 :VAR12 <= "VAR27"; VAR48 :VAR12 <= "VAR48"; VAR16 :VAR12 <= "VAR16"; VAR54 :VAR12 <= "VAR54"; endcase end always @ (posedge VAR20) begin if(state == VAR14) begin VAR30 <= VAR11; VAR44 <= VAR26; VAR49 <= VAR1; end end assign VAR53 = (state == VAR14); assign VAR50 = VAR9 ? VAR30 : VAR44; always @ (posedge VAR20) begin if(VAR28) VAR38 <= 8'b0; end else begin if (VAR10) VAR38 <= VAR50; end else VAR38 <= {VAR3, VAR38[7:1]}; end end always @ (posedge VAR20) begin if (((state == VAR32) | (state == VAR16)) & !VAR28) VAR37 <= VAR37 + 1; end else VAR37 <= 3'b000; end always @ (posedge VAR20) begin if(VAR28) begin VAR5 <= 8'h00; end else begin if(state == VAR54) VAR5 <= VAR38; end end always @ (posedge VAR20) begin if(VAR28) begin VAR47 <= 1'b0; end else begin if (VAR47) begin VAR47 <= VAR47 && ~(state == VAR54); end else begin VAR47 <= (state == VAR32) && (VAR37 == 3'b111); end end end always @ (posedge VAR20) begin VAR42 <= (VAR45 == VAR32); VAR22 <= (VAR45 == VAR32) | (VAR45 == VAR16); if (state == VAR14) VAR34 <= VAR52; end assign VAR21 = VAR38[0]; always @ (*) begin VAR9 = 1'b0; VAR10 = 1'b0; case (state) VAR14: begin if(VAR29) VAR45 = VAR2; end else VAR45 = VAR14; end VAR2: begin VAR10 = 1; VAR9 = 1; VAR45 = VAR32; end VAR32: begin if(&VAR37) if (VAR49) if (VAR47) VAR45 = VAR54; end else VAR45 = VAR2; else VAR45 = VAR35; else VAR45 = VAR32; end VAR35: begin VAR10 = 1; VAR45 = VAR27; end VAR27: begin VAR10 = 1; VAR45 = VAR48; end VAR48: begin VAR10 = 1; VAR45 = VAR16; end VAR16: begin if(&VAR37) VAR45 = VAR54; end else VAR45 = VAR16; end VAR54: begin VAR45 = VAR14; end default: begin VAR45 = VAR14; end endcase end always @ (posedge VAR20) begin if(VAR28) state <= VAR14; end else state <= VAR45; end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o22a/sky130_fd_sc_hdll__o22a.symbol.v
1,371
module MODULE1 ( input VAR3, input VAR5, input VAR9, input VAR8, output VAR7 ); supply1 VAR1; supply0 VAR6; supply1 VAR2 ; supply0 VAR4 ; endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig39_2/mig_39_2/user_design/rtl/controller/bank_cntrl.v
25,514
module MODULE1 # ( parameter VAR22 = 100, parameter VAR51 = "1T", parameter VAR121 = 3, parameter VAR36 = 2, parameter VAR18 = "8", parameter VAR138 = 12, parameter VAR75 = 5, parameter VAR73 = 8, parameter VAR137 = "VAR17", parameter VAR45 = "VAR7", parameter VAR111 = 4, parameter VAR114 = 4, parameter VAR81 = 2, parameter VAR47 = 2, parameter VAR100 = 2, parameter VAR70 = 0, parameter VAR142 = 10, parameter VAR131 = 5, parameter VAR50 = 4, parameter VAR44 = 10, parameter VAR33 = 5, parameter VAR8 = "VAR101", parameter VAR140 = 2, parameter VAR141 = 4, parameter VAR26 = 5, parameter VAR20 = 16, parameter VAR87 = 2 ) ( VAR120, VAR58, VAR88, VAR56, VAR128, VAR3, VAR109, VAR127, VAR74, VAR89, VAR136, VAR110, VAR19, VAR13, VAR135, VAR37, VAR30, VAR64, VAR69, VAR53, VAR77, VAR113, VAR55, VAR125, VAR139, VAR32, VAR90, VAR66, VAR40, VAR42, VAR119, VAR57, VAR16, VAR9, VAR24, VAR25, VAR106, VAR5, VAR134, VAR95, VAR92, VAR35, VAR80, VAR76, VAR15, VAR23, VAR132, VAR43, rst, VAR94, VAR129, VAR116, VAR78, VAR130, VAR68, VAR46, VAR72, VAR14, VAR118, VAR21, VAR93, VAR54, VAR115, VAR108, VAR102, VAR2, VAR97, VAR39, VAR65, VAR38, VAR98, VAR31, VAR83, VAR48, VAR126, VAR67, VAR85, VAR84, VAR1, VAR62, VAR71, VAR79, VAR49, VAR123, clk, VAR61, VAR96, VAR133, VAR59, VAR82 ); input VAR82; input VAR59; input VAR133; input [VAR121-1:0] VAR96; input [(VAR114*2)-1:0] VAR61; input clk; input [2:0] VAR123; input [VAR138-1:0] VAR49; input [VAR73-1:0] VAR79; input [(VAR114*2)-1:0] VAR71; input [(VAR114*2)-1:0] VAR62; input VAR1; input VAR84; input VAR85; input [VAR36-1:0] VAR67; input [VAR141-1:0] VAR126; input VAR48; input [VAR141-1:0] VAR83; input VAR31; input [VAR140:0] VAR98; input VAR38; input VAR65; input VAR39; input VAR97; input [VAR140-1:0] VAR2; input VAR102; input VAR108; input VAR115; input [VAR36-1:0] VAR54; input [(VAR114*2)-1:0] VAR93; input VAR21; input VAR118; input [VAR140-1:0] VAR14; input [VAR140-1:0] VAR72; input [(2*(VAR26*VAR114))-1:0] VAR46; input [VAR36-1:0] VAR68; input [(VAR114*2)-1:0] VAR130; input [VAR73-1:0] VAR78; input VAR116; input [(VAR140*VAR114*2)-1:0] VAR129; input [VAR20-1:0] VAR94; input rst; input VAR43; input VAR132; input VAR23; input VAR15; input VAR76; input [(VAR114*2)-1:0] VAR80; input VAR35; input VAR92; input VAR95; input [VAR141-1:0] VAR134; output [VAR141-1:0] VAR90; output [VAR20-1:0] VAR32; output VAR139; output VAR125; output VAR55; output VAR113; output VAR77; output VAR53; output VAR69; output [VAR141-1:0] VAR64; output [VAR26-1:0] VAR30; output VAR37; output [VAR141-1:0] VAR135; output [VAR121-1:0] VAR13; output VAR19; output VAR110; output VAR136; output [VAR20-1:0] VAR89; output VAR74; output [VAR20-1:0] VAR127; output VAR109; output VAR3; output VAR128; output VAR56; output VAR88; output VAR58; output [VAR141-1:0] VAR120; wire VAR103; wire VAR122; wire VAR34; wire VAR107; wire VAR27; wire VAR104; wire VAR117; wire VAR105; wire VAR41; wire VAR112; wire VAR4; wire [VAR114*2-1:0] VAR6; wire VAR60; wire VAR10; wire VAR12; wire VAR52; wire VAR86; wire VAR29; output VAR66; output VAR40; output VAR42; output VAR119; output VAR57; output VAR16; output [VAR140-1:0] VAR9; output VAR24; output VAR25; output VAR106; output [VAR73-1:0] VAR5; VAR63 # ( .VAR121 (VAR121), .VAR22 (VAR22), .VAR18 (VAR18), .VAR138 (VAR138), .VAR73 (VAR73), .VAR45 (VAR45), .VAR140 (VAR140), .VAR141 (VAR141), .VAR20 (VAR20)) VAR28 ( .VAR5 (VAR5[VAR73-1:0]), .VAR110 (VAR110), .VAR74 (VAR74), .VAR42 (VAR42), .VAR9 (VAR9[VAR140-1:0]), .VAR13 (VAR13[VAR121-1:0]), .VAR89 (VAR89[VAR20-1:0]), .VAR40 (VAR40), .VAR12 (VAR12), .VAR24 (VAR24), .VAR37 (VAR37), .VAR52 (VAR52), .VAR106 (VAR106), .VAR32 (VAR32[VAR20-1:0]), .VAR136 (VAR136), .VAR19 (VAR19), .VAR109 (VAR109), .VAR127 (VAR127[VAR20-1:0]), .VAR64 (VAR64[VAR141-1:0]), .clk (clk), .VAR66 (VAR66), .VAR57 (VAR57), .VAR79 (VAR79[VAR73-1:0]), .VAR118 (VAR118), .VAR76 (VAR76), .VAR123 (VAR123[2:0]), .VAR43 (VAR43), .VAR72 (VAR72[VAR140-1:0]), .VAR14 (VAR14[VAR140-1:0]), .VAR96 (VAR96[VAR121-1:0]), .VAR94 (VAR94[VAR20-1:0]), .VAR49 (VAR49[VAR138-1:0]), .VAR85 (VAR85), .VAR2 (VAR2[VAR140-1:0]), .VAR108 (VAR108), .VAR34 (VAR34), .VAR10 (VAR10), .VAR103 (VAR103)); VAR11 # ( .VAR22 (VAR22), .VAR51 (VAR51), .VAR36 (VAR36), .VAR18 (VAR18), .VAR75 (VAR75), .VAR73 (VAR73), .VAR137 (VAR137), .VAR45 (VAR45), .VAR111 (VAR111), .VAR114 (VAR114), .VAR81 (VAR81), .VAR47 (VAR47), .VAR100 (VAR100), .VAR70 (VAR70), .VAR142 (VAR142), .VAR44 (VAR44), .VAR50 (VAR50), .VAR131 (VAR131), .VAR33 (VAR33), .VAR8 (VAR8), .VAR141 (VAR141), .VAR140 (VAR140), .VAR26 (VAR26), .VAR87 (VAR87)) VAR91 ( .VAR58 (VAR58), .VAR103 (VAR103), .VAR10 (VAR10), .VAR30 (VAR30[VAR26-1:0]), .VAR113 (VAR113), .VAR107 (VAR107), .VAR88 (VAR88), .VAR77 (VAR77), .VAR105 (VAR105), .VAR122 (VAR122), .VAR41 (VAR41), .VAR125 (VAR125), .VAR56 (VAR56), .VAR90 (VAR90[VAR141-1:0]), .VAR55 (VAR55), .VAR3 (VAR3), .VAR139 (VAR139), .VAR128 (VAR128), .VAR120 (VAR120[VAR141-1:0]), .VAR135 (VAR135[VAR141-1:0]), .clk (clk), .rst (rst), .VAR119 (VAR119), .VAR117 (VAR117), .VAR132 (VAR132), .VAR60 (VAR60), .VAR43 (VAR43), .VAR42 (VAR42), .VAR40 (VAR40), .VAR78 (VAR78[VAR73-1:0]), .VAR5 (VAR5[VAR73-1:0]), .VAR1 (VAR1), .VAR116 (VAR116), .VAR46 (VAR46[(2*(VAR26*VAR114))-1:0]), .VAR6 (VAR6[(VAR114*2)-1:0]), .VAR57 (VAR57), .VAR25 (VAR25), .VAR39 (VAR39), .VAR115 (VAR115), .VAR86 (VAR86), .VAR34 (VAR34), .VAR104 (VAR104), .VAR9 (VAR9[VAR140-1:0]), .VAR129 (VAR129[(VAR140*VAR114*2)-1:0]), .VAR80 (VAR80[(VAR114*2)-1:0]), .VAR126 (VAR126[VAR141-1:0]), .VAR29 (VAR29), .VAR16 (VAR16), .VAR15 (VAR15), .VAR71 (VAR71[(VAR114*2)-1:0]), .VAR27 (VAR27), .VAR23 (VAR23), .VAR4 (VAR4), .VAR112 (VAR112), .VAR12 (VAR12), .VAR66 (VAR66), .VAR62 (VAR62[(VAR114*2)-1:0]), .VAR38 (VAR38), .VAR65 (VAR65), .VAR98 (VAR98[VAR140:0]), .VAR134 (VAR134[VAR141-1:0]), .VAR48 (VAR48), .VAR31 (VAR31), .VAR83 (VAR83[VAR141-1:0]), .VAR84 (VAR84)); VAR99 # ( .VAR22 (VAR22), .VAR36 (VAR36), .VAR114 (VAR114), .VAR8 (VAR8), .VAR111 (VAR111)) VAR124 ( .VAR16 (VAR16), .VAR86 (VAR86), .VAR66 (VAR66), .VAR57 (VAR57), .VAR104 (VAR104), .VAR117 (VAR117), .VAR34 (VAR34), .VAR119 (VAR119), .VAR25 (VAR25), .VAR53 (VAR53), .VAR69 (VAR69), .VAR27 (VAR27), .VAR60 (VAR60), .VAR6 (VAR6[VAR114*2-1:0]), .VAR4 (VAR4), .VAR112 (VAR112), .VAR29 (VAR29), .clk (clk), .rst (rst), .VAR82 (VAR82), .VAR35 (VAR35), .VAR21 (VAR21), .VAR61 (VAR61[(VAR114*2)-1:0]), .VAR67 (VAR67[VAR36-1:0]), .VAR68 (VAR68[VAR36-1:0]), .VAR59 (VAR59), .VAR24 (VAR24), .VAR97 (VAR97), .VAR106 (VAR106), .VAR52 (VAR52), .VAR105 (VAR105), .VAR122 (VAR122), .VAR43 (VAR43), .VAR40 (VAR40), .VAR42 (VAR42), .VAR107 (VAR107), .VAR41 (VAR41), .VAR133 (VAR133), .VAR54 (VAR54[VAR36-1:0]), .VAR130 (VAR130[(VAR114*2)-1:0]), .VAR93 (VAR93[(VAR114*2)-1:0]), .VAR95 (VAR95), .VAR102 (VAR102), .VAR92 (VAR92)); endmodule
lgpl-3.0
zhaishaomin/ring_network-based-multicore-
communication_assist/arbiter_for_mem.v
3,581
module MODULE1( clk, rst, VAR6, VAR16, VAR15, VAR1, VAR10, VAR5, VAR7, VAR11, VAR4, VAR13 ); input clk; input rst; input VAR6; input VAR16; input VAR15; input VAR1; output VAR10; output VAR5; output VAR7; output VAR11; output VAR4; output VAR13; parameter VAR14=2'b00; parameter VAR9=2'b01; parameter VAR8=2'b10; parameter VAR3=2'b11; reg [1:0] VAR2; reg [1:0] state; wire [2:0] VAR12; reg VAR10; reg VAR5; reg VAR7; reg VAR11; reg VAR4; reg VAR13; assign VAR12=(VAR15==1'b1)?3'b100:(VAR16==1'b1)?3'b010:(VAR6==1'b1)?3'b001:3'b000; always@(*) begin {VAR7,VAR5,VAR10}=3'b000; {VAR13,VAR4,VAR11}=3'b000; VAR2=state; case(state) VAR14: begin {VAR7,VAR5,VAR10}=VAR12; {VAR13,VAR4,VAR11}=VAR12; if(VAR12==3'b100) VAR2=VAR9; end else if(VAR12==3'b010) VAR2=VAR8; end else if(VAR12==3'b001) VAR2=VAR3; end VAR9: begin if(VAR1) begin VAR2=VAR14; end {VAR7,VAR5,VAR10}=3'b100; {VAR13,VAR4,VAR11}=3'b100; end VAR8: begin if(VAR1) begin VAR2=VAR14; end {VAR7,VAR5,VAR10}=3'b010; {VAR13,VAR4,VAR11}=3'b010; end VAR3: begin if(VAR1) begin VAR2=VAR14; end {VAR7,VAR5,VAR10}=3'b001; {VAR13,VAR4,VAR11}=3'b001; end endcase end always@(posedge clk) begin if(rst) state<=2'b00; end else state<=VAR2; end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand4b/sky130_fd_sc_ms__nand4b_1.v
2,311
module MODULE2 ( VAR2 , VAR3 , VAR1 , VAR6 , VAR5 , VAR8, VAR4, VAR9 , VAR7 ); output VAR2 ; input VAR3 ; input VAR1 ; input VAR6 ; input VAR5 ; input VAR8; input VAR4; input VAR9 ; input VAR7 ; VAR10 VAR11 ( .VAR2(VAR2), .VAR3(VAR3), .VAR1(VAR1), .VAR6(VAR6), .VAR5(VAR5), .VAR8(VAR8), .VAR4(VAR4), .VAR9(VAR9), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR2 , VAR3, VAR1 , VAR6 , VAR5 ); output VAR2 ; input VAR3; input VAR1 ; input VAR6 ; input VAR5 ; supply1 VAR8; supply0 VAR4; supply1 VAR9 ; supply0 VAR7 ; VAR10 VAR11 ( .VAR2(VAR2), .VAR3(VAR3), .VAR1(VAR1), .VAR6(VAR6), .VAR5(VAR5) ); endmodule
apache-2.0
AngelTerrones/MUSB
Hardware/uart/uart_min.v
5,444
module MODULE1 #( parameter VAR6 = 8, parameter VAR1 = 100.0 )( input clk, input rst, input write, input [7:0] VAR36, input read, output [7:0] VAR11, output VAR15, output [VAR6:0] VAR30, output [VAR6:0] VAR22, output VAR32, output VAR9, output VAR26, input VAR35, output VAR2 ); wire VAR24; wire VAR40; wire [7:0] VAR31; wire VAR28; wire VAR23; reg VAR25 = 0; reg VAR33 = 0; wire VAR17; wire [7:0] VAR21; assign VAR9 = ~VAR23; assign VAR15 = ~VAR23; always @(posedge clk) begin if (rst) begin VAR25 <= 0; VAR33 <= 0; end else begin if (~VAR17 & VAR32 & VAR24) begin VAR25 <= 1; VAR33 <= 1; end else begin VAR25 <= 0; VAR33 <= 0; end end end VAR38 #( .VAR1 (VAR1)) VAR7 ( .clk ( clk ), .VAR24 ( VAR24 ), .VAR40 ( VAR40 ) ); VAR2 VAR5 ( .clk ( clk ), .rst ( rst ), .VAR24 ( VAR24 ), .VAR8 ( VAR21 ), .VAR34 ( VAR33 ), .ready ( VAR32 ), .VAR20 ( VAR2 ) ); VAR35 VAR29 ( .clk ( clk ), .rst ( rst ), .VAR10 ( VAR35 ), .VAR40 ( VAR40 ), .VAR37 ( VAR31 ), .ready ( VAR28 ) ); VAR27 #( .VAR4 (8), .VAR39 (VAR6)) VAR12 ( .clk ( clk ), .rst ( rst ), .VAR3 ( write ), .VAR19 ( VAR25 ), .VAR36 ( VAR36 ), .VAR11 ( VAR21 ), .VAR13 ( VAR22 ), .VAR18 ( VAR17 ), .VAR14 ( ) ); VAR27 #( .VAR4 (8), .VAR39 (VAR6)) VAR16 ( .clk ( clk ), .rst ( rst ), .VAR3 ( VAR28 ), .VAR19 ( read ), .VAR36 ( VAR31 ), .VAR11 ( VAR11 ), .VAR13 ( VAR30 ), .VAR18 ( VAR23 ), .VAR14 ( VAR26 ) ); endmodule
mit
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_31.v
21,266
module MODULE5 ( clk, reset, VAR12, VAR134, VAR115, VAR151, VAR187 ); parameter VAR174 = 18; parameter VAR49 = 31; parameter VAR60 = 16; localparam VAR82 = 32; input clk; input reset; input VAR12; input VAR134; input [VAR174-1:0] VAR115; output VAR151; output [VAR174-1:0] VAR187; localparam VAR102 = 18; localparam VAR162 = 36; localparam VAR13 = 17; localparam VAR176 = 31; reg [VAR174-1:0] VAR64; reg [VAR174-1:0] VAR85; reg [VAR174-1:0] VAR131; reg [VAR174-1:0] VAR34; reg [VAR174-1:0] VAR81; reg [VAR174-1:0] VAR182; reg [VAR174-1:0] VAR129; reg [VAR174-1:0] VAR136; reg [VAR174-1:0] VAR83; reg [VAR174-1:0] VAR95; reg [VAR174-1:0] VAR161; reg [VAR174-1:0] VAR16; reg [VAR174-1:0] VAR47; reg [VAR174-1:0] VAR147; reg [VAR174-1:0] VAR43; reg [VAR174-1:0] VAR108; always@(posedge clk) begin VAR64 <= 18'd88; VAR85 <= 18'd0; VAR131 <= -18'd97; VAR34 <= -18'd197; VAR81 <= -18'd294; VAR182 <= -18'd380; VAR129 <= -18'd447; VAR136 <= -18'd490; VAR83 <= -18'd504; VAR95 <= -18'd481; VAR161 <= -18'd420; VAR16 <= -18'd319; VAR47 <= -18'd178; VAR147 <= 18'd0; VAR43 <= 18'd212; VAR108 <= 18'd451; end reg [VAR82-1:0] VAR1; always@(posedge clk or posedge reset) begin if(reset) begin VAR1 <= 0; end else begin if(VAR12) begin VAR1 <= {VAR1[VAR82-2:0], VAR134}; end else begin VAR1 <= VAR1; end end end wire [VAR174-1:0] VAR117; wire [VAR174-1:0] VAR146; wire [VAR174-1:0] VAR168; wire [VAR174-1:0] VAR30; wire [VAR174-1:0] VAR7; wire [VAR174-1:0] VAR155; wire [VAR174-1:0] VAR190; wire [VAR174-1:0] VAR45; wire [VAR174-1:0] VAR71; wire [VAR174-1:0] VAR76; wire [VAR174-1:0] VAR67; wire [VAR174-1:0] VAR138; wire [VAR174-1:0] VAR14; wire [VAR174-1:0] VAR170; wire [VAR174-1:0] VAR137; wire [VAR174-1:0] VAR100; wire [VAR174-1:0] VAR171; wire [VAR174-1:0] VAR103; wire [VAR174-1:0] VAR164; wire [VAR174-1:0] VAR63; wire [VAR174-1:0] VAR28; wire [VAR174-1:0] VAR84; wire [VAR174-1:0] VAR29; wire [VAR174-1:0] VAR159; wire [VAR174-1:0] VAR20; wire [VAR174-1:0] VAR19; wire [VAR174-1:0] VAR107; wire [VAR174-1:0] VAR78; wire [VAR174-1:0] VAR36; wire [VAR174-1:0] VAR118; wire [VAR174-1:0] VAR80; MODULE1 MODULE12( .clk(clk), .VAR12(VAR12), .VAR154(VAR115), .VAR181(VAR117), .VAR149(VAR146), .VAR188(VAR168), .VAR94(VAR30), .VAR175(VAR7), .VAR40(VAR155), .VAR186(VAR190), .VAR88(VAR45), .VAR97(VAR71), .VAR89(VAR76), .VAR150(VAR67), .VAR179(VAR138), .VAR51(VAR14), .VAR38(VAR170), .VAR132(VAR137), .VAR191(VAR100), .VAR35(VAR171), .VAR172(VAR103), .VAR69(VAR164), .VAR148(VAR63), .VAR70(VAR28), .VAR163(VAR84), .VAR116(VAR29), .VAR173(VAR159), .VAR52(VAR20), .VAR104(VAR19), .VAR122(VAR107), .VAR169(VAR78), .VAR8(VAR36), .VAR110(VAR118), .VAR112(VAR80), .reset(reset) ); wire [VAR174-1:0] VAR4; wire [VAR174-1:0] VAR121; wire [VAR174-1:0] VAR144; wire [VAR174-1:0] VAR98; wire [VAR174-1:0] VAR62; wire [VAR174-1:0] VAR165; wire [VAR174-1:0] VAR99; wire [VAR174-1:0] VAR42; wire [VAR174-1:0] VAR90; wire [VAR174-1:0] VAR72; wire [VAR174-1:0] VAR130; wire [VAR174-1:0] VAR124; wire [VAR174-1:0] VAR59; wire [VAR174-1:0] VAR177; wire [VAR174-1:0] VAR141; wire [VAR174-1:0] VAR73; MODULE2 VAR26( .VAR109 (VAR117), .VAR6 (VAR80), .VAR55(VAR4) ); MODULE2 VAR57( .VAR109 (VAR146), .VAR6 (VAR118), .VAR55(VAR121) ); MODULE2 VAR105( .VAR109 (VAR168), .VAR6 (VAR36), .VAR55(VAR144) ); MODULE2 VAR68( .VAR109 (VAR30), .VAR6 (VAR78), .VAR55(VAR98) ); MODULE2 VAR167( .VAR109 (VAR7), .VAR6 (VAR107), .VAR55(VAR62) ); MODULE2 VAR185( .VAR109 (VAR155), .VAR6 (VAR19), .VAR55(VAR165) ); MODULE2 VAR31( .VAR109 (VAR190), .VAR6 (VAR20), .VAR55(VAR99) ); MODULE2 VAR50( .VAR109 (VAR45), .VAR6 (VAR159), .VAR55(VAR42) ); MODULE2 VAR143( .VAR109 (VAR71), .VAR6 (VAR29), .VAR55(VAR90) ); MODULE2 VAR23( .VAR109 (VAR76), .VAR6 (VAR84), .VAR55(VAR72) ); MODULE2 VAR17( .VAR109 (VAR67), .VAR6 (VAR28), .VAR55(VAR130) ); MODULE2 VAR66( .VAR109 (VAR138), .VAR6 (VAR63), .VAR55(VAR124) ); MODULE2 VAR39( .VAR109 (VAR14), .VAR6 (VAR164), .VAR55(VAR59) ); MODULE2 VAR22( .VAR109 (VAR170), .VAR6 (VAR103), .VAR55(VAR177) ); MODULE2 VAR133( .VAR109 (VAR137), .VAR6 (VAR171), .VAR55(VAR141) ); MODULE4 VAR27( .VAR109 (VAR100), .VAR55(VAR73) ); wire [VAR174-1:0] VAR125; wire [VAR174-1:0] VAR25; wire [VAR174-1:0] VAR158; wire [VAR174-1:0] VAR120; wire [VAR174-1:0] VAR123; wire [VAR174-1:0] VAR135; wire [VAR174-1:0] VAR91; wire [VAR174-1:0] VAR44; wire [VAR174-1:0] VAR77; wire [VAR174-1:0] VAR24; wire [VAR174-1:0] VAR157; wire [VAR174-1:0] VAR3; wire [VAR174-1:0] VAR74; wire [VAR174-1:0] VAR46; wire [VAR174-1:0] VAR54; wire [VAR174-1:0] VAR79; MODULE3 VAR128( .VAR109 (VAR4), .VAR6 (VAR64), .VAR55(VAR125) ); MODULE3 VAR32( .VAR109 (VAR121), .VAR6 (VAR85), .VAR55(VAR25) ); MODULE3 VAR56( .VAR109 (VAR144), .VAR6 (VAR131), .VAR55(VAR158) ); MODULE3 VAR180( .VAR109 (VAR98), .VAR6 (VAR34), .VAR55(VAR120) ); MODULE3 VAR92( .VAR109 (VAR62), .VAR6 (VAR81), .VAR55(VAR123) ); MODULE3 VAR140( .VAR109 (VAR165), .VAR6 (VAR182), .VAR55(VAR135) ); MODULE3 VAR114( .VAR109 (VAR99), .VAR6 (VAR129), .VAR55(VAR91) ); MODULE3 VAR37( .VAR109 (VAR42), .VAR6 (VAR136), .VAR55(VAR44) ); MODULE3 VAR33( .VAR109 (VAR90), .VAR6 (VAR83), .VAR55(VAR77) ); MODULE3 VAR152( .VAR109 (VAR72), .VAR6 (VAR95), .VAR55(VAR24) ); MODULE3 VAR9( .VAR109 (VAR130), .VAR6 (VAR161), .VAR55(VAR157) ); MODULE3 VAR65( .VAR109 (VAR124), .VAR6 (VAR16), .VAR55(VAR3) ); MODULE3 VAR101( .VAR109 (VAR59), .VAR6 (VAR47), .VAR55(VAR74) ); MODULE3 VAR86( .VAR109 (VAR177), .VAR6 (VAR147), .VAR55(VAR46) ); MODULE3 VAR126( .VAR109 (VAR141), .VAR6 (VAR43), .VAR55(VAR54) ); MODULE3 VAR61( .VAR109 (VAR73), .VAR6 (VAR108), .VAR55(VAR79) ); wire [VAR174-1:0] VAR166; wire [VAR174-1:0] VAR119; wire [VAR174-1:0] VAR75; wire [VAR174-1:0] VAR142; wire [VAR174-1:0] VAR2; wire [VAR174-1:0] VAR15; wire [VAR174-1:0] VAR53; wire [VAR174-1:0] VAR5; MODULE2 VAR192( .VAR109 (VAR125), .VAR6 (VAR25), .VAR55(VAR166) ); MODULE2 VAR58( .VAR109 (VAR158), .VAR6 (VAR120), .VAR55(VAR119) ); MODULE2 VAR96( .VAR109 (VAR123), .VAR6 (VAR135), .VAR55(VAR75) ); MODULE2 VAR93( .VAR109 (VAR91), .VAR6 (VAR44), .VAR55(VAR142) ); MODULE2 VAR145( .VAR109 (VAR77), .VAR6 (VAR24), .VAR55(VAR2) ); MODULE2 VAR48( .VAR109 (VAR157), .VAR6 (VAR3), .VAR55(VAR15) ); MODULE2 VAR111( .VAR109 (VAR74), .VAR6 (VAR46), .VAR55(VAR53) ); MODULE2 VAR184( .VAR109 (VAR54), .VAR6 (VAR79), .VAR55(VAR5) ); wire [VAR174-1:0] VAR18; wire [VAR174-1:0] VAR41; wire [VAR174-1:0] VAR189; wire [VAR174-1:0] VAR178; MODULE2 VAR160( .VAR109 (VAR166), .VAR6 (VAR119), .VAR55(VAR18) ); MODULE2 VAR156( .VAR109 (VAR75), .VAR6 (VAR142), .VAR55(VAR41) ); MODULE2 VAR153( .VAR109 (VAR2), .VAR6 (VAR15), .VAR55(VAR189) ); MODULE2 VAR183( .VAR109 (VAR53), .VAR6 (VAR5), .VAR55(VAR178) ); wire [VAR174-1:0] VAR106; wire [VAR174-1:0] VAR127; MODULE2 VAR139( .VAR109 (VAR18), .VAR6 (VAR41), .VAR55(VAR106) ); MODULE2 VAR11( .VAR109 (VAR189), .VAR6 (VAR178), .VAR55(VAR127) ); wire [VAR174-1:0] VAR21; MODULE2 VAR10( .VAR109 (VAR106), .VAR6 (VAR127), .VAR55(VAR21) ); reg [17:0] VAR187; always @(posedge clk) begin if(VAR12) begin VAR187 <= VAR21; end end assign VAR151 = VAR1[VAR82-1]; endmodule module MODULE1 ( clk, VAR12, VAR154, VAR181, VAR149, VAR188, VAR94, VAR175, VAR40, VAR186, VAR88, VAR97, VAR89, VAR150, VAR179, VAR51, VAR38, VAR132, VAR191, VAR35, VAR172, VAR69, VAR148, VAR70, VAR163, VAR116, VAR173, VAR52, VAR104, VAR122, VAR169, VAR8, VAR110, VAR112, reset); parameter VAR113 = 1; input clk; input VAR12; input [VAR113-1:0] VAR154; output [VAR113-1:0] VAR181; output [VAR113-1:0] VAR149; output [VAR113-1:0] VAR188; output [VAR113-1:0] VAR94; output [VAR113-1:0] VAR175; output [VAR113-1:0] VAR40; output [VAR113-1:0] VAR186; output [VAR113-1:0] VAR88; output [VAR113-1:0] VAR97; output [VAR113-1:0] VAR89; output [VAR113-1:0] VAR150; output [VAR113-1:0] VAR179; output [VAR113-1:0] VAR51; output [VAR113-1:0] VAR38; output [VAR113-1:0] VAR132; output [VAR113-1:0] VAR191; output [VAR113-1:0] VAR35; output [VAR113-1:0] VAR172; output [VAR113-1:0] VAR69; output [VAR113-1:0] VAR148; output [VAR113-1:0] VAR70; output [VAR113-1:0] VAR163; output [VAR113-1:0] VAR116; output [VAR113-1:0] VAR173; output [VAR113-1:0] VAR52; output [VAR113-1:0] VAR104; output [VAR113-1:0] VAR122; output [VAR113-1:0] VAR169; output [VAR113-1:0] VAR8; output [VAR113-1:0] VAR110; output [VAR113-1:0] VAR112; reg [VAR113-1:0] VAR181; reg [VAR113-1:0] VAR149; reg [VAR113-1:0] VAR188; reg [VAR113-1:0] VAR94; reg [VAR113-1:0] VAR175; reg [VAR113-1:0] VAR40; reg [VAR113-1:0] VAR186; reg [VAR113-1:0] VAR88; reg [VAR113-1:0] VAR97; reg [VAR113-1:0] VAR89; reg [VAR113-1:0] VAR150; reg [VAR113-1:0] VAR179; reg [VAR113-1:0] VAR51; reg [VAR113-1:0] VAR38; reg [VAR113-1:0] VAR132; reg [VAR113-1:0] VAR191; reg [VAR113-1:0] VAR35; reg [VAR113-1:0] VAR172; reg [VAR113-1:0] VAR69; reg [VAR113-1:0] VAR148; reg [VAR113-1:0] VAR70; reg [VAR113-1:0] VAR163; reg [VAR113-1:0] VAR116; reg [VAR113-1:0] VAR173; reg [VAR113-1:0] VAR52; reg [VAR113-1:0] VAR104; reg [VAR113-1:0] VAR122; reg [VAR113-1:0] VAR169; reg [VAR113-1:0] VAR8; reg [VAR113-1:0] VAR110; reg [VAR113-1:0] VAR112; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR181 <= 0; VAR149 <= 0; VAR188 <= 0; VAR94 <= 0; VAR175 <= 0; VAR40 <= 0; VAR186 <= 0; VAR88 <= 0; VAR97 <= 0; VAR89 <= 0; VAR150 <= 0; VAR179 <= 0; VAR51 <= 0; VAR38 <= 0; VAR132 <= 0; VAR191 <= 0; VAR35 <= 0; VAR172 <= 0; VAR69 <= 0; VAR148 <= 0; VAR70 <= 0; VAR163 <= 0; VAR116 <= 0; VAR173 <= 0; VAR52 <= 0; VAR104 <= 0; VAR122 <= 0; VAR169 <= 0; VAR8 <= 0; VAR110 <= 0; VAR112 <= 0; end else begin if(VAR12) begin VAR181 <= VAR154; VAR149 <= VAR181; VAR188 <= VAR149; VAR94 <= VAR188; VAR175 <= VAR94; VAR40 <= VAR175; VAR186 <= VAR40; VAR88 <= VAR186; VAR97 <= VAR88; VAR89 <= VAR97; VAR150 <= VAR89; VAR179 <= VAR150; VAR51 <= VAR179; VAR38 <= VAR51; VAR132 <= VAR38; VAR191 <= VAR132; VAR35 <= VAR191; VAR172 <= VAR35; VAR69 <= VAR172; VAR148 <= VAR69; VAR70 <= VAR148; VAR163 <= VAR70; VAR116 <= VAR163; VAR173 <= VAR116; VAR52 <= VAR173; VAR104 <= VAR52; VAR122 <= VAR104; VAR169 <= VAR122; VAR8 <= VAR169; VAR110 <= VAR8; VAR112 <= VAR110; end end end endmodule module MODULE2 ( VAR109, VAR6, VAR55); input clk; input VAR12; input [17:0] VAR109; input [17:0] VAR6; output [17:0] VAR55; assign VAR55 = VAR109 + VAR6; endmodule module MODULE3 ( VAR109, VAR6, VAR55); input clk; input VAR12; input [17:0] VAR109; input [17:0] VAR6; output [17:0] VAR55; assign VAR55 = VAR109 * VAR6; endmodule module MODULE4 ( VAR109, VAR55); input clk; input VAR12; input [17:0] VAR109; output [17:0] VAR55; assign VAR55 = VAR109; endmodule
mit
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_axic_reg_srl_fifo.v
9,777
module MODULE1 # ( parameter VAR18 = "none", parameter integer VAR33 = 1, parameter integer VAR15 = 33, parameter integer VAR36 = 2, parameter VAR1 = 1 ) ( input wire VAR3, input wire VAR6, input wire [VAR33-1:0] VAR11, input wire VAR21, output wire VAR44, output wire [VAR33-1:0] VAR38, output wire VAR37, input wire VAR24 ); localparam VAR26 = (VAR36>1) ? VAR36 : 2; localparam VAR23 = {VAR26{1'b1}}; localparam VAR16 = {VAR26{1'b0}}; localparam VAR19 = {VAR23, 1'b0}; localparam VAR40 = VAR19[0+:VAR26]; localparam VAR7 = (((VAR33+1)%VAR15) == 0) ? (VAR33+1)/VAR15 : ((VAR33+1)/VAR15)+1; reg [VAR7*VAR26-1:0] VAR34; wire [VAR7*VAR26-1:0] VAR31; genvar VAR4; genvar VAR43; reg VAR9; reg VAR35; wire VAR30; wire VAR5; reg VAR41; reg [VAR33-1:0] VAR39; wire [VAR33-1:0] VAR20; reg VAR42; wire VAR27; reg [1:0] state; localparam [1:0] VAR17 = 2'b10, VAR2 = 2'b11, VAR10 = 2'b01; assign VAR37 = VAR9; assign VAR44 = VAR1 ? VAR35 : 1'b1; assign VAR30 = (VAR21 & (VAR1 ? VAR35 : 1'b1) & (state == VAR10)) | (~VAR24 & VAR21 & (state == VAR2)); assign VAR5 = VAR24 & (state == VAR10); assign VAR38 = VAR39; always @(posedge VAR3) begin VAR41 <= VAR6; end always @(posedge VAR3) begin if (VAR42) if (VAR27) VAR39 <= VAR20; end else VAR39 <= VAR11; end always @ * begin if ( ((state == VAR17) && (VAR21 == 1)) || ((state == VAR2) && (VAR21 == 1) && (VAR24 == 1)) || ((state == VAR10) && (VAR24 == 1))) VAR42 = 1'b1; end else VAR42 = 1'b0; end assign VAR27 = (state == VAR10); always @(posedge VAR3) begin if (VAR41) begin state <= VAR17; VAR9 <= 1'b0; end else begin case (state) VAR17: begin if (VAR21) begin state <= VAR2; VAR9 <= 1'b1; end end VAR2: begin if (VAR24 & ~VAR21) begin state <= VAR17; VAR9 <= 1'b0; end else if (~VAR24 & VAR21) begin state <= VAR10; VAR9 <= 1'b1; end end VAR10: begin if ((VAR34[VAR26*VAR7-1:VAR26*(VAR7-1)] == VAR16) && VAR5 && ~VAR30) begin state <= VAR2; VAR9 <= 1'b1; end end endcase end end generate for (VAR4=0;VAR4<VAR7;VAR4=VAR4+1) begin : VAR22 assign VAR31[VAR26*(VAR4+1)-1:VAR26*VAR4] = VAR30 ? VAR34[VAR26*(VAR4+1)-1:VAR26*VAR4] + 1 : VAR34[VAR26*(VAR4+1)-1:VAR26*VAR4] - 1; always @(posedge VAR3) begin if (VAR6) VAR34[VAR26*(VAR4+1)-1:VAR26*VAR4] <= {VAR26{1'b1}}; end else if (VAR30 ^ VAR5) VAR34[VAR26*(VAR4+1)-1:VAR26*VAR4] <= VAR31[VAR26*(VAR4+1)-1:VAR26*VAR4]; end end always @(posedge VAR3) begin if (VAR6) begin VAR35 <= 1'b0; end else if (VAR41) begin VAR35 <= 1'b1; end else if (VAR1 && ((VAR34[VAR26*VAR7-1:VAR26*(VAR7-1)] == VAR40) && VAR30 && ~VAR5)) begin VAR35 <= 1'b0; end else if (VAR1 && VAR5) begin VAR35 <= 1'b1; end end for (VAR4=0;VAR4<(VAR33/VAR15)+((VAR33%VAR15)>0);VAR4=VAR4+1) begin : VAR25 for (VAR43=0;((VAR43<VAR15)&&(VAR4*VAR15+VAR43<VAR33));VAR43=VAR43+1) begin : VAR22 VAR13 # ( .VAR18 (VAR18), .VAR45 (VAR26) ) VAR29 ( .VAR32 (VAR3), .VAR28 (VAR34[VAR26*(VAR4+1)-1: VAR26*(VAR4)]), .VAR14 (VAR30), .VAR8 (VAR11[VAR4*VAR15+VAR43]), .VAR12 (VAR20[VAR4*VAR15+VAR43]) ); end end endgenerate endmodule
gpl-3.0
lsnow/mips32
gpr.v
1,074
module MODULE1(clk, VAR7, VAR6, VAR2, VAR8, VAR4, VAR1, VAR5 ); input clk; input VAR7; input [31:0] VAR6; input [4:0] VAR2, VAR8; input [4:0] VAR4; output [31:0] VAR1, VAR5; reg [31:0] VAR3 [31:0]; always @(posedge clk) begin if(VAR7 && VAR4 != 5'h00) VAR3[VAR4] <= VAR6; end assign VAR1 = (VAR2 == 5'b00) ? 32'b0 : VAR3[VAR2]; assign VAR5 = (VAR8 == 5'b00) ? 32'b0 : VAR3[VAR8]; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlxbp/sky130_fd_sc_ms__dlxbp_1.v
2,262
module MODULE1 ( VAR9 , VAR1 , VAR10 , VAR3, VAR8, VAR2, VAR7 , VAR6 ); output VAR9 ; output VAR1 ; input VAR10 ; input VAR3; input VAR8; input VAR2; input VAR7 ; input VAR6 ; VAR4 VAR5 ( .VAR9(VAR9), .VAR1(VAR1), .VAR10(VAR10), .VAR3(VAR3), .VAR8(VAR8), .VAR2(VAR2), .VAR7(VAR7), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR9 , VAR1 , VAR10 , VAR3 ); output VAR9 ; output VAR1 ; input VAR10 ; input VAR3; supply1 VAR8; supply0 VAR2; supply1 VAR7 ; supply0 VAR6 ; VAR4 VAR5 ( .VAR9(VAR9), .VAR1(VAR1), .VAR10(VAR10), .VAR3(VAR3) ); endmodule
apache-2.0