repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeDE1SoC/CS_bak/synthesis/submodules/hps_sdram_p0_reset_sync.v
1,927
module MODULE1( VAR4, clk, VAR1 ); parameter VAR7 = 4; parameter VAR5 = 1; input VAR4; input clk; output [VAR5-1:0] VAR1; reg [VAR7+VAR5-2:0] VAR6 ; generate genvar VAR2; for (VAR2=0; VAR2<VAR7+VAR5-1; VAR2=VAR2+1) begin: VAR3 always @(posedge clk or negedge VAR4) begin if (~VAR4) VAR6[VAR2] <= 1'b0; end else begin if (VAR2==0) VAR6[VAR2] <= 1'b1; end else if (VAR2 < VAR7) VAR6[VAR2] <= VAR6[VAR2-1]; end else VAR6[VAR2] <= VAR6[VAR7-2]; end end end endgenerate assign VAR1 = VAR6[VAR7+VAR5-2:VAR7-1]; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlybuf4s25kapwr/sky130_fd_sc_lp__dlybuf4s25kapwr.symbol.v
1,410
module MODULE1 ( input VAR6, output VAR2 ); supply1 VAR7 ; supply0 VAR5 ; supply1 VAR3; supply1 VAR1 ; supply0 VAR4 ; endmodule
apache-2.0
davidkoltak/tawas-core
ip/rcn/rtl/rcn_uart.v
2,468
module MODULE1 ( input clk, input VAR13, input rst, input [68:0] VAR12, output [68:0] VAR29, output VAR34, output VAR38, output VAR19, input VAR37 ); parameter VAR16 = 0; parameter VAR2 = 6'd62; wire VAR3; wire wr; wire [3:0] VAR30; wire [23:0] addr; wire [31:0] VAR4; wire [31:0] VAR20; VAR18 #(.VAR16(VAR16), .VAR9(24'hFFFFFC)) VAR5 ( .rst(rst), .clk(clk), .VAR12(VAR12), .VAR29(VAR29), .VAR3(VAR3), .wr(wr), .VAR30(VAR30), .addr(addr), .VAR4(VAR4), .VAR20(VAR20) ); wire VAR6; wire VAR21; wire [7:0] VAR10; wire VAR32; wire [7:0] VAR1; VAR14 #(.VAR2(VAR2)) VAR14 ( .VAR13(VAR13), .rst(rst), .VAR6(VAR6), .VAR21(VAR21), .VAR10(VAR10), .VAR32(VAR32), .VAR1(VAR1), .VAR15(), .VAR19(VAR19), .VAR37(VAR37) ); wire VAR40; wire VAR39; assign VAR34 = !VAR40; assign VAR21 = !VAR39; VAR25 VAR28 ( .VAR24(rst), .VAR27(clk), .VAR26(VAR13), .din(VAR4[15:8]), .VAR17(VAR3 && wr && VAR30[1]), .VAR7(VAR40), .dout(VAR10), .VAR33(!VAR6), .VAR36(VAR39) ); wire [7:0] VAR11; wire VAR8; wire VAR22; reg VAR35; reg VAR23; assign VAR20 = {16'd0, VAR11, 4'd0, VAR8, VAR23, VAR35, VAR40}; assign VAR38 = !VAR8; VAR25 VAR31 ( .VAR24(rst), .VAR27(VAR13), .VAR26(clk), .din(VAR1), .VAR17(VAR32), .VAR7(VAR22), .dout(VAR11), .VAR33(VAR3 && !wr && VAR30[1]), .VAR36(VAR8) ); always @ (posedge clk) begin VAR23 <= VAR22; VAR35 <= VAR39; end endmodule
mit
julioamerico/prj_crc_ip
src/SoC/component/Actel/DirectCore/CoreAHBLite/5.0.100/rtl/vlog/core/coreahblite_defaultslavesm.v
2,102
module MODULE1 ( input VAR1, input VAR3, input VAR5, output reg VAR9, output reg VAR2 ); localparam VAR4 = 1'b0; localparam VAR7 = 1'b1; reg VAR8; reg VAR6; always @ ( * ) begin VAR9 = 1'b1; VAR2 = 1'b0; case ( VAR6 ) VAR4: begin if ( VAR5 ) begin VAR9 = 1'b0; VAR2 = 1'b1; VAR8 = VAR7; end else VAR8 = VAR4; end VAR7: begin VAR2 = 1'b1; VAR8 = VAR4; end default: VAR8 = VAR4; endcase end always @ ( posedge VAR1 or negedge VAR3 ) begin if ( !VAR3 ) VAR6 <= VAR4; end else VAR6 <= VAR8; end endmodule
gpl-3.0
hitomi2500/wasca
fpga_firmware/wasca/synthesis/submodules/altera_avalon_st_pipeline_base.v
4,573
module MODULE1 ( clk, reset, VAR15, VAR5, VAR9, VAR10, VAR11, VAR7 ); parameter VAR6 = 1; parameter VAR8 = 8; parameter VAR2 = 1; localparam VAR1 = VAR6 * VAR8; input clk; input reset; output VAR15; input VAR5; input [VAR1-1:0] VAR9; input VAR10; output VAR11; output [VAR1-1:0] VAR7; reg VAR14; reg VAR4; reg [VAR1-1:0] VAR13; reg [VAR1-1:0] VAR16; assign VAR11 = VAR4; assign VAR7 = VAR16; generate if (VAR2 == 1) begin : VAR12 assign VAR15 = !VAR14; always @(posedge clk, posedge reset) begin if (reset) begin VAR13 <= {VAR1{1'b0}}; VAR16 <= {VAR1{1'b0}}; end else begin if (~VAR14) VAR13 <= VAR9; if (~VAR4 || (VAR10 && VAR11)) begin if (VAR14) VAR16 <= VAR13; end else VAR16 <= VAR9; end end end always @(posedge clk or posedge reset) begin if (reset) begin VAR14 <= 1'b0; VAR4 <= 1'b0; end else begin if (~VAR14 & ~VAR4) begin if (VAR5) begin VAR4 <= 1'b1; end end if (VAR4 & ~VAR14) begin if (VAR5 & ~VAR10) begin VAR14 <= 1'b1; end if (~VAR5 & VAR10) begin VAR4 <= 1'b0; end end if (VAR4 & VAR14) begin if (VAR10) begin VAR14 <= 1'b0; end end end end end else begin : VAR3 assign VAR15 = (~VAR4) | VAR10; always @(posedge clk or posedge reset) begin if (reset) begin VAR16 <= 'b0; VAR4 <= 1'b0; end else begin if (VAR15) begin VAR16 <= VAR9; VAR4 <= VAR5; end end end end endgenerate endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/oai221/gf180mcu_fd_sc_mcu9t5v0__oai221_2.behavioral.pp.v
3,372
module MODULE1( VAR3, VAR7, VAR10, VAR1, VAR4, VAR11, VAR2, VAR9 ); input VAR4, VAR11, VAR1, VAR10, VAR7; inout VAR2, VAR9; output VAR3; VAR5 VAR8(.VAR3(VAR3),.VAR7(VAR7),.VAR10(VAR10),.VAR1(VAR1),.VAR4(VAR4),.VAR11(VAR11),.VAR2(VAR2),.VAR9(VAR9)); VAR5 VAR6(.VAR3(VAR3),.VAR7(VAR7),.VAR10(VAR10),.VAR1(VAR1),.VAR4(VAR4),.VAR11(VAR11),.VAR2(VAR2),.VAR9(VAR9));
apache-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/trunk/systems/atlys/rtl/verilog/dvi_gen/synchro.v
3,798
module MODULE1 parameter VAR4 = "VAR6" ) ( input wire async, input wire clk, output wire sync ); wire VAR8; generate if (VAR4 == "VAR9") begin : VAR5 VAR3 VAR14 (.VAR1(VAR8),.VAR2(async),.VAR11(clk),.VAR13(1'b0)); VAR3 VAR7 (.VAR1(sync),.VAR2(VAR8),.VAR11(clk),.VAR13(1'b0)); end else begin : VAR10 VAR12 VAR14 (.VAR1(VAR8),.VAR2(async),.VAR11(clk),.VAR15(1'b0)); VAR12 VAR7 (.VAR1(sync),.VAR2(VAR8),.VAR11(clk),.VAR15(1'b0)); end endgenerate endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand2/sky130_fd_sc_ls__nand2.symbol.v
1,266
module MODULE1 ( input VAR5, input VAR2, output VAR1 ); supply1 VAR3; supply0 VAR4; supply1 VAR6 ; supply0 VAR7 ; endmodule
apache-2.0
queq/just-stuff
pov/TopFixed/Convierte.v
1,889
module MODULE1( input [3:0]VAR2, output reg [6:0]VAR1 ); always @(VAR2)begin case(VAR2) 7'b0000000: VAR1=7'b0000001; 7'b0000001: VAR1=7'b1001111; 7'b0000010: VAR1=7'b0010010; 7'b0000011: VAR1=7'b0000110; 7'b0000100: VAR1=7'b1001100; 7'b0000101: VAR1=7'b0100100; 7'b0000110: VAR1=7'b0100000; 7'b0000111: VAR1=7'b0001111; 7'b0001000: VAR1=7'b0000000; 7'b0001001: VAR1=7'b0000100; 7'b0001010: VAR1=7'b0001000; 7'b0001011: VAR1=7'b1100000; 7'b0001100: VAR1=7'b0110001; 7'b0001101: VAR1=7'b1000010; 7'b0001110: VAR1=7'b0110000; 7'b0001111: VAR1=7'b0111000; default : VAR1=7'b0000001; endcase end endmodule
mit
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
bin_Gray_Processing/ip/Gray_Processing/acl_snoop.v
11,116
module MODULE1 parameter VAR5=10, parameter VAR17=5, parameter VAR15=32, parameter VAR27=2**VAR17, parameter VAR10=6, parameter VAR22=0 ) ( input clk, input VAR21, input VAR46, input [VAR15-1:0] VAR14, input VAR1, input VAR42, input [VAR15-1:0] VAR13, input [VAR10-1:0] VAR12, input VAR9, input VAR4, output VAR24, output reg [VAR15-1:0] VAR44, output reg VAR30, input VAR35, output reg VAR36 ); localparam VAR37=VAR5-(VAR17-3); localparam VAR16=VAR15-(VAR5-VAR17+3); localparam VAR25 = 8192; localparam VAR26 = VAR25*2/(VAR15+VAR10); localparam VAR34 =VAR2(VAR26); wire [VAR15-1:0] VAR8; wire [VAR10-1:0] VAR31; wire VAR33; wire VAR18; reg VAR11; reg [VAR15-1:0] VAR23; reg [VAR15-1:0] VAR29; reg [VAR15-1:0] VAR43; reg [VAR15-1:0] VAR32; reg [VAR10-1:0] VAR41; reg VAR39; wire VAR19; reg [VAR15-1:0] VAR20; reg [VAR10-1:0] VAR38; reg VAR45; wire VAR6; wire [VAR16-1:0] VAR3; reg [VAR15-1:0] VAR28; reg VAR7; wire VAR40; always@(posedge clk) VAR23 <= VAR14; always@(posedge clk) VAR11 <= VAR1; begin begin begin begin begin begin begin begin begin end begin begin begin end begin begin begin begin end begin begin begin end begin
mit
bargei/NoC264
NoC264_3x3/mkInputVCQueues.v
19,033
module MODULE1(VAR19, VAR31, VAR6, VAR30, VAR43, VAR47, VAR16, VAR53, VAR68, VAR41); input VAR19; input VAR31; input VAR6; input [69 : 0] VAR30; input VAR43; input VAR47; input VAR16; output [69 : 0] VAR53; output [1 : 0] VAR68; output [1 : 0] VAR41; wire [69 : 0] VAR53; wire [1 : 0] VAR68, VAR41; wire [2 : 0] VAR18, VAR50; wire [1 : 0] VAR54, VAR44; reg [2 : 0] VAR25; wire [2 : 0] VAR64; wire VAR67; reg [2 : 0] VAR65; wire [2 : 0] VAR60; wire VAR69; reg VAR42; wire VAR49, VAR10; reg VAR58; wire VAR36, VAR22; reg VAR29; wire VAR9, VAR62; reg VAR23; wire VAR14, VAR40; reg [2 : 0] VAR28; wire [2 : 0] VAR57; wire VAR32; reg [2 : 0] VAR33; wire [2 : 0] VAR70; wire VAR4; wire [69 : 0] VAR48, VAR12; wire [3 : 0] VAR11, VAR63; wire VAR3; wire [2 : 0] VAR39, VAR52, VAR56, VAR1, VAR21, VAR20; wire VAR59, VAR55, VAR17, VAR15, VAR61, VAR26, VAR66, VAR8, VAR37, VAR38, VAR72, VAR71, VAR34, VAR5; assign VAR53 = VAR12 ; assign VAR68 = { VAR58, VAR42 } ; assign VAR41 = { VAR23, VAR29 } ; VAR7 #( 32'd70, 32'd4) VAR46(.VAR19(VAR19), .VAR2(VAR31), .VAR51(VAR11), .VAR24(VAR63), .VAR27(VAR48), .VAR45(VAR3), .VAR35(VAR12)); assign VAR44 = { 1'd1, VAR6 } ; assign VAR54 = { 1'd1, VAR47 } ; assign VAR50 = VAR52 + 3'd1 ; assign VAR18 = VAR39 + 3'd1 ; assign VAR64 = VAR1 ; assign VAR67 = VAR16 && VAR54[1] && !VAR54[0] ; assign VAR60 = VAR1 ; assign VAR69 = VAR16 && VAR54[1] && VAR54[0] ; assign VAR49 = VAR5 ; assign VAR10 = VAR5 || VAR16 && VAR54[1] && VAR37 ; assign VAR36 = VAR34 ; assign VAR22 = VAR34 || VAR16 && VAR54[1] && VAR8 ; assign VAR9 = !VAR43 || !VAR44[1] || !VAR26 ; assign VAR62 = VAR43 && VAR44[1] && VAR26 || VAR71 ; assign VAR14 = !VAR43 || !VAR44[1] || !VAR61 ; assign VAR40 = VAR43 && VAR44[1] && VAR61 || VAR72 ; assign VAR57 = VAR56 ; assign VAR32 = VAR43 && VAR44[1] && !VAR44[0] ; assign VAR70 = VAR56 ; assign VAR4 = VAR43 && VAR44[1] && VAR44[0] ; assign VAR11 = { VAR6, VAR52 } ; assign VAR63 = { VAR47, VAR39 } ; assign VAR48 = VAR30 ; assign VAR3 = VAR43 ; assign VAR59 = VAR47 ? !VAR58 : !VAR42 ; assign VAR55 = VAR6 ? !VAR23 : !VAR29 ; assign VAR17 = VAR1 == VAR20 ; assign VAR15 = VAR56 == VAR21 ; assign VAR61 = (!VAR16 || !VAR54[1] || VAR44[0] != VAR54[0]) && VAR15 && VAR44[0] ; assign VAR26 = (!VAR16 || !VAR54[1] || VAR44[0] != VAR54[0]) && VAR15 && !VAR44[0] ; assign VAR66 = (!VAR16 || !VAR54[1] || VAR44[0] != VAR54[0]) && VAR15 ; assign VAR8 = (!VAR43 || !VAR44[1] || VAR54[0] != VAR44[0]) && VAR17 && VAR54[0] ; assign VAR37 = (!VAR43 || !VAR44[1] || VAR54[0] != VAR44[0]) && VAR17 && !VAR54[0] ; assign VAR38 = (!VAR43 || !VAR44[1] || VAR54[0] != VAR44[0]) && VAR17 ; assign VAR39 = VAR47 ? VAR65 : VAR25 ; assign VAR52 = VAR6 ? VAR33 : VAR28 ; assign VAR72 = VAR16 && VAR54[1] && (!VAR43 || !VAR44[1] || VAR54[0] != VAR44[0]) && VAR54[0] ; assign VAR71 = VAR16 && VAR54[1] && (!VAR43 || !VAR44[1] || VAR54[0] != VAR44[0]) && !VAR54[0] ; assign VAR34 = VAR43 && VAR44[1] && (!VAR16 || !VAR54[1] || VAR44[0] != VAR54[0]) && VAR44[0] ; assign VAR5 = VAR43 && VAR44[1] && (!VAR16 || !VAR54[1] || VAR44[0] != VAR54[0]) && !VAR44[0] ; assign VAR56 = VAR43 ? VAR50 : 3'd0 ; assign VAR1 = VAR16 ? VAR18 : 3'd0 ; assign VAR21 = VAR44[0] ? VAR65 : VAR25 ; assign VAR20 = VAR54[0] ? VAR33 : VAR28 ; always@(posedge VAR19) begin if (!VAR31) begin VAR25 <= VAR13 3'd0; VAR65 <= VAR13 3'd0; VAR42 <= VAR13 1'd0; VAR58 <= VAR13 1'd0; VAR29 <= VAR13 1'd1; VAR23 <= VAR13 1'd1; VAR28 <= VAR13 3'd0; VAR33 <= VAR13 3'd0; end else begin if (VAR67) VAR25 <= VAR13 VAR64; if (VAR69) VAR65 <= VAR13 VAR60; if (VAR10) VAR42 <= VAR13 VAR49; if (VAR22) VAR58 <= VAR13 VAR36; if (VAR62) VAR29 <= VAR13 VAR9; if (VAR40) VAR23 <= VAR13 VAR14; if (VAR32) VAR28 <= VAR13 VAR57; if (VAR4) VAR33 <= VAR13 VAR70; end end begin VAR25 = 3'h2; VAR65 = 3'h2; VAR42 = 1'h0; VAR58 = 1'h0; VAR29 = 1'h0; VAR23 = 1'h0; VAR28 = 3'h2; VAR33 = 3'h2; end always@(negedge VAR19) begin if (VAR31) if (VAR43 && VAR55) (""); if (VAR31) if (VAR43 && VAR55) (""); if (VAR31) if (VAR43 && VAR55) if (VAR31) if (VAR43 && VAR55) if (VAR31) if (VAR43) (""); if (VAR31) if (VAR16 && VAR59) if (VAR31) if (VAR16 && VAR59) if (VAR31) if (VAR16) (""); if (VAR31) if (VAR43 && VAR44[1] && VAR66) (""); if (VAR31) if (VAR16 && VAR54[1] && VAR38) (""); end endmodule
mit
martinmiranda14/Digitales
Lab_6/new/show_one_char2.v
7,759
module MODULE1( input clk, input rst, input [10:0]VAR20, input [10:0]VAR42, input [7:0] VAR5, output VAR10, output reg VAR19 ); localparam VAR39 = 3; localparam VAR34 = 'd1; parameter VAR35 = 11'd70; parameter VAR31 = 11'd150; localparam VAR41 = 8'd5; localparam VAR30 = 8'd8; localparam VAR25 = 1; localparam VAR33 = 1; localparam VAR22 = ( VAR41 + 8'd1 ) * VAR25 * VAR34; localparam VAR45 = (VAR30) * VAR33 * VAR34; localparam VAR4 = VAR35 + VAR22; localparam VAR24 = VAR31 + VAR45; reg [5:0]VAR18; reg [5:0]VAR26; reg [5:0]VAR37; reg [5:0]VAR43; reg [7:0]VAR29; reg [7:0]VAR1; reg [7:0]VAR12; reg [7:0]VAR23; reg [2:0]VAR13; reg [2:0]VAR2; reg [2:0]VAR36; reg [2:0]VAR44; wire [10:0]VAR17; wire [10:0]VAR14; assign VAR10=(VAR17>0)&&(VAR14>0); assign VAR17=( (VAR20 >= VAR35) && (VAR20 <= VAR4) )? VAR20 - VAR35:11'd0; assign VAR14=( (VAR42 >= VAR31) && (VAR42 <= VAR24) )? VAR42 - VAR31:11'd0; reg [VAR39-1:0]VAR11; reg [VAR39-1:0]VAR21; reg [VAR39-1:0]VAR28; reg [VAR39-1:0]VAR40; always@ if(VAR14 > 0) if(VAR17 == VAR22) if(VAR21 == (VAR34 - 'd1)) VAR40 = 'd0; else VAR40 = VAR21 + 'd1; else VAR40 = VAR21; else VAR40 = 'd0; always@(posedge clk or posedge rst) if(rst) VAR21 <= 'd0; else VAR21 <= VAR40; wire VAR32 = VAR11 == (VAR34 - 'd1); wire VAR38 = VAR29 == ((VAR41 + 8'd1) - 8'd1); always@ begin case({VAR14 > 0, VAR15, VAR8}) 3'b111:VAR23 = 8'd0; 3'b110:VAR23 = VAR1 + 8'd1; 3'b100,3'b101:VAR23 = VAR1; default:VAR23 = 8'd0; endcase case({VAR14 > 0, VAR15, VAR8}) 3'b111:VAR43 = VAR26 + 6'd1; 3'b110:VAR43 = VAR26; 3'b100,3'b101:VAR43 = VAR26; default:VAR43 = 6'd0; endcase case({VAR14 > 0, VAR15, VAR8}) 3'b111:VAR44 = 3'd0; 3'b110:VAR44 = VAR2 + 3'd1; 3'b100,3'b101:VAR44 = VAR2; default:VAR44 = 3'd0; endcase end always@(posedge clk) begin VAR1 <= VAR23; VAR26 <= VAR43; VAR2 <= VAR44; end wire [4:0]VAR6[0:7]; wire [39:0]VAR16; wire [8 * VAR25 - 1:0] VAR7; wire [7:0]select; assign VAR7 = VAR5; assign select = VAR7[7:0]; VAR9 VAR3(select, VAR16); assign { VAR6[7], VAR6[6], VAR6[5], VAR6[4], VAR6[3], VAR6[2], VAR6[1], VAR6[0] } = VAR16; wire [5:0]VAR27; assign VAR27 = {1'b0, VAR6[VAR2]}; always@(*) if(VAR10) VAR19 = ( VAR27[VAR13] ); else VAR19 = 1'd0; endmodule
apache-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/ip/Gaussian_Filter/acl_fp_negate.v
1,235
module MODULE1 parameter VAR2=32 ) ( input [VAR2-1:0] VAR1, output [VAR2-1:0] VAR3 ); assign VAR3 = { ~VAR1[VAR2-1], VAR1[VAR2-2:0] }; endmodule
mit
google/skywater-pdk-libs-sky130_fd_io
cells/top_power_lvc_wpad/sky130_fd_io__top_power_lvc_wpad.symbol.v
1,746
module MODULE1 ( inout VAR5 , inout VAR17, inout VAR4 ); supply0 VAR9; supply0 VAR12; supply1 VAR15 ; supply1 VAR6 ; supply1 VAR19 ; supply0 VAR11 ; supply1 VAR8 ; supply1 VAR2 ; supply1 VAR13 ; supply1 VAR16 ; supply1 VAR3 ; supply1 VAR1 ; supply1 VAR18 ; supply0 VAR14 ; supply0 VAR7 ; supply0 VAR20 ; supply0 VAR10 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkinv/sky130_fd_sc_ls__clkinv_1.v
2,036
module MODULE1 ( VAR3 , VAR6 , VAR2, VAR8, VAR7 , VAR1 ); output VAR3 ; input VAR6 ; input VAR2; input VAR8; input VAR7 ; input VAR1 ; VAR5 VAR4 ( .VAR3(VAR3), .VAR6(VAR6), .VAR2(VAR2), .VAR8(VAR8), .VAR7(VAR7), .VAR1(VAR1) ); endmodule module MODULE1 ( VAR3, VAR6 ); output VAR3; input VAR6; supply1 VAR2; supply0 VAR8; supply1 VAR7 ; supply0 VAR1 ; VAR5 VAR4 ( .VAR3(VAR3), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a2111oi/sky130_fd_sc_ls__a2111oi.pp.blackbox.v
1,435
module MODULE1 ( VAR7 , VAR3 , VAR8 , VAR6 , VAR4 , VAR1 , VAR10, VAR2, VAR5 , VAR9 ); output VAR7 ; input VAR3 ; input VAR8 ; input VAR6 ; input VAR4 ; input VAR1 ; input VAR10; input VAR2; input VAR5 ; input VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfbbn/sky130_fd_sc_lp__dfbbn.behavioral.v
2,779
module MODULE1 ( VAR25 , VAR30 , VAR24 , VAR17 , VAR3 , VAR8 ); output VAR25 ; output VAR30 ; input VAR24 ; input VAR17 ; input VAR3 ; input VAR8; supply1 VAR27; supply0 VAR4; supply1 VAR26 ; supply0 VAR28 ; wire VAR11 ; wire VAR21 ; wire VAR13 ; wire VAR29 ; wire VAR5 ; wire VAR6; wire VAR14 ; reg VAR19 ; wire VAR23 ; wire VAR16 ; wire VAR1 ; wire VAR7 ; wire VAR18 ; not VAR2 (VAR11 , VAR6 ); not VAR20 (VAR21 , VAR14 ); not VAR15 (VAR13 , VAR5 ); VAR22 VAR10 (VAR29 , VAR21, VAR11, VAR13, VAR23, VAR19, VAR27, VAR4); assign VAR16 = ( VAR27 === 1'b1 ); assign VAR1 = ( VAR16 && ( VAR6 === 1'b1 ) ); assign VAR7 = ( VAR16 && ( VAR14 === 1'b1 ) ); assign VAR18 = ( VAR1 & VAR7 ); buf VAR12 (VAR25 , VAR29 ); not VAR9 (VAR30 , VAR29 ); endmodule
apache-2.0
mwswartwout/EECS318
hw3/problem3/processor.v
6,320
module MODULE1(VAR1); input VAR1; reg [31:0] memory [4095:0]; reg [11:0] register [4:0]; reg [23:0] VAR3; reg [11:0] VAR8, VAR9; reg signed [11:0] VAR7; reg [4:0] VAR2; reg VAR4; integer VAR6, VAR5, VAR10;
mit
alexforencich/verilog-wishbone
rtl/wb_arbiter_2.v
5,624
module MODULE1 # ( parameter VAR6 = 32, parameter VAR13 = 32, parameter VAR34 = (VAR6/8), parameter VAR18 = 0, parameter VAR20 = 1 ) ( input wire clk, input wire rst, input wire [VAR13-1:0] VAR44, input wire [VAR6-1:0] VAR16, output wire [VAR6-1:0] VAR25, input wire VAR42, input wire [VAR34-1:0] VAR4, input wire VAR2, output wire VAR39, output wire VAR1, output wire VAR38, input wire VAR29, input wire [VAR13-1:0] VAR40, input wire [VAR6-1:0] VAR11, output wire [VAR6-1:0] VAR37, input wire VAR36, input wire [VAR34-1:0] VAR24, input wire VAR8, output wire VAR9, output wire VAR5, output wire VAR41, input wire VAR15, output wire [VAR13-1:0] VAR31, input wire [VAR6-1:0] VAR45, output wire [VAR6-1:0] VAR3, output wire VAR14, output wire [VAR34-1:0] VAR33, output wire VAR30, input wire VAR32, input wire VAR26, input wire VAR12, output wire VAR35 ); wire [1:0] request; wire [1:0] VAR19; assign request[0] = VAR29; assign request[1] = VAR15; wire VAR43 = VAR19[0] & VAR7; wire VAR21 = VAR19[1] & VAR7; assign VAR25 = VAR45; assign VAR39 = VAR32 & VAR43; assign VAR1 = VAR26 & VAR43; assign VAR38 = VAR12 & VAR43; assign VAR37 = VAR45; assign VAR9 = VAR32 & VAR21; assign VAR5 = VAR26 & VAR21; assign VAR41 = VAR12 & VAR21; assign VAR31 = VAR43 ? VAR44 : VAR21 ? VAR40 : {VAR13{1'b0}}; assign VAR3 = VAR43 ? VAR16 : VAR21 ? VAR11 : {VAR6{1'b0}}; assign VAR14 = VAR43 ? VAR42 : VAR21 ? VAR36 : 1'b0; assign VAR33 = VAR43 ? VAR4 : VAR21 ? VAR24 : {VAR34{1'b0}}; assign VAR30 = VAR43 ? VAR2 : VAR21 ? VAR8 : 1'b0; assign VAR35 = VAR43 ? 1'b1 : VAR21 ? 1'b1 : 1'b0; VAR23 #( .VAR17(2), .VAR18(VAR18), .VAR27(1), .VAR22(0), .VAR20(VAR20) ) VAR28 ( .clk(clk), .rst(rst), .request(request), .acknowledge(), .VAR19(VAR19), .VAR7(VAR7), .VAR10() ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o32a/sky130_fd_sc_hs__o32a.functional.pp.v
2,089
module MODULE1 ( VAR1, VAR14, VAR6 , VAR5 , VAR9 , VAR8 , VAR18 , VAR12 ); input VAR1; input VAR14; output VAR6 ; input VAR5 ; input VAR9 ; input VAR8 ; input VAR18 ; input VAR12 ; wire VAR18 VAR10 ; wire VAR18 VAR15 ; wire VAR2 ; wire VAR3; or VAR16 (VAR10 , VAR9, VAR5, VAR8 ); or VAR13 (VAR15 , VAR12, VAR18 ); and VAR4 (VAR2 , VAR10, VAR15 ); VAR17 VAR11 (VAR3, VAR2, VAR1, VAR14); buf VAR7 (VAR6 , VAR3 ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpmult_arch2/Sgf_Multiplication.v
8,594
module MODULE1 ( input wire clk, input wire rst, input wire VAR20, input wire [VAR26-1:0] VAR12, input wire [VAR26-1:0] VAR36, output wire [2*VAR26-1:0] VAR37 ); wire [VAR26/2+1:0] VAR19; wire [VAR26/2+1:0] VAR33; wire [2*(VAR26/2)-1:0] VAR27; wire [2*(VAR26/2+1)-1:0] VAR8; wire [2*(VAR26/2+2)-1:0] VAR1; wire [2*(VAR26/2+2)-1:0] VAR32; wire [2*(VAR26/2+2)-1:0] VAR23; wire [4*(VAR26/2)+2:0] VAR4; wire [1:0] VAR9; wire [3:0] VAR16; assign VAR9 =2'b00; assign VAR16 =4'b0000; wire [VAR26/2-1:0] VAR25; wire [VAR26/2:0] VAR28; wire [4*(VAR26/2)-1:0] VAR17; assign VAR25 = {(VAR26/2){1'b0}}; assign VAR28 = {(VAR26/2+1){1'b0}}; localparam VAR11 = VAR26/2; generate case (VAR26%2) 0:begin : VAR30 VAR21 #(.VAR22(VAR26/2)) VAR29( .clk(clk), .VAR12(VAR12[VAR26-1:VAR26-VAR26/2]), .VAR36(VAR36[VAR26-1:VAR26-VAR26/2]), .VAR13(VAR27) ); VAR21 #(.VAR22(VAR26/2)) VAR18( .clk(clk), .VAR12(VAR12[VAR26-VAR26/2-1:0]), .VAR36(VAR36[VAR26-VAR26/2-1:0]), .VAR13(VAR8[2*(VAR26/2)-1:0]) ); VAR15 #(.VAR22(VAR26/2)) VAR10 ( .VAR12(VAR12[VAR26-1:VAR26-VAR26/2]), .VAR36(VAR12[VAR26-VAR26/2-1:0]), .VAR13(VAR19[VAR26/2:0]) ); VAR15 #(.VAR22(VAR26/2)) VAR24 ( .VAR12(VAR36[VAR26-1:VAR26-VAR26/2]), .VAR36(VAR36[VAR26-VAR26/2-1:0]), .VAR13(VAR33[VAR26/2:0]) ); VAR21 #(.VAR22(VAR26/2+1)) VAR14 ( .clk(clk), .VAR12(VAR19[VAR26/2:0]), .VAR36(VAR33[VAR26/2:0]), .VAR13(VAR1[2*(VAR26/2)+1:0]) ); VAR27,VAR8[2*(VAR26/2)-1:0]}), .VAR36({{(2*VAR26-(VAR26+VAR26/2+2)){1'b0}},VAR23[2*(VAR26/2)+1:0],VAR25}), .VAR13(VAR4[4*(VAR26/2):0]) ); VAR35 #(.VAR22(4*(VAR26/2))) VAR34 ( .clk(clk), .rst(rst), .VAR2(VAR20), .VAR31(VAR4[4*(VAR26/2)-1:0]), .VAR3({VAR37}) ); end 1:begin : VAR5 VAR21 #(.VAR22(VAR26/2)) VAR29( .clk(clk), .VAR12(VAR12[VAR26-1:VAR26-VAR26/2]), .VAR36(VAR36[VAR26-1:VAR26-VAR26/2]), .VAR13(VAR27) ); ) VAR18( .clk(clk), .VAR12(VAR12[VAR26-VAR26/2-1:0]), .VAR36(VAR36[VAR26-VAR26/2-1:0]), .VAR13(VAR8) ); ) VAR14 ( .clk(clk), .VAR12(VAR19), .VAR36(VAR33), .VAR13(VAR1) ); VAR6 #(.VAR22(2*(VAR26/2+2))) VAR7 ( .VAR12(VAR27,VAR8}), .VAR36({VAR23,VAR28}), .VAR13(VAR4[4*(VAR26/2)+2:0]) ); VAR35 #(.VAR22(4*(VAR26/2)+2)) VAR34 ( .clk(clk), .rst(rst), .VAR2(VAR20), .VAR31(VAR4[2*VAR26-1:0]), .VAR3({VAR37}) ); end endcase endgenerate endmodule
gpl-3.0
Saucyz/explode
Hardware/Mod2/nios_system/synthesis/submodules/nios_system_onchip_memory2_0.v
3,119
module MODULE1 ( address, VAR7, VAR19, clk, VAR24, reset, VAR1, write, VAR30, VAR8 ) ; parameter VAR15 = "MODULE1.VAR10"; output [ 31: 0] VAR8; input [ 9: 0] address; input [ 3: 0] VAR7; input VAR19; input clk; input VAR24; input reset; input VAR1; input write; input [ 31: 0] VAR30; wire VAR16; wire [ 31: 0] VAR8; wire VAR28; assign VAR28 = VAR19 & write; assign VAR16 = VAR24 & ~VAR1; VAR6 VAR33 ( .VAR12 (address), .VAR22 (VAR7), .VAR5 (clk), .VAR16 (VAR16), .VAR14 (VAR30), .VAR21 (VAR8), .VAR32 (VAR28) ); VAR33.VAR9 = VAR15, VAR33.VAR4 = "VAR6", VAR33.VAR26 = 1024, VAR33.VAR3 = 1024, VAR33.VAR31 = "VAR18", VAR33.VAR23 = "VAR11", VAR33.VAR20 = "VAR13", VAR33.VAR29 = "VAR2", VAR33.VAR34 = 32, VAR33.VAR27 = 4, VAR33.VAR17 = 10; endmodule
mit
tmolteno/TART
hardware/FPGA/ddr_controller/spartan3/rtl/infrastructure.v
7,342
module MODULE1 ( VAR5, VAR15, VAR19, VAR9, VAR26, VAR22, VAR16, VAR48, VAR18, VAR37, VAR7, VAR41, VAR31, VAR35 ); input VAR5; input VAR15; input VAR26; input [143:0] VAR19; input VAR9; output [4:0]VAR22; output VAR16; output VAR48; output VAR18; output VAR37; output VAR7; output VAR35; output [7:0] VAR41; output [7:0] VAR31; wire VAR34; wire VAR12; wire VAR17; wire VAR32; reg VAR27; reg VAR30; reg VAR36; reg VAR8; reg VAR39; reg VAR43; reg VAR42; reg VAR13; reg VAR29; reg VAR6; reg VAR28; reg VAR23; wire [4:0]VAR2; wire [4:0]VAR11; reg [4:0]VAR40; reg VAR46; reg VAR47; wire VAR14; wire VAR44; wire VAR25; wire VAR38; wire VAR33; wire VAR49; assign VAR7 = VAR12; assign VAR35 = VAR17; assign VAR14 = 1'b0; assign VAR16 = VAR36; assign VAR48 = VAR43; assign VAR18 = VAR29; assign VAR37 = VAR23; assign VAR22 = VAR11; assign VAR25 = VAR12; assign VAR33 = VAR17; assign VAR38 = VAR25; assign VAR49 = VAR33; assign VAR44 = 1'b1; assign VAR34 = ~ VAR5; assign VAR41 = {VAR19[71:66] , VAR14 , VAR9}; assign VAR31 = {VAR19[65:63] , VAR2}; assign VAR11 = (VAR26 == 1'b0 && VAR47 == 1'b0) ? VAR2 :VAR40; always@(posedge VAR38) begin if(VAR34 == 1'b1 || VAR32 == 1'b0) begin VAR27 <= 1'b1; VAR30 <= 1'b1; VAR36 <= 1'b1; end else begin VAR27 <= 1'b0; VAR30 <= VAR27; VAR36 <= VAR30; end end always@(posedge VAR38) begin if (VAR36 == 1'b1) begin VAR40 <= 5'b00000; VAR46 <= 1'b0; VAR47 <= 1'b0; end else begin VAR40 <= VAR11; VAR46 <= VAR26; VAR47 <= VAR46; end end always@(posedge VAR49) begin if (VAR34 == 1'b1 || VAR32 == 1'b0) begin VAR8 <= 1'b1; VAR39 <= 1'b1; VAR43 <= 1'b1; end else begin VAR8 <= 1'b0; VAR39 <= VAR8; VAR43 <= VAR39; end end always@(negedge VAR38) begin if (VAR34 == 1'b1 || VAR32 == 1'b0) begin VAR42 <= 1'b1; VAR13 <= 1'b1; VAR29 <= 1'b1; end else begin VAR42 <= 1'b0; VAR13 <= VAR42; VAR29 <= VAR13; end end always@(negedge VAR49) begin if (VAR34 == 1'b1 || VAR32 == 1'b0) begin VAR6 <= 1'b1; VAR28 <= 1'b1; VAR23 <= 1'b1; end else begin VAR6 <= 1'b0; VAR28 <= VAR6; VAR23 <= VAR28; end end VAR10 VAR1 ( .VAR21 ( VAR15), .rst ( VAR34), .clk ( VAR12), .VAR3 ( VAR17), .VAR32 ( VAR32) ); VAR20 VAR50 ( .VAR24 ( VAR38), .VAR45 ( VAR32), .reset ( VAR5), .VAR4 ( VAR2) ); endmodule
lgpl-3.0
AbhishekShah212/School_Projects
ELEN232/pset4/Problem4FinalCircuit.v
3,382
module MODULE1( input [7:0] VAR40, input [7:0] VAR27, output VAR6, output VAR7, output VAR13 ); wire VAR15, VAR43, VAR10; wire VAR14, VAR16, VAR24; wire VAR37, VAR23, VAR29; wire VAR8, VAR17, VAR35; wire VAR26, VAR31, VAR2; wire VAR11, VAR42, VAR20; wire VAR39, VAR32, VAR9; VAR38 VAR3( .VAR19(VAR40[0]), .VAR41(VAR27[0]), .VAR12(0), .VAR4(0), .VAR34(1), .VAR28(VAR15), .VAR18(VAR43), .VAR36(VAR10) ); VAR38 VAR21( .VAR19(VAR40[1]), .VAR41(VAR27[1]), .VAR12(VAR15), .VAR4(VAR43), .VAR34(VAR10), .VAR28(VAR14), .VAR18(VAR16), .VAR36(VAR24) ); VAR38 VAR5( .VAR19(VAR40[2]), .VAR41(VAR27[2]), .VAR12(VAR14), .VAR4(VAR16), .VAR34(VAR24), .VAR28(VAR37), .VAR18(VAR23), .VAR36(VAR29) ); VAR38 VAR22( .VAR19(VAR40[3]), .VAR41(VAR27[3]), .VAR12(VAR37), .VAR4(VAR23), .VAR34(VAR29), .VAR28(VAR8), .VAR18(VAR17), .VAR36(VAR35) ); VAR38 VAR30( .VAR19(VAR40[4]), .VAR41(VAR27[4]), .VAR12(VAR8), .VAR4(VAR17), .VAR34(VAR35), .VAR28(VAR26), .VAR18(VAR31), .VAR36(VAR2) ); VAR38 VAR25( .VAR19(VAR40[5]), .VAR41(VAR27[5]), .VAR12(VAR26), .VAR4(VAR31), .VAR34(VAR2), .VAR28(VAR11), .VAR18(VAR42), .VAR36(VAR20) ); VAR38 VAR33( .VAR19(VAR40[6]), .VAR41(VAR27[6]), .VAR12(VAR11), .VAR4(VAR42), .VAR34(VAR20), .VAR28(VAR39), .VAR18(VAR32), .VAR36(VAR9) ); VAR38 VAR1( .VAR19(VAR40[7]), .VAR41(VAR27[7]), .VAR12(VAR39), .VAR4(VAR32), .VAR34(VAR9), .VAR28(VAR6), .VAR18(VAR7), .VAR36(VAR13) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/tapvpwrvgnd/sky130_fd_sc_hdll__tapvpwrvgnd.functional.pp.v
1,208
module MODULE1 ( VAR4, VAR3, VAR2 , VAR1 ); input VAR4; input VAR3; input VAR2 ; input VAR1 ; endmodule
apache-2.0
csail-csg/riscy-OOO
procs/asic/bluespec_verilog/RegTwoN.v
2,370
module MODULE1(VAR6, VAR2, VAR4, VAR5, VAR1, VAR9, VAR8); parameter VAR7 = 1; parameter VAR11 = {VAR7 {1'b0}} ; input VAR6; input VAR2; input VAR1, VAR8; input [VAR7 - 1 : 0] VAR5; input [VAR7 - 1 : 0] VAR9; output [VAR7 - 1 : 0] VAR4; reg [VAR7 - 1 : 0] VAR4; always@(posedge VAR6) begin if (VAR2 == VAR10) VAR4 <= VAR3 VAR11; end else begin if (VAR1) VAR4 <= VAR3 VAR5; end else if (VAR8) VAR4 <= VAR3 VAR9; end end VAR4 = {((VAR7 + 1)/2){2'b10}} ; end endmodule
mit
AmeerAbdelhadi/Dynamic-Frequency-Phase-Sweeping
lfsr.v
3,872
module MODULE1 wire VAR12; VAR10 #( .VAR15(VAR15) ) VAR5 ( .VAR17(VAR17) , .VAR12 (VAR12 ) ); VAR3 #( .VAR8 (VAR15 ), .VAR11("VAR16"), .VAR9 (VAR2 ) ) VAR14 ( .VAR7 (clk), .enable (VAR4), .VAR6(VAR12 ), .VAR1 (rst), .VAR13 (VAR17) ); endmodule
bsd-3-clause
fredmorcos/attic
snippets/verilog/fibfast.v
1,840
module MODULE1 (clk, VAR14, VAR4, VAR2, VAR9); parameter VAR1 = 32; input [VAR1-1:0] VAR14; input clk, VAR4; output [VAR1-1:0] VAR2; output VAR9; parameter VAR11 = 3'b000; parameter VAR16 = 3'b001; parameter VAR3 = 3'b010; parameter VAR7 = 3'b011; parameter VAR8 = 3'b100; reg [2:0] state, VAR10; reg [VAR1-1:0] VAR5; reg [31:0] VAR12, VAR15, VAR13, VAR6; assign VAR2 = VAR12; assign VAR9 = (state == VAR11);
isc
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/bufz/gf180mcu_fd_sc_mcu7t5v0__bufz_12.behavioral.pp.v
1,241
module MODULE1( VAR6, VAR5, VAR8, VAR3, VAR7 ); input VAR6, VAR5; inout VAR3, VAR7; output VAR8; VAR2 VAR1(.VAR6(VAR6),.VAR5(VAR5),.VAR8(VAR8),.VAR3(VAR3),.VAR7(VAR7)); VAR2 VAR4(.VAR6(VAR6),.VAR5(VAR5),.VAR8(VAR8),.VAR3(VAR3),.VAR7(VAR7));
apache-2.0
ptracton/pmodacl2
soc/gpio/gpio_regs.v
4,188
module MODULE1 ( VAR6, VAR19, VAR14, interrupt, clk, reset, VAR13, VAR18, VAR4, VAR10, VAR8 ) ; parameter VAR11 = 8'h00; input clk; input reset; input [7:0] VAR13; input [7:0] VAR18; output [7:0] VAR6; input VAR4; input VAR10; output [7:0] VAR19; output [7:0] VAR14; input [7:0] VAR8; output interrupt; reg interrupt = 0; reg [7:0] VAR6 = 8'h00; reg [7:0] VAR19 = 8'h00; reg [7:0] VAR14 = 8'h00; reg [7:0] VAR5 = 8'h00; reg [7:0] VAR3 = 8'hFF; reg [7:0] VAR7 = 8'h00; wire VAR16 = (VAR13 == (VAR11 + 0)); wire VAR17 = (VAR13 == (VAR11 + 1)); wire VAR12 = (VAR13 == (VAR11 + 1)); wire VAR2 = (VAR13 == (VAR11 + 2)); wire VAR9 = (VAR13 == (VAR11 + 3)); wire VAR1 = (VAR13 == (VAR11 + 4)); reg [7:0] VAR15 = 1'b0; wire [7:0] VAR20 = VAR15 ^ VAR8; always @(posedge clk) begin VAR15 <= VAR8; end always @(posedge clk) if (VAR20) begin VAR7 <= VAR20; end else if (VAR10 & VAR1) begin VAR7 <= VAR7 & ~VAR18; end always @(posedge clk) if (VAR7 & ~VAR3) begin interrupt <= 1'b1; end else begin interrupt <= 1'b0; end always @(posedge clk) if (VAR10 == 1'b1) begin if (VAR16) begin VAR19 <= VAR18; end if (VAR12) begin VAR14 <= VAR18; end if (VAR2) begin VAR5 <= VAR18; end if (VAR9) begin VAR3 <= VAR18; end end always @(posedge clk) begin if (VAR16) begin VAR6 <= VAR19; end else if (VAR12) begin VAR6 <= VAR8; end else if (VAR2) begin VAR6 <= VAR5; end else if (VAR9) begin VAR6 <= VAR3; end else if (VAR1) begin VAR6 <= VAR7; end else begin VAR6 <= 8'h00; end end endmodule
mit
aj-michael/Digital-Systems
Lab6-Part2/ipcore_dir/SystemClockUnit.v
5,628
module MODULE1 ( input VAR11, output VAR48, output VAR28 ); VAR23 VAR17 (.VAR14 (VAR43), .VAR26 (VAR11)); wire VAR18; wire VAR21; wire [7:0] VAR15; wire VAR10; wire VAR32; wire VAR7; VAR37 .VAR13 (20), .VAR1 (13), .VAR3 ("VAR49"), .VAR36 (10.0), .VAR24 ("VAR4"), .VAR27 ("1X"), .VAR44 ("VAR39"), .VAR16 (0), .VAR40 ("VAR49")) VAR29 (.VAR25 (VAR43), .VAR38 (VAR10), .VAR45 (VAR32), .VAR31 (), .VAR6 (), .VAR22 (), .VAR2 (), .VAR20 (), .VAR33 (VAR7), .VAR12 (), .VAR47 (), .VAR35 (1'b0), .VAR46 (1'b0), .VAR42 (1'b0), .VAR5 (), .VAR28 (VAR21), .VAR41 (VAR15), .VAR34 (1'b0), .VAR19 (1'b0)); assign VAR28 = VAR21; VAR8 VAR9 (.VAR14 (VAR10), .VAR26 (VAR32)); VAR8 VAR30 (.VAR14 (VAR48), .VAR26 (VAR7)); endmodule
mit
GSejas/Dise-o-ASIC-FPGA-FPU
ASIC_FLOW/Approximate_Adders/integracion_fisica/front_end/db/ACA_II_N32_Q16_syn.v
13,732
module MODULE1 ( VAR137, VAR189, VAR415 ); input [31:0] VAR137; input [31:0] VAR189; output [32:0] VAR415; wire VAR232, VAR333, VAR422, VAR350, VAR13, VAR153, VAR80, VAR266, VAR145, VAR438, VAR455, VAR118, VAR55, VAR370, VAR212, VAR309, VAR394, VAR421, VAR167, VAR107, VAR458, VAR181, VAR10, VAR2, VAR361, VAR404, VAR411, VAR357, VAR240, VAR69, VAR150, VAR344, VAR304, VAR288, VAR423, VAR395, VAR174, VAR147, VAR275, VAR198, VAR161, VAR163, VAR227, VAR47, VAR461, VAR381, VAR348, VAR201, VAR328, VAR418, VAR213, VAR193, VAR197, VAR431, VAR372, VAR57, VAR342, VAR221, VAR185, VAR195, VAR262, VAR109, VAR338, VAR287, VAR130, VAR360, VAR62, VAR264, VAR435, VAR397, VAR31, VAR230, VAR279, VAR250, VAR391, VAR311, VAR105, VAR398, VAR235, VAR179, VAR177, VAR158, VAR380, VAR453, VAR273, VAR292, VAR63, VAR364, VAR207, VAR319, VAR52, VAR387, VAR24, VAR104, VAR412, VAR430, VAR166, VAR462, VAR27, VAR125, VAR450, VAR87, VAR390, VAR23, VAR196, VAR274, VAR56, VAR113, VAR442, VAR91, VAR21, VAR144, VAR337, VAR204, VAR251, VAR68, VAR323, VAR123, VAR241, VAR190, VAR102, VAR173, VAR66, VAR79, VAR416, VAR276, VAR254, VAR141, VAR223, VAR409, VAR90, VAR210, VAR208, VAR122, VAR133, VAR172, VAR202, VAR136, VAR77, VAR408, VAR114, VAR214, VAR310, VAR413, VAR45, VAR138, VAR154, VAR74, VAR14, VAR406, VAR315, VAR373, VAR178, VAR209, VAR410, VAR420, VAR82, VAR296, VAR332, VAR162, VAR96, VAR119, VAR51, VAR228, VAR116, VAR419, VAR165, VAR245, VAR268, VAR249, VAR400, VAR5, VAR17, VAR359, VAR85, VAR259, VAR152, VAR291, VAR135, VAR33, VAR376, VAR142, VAR290, VAR347, VAR46, VAR280, VAR428, VAR108, VAR11, VAR220, VAR285, VAR297, VAR61, VAR149, VAR32, VAR355, VAR134, VAR452, VAR28, VAR326, VAR308; VAR231 VAR93 ( .VAR101(VAR259), .VAR349(VAR5), .VAR321(VAR17), .VAR386(VAR419) ); VAR86 VAR327 ( .VAR101(VAR68), .VAR349(VAR251), .VAR321(VAR204), .VAR386(VAR102) ); VAR434 VAR320 ( .VAR146(VAR137[9]), .VAR98(VAR189[9]), .VAR386(VAR398) ); VAR405 VAR272 ( .VAR101(VAR31), .VAR349(VAR355), .VAR321(VAR397), .VAR386(VAR230) ); VAR293 VAR8 ( .VAR146(VAR181), .VAR98(VAR361), .VAR386(VAR411) ); VAR393 VAR377 ( .VAR146(VAR152), .VAR386(VAR135) ); VAR434 VAR341 ( .VAR146(VAR137[8]), .VAR98(VAR189[8]), .VAR386(VAR285) ); VAR293 VAR89 ( .VAR146(VAR376), .VAR98(VAR158), .VAR386(VAR453) ); VAR405 VAR200 ( .VAR101(VAR458), .VAR349(VAR181), .VAR321(VAR2), .VAR386(VAR421) ); VAR405 VAR465 ( .VAR101(VAR11), .VAR349(VAR213), .VAR321(VAR280), .VAR386(VAR372) ); VAR231 VAR362 ( .VAR101(VAR152), .VAR349(VAR326), .VAR321(VAR291), .VAR386(VAR357) ); VAR225 VAR115 ( .VAR146(VAR141), .VAR98(VAR254), .VAR386(VAR415[29]) ); VAR352 VAR22 ( .VAR146(VAR137[9]), .VAR98(VAR189[9]), .VAR386(VAR462) ); VAR187 VAR18 ( .VAR146(VAR373), .VAR98(VAR315), .VAR386(VAR415[23]) ); VAR225 VAR233 ( .VAR146(VAR82), .VAR98(VAR420), .VAR386(VAR415[22]) ); VAR392 VAR44 ( .VAR101(VAR400), .VAR349(VAR165), .VAR321(VAR245), .VAR386(VAR415[32]) ); VAR231 VAR30 ( .VAR101(VAR82), .VAR349(VAR178), .VAR321(VAR209), .VAR386(VAR373) ); VAR225 VAR354 ( .VAR146(VAR102), .VAR98(VAR123), .VAR386(VAR415[20]) ); VAR231 VAR243 ( .VAR101(VAR141), .VAR349(VAR79), .VAR321(VAR416), .VAR386(VAR310) ); VAR302 VAR464 ( .VAR146(VAR149), .VAR98(VAR61), .VAR386(VAR415[8]) ); VAR231 VAR437 ( .VAR101(VAR166), .VAR349(VAR24), .VAR321(VAR104), .VAR386(VAR144) ); VAR302 VAR7 ( .VAR146(VAR319), .VAR98(VAR63), .VAR386(VAR415[18]) ); VAR392 VAR307 ( .VAR101(VAR149), .VAR349(VAR220), .VAR321(VAR285), .VAR386(VAR177) ); VAR302 VAR78 ( .VAR146(VAR166), .VAR98(VAR430), .VAR386(VAR415[27]) ); VAR302 VAR140 ( .VAR146(VAR11), .VAR98(VAR108), .VAR386(VAR415[24]) ); VAR231 VAR313 ( .VAR101(VAR319), .VAR349(VAR207), .VAR321(VAR364), .VAR386(VAR68) ); VAR392 VAR99 ( .VAR101(VAR28), .VAR349(VAR32), .VAR321(VAR355), .VAR386(VAR264) ); VAR392 VAR424 ( .VAR101(VAR46), .VAR349(VAR376), .VAR321(VAR142), .VAR386(VAR163) ); VAR302 VAR50 ( .VAR146(VAR28), .VAR98(VAR452), .VAR386(VAR415[6]) ); VAR302 VAR83 ( .VAR146(VAR195), .VAR98(VAR185), .VAR386(VAR415[5]) ); VAR392 VAR282 ( .VAR101(VAR11), .VAR349(VAR461), .VAR321(VAR47), .VAR386(VAR418) ); VAR302 VAR388 ( .VAR146(VAR46), .VAR98(VAR347), .VAR386(VAR415[16]) ); VAR86 VAR238 ( .VAR101(VAR227), .VAR349(VAR55), .VAR321(VAR118), .VAR386(VAR166) ); VAR86 VAR129 ( .VAR101(VAR273), .VAR349(VAR453), .VAR321(VAR380), .VAR386(VAR319) ); VAR302 VAR215 ( .VAR146(VAR458), .VAR98(VAR107), .VAR386(VAR415[2]) ); VAR231 VAR298 ( .VAR101(VAR462), .VAR349(VAR285), .VAR321(VAR398), .VAR386(VAR27) ); VAR392 VAR183 ( .VAR101(VAR119), .VAR349(VAR17), .VAR321(VAR51), .VAR386(VAR304) ); VAR111 VAR226 ( .VAR146(VAR172), .VAR98(VAR136), .VAR386(VAR344) ); VAR393 VAR1 ( .VAR146(VAR408), .VAR386(VAR212) ); VAR393 VAR371 ( .VAR146(VAR56), .VAR386(VAR90) ); VAR393 VAR252 ( .VAR146(VAR5), .VAR386(VAR359) ); VAR393 VAR239 ( .VAR146(VAR119), .VAR386(VAR228) ); VAR392 VAR334 ( .VAR101(VAR74), .VAR349(VAR209), .VAR321(VAR14), .VAR386(VAR350) ); VAR392 VAR316 ( .VAR101(VAR173), .VAR349(VAR241), .VAR321(VAR413), .VAR386(VAR153) ); VAR111 VAR255 ( .VAR146(VAR190), .VAR98(VAR173), .VAR386(VAR422) ); VAR71 VAR281 ( .VAR146(VAR137[25]), .VAR98(VAR189[25]), .VAR386(VAR197) ); VAR71 VAR186 ( .VAR146(VAR137[28]), .VAR98(VAR189[28]), .VAR386(VAR91) ); VAR71 VAR439 ( .VAR146(VAR137[30]), .VAR98(VAR189[30]), .VAR386(VAR114) ); VAR188 VAR192 ( .VAR146(VAR137[10]), .VAR98(VAR189[10]), .VAR386(VAR23) ); VAR64 VAR203 ( .VAR101(VAR102), .VAR349(VAR190), .VAR321(VAR241), .VAR386(VAR154) ); VAR111 VAR38 ( .VAR146(VAR137[4]), .VAR98(VAR189[4]), .VAR386(VAR262) ); VAR111 VAR219 ( .VAR146(VAR32), .VAR98(VAR31), .VAR386(VAR279) ); VAR199 VAR356 ( .VAR101(VAR380), .VAR349(VAR333), .VAR321(VAR232), .VAR386(VAR145) ); VAR352 VAR26 ( .VAR146(VAR137[3]), .VAR98(VAR189[3]), .VAR386(VAR361) ); VAR393 VAR12 ( .VAR146(VAR357), .VAR386(VAR458) ); VAR393 VAR295 ( .VAR146(VAR262), .VAR386(VAR342) ); VAR393 VAR432 ( .VAR146(VAR105), .VAR386(VAR130) ); VAR352 VAR76 ( .VAR146(VAR137[7]), .VAR98(VAR189[7]), .VAR386(VAR31) ); VAR393 VAR343 ( .VAR146(VAR450), .VAR386(VAR149) ); VAR393 VAR407 ( .VAR146(VAR223), .VAR386(VAR409) ); VAR393 VAR339 ( .VAR146(VAR136), .VAR386(VAR162) ); VAR393 VAR70 ( .VAR146(VAR273), .VAR386(VAR46) ); VAR393 VAR9 ( .VAR146(VAR337), .VAR386(VAR204) ); VAR393 VAR417 ( .VAR146(VAR173), .VAR386(VAR138) ); VAR86 VAR48 ( .VAR101(VAR154), .VAR349(VAR138), .VAR321(VAR45), .VAR386(VAR82) ); VAR393 VAR256 ( .VAR146(VAR413), .VAR386(VAR45) ); VAR393 VAR331 ( .VAR146(VAR213), .VAR386(VAR428) ); VAR393 VAR446 ( .VAR146(VAR280), .VAR386(VAR455) ); VAR393 VAR81 ( .VAR146(VAR227), .VAR386(VAR11) ); VAR393 VAR175 ( .VAR146(VAR442), .VAR386(VAR370) ); VAR111 VAR106 ( .VAR146(VAR5), .VAR98(VAR119), .VAR386(VAR288) ); VAR393 VAR401 ( .VAR146(VAR338), .VAR386(VAR57) ); VAR352 VAR236 ( .VAR146(VAR137[14]), .VAR98(VAR189[14]), .VAR386(VAR5) ); VAR393 VAR457 ( .VAR146(VAR296), .VAR386(VAR332) ); VAR111 VAR444 ( .VAR146(VAR137[24]), .VAR98(VAR189[24]), .VAR386(VAR213) ); VAR393 VAR100 ( .VAR146(VAR181), .VAR386(VAR167) ); VAR393 VAR445 ( .VAR146(VAR361), .VAR386(VAR309) ); VAR434 VAR20 ( .VAR146(VAR342), .VAR98(VAR338), .VAR386(VAR240) ); VAR393 VAR139 ( .VAR146(VAR32), .VAR386(VAR134) ); VAR393 VAR351 ( .VAR146(VAR31), .VAR386(VAR360) ); VAR393 VAR248 ( .VAR146(VAR220), .VAR386(VAR297) ); VAR302 VAR222 ( .VAR146(VAR274), .VAR98(VAR390), .VAR386(VAR415[10]) ); VAR393 VAR384 ( .VAR146(VAR196), .VAR386(VAR87) ); VAR302 VAR301 ( .VAR146(VAR202), .VAR98(VAR122), .VAR386(VAR415[12]) ); VAR393 VAR148 ( .VAR146(VAR376), .VAR386(VAR290) ); VAR393 VAR206 ( .VAR146(VAR158), .VAR386(VAR198) ); VAR393 VAR180 ( .VAR146(VAR178), .VAR386(VAR410) ); VAR434 VAR324 ( .VAR146(VAR201), .VAR98(VAR348), .VAR386(VAR328) ); VAR393 VAR383 ( .VAR146(VAR24), .VAR386(VAR412) ); VAR393 VAR156 ( .VAR146(VAR79), .VAR386(VAR276) ); VAR199 VAR443 ( .VAR101(VAR210), .VAR349(VAR90), .VAR321(VAR409), .VAR386(VAR202) ); VAR231 VAR270 ( .VAR101(VAR105), .VAR349(VAR311), .VAR321(VAR391), .VAR386(VAR450) ); VAR352 VAR247 ( .VAR146(VAR137[21]), .VAR98(VAR189[21]), .VAR386(VAR173) ); VAR352 VAR257 ( .VAR146(VAR137[13]), .VAR98(VAR189[13]), .VAR386(VAR136) ); VAR352 VAR110 ( .VAR146(VAR137[11]), .VAR98(VAR189[11]), .VAR386(VAR56) ); VAR393 VAR60 ( .VAR146(VAR52), .VAR386(VAR251) ); VAR352 VAR312 ( .VAR146(VAR137[19]), .VAR98(VAR189[19]), .VAR386(VAR52) ); VAR393 VAR218 ( .VAR146(VAR287), .VAR386(VAR221) ); VAR352 VAR456 ( .VAR146(VAR137[5]), .VAR98(VAR189[5]), .VAR386(VAR287) ); VAR111 VAR403 ( .VAR146(VAR461), .VAR98(VAR381), .VAR386(VAR55) ); VAR352 VAR261 ( .VAR146(VAR137[16]), .VAR98(VAR189[16]), .VAR386(VAR376) ); VAR111 VAR305 ( .VAR146(VAR220), .VAR98(VAR462), .VAR386(VAR125) ); VAR352 VAR3 ( .VAR146(VAR137[8]), .VAR98(VAR189[8]), .VAR386(VAR220) ); VAR393 VAR329 ( .VAR146(VAR190), .VAR386(VAR323) ); VAR352 VAR300 ( .VAR146(VAR137[20]), .VAR98(VAR189[20]), .VAR386(VAR190) ); VAR393 VAR95 ( .VAR146(VAR207), .VAR386(VAR292) ); VAR111 VAR191 ( .VAR146(VAR207), .VAR98(VAR52), .VAR386(VAR333) ); VAR352 VAR286 ( .VAR146(VAR137[18]), .VAR98(VAR189[18]), .VAR386(VAR207) ); VAR231 VAR385 ( .VAR101(VAR202), .VAR349(VAR172), .VAR321(VAR133), .VAR386(VAR96) ); VAR393 VAR460 ( .VAR146(VAR172), .VAR386(VAR208) ); VAR352 VAR170 ( .VAR146(VAR137[12]), .VAR98(VAR189[12]), .VAR386(VAR172) ); VAR393 VAR41 ( .VAR146(VAR165), .VAR386(VAR268) ); VAR111 VAR263 ( .VAR146(VAR137[31]), .VAR98(VAR189[31]), .VAR386(VAR165) ); VAR86 VAR160 ( .VAR101(VAR357), .VAR349(VAR411), .VAR321(VAR404), .VAR386(VAR105) ); VAR392 VAR426 ( .VAR101(VAR47), .VAR349(VAR381), .VAR321(VAR348), .VAR386(VAR118) ); VAR393 VAR194 ( .VAR146(VAR193), .VAR386(VAR438) ); VAR393 VAR159 ( .VAR146(VAR462), .VAR386(VAR235) ); VAR393 VAR65 ( .VAR146(VAR74), .VAR386(VAR406) ); VAR231 VAR436 ( .VAR101(VAR145), .VAR349(VAR266), .VAR321(VAR80), .VAR386(VAR227) ); VAR434 VAR88 ( .VAR146(VAR309), .VAR98(VAR10), .VAR386(VAR394) ); VAR352 VAR335 ( .VAR146(VAR137[17]), .VAR98(VAR189[17]), .VAR386(VAR158) ); VAR434 VAR322 ( .VAR146(VAR137[16]), .VAR98(VAR189[16]), .VAR386(VAR142) ); VAR434 VAR363 ( .VAR146(VAR137[17]), .VAR98(VAR189[17]), .VAR386(VAR275) ); VAR231 VAR171 ( .VAR101(VAR158), .VAR349(VAR142), .VAR321(VAR275), .VAR386(VAR380) ); VAR434 VAR440 ( .VAR146(VAR137[18]), .VAR98(VAR189[18]), .VAR386(VAR364) ); VAR434 VAR433 ( .VAR146(VAR137[19]), .VAR98(VAR189[19]), .VAR386(VAR337) ); VAR392 VAR29 ( .VAR101(VAR52), .VAR349(VAR364), .VAR321(VAR337), .VAR386(VAR232) ); VAR352 VAR169 ( .VAR146(VAR137[22]), .VAR98(VAR189[22]), .VAR386(VAR178) ); VAR352 VAR37 ( .VAR146(VAR137[23]), .VAR98(VAR189[23]), .VAR386(VAR74) ); VAR111 VAR97 ( .VAR146(VAR178), .VAR98(VAR74), .VAR386(VAR13) ); VAR434 VAR36 ( .VAR146(VAR422), .VAR98(VAR13), .VAR386(VAR266) ); VAR434 VAR414 ( .VAR146(VAR137[20]), .VAR98(VAR189[20]), .VAR386(VAR241) ); VAR434 VAR128 ( .VAR146(VAR137[21]), .VAR98(VAR189[21]), .VAR386(VAR413) ); VAR434 VAR176 ( .VAR146(VAR137[22]), .VAR98(VAR189[22]), .VAR386(VAR209) ); VAR434 VAR374 ( .VAR146(VAR137[23]), .VAR98(VAR189[23]), .VAR386(VAR14) ); VAR199 VAR4 ( .VAR101(VAR153), .VAR349(VAR13), .VAR321(VAR350), .VAR386(VAR80) ); VAR434 VAR229 ( .VAR146(VAR428), .VAR98(VAR197), .VAR386(VAR461) ); VAR352 VAR39 ( .VAR146(VAR137[26]), .VAR98(VAR189[26]), .VAR386(VAR381) ); VAR434 VAR244 ( .VAR146(VAR137[24]), .VAR98(VAR189[24]), .VAR386(VAR280) ); VAR434 VAR117 ( .VAR146(VAR137[25]), .VAR98(VAR189[25]), .VAR386(VAR193) ); VAR199 VAR267 ( .VAR101(VAR197), .VAR349(VAR455), .VAR321(VAR438), .VAR386(VAR47) ); VAR434 VAR72 ( .VAR146(VAR137[26]), .VAR98(VAR189[26]), .VAR386(VAR348) ); VAR111 VAR49 ( .VAR146(VAR137[27]), .VAR98(VAR189[27]), .VAR386(VAR24) ); VAR434 VAR459 ( .VAR146(VAR137[27]), .VAR98(VAR189[27]), .VAR386(VAR104) ); VAR434 VAR368 ( .VAR146(VAR137[28]), .VAR98(VAR189[28]), .VAR386(VAR442) ); VAR199 VAR358 ( .VAR101(VAR144), .VAR349(VAR91), .VAR321(VAR370), .VAR386(VAR141) ); VAR111 VAR260 ( .VAR146(VAR137[29]), .VAR98(VAR189[29]), .VAR386(VAR79) ); VAR434 VAR314 ( .VAR146(VAR137[29]), .VAR98(VAR189[29]), .VAR386(VAR416) ); VAR434 VAR124 ( .VAR146(VAR137[30]), .VAR98(VAR189[30]), .VAR386(VAR408) ); VAR378 VAR234 ( .VAR101(VAR310), .VAR349(VAR114), .VAR321(VAR212), .VAR386(VAR400) ); VAR434 VAR345 ( .VAR146(VAR137[31]), .VAR98(VAR189[31]), .VAR386(VAR245) ); VAR111 VAR15 ( .VAR146(VAR137[1]), .VAR98(VAR189[1]), .VAR386(VAR152) ); VAR434 VAR131 ( .VAR146(VAR137[0]), .VAR98(VAR189[0]), .VAR386(VAR326) ); VAR434 VAR330 ( .VAR146(VAR137[1]), .VAR98(VAR189[1]), .VAR386(VAR291) ); VAR352 VAR151 ( .VAR146(VAR137[2]), .VAR98(VAR189[2]), .VAR386(VAR181) ); VAR434 VAR67 ( .VAR146(VAR137[2]), .VAR98(VAR189[2]), .VAR386(VAR2) ); VAR434 VAR184 ( .VAR146(VAR137[3]), .VAR98(VAR189[3]), .VAR386(VAR10) ); VAR187 VAR449 ( .VAR146(VAR421), .VAR98(VAR394), .VAR386(VAR415[3]) ); VAR434 VAR396 ( .VAR146(VAR167), .VAR98(VAR2), .VAR386(VAR107) ); VAR392 VAR425 ( .VAR101(VAR361), .VAR349(VAR2), .VAR321(VAR10), .VAR386(VAR404) ); VAR434 VAR53 ( .VAR146(VAR137[4]), .VAR98(VAR189[4]), .VAR386(VAR338) ); VAR187 VAR120 ( .VAR146(VAR130), .VAR98(VAR240), .VAR386(VAR415[4]) ); VAR352 VAR58 ( .VAR146(VAR137[10]), .VAR98(VAR189[10]), .VAR386(VAR196) ); VAR293 VAR19 ( .VAR146(VAR196), .VAR98(VAR56), .VAR386(VAR150) ); VAR434 VAR284 ( .VAR146(VAR137[11]), .VAR98(VAR189[11]), .VAR386(VAR223) ); VAR405 VAR182 ( .VAR101(VAR56), .VAR349(VAR23), .VAR321(VAR223), .VAR386(VAR69) ); VAR199 VAR427 ( .VAR101(VAR27), .VAR349(VAR150), .VAR321(VAR69), .VAR386(VAR147) ); VAR352 VAR205 ( .VAR146(VAR137[15]), .VAR98(VAR189[15]), .VAR386(VAR119) ); VAR434 VAR73 ( .VAR146(VAR344), .VAR98(VAR288), .VAR386(VAR174) ); VAR434 VAR217 ( .VAR146(VAR137[12]), .VAR98(VAR189[12]), .VAR386(VAR133) ); VAR434 VAR132 ( .VAR146(VAR137[13]), .VAR98(VAR189[13]), .VAR386(VAR296) ); VAR405 VAR429 ( .VAR101(VAR136), .VAR349(VAR133), .VAR321(VAR296), .VAR386(VAR423) ); VAR434 VAR448 ( .VAR146(VAR137[14]), .VAR98(VAR189[14]), .VAR386(VAR17) ); VAR434 VAR369 ( .VAR146(VAR137[15]), .VAR98(VAR189[15]), .VAR386(VAR51) ); VAR199 VAR402 ( .VAR101(VAR423), .VAR349(VAR288), .VAR321(VAR304), .VAR386(VAR395) ); VAR231 VAR265 ( .VAR101(VAR147), .VAR349(VAR174), .VAR321(VAR395), .VAR386(VAR273) ); VAR434 VAR168 ( .VAR146(VAR198), .VAR98(VAR275), .VAR386(VAR161) ); VAR187 VAR40 ( .VAR146(VAR163), .VAR98(VAR161), .VAR386(VAR415[17]) ); VAR393 VAR289 ( .VAR146(VAR381), .VAR386(VAR201) ); VAR187 VAR242 ( .VAR146(VAR418), .VAR98(VAR328), .VAR386(VAR415[26]) ); VAR434 VAR94 ( .VAR146(VAR197), .VAR98(VAR193), .VAR386(VAR431) ); VAR187 VAR375 ( .VAR146(VAR372), .VAR98(VAR431), .VAR386(VAR415[25]) ); VAR199 VAR42 ( .VAR101(VAR130), .VAR349(VAR342), .VAR321(VAR57), .VAR386(VAR195) ); VAR434 VAR379 ( .VAR146(VAR137[5]), .VAR98(VAR189[5]), .VAR386(VAR109) ); VAR434 VAR224 ( .VAR146(VAR221), .VAR98(VAR109), .VAR386(VAR185) ); VAR111 VAR84 ( .VAR146(VAR262), .VAR98(VAR287), .VAR386(VAR435) ); VAR392 VAR367 ( .VAR101(VAR287), .VAR349(VAR338), .VAR321(VAR109), .VAR386(VAR250) ); VAR199 VAR451 ( .VAR101(VAR130), .VAR349(VAR435), .VAR321(VAR250), .VAR386(VAR28) ); VAR352 VAR318 ( .VAR146(VAR137[6]), .VAR98(VAR189[6]), .VAR386(VAR32) ); VAR434 VAR211 ( .VAR146(VAR137[6]), .VAR98(VAR189[6]), .VAR386(VAR355) ); VAR434 VAR299 ( .VAR146(VAR137[7]), .VAR98(VAR189[7]), .VAR386(VAR397) ); VAR434 VAR366 ( .VAR146(VAR360), .VAR98(VAR397), .VAR386(VAR62) ); VAR187 VAR216 ( .VAR146(VAR264), .VAR98(VAR62), .VAR386(VAR415[7]) ); VAR434 VAR112 ( .VAR146(VAR435), .VAR98(VAR279), .VAR386(VAR311) ); VAR199 VAR441 ( .VAR101(VAR250), .VAR349(VAR279), .VAR321(VAR230), .VAR386(VAR391) ); VAR434 VAR336 ( .VAR146(VAR235), .VAR98(VAR398), .VAR386(VAR179) ); VAR187 VAR466 ( .VAR146(VAR177), .VAR98(VAR179), .VAR386(VAR415[9]) ); VAR434 VAR75 ( .VAR146(VAR292), .VAR98(VAR364), .VAR386(VAR63) ); VAR434 VAR6 ( .VAR146(VAR251), .VAR98(VAR337), .VAR386(VAR387) ); VAR187 VAR237 ( .VAR146(VAR68), .VAR98(VAR387), .VAR386(VAR415[19]) ); VAR434 VAR269 ( .VAR146(VAR412), .VAR98(VAR104), .VAR386(VAR430) ); VAR378 VAR340 ( .VAR101(VAR450), .VAR349(VAR125), .VAR321(VAR27), .VAR386(VAR274) ); VAR434 VAR303 ( .VAR146(VAR87), .VAR98(VAR23), .VAR386(VAR390) ); VAR64 VAR399 ( .VAR101(VAR274), .VAR349(VAR196), .VAR321(VAR23), .VAR386(VAR210) ); VAR434 VAR325 ( .VAR146(VAR90), .VAR98(VAR223), .VAR386(VAR113) ); VAR187 VAR271 ( .VAR146(VAR210), .VAR98(VAR113), .VAR386(VAR415[11]) ); VAR434 VAR155 ( .VAR146(VAR91), .VAR98(VAR442), .VAR386(VAR21) ); VAR187 VAR353 ( .VAR146(VAR144), .VAR98(VAR21), .VAR386(VAR415[28]) ); VAR434 VAR283 ( .VAR146(VAR323), .VAR98(VAR241), .VAR386(VAR123) ); VAR434 VAR346 ( .VAR146(VAR138), .VAR98(VAR413), .VAR386(VAR66) ); VAR187 VAR294 ( .VAR146(VAR154), .VAR98(VAR66), .VAR386(VAR415[21]) ); VAR434 VAR43 ( .VAR146(VAR276), .VAR98(VAR416), .VAR386(VAR254) ); VAR434 VAR127 ( .VAR146(VAR208), .VAR98(VAR133), .VAR386(VAR122) ); VAR434 VAR25 ( .VAR146(VAR162), .VAR98(VAR296), .VAR386(VAR77) ); VAR187 VAR454 ( .VAR146(VAR96), .VAR98(VAR77), .VAR386(VAR415[13]) ); VAR434 VAR253 ( .VAR146(VAR114), .VAR98(VAR408), .VAR386(VAR214) ); VAR187 VAR278 ( .VAR146(VAR310), .VAR98(VAR214), .VAR386(VAR415[30]) ); VAR434 VAR59 ( .VAR146(VAR406), .VAR98(VAR14), .VAR386(VAR315) ); VAR434 VAR92 ( .VAR146(VAR410), .VAR98(VAR209), .VAR386(VAR420) ); VAR378 VAR382 ( .VAR101(VAR96), .VAR349(VAR162), .VAR321(VAR332), .VAR386(VAR259) ); VAR434 VAR447 ( .VAR146(VAR228), .VAR98(VAR51), .VAR386(VAR116) ); VAR187 VAR306 ( .VAR146(VAR419), .VAR98(VAR116), .VAR386(VAR415[15]) ); VAR434 VAR157 ( .VAR146(VAR268), .VAR98(VAR245), .VAR386(VAR249) ); VAR225 VAR121 ( .VAR146(VAR400), .VAR98(VAR249), .VAR386(VAR415[31]) ); VAR434 VAR389 ( .VAR146(VAR359), .VAR98(VAR17), .VAR386(VAR85) ); VAR225 VAR246 ( .VAR146(VAR259), .VAR98(VAR85), .VAR386(VAR415[14]) ); VAR434 VAR317 ( .VAR146(VAR135), .VAR98(VAR291), .VAR386(VAR33) ); VAR302 VAR365 ( .VAR146(VAR33), .VAR98(VAR326), .VAR386(VAR415[1]) ); VAR434 VAR35 ( .VAR146(VAR290), .VAR98(VAR142), .VAR386(VAR347) ); VAR434 VAR16 ( .VAR146(VAR428), .VAR98(VAR280), .VAR386(VAR108) ); VAR434 VAR258 ( .VAR146(VAR297), .VAR98(VAR285), .VAR386(VAR61) ); VAR434 VAR34 ( .VAR146(VAR134), .VAR98(VAR355), .VAR386(VAR452) ); VAR54 VAR164 ( .VAR146(VAR137[0]), .VAR98(VAR189[0]), .VAR386(VAR308) ); VAR143 VAR277 ( .VAR146(VAR308), .VAR98(VAR326), .VAR386(VAR415[0]) ); VAR103 ("VAR463.VAR126"); endmodule
gpl-3.0
ShepardSiegel/ocpi
libsrc/hdl/ocpi/arWCIS2A4LM.v
3,380
module MODULE1 ( input VAR39, input VAR43, input [2:0] VAR35, input [0:0] VAR7, input [3:0] VAR32, input [31:0] VAR41, input [31:0] VAR20, output [1:0] VAR45, output [31:0] VAR12, output [0:0] VAR50, output [0:0] VAR10, input [0:0] VAR31, output VAR46, input VAR11, output [31:0] VAR40, output [2:0] VAR21, output VAR3, input VAR24, output [31:0] VAR29, output [3:0] VAR2, input VAR27, output VAR36, input [1:0] VAR17, output VAR38, input VAR4, output [31:0] VAR47, output [2:0] VAR22, input VAR26, output VAR37, input [31:0] VAR9, input [1:0] VAR44 ); wire[34:0] VAR15 = {VAR21, VAR40}; wire[35:0] VAR51 = {VAR2, VAR29}; wire[1:0] VAR18 = {VAR17}; wire[34:0] VAR33 = {VAR22, VAR47}; wire[33:0] VAR1 = {VAR44, VAR9}; VAR34 VAR6( .VAR42 (VAR39), .VAR25 (VAR43), .VAR35 (VAR35), .VAR7 (VAR14), .VAR32 (VAR32), .VAR41 (VAR41), .VAR20 (VAR20), .VAR45 (VAR45), .VAR12 (VAR12), .VAR50 (VAR50), .VAR10 (VAR10), .VAR31 (VAR31), .VAR15 (VAR15), .VAR23 (VAR46), .VAR8 (VAR11), .VAR51 (VAR51), .VAR49 (VAR3), .VAR16 (VAR24), .VAR18 (VAR18), .VAR30 (VAR27), .VAR48 (VAR36), .VAR33 (VAR33), .VAR28 (VAR38), .VAR5 (VAR4), .VAR1 (VAR1), .VAR13 (VAR26), .VAR19 (VAR37) ); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_isobufsrc/sky130_fd_sc_hd__lpflow_isobufsrc.pp.symbol.v
1,393
module MODULE1 ( input VAR2 , output VAR5 , input VAR1, input VAR6 , input VAR4 , input VAR7 , input VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/diode/sky130_fd_sc_lp__diode.behavioral.pp.v
1,200
module MODULE1 ( VAR2, VAR5 , VAR3 , VAR4 , VAR1 ); input VAR2; input VAR5 ; input VAR3 ; input VAR4 ; input VAR1 ; endmodule
apache-2.0
csturton/wirepatch
system/hardware/cores/clkgen/clkgen.v
6,273
module MODULE1 ( VAR41, VAR27, VAR22, VAR12, VAR39, VAR16, VAR35, VAR33, VAR49, VAR40 ); input VAR41,VAR27; output VAR12; output VAR22; input VAR39; output VAR16; output VAR35; output VAR33; output VAR49; input VAR40; wire VAR14; wire VAR18; assign VAR18 = VAR40; assign VAR14 = ~VAR18; assign VAR16 = VAR39; wire VAR15; wire VAR23; wire VAR44; wire VAR36, VAR6; wire VAR11, VAR25; wire VAR10, VAR45; wire VAR30; VAR31 VAR29 ( .VAR13(VAR44), .VAR20(VAR41), .VAR19(VAR27)); VAR21 VAR52 ( .VAR42 (VAR36), .VAR26 (), .VAR28 (), .VAR9 (), .VAR43 (), .VAR34 (), .VAR1 (VAR10), .VAR2 (), .VAR46 (VAR11), .VAR17 (VAR30), .VAR5 (VAR6), .VAR3 (VAR44), .VAR51 (1'b0)); VAR7 VAR24 ( .VAR13 (VAR6), .VAR20 (VAR36)); VAR7 VAR38 ( .VAR13 (VAR25), .VAR20 (VAR11)); VAR7 VAR32 ( .VAR13 (VAR45), .VAR20 (VAR10)); assign VAR22 = VAR45; assign VAR15 = VAR30; assign VAR35 = VAR25; assign VAR49 = VAR6; VAR4 reg [15:0] VAR37; always @(posedge VAR22 or posedge VAR14) if (VAR14) VAR37 <= 16'hffff; else VAR37 <= {VAR37[14:0], ~(VAR15)}; assign VAR12 = VAR37[15]; reg [15:0] VAR50; always @(posedge VAR35 or posedge VAR14) if (VAR14) VAR50 <= 16'hffff; else VAR50 <= {VAR50[14:0], ~(VAR15)}; assign VAR33 = VAR50[15]; endmodule MODULE1
mit
P3Stor/P3Stor
pcie/core/pcie_128_if.v
25,340
module MODULE1 #( parameter VAR29 = 100 )( input VAR202, input VAR77, output [6:0] VAR58, output [127:0] VAR223, output VAR61, output VAR230, output VAR25, output VAR97, output [1:0] VAR143, output VAR138, output VAR79, input VAR204, input VAR183, output [5:0] VAR16, output VAR41, output VAR27, input [127:0] VAR35, input VAR222, input VAR76, input [1:0] VAR93, input VAR157, input VAR47, input VAR82, input VAR170, input VAR43, output [11:0] VAR197, output [7:0] VAR220, output [11:0] VAR159, output [7:0] VAR49, output [11:0] VAR40, output [7:0] VAR188, input [2:0] VAR122, input [6:0] VAR62, input [63:0] VAR169, input VAR9, input VAR173, input VAR110, input VAR164, input VAR39, input VAR55, input VAR46, output VAR92, output VAR165, input [5:0] VAR114, input VAR139, input VAR51, input VAR32, output VAR108, output [63:0] VAR199, output VAR253, output VAR153, output VAR172, output VAR178, output VAR160, output VAR85, output VAR121, output VAR245, input [11:0] VAR154, input [7:0] VAR208, input [11:0] VAR227, input [7:0] VAR186, input [11:0] VAR224, input [7:0] VAR174, output [2:0] VAR141, input VAR247, input VAR95, input VAR22, input VAR129, input VAR136, input VAR175, input VAR132, input VAR8, input VAR26, input VAR69, input VAR130, input VAR242, input [2:0] VAR11, input [2:0] VAR84, input VAR155, input VAR149, input VAR45, input VAR106, input VAR116, input [3:0] VAR36, input VAR133, input VAR21, input VAR57, input VAR44, input [31:0] VAR109, input VAR206, input [7:0] VAR56, input [2:0] VAR146, input VAR96, input VAR225, input VAR37, input VAR98, input VAR6, input [1:0] VAR118, input VAR71, input VAR105, input VAR166, input VAR254, input VAR111, input VAR158, input VAR168, input VAR34, input VAR70, input VAR102, input [1:0] VAR244, input VAR101, input VAR180, input [3:0] VAR171, input [15:0] VAR161, input VAR65, input VAR205, input [2:0] VAR239, input VAR185, input VAR150, input [1:0] VAR241, input VAR50, input VAR203, input VAR30, input VAR234, input VAR83, input VAR72, input [6:0] VAR236, input VAR137, output [3:0] VAR99, output [31:0] VAR87, output [63:0] VAR115, output [9:0] VAR233, output VAR126, output VAR176, output VAR221, output VAR104, output VAR78, output VAR144, output VAR196, output VAR89, output [47:0] VAR73, output VAR179, output VAR75, output [7:0] VAR125, output VAR91, output VAR107, output VAR112, output VAR167, output VAR177, output VAR207, output VAR193, output [7:0] VAR68, output VAR238, output VAR33, output VAR28, output VAR66, output VAR5, output VAR240, output VAR15, output VAR190, output VAR12, output VAR198, output VAR19, output VAR214, output VAR2, output VAR53, output VAR54, output [2:0] VAR24, output [2:0] VAR127, output VAR228, output VAR64, output VAR90, output VAR1, output VAR74, output [3:0] VAR63, output VAR251, output VAR117, output VAR42, output VAR213, output [31:0] VAR210, output VAR192, output [7:0] VAR134, output [2:0] VAR60, output VAR182, output VAR4, output VAR81, output VAR100, output VAR243, output [1:0] VAR147, output VAR131, output VAR113, output VAR128, output VAR187, output VAR217, output VAR7, output VAR14, output VAR246, output VAR152, output VAR88, output [1:0] VAR209, output VAR119, output VAR235, output [3:0] VAR67, output [15:0] VAR140, output VAR252, output VAR124, output [2:0] VAR200, output VAR216, output VAR145, output [1:0] VAR156, output VAR3, output VAR162, output VAR163, output VAR237, output VAR120, output VAR94, output [6:0] VAR103, output VAR229, input [3:0] VAR211, input [31:0] VAR181, input [63:0] VAR18, input [9:0] VAR151, input VAR10, input VAR191, input VAR232, input VAR59, input VAR142, input VAR135, input VAR184, input VAR23, input [47:0] VAR219, input VAR13, input VAR231, input [7:0] VAR212, input VAR215, input VAR250, input VAR189, input VAR17, input VAR80, input VAR148, input VAR226, input [7:0] VAR86, input VAR249, input VAR218, input VAR201, input VAR123, input VAR20 ); VAR48 #( .VAR29 ( VAR29 ) ) VAR52 ( .VAR248 ( VAR95 ), .VAR31 ( VAR247 ), .VAR202 ( VAR202 ), .VAR77 ( VAR77 ), .VAR194 ( 2'h0 ), .VAR194 ( VAR156 ), .VAR58( VAR58 ), .VAR223( VAR223 ), .VAR61( VAR61 ), .VAR230( VAR230 ), .VAR25( VAR25 ), .VAR97( VAR97 ), .VAR143( VAR143 ), .VAR138( VAR138 ), .VAR79( VAR79 ), .VAR204( VAR204 ), .VAR183( VAR183 ), .VAR16( VAR16 ), .VAR41( VAR41 ), .VAR27( VAR27 ), .VAR35( VAR35 ), .VAR222( VAR222 ), .VAR76( VAR76 ), .VAR93( VAR93 ), .VAR157( VAR157 ), .VAR47( VAR47 ), .VAR82( VAR82 ), .VAR170( VAR170 ), .VAR43( VAR43 ), .VAR197( VAR197 ), .VAR220( VAR220 ), .VAR159( VAR159 ), .VAR49( VAR49 ), .VAR40( VAR40 ), .VAR188( VAR188 ), .VAR122( VAR122 ), .VAR62( VAR62 ), .VAR169( VAR169 ), .VAR9( VAR9 ), .VAR173( VAR173 ), .VAR110( VAR110 ), .VAR164( VAR164 ), .VAR39( VAR39 ), .VAR55( VAR55 ), .VAR46( VAR46 ), .VAR92( VAR92 ), .VAR165( VAR165 ), .VAR114( VAR114 ), .VAR139( VAR139 ), .VAR51( VAR51 ), .VAR32( VAR32 ), .VAR108( VAR108 ), .VAR199( VAR199 ), .VAR253( VAR253 ), .VAR153( VAR153 ), .VAR172( VAR172 ), .VAR178( VAR178 ), .VAR160( VAR160 ), .VAR85( VAR85 ), .VAR121( VAR121 ), .VAR245( VAR245 ), .VAR154( VAR154 ), .VAR208( VAR208 ), .VAR227( VAR227 ), .VAR186( VAR186 ), .VAR224( VAR224 ), .VAR174( VAR174 ), .VAR141( VAR141 ) ); VAR195 #( .VAR29( VAR29 ) ) VAR38 ( .VAR248 ( VAR95 ), .VAR31 ( VAR247 ), .VAR77 ( VAR77 ), .VAR22( VAR22 ), .VAR129( VAR129 ), .VAR136( VAR136 ), .VAR175( VAR175 ), .VAR132( VAR132 ), .VAR8( VAR8 ), .VAR26( VAR26 ), .VAR69( VAR69 ), .VAR130( VAR130 ), .VAR242( VAR242 ), .VAR11( VAR11 ), .VAR84( VAR84 ), .VAR155( VAR155 ), .VAR149( VAR149 ), .VAR45( VAR45 ), .VAR106( VAR106 ), .VAR116( VAR116 ), .VAR36( VAR36 ), .VAR133( VAR133 ), .VAR21( VAR21 ), .VAR57( VAR57 ), .VAR44( VAR44 ), .VAR109( VAR109 ), .VAR206( VAR206 ), .VAR56( VAR56 ), .VAR146( VAR146 ), .VAR96( VAR96 ), .VAR225( VAR225 ), .VAR37( VAR37 ), .VAR98( VAR98 ), .VAR6( VAR6 ), .VAR118( VAR118 ), .VAR71( VAR71 ), .VAR105( VAR105 ), .VAR166( VAR166 ), .VAR254( VAR254 ), .VAR111( VAR111 ), .VAR158( VAR158 ), .VAR168( VAR168 ), .VAR34( VAR34 ), .VAR70( VAR70 ), .VAR102( VAR102 ), .VAR244( VAR244 ), .VAR101( VAR101 ), .VAR180( VAR180 ), .VAR171( VAR171 ), .VAR161( VAR161 ), .VAR65( VAR65 ), .VAR205( VAR205 ), .VAR239( VAR239 ), .VAR185( VAR185 ), .VAR150( VAR150 ), .VAR241( VAR241 ), .VAR50( VAR50 ), .VAR203( VAR203 ), .VAR30( VAR30 ), .VAR234( VAR234 ), .VAR83( VAR83 ), .VAR72( VAR72 ), .VAR236( VAR236 ), .VAR137( VAR137 ), .VAR99( VAR99 ), .VAR87( VAR87 ), .VAR115( VAR115 ), .VAR233( VAR233 ), .VAR126( VAR126 ), .VAR176( VAR176 ), .VAR221( VAR221 ), .VAR104( VAR104 ), .VAR78( VAR78 ), .VAR144( VAR144 ), .VAR196( VAR196 ), .VAR89( VAR89 ), .VAR73( VAR73 ), .VAR179( VAR179 ), .VAR75( VAR75 ), .VAR125( VAR125 ), .VAR91( VAR91 ), .VAR107( VAR107 ), .VAR112( VAR112 ), .VAR167( VAR167 ), .VAR177( VAR177 ), .VAR207( VAR207 ), .VAR193( VAR193 ), .VAR68( VAR68 ), .VAR238( VAR238 ), .VAR33( VAR33 ), .VAR28( VAR28 ), .VAR66( VAR66 ), .VAR5( VAR5 ), .VAR240( VAR240 ), .VAR15( VAR15 ), .VAR190( VAR190 ), .VAR12( VAR12 ), .VAR198( VAR198 ), .VAR19( VAR19 ), .VAR214( VAR214 ), .VAR2( VAR2 ), .VAR53( VAR53 ), .VAR54( VAR54 ), .VAR24( VAR24 ), .VAR127( VAR127 ), .VAR228( VAR228 ), .VAR64( VAR64 ), .VAR90( VAR90 ), .VAR1( VAR1 ), .VAR74( VAR74 ), .VAR63( VAR63 ), .VAR251( VAR251 ), .VAR117( VAR117 ), .VAR42( VAR42 ), .VAR213( VAR213 ), .VAR210( VAR210 ), .VAR192( VAR192 ), .VAR134( VAR134 ), .VAR60( VAR60 ), .VAR182( VAR182 ), .VAR4( VAR4 ), .VAR81( VAR81 ), .VAR100( VAR100 ), .VAR243( VAR243 ), .VAR147( VAR147 ), .VAR131( VAR131 ), .VAR113( VAR113 ), .VAR128( VAR128 ), .VAR187( VAR187 ), .VAR217( VAR217 ), .VAR7( VAR7 ), .VAR14( VAR14 ), .VAR246( VAR246 ), .VAR152( VAR152 ), .VAR88( VAR88 ), .VAR209( VAR209 ), .VAR119( VAR119 ), .VAR235( VAR235 ), .VAR67( VAR67 ), .VAR140( VAR140 ), .VAR252( VAR252 ), .VAR124( VAR124 ), .VAR200( VAR200 ), .VAR216( VAR216 ), .VAR145( VAR145 ), .VAR156( VAR156 ), .VAR3( VAR3 ), .VAR162( VAR162 ), .VAR163( VAR163 ), .VAR237( VAR237 ), .VAR120( VAR120 ), .VAR94( VAR94 ), .VAR103( VAR103 ), .VAR229( VAR229 ), .VAR211( VAR211 ), .VAR181( VAR181 ), .VAR18( VAR18 ), .VAR151( VAR151 ), .VAR10( VAR10 ), .VAR191( VAR191 ), .VAR232( VAR232 ), .VAR59( VAR59 ), .VAR142( VAR142 ), .VAR135( VAR135 ), .VAR184( VAR184 ), .VAR23( VAR23 ), .VAR219( VAR219 ), .VAR13( VAR13 ), .VAR231( VAR231 ), .VAR212( VAR212 ), .VAR215( VAR215 ), .VAR250( VAR250 ), .VAR189( VAR189 ), .VAR17( VAR17 ), .VAR80( VAR80 ), .VAR148( VAR148 ), .VAR226( VAR226 ), .VAR86( VAR86 ), .VAR249( VAR249 ), .VAR218( VAR218 ), .VAR201( VAR201 ), .VAR123( VAR123 ), .VAR20( VAR20 ) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a21o/sky130_fd_sc_ms__a21o.functional.v
1,406
module MODULE1 ( VAR7 , VAR5, VAR9, VAR6 ); output VAR7 ; input VAR5; input VAR9; input VAR6; wire VAR3 ; wire VAR8; and VAR1 (VAR3 , VAR5, VAR9 ); or VAR4 (VAR8, VAR3, VAR6 ); buf VAR2 (VAR7 , VAR8 ); endmodule
apache-2.0
CospanDesign/nysa-verilog
verilog/axi/slave/axi_nes/rtl/ppu/rgb_vga_generator.v
7,622
module MODULE1 #( parameter VAR2 = 100 )( input clk, input rst, output [9:0] VAR5, output [9:0] VAR32, output VAR1, output VAR10, output [2:0] VAR9, output [2:0] VAR21, output [1:0] VAR12, input [5:0] VAR24, output [9:0] VAR7, output [9:0] VAR11, output [9:0] VAR29, output reg VAR33, output VAR25 ); localparam VAR31 = 400; localparam VAR4 = 260; localparam VAR3 = 365; localparam VAR6 = 238; localparam VAR35 = 32; localparam VAR15 = 259; localparam VAR8 = 32; localparam VAR8 = 32; localparam VAR16 = 0; localparam VAR14 = 0; localparam VAR26 = 256; localparam VAR17 = 240; localparam VAR28 = 60; localparam VAR34 = VAR2 / (VAR28 * VAR31 * VAR4); reg [8:0] VAR27; reg [8:0] VAR18; reg [7:0] VAR22; wire [8:0] VAR30; wire [8:0] VAR19; wire [31:0] VAR13 = VAR34; reg [7:0] VAR20; assign VAR9 = VAR22[7:5]; assign VAR21 = VAR22[4:2]; assign VAR12 = VAR22[1:0]; always @ (posedge clk) begin if (rst) begin VAR20 <= 4; VAR33 <= 0; end else begin VAR33 <= 0; if (VAR20 < VAR13 - 1) begin VAR20 <= VAR20 + 1; end else begin VAR20 <= 0; VAR33 <= 1; end end end always @ (*) begin if (VAR10 == 0) begin VAR22 = 0; end else begin case (VAR24) 6'h00: VAR22 = { 3'h3, 3'h3, 2'h1 }; 6'h01: VAR22 = { 3'h1, 3'h0, 2'h2 }; 6'h02: VAR22 = { 3'h0, 3'h0, 2'h2 }; 6'h03: VAR22 = { 3'h2, 3'h0, 2'h2 }; 6'h04: VAR22 = { 3'h4, 3'h0, 2'h1 }; 6'h05: VAR22 = { 3'h5, 3'h0, 2'h0 }; 6'h06: VAR22 = { 3'h5, 3'h0, 2'h0 }; 6'h07: VAR22 = { 3'h3, 3'h0, 2'h0 }; 6'h08: VAR22 = { 3'h2, 3'h1, 2'h0 }; 6'h09: VAR22 = { 3'h0, 3'h2, 2'h0 }; 6'h0a: VAR22 = { 3'h0, 3'h2, 2'h0 }; 6'h0b: VAR22 = { 3'h0, 3'h1, 2'h0 }; 6'h0c: VAR22 = { 3'h0, 3'h1, 2'h1 }; 6'h0d: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h0e: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h0f: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h10: VAR22 = { 3'h5, 3'h5, 2'h2 }; 6'h11: VAR22 = { 3'h0, 3'h3, 2'h3 }; 6'h12: VAR22 = { 3'h1, 3'h1, 2'h3 }; 6'h13: VAR22 = { 3'h4, 3'h0, 2'h3 }; 6'h14: VAR22 = { 3'h5, 3'h0, 2'h2 }; 6'h15: VAR22 = { 3'h7, 3'h0, 2'h1 }; 6'h16: VAR22 = { 3'h6, 3'h1, 2'h0 }; 6'h17: VAR22 = { 3'h6, 3'h2, 2'h0 }; 6'h18: VAR22 = { 3'h4, 3'h3, 2'h0 }; 6'h19: VAR22 = { 3'h0, 3'h4, 2'h0 }; 6'h1a: VAR22 = { 3'h0, 3'h5, 2'h0 }; 6'h1b: VAR22 = { 3'h0, 3'h4, 2'h0 }; 6'h1c: VAR22 = { 3'h0, 3'h4, 2'h2 }; 6'h1d: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h1e: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h1f: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h20: VAR22 = { 3'h7, 3'h7, 2'h3 }; 6'h21: VAR22 = { 3'h1, 3'h5, 2'h3 }; 6'h22: VAR22 = { 3'h2, 3'h4, 2'h3 }; 6'h23: VAR22 = { 3'h5, 3'h4, 2'h3 }; 6'h24: VAR22 = { 3'h7, 3'h3, 2'h3 }; 6'h25: VAR22 = { 3'h7, 3'h3, 2'h2 }; 6'h26: VAR22 = { 3'h7, 3'h3, 2'h1 }; 6'h27: VAR22 = { 3'h7, 3'h4, 2'h0 }; 6'h28: VAR22 = { 3'h7, 3'h5, 2'h0 }; 6'h29: VAR22 = { 3'h4, 3'h6, 2'h0 }; 6'h2a: VAR22 = { 3'h2, 3'h6, 2'h1 }; 6'h2b: VAR22 = { 3'h2, 3'h7, 2'h2 }; 6'h2c: VAR22 = { 3'h0, 3'h7, 2'h3 }; 6'h2d: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h2e: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h2f: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h30: VAR22 = { 3'h7, 3'h7, 2'h3 }; 6'h31: VAR22 = { 3'h5, 3'h7, 2'h3 }; 6'h32: VAR22 = { 3'h6, 3'h6, 2'h3 }; 6'h33: VAR22 = { 3'h6, 3'h6, 2'h3 }; 6'h34: VAR22 = { 3'h7, 3'h6, 2'h3 }; 6'h35: VAR22 = { 3'h7, 3'h6, 2'h3 }; 6'h36: VAR22 = { 3'h7, 3'h5, 2'h2 }; 6'h37: VAR22 = { 3'h7, 3'h6, 2'h2 }; 6'h38: VAR22 = { 3'h7, 3'h7, 2'h2 }; 6'h39: VAR22 = { 3'h7, 3'h7, 2'h2 }; 6'h3a: VAR22 = { 3'h5, 3'h7, 2'h2 }; 6'h3b: VAR22 = { 3'h5, 3'h7, 2'h3 }; 6'h3c: VAR22 = { 3'h4, 3'h7, 2'h3 }; 6'h3d: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h3e: VAR22 = { 3'h0, 3'h0, 2'h0 }; 6'h3f: VAR22 = { 3'h0, 3'h0, 2'h0 }; endcase end end assign VAR25 = ((VAR27 == VAR3) && (VAR18 == VAR6)) ? 1 : ((VAR27 == VAR35) && (VAR18 == VAR15)) ? 0 : VAR25; assign VAR30 = VAR27 - VAR8; assign VAR19 = VAR18 - VAR16; assign VAR7 = {1'b0, VAR30}; assign VAR11 = {1'b0, VAR19}; assign VAR29 = (VAR27 == (VAR31 / 2)) ? VAR11 + 1 : !rst ? VAR29 : 10'h000; assign VAR10 = (VAR1) && ((VAR7 >= VAR8) && (VAR7 <= VAR8 + VAR26 + VAR23)); assign VAR1 = ((VAR11 == VAR16) ) ? 1'b1 : ((VAR11 == (VAR16 + VAR17 + VAR14))) ? 1'b0: !rst ? VAR1 : 1'b0; assign VAR5 = (VAR8 + VAR26 + VAR23); assign VAR32 = (VAR16 + VAR17 + VAR14); always @ (posedge clk) begin if (rst) begin VAR27 <= 0; VAR18 <= 0; end else begin if (VAR33) begin if (VAR27 < (VAR31 - 1)) begin VAR27 <= VAR27 + 1; end else begin VAR27 <= 0; if (VAR18 < (VAR4 - 1)) begin VAR18 <= VAR18 + 1; end else begin VAR18 <= 0; end end end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o21ba/sky130_fd_sc_hd__o21ba.behavioral.pp.v
2,037
module MODULE1 ( VAR3 , VAR1 , VAR6 , VAR15, VAR14, VAR12, VAR7 , VAR13 ); output VAR3 ; input VAR1 ; input VAR6 ; input VAR15; input VAR14; input VAR12; input VAR7 ; input VAR13 ; wire VAR9 ; wire VAR11 ; wire VAR5; nor VAR16 (VAR9 , VAR1, VAR6 ); nor VAR8 (VAR11 , VAR15, VAR9 ); VAR2 VAR4 (VAR5, VAR11, VAR14, VAR12); buf VAR10 (VAR3 , VAR5 ); endmodule
apache-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_system_ila_0/bd_0/ip/ip_3/synth/bd_350b_slot_0_w_0.v
4,558
module MODULE1 ( VAR42, VAR48, dout ); input wire [0 : 0] VAR42; input wire [0 : 0] VAR48; output wire [1 : 0] dout; VAR12 #( .VAR40(1), .VAR20(1), .VAR22(1), .VAR67(1), .VAR15(1), .VAR68(1), .VAR2(1), .VAR16(1), .VAR21(1), .VAR26(1), .VAR6(1), .VAR34(1), .VAR60(1), .VAR50(1), .VAR57(1), .VAR52(1), .VAR61(1), .VAR41(1), .VAR13(1), .VAR9(1), .VAR65(1), .VAR59(1), .VAR51(1), .VAR46(1), .VAR47(1), .VAR39(1), .VAR37(1), .VAR49(1), .VAR35(1), .VAR53(1), .VAR33(1), .VAR5(1), .VAR3(2), .VAR11(2) ) VAR56 ( .VAR42(VAR42), .VAR48(VAR48), .VAR55(1'VAR66), .VAR7(1'VAR66), .VAR18(1'VAR66), .VAR29(1'VAR66), .VAR4(1'VAR66), .VAR25(1'VAR66), .VAR54(1'VAR66), .VAR8(1'VAR66), .VAR36(1'VAR66), .VAR19(1'VAR66), .VAR17(1'VAR66), .VAR1(1'VAR66), .VAR24(1'VAR66), .VAR58(1'VAR66), .VAR28(1'VAR66), .VAR32(1'VAR66), .VAR44(1'VAR66), .VAR38(1'VAR66), .VAR30(1'VAR66), .VAR69(1'VAR66), .VAR31(1'VAR66), .VAR14(1'VAR66), .VAR45(1'VAR66), .VAR23(1'VAR66), .VAR43(1'VAR66), .VAR27(1'VAR66), .VAR63(1'VAR66), .VAR10(1'VAR66), .VAR64(1'VAR66), .VAR62(1'VAR66), .dout(dout) ); endmodule
mit
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/output_port_lookup/cam_router/src/eth_parser.v
6,321
module MODULE1 parameter VAR12 = 8, parameter VAR36 = VAR5(VAR12) ) ( input [VAR32-1:0] VAR27, output VAR21, output VAR11, output VAR20, output VAR14, output [VAR36-1:0] VAR30, input VAR9, output VAR17, input VAR19, input VAR22, input VAR1, input [47:0] VAR15, input [47:0] VAR4, input [47:0] VAR37, input [47:0] VAR24, input reset, input clk ); function integer VAR5; input integer VAR7; begin VAR5=0; while(2**VAR5<VAR7) begin VAR5=VAR5+1; end end endfunction parameter VAR16 = 16'h0806; parameter VAR28 = 16'h0800; parameter VAR23 = 0; parameter VAR8 = 1; reg [47:0] VAR13; reg [47:0] VAR3; reg [15:0] VAR26; reg VAR29; reg state, VAR43; reg [VAR5(VAR12/2):0] VAR10, VAR33; reg VAR38; reg VAR35; wire VAR34; VAR6 #(.VAR31(4+VAR36), .VAR18(2)) VAR2 (.din ({VAR35, (VAR26==VAR16), (VAR26==VAR28), (VAR34), {VAR10[VAR5(VAR12/2)-1:0], 1'b0}}), .VAR38 (VAR38), .VAR40 (VAR9), .dout ({VAR20, VAR21, VAR11, VAR14, VAR30}), .VAR42 (), .VAR25 (), .VAR39 (), .VAR41 (VAR41), .reset (reset), .clk (clk) ); assign VAR17 = !VAR41; assign VAR34 = VAR13[40]; always @ begin VAR43 = state; VAR33 = VAR10; VAR38 = 0; VAR35 = 0; case(state) VAR23: begin if(VAR29) begin VAR43 = VAR8; VAR33 = VAR12/2; end end VAR8: begin VAR33 = VAR10-1; if(VAR3==VAR13 || VAR34) begin VAR38 = 1; VAR43 = VAR23; VAR35 = 1; end else if(VAR10 == 0) begin VAR43 = VAR23; VAR38 = 1; end end endcase end always @(posedge clk) begin if(reset) begin state <= VAR23; VAR10 <= 0; end else begin state <= VAR43; VAR10 <= VAR33; end end always @(posedge clk) begin if(state==VAR8 && VAR19) begin end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/decap/sky130_fd_sc_hdll__decap_6.v
1,886
module MODULE1 ( VAR1, VAR5, VAR4 , VAR6 ); input VAR1; input VAR5; input VAR4 ; input VAR6 ; VAR2 VAR3 ( .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6) ); endmodule module MODULE1 (); supply1 VAR1; supply0 VAR5; supply1 VAR4 ; supply0 VAR6 ; VAR2 VAR3 (); endmodule
apache-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/fetch/wrap_ref_luma.v
2,228
module MODULE1 ( clk , VAR15 , VAR6 , VAR11 , VAR1 , VAR5 , VAR9 , VAR12 ); input [1-1:0] clk ; input [1-1:0] VAR15 ; input [1-1:0] VAR6 ; input [7-1:0] VAR11 ; input [96*VAR10-1:0] VAR1 ; input [1-1:0] VAR5 ; input [7-1:0] VAR9 ; output [96*VAR10-1:0] VAR12 ; wire [7-1:0] VAR4; assign VAR4 = (VAR6) ? VAR11 : VAR9; VAR16 #(.VAR3(7),.VAR2(VAR10*96)) VAR8 ( .clk (clk), .VAR13(1'b0), .VAR7(~VAR6), .VAR14(VAR4), .VAR17(VAR1), .VAR18(VAR12) ); endmodule
gpl-3.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone/ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_axi3_conv.v
26,458
module MODULE1 # ( parameter VAR11 = "none", parameter integer VAR49 = 1, parameter integer VAR30 = 32, parameter integer VAR57 = 32, parameter integer VAR157 = 0, parameter integer VAR161 = 1, parameter integer VAR78 = 1, parameter integer VAR43 = 1, parameter integer VAR126 = 1, parameter integer VAR153 = 1, parameter integer VAR87 = 1, parameter integer VAR14 = 1, parameter integer VAR44 = 1, parameter integer VAR159 = 1, parameter integer VAR114 = 1 ) ( input wire VAR82, input wire VAR102, input wire [VAR49-1:0] VAR69, input wire [VAR30-1:0] VAR160, input wire [8-1:0] VAR47, input wire [3-1:0] VAR72, input wire [2-1:0] VAR46, input wire [1-1:0] VAR152, input wire [4-1:0] VAR9, input wire [3-1:0] VAR35, input wire [4-1:0] VAR133, input wire [VAR161-1:0] VAR42, input wire VAR73, output wire VAR131, input wire [VAR57-1:0] VAR139, input wire [VAR57/8-1:0] VAR99, input wire VAR65, input wire [VAR43-1:0] VAR63, input wire VAR74, output wire VAR123, output wire [VAR49-1:0] VAR4, output wire [2-1:0] VAR16, output wire [VAR153-1:0] VAR84, output wire VAR61, input wire VAR8, input wire [VAR49-1:0] VAR20, input wire [VAR30-1:0] VAR143, input wire [8-1:0] VAR116, input wire [3-1:0] VAR38, input wire [2-1:0] VAR59, input wire [1-1:0] VAR41, input wire [4-1:0] VAR3, input wire [3-1:0] VAR5, input wire [4-1:0] VAR127, input wire [VAR78-1:0] VAR76, input wire VAR70, output wire VAR108, output wire [VAR49-1:0] VAR138, output wire [VAR57-1:0] VAR6, output wire [2-1:0] VAR75, output wire VAR86, output wire [VAR126-1:0] VAR111, output wire VAR103, input wire VAR1, output wire [VAR49-1:0] VAR19, output wire [VAR30-1:0] VAR2, output wire [4-1:0] VAR68, output wire [3-1:0] VAR52, output wire [2-1:0] VAR33, output wire [2-1:0] VAR94, output wire [4-1:0] VAR130, output wire [3-1:0] VAR31, output wire [4-1:0] VAR128, output wire [VAR161-1:0] VAR110, output wire VAR101, input wire VAR36, output wire [VAR49-1:0] VAR120, output wire [VAR57-1:0] VAR158, output wire [VAR57/8-1:0] VAR148, output wire VAR12, output wire [VAR43-1:0] VAR39, output wire VAR149, input wire VAR165, input wire [VAR49-1:0] VAR92, input wire [2-1:0] VAR28, input wire [VAR153-1:0] VAR88, input wire VAR129, output wire VAR51, output wire [VAR49-1:0] VAR37, output wire [VAR30-1:0] VAR97, output wire [4-1:0] VAR147, output wire [3-1:0] VAR15, output wire [2-1:0] VAR54, output wire [2-1:0] VAR141, output wire [4-1:0] VAR167, output wire [3-1:0] VAR156, output wire [4-1:0] VAR58, output wire [VAR78-1:0] VAR93, output wire VAR117, input wire VAR27, input wire [VAR49-1:0] VAR18, input wire [VAR57-1:0] VAR67, input wire [2-1:0] VAR132, input wire VAR60, input wire [VAR126-1:0] VAR104, input wire VAR98, output wire VAR155 ); generate if (VAR87 == 1) begin : VAR142 wire VAR163; wire [VAR49-1:0] VAR122; wire [4-1:0] VAR134; wire VAR121; wire VAR83; wire VAR77; wire [4-1:0] VAR125; wire VAR21; VAR95 # ( .VAR11 (VAR11), .VAR49 (VAR49), .VAR30 (VAR30), .VAR57 (VAR57), .VAR157 (VAR157), .VAR164 (VAR161), .VAR113 (0), .VAR44 (VAR44), .VAR159 (VAR159), .VAR114 (VAR114) ) VAR29 ( .VAR90 (~VAR102), .VAR82 (VAR82), .VAR62 (VAR163), .VAR107 (), .VAR96 (VAR122), .VAR151 (VAR134), .VAR79 (VAR121), .VAR118 (VAR83), .VAR13 (VAR77), .VAR24 (VAR125), .VAR100 (VAR21), .VAR89 (VAR69), .VAR22 (VAR160), .VAR34 (VAR47), .VAR140 (VAR72), .VAR150 (VAR46), .VAR124 (VAR152), .VAR26 (VAR9), .VAR145 (VAR35), .VAR10 (VAR133), .VAR91 (VAR42), .VAR162 (VAR73), .VAR71 (VAR131), .VAR25 (VAR19), .VAR144 (VAR2), .VAR115 (VAR68), .VAR66 (VAR52), .VAR17 (VAR33), .VAR146 (VAR94), .VAR56 (VAR130), .VAR23 (VAR31), .VAR154 (VAR128), .VAR137 (VAR110), .VAR112 (VAR101), .VAR40 (VAR36) ); VAR7 # ( .VAR11 (VAR11), .VAR49 (VAR49), .VAR57 (VAR57), .VAR157 (VAR157), .VAR43 (VAR43), .VAR44 (VAR44), .VAR159 (VAR159) ) VAR53 ( .VAR90 (~VAR102), .VAR82 (VAR82), .VAR62 (VAR163), .VAR96 (VAR122), .VAR151 (VAR134), .VAR79 (VAR121), .VAR139 (VAR139), .VAR99 (VAR99), .VAR65 (VAR65), .VAR63 (VAR63), .VAR74 (VAR74), .VAR123 (VAR123), .VAR120 (VAR120), .VAR158 (VAR158), .VAR148 (VAR148), .VAR12 (VAR12), .VAR39 (VAR39), .VAR149 (VAR149), .VAR165 (VAR165) ); if ( VAR44 == 1 && VAR159 == 1 ) begin : VAR64 VAR105 # ( .VAR11 (VAR11), .VAR49 (VAR49), .VAR157 (VAR157), .VAR153 (VAR153) ) VAR85 ( .VAR90 (~VAR102), .VAR82 (VAR82), .VAR62 (VAR83), .VAR107 (VAR77), .VAR135 (VAR125), .VAR79 (VAR21), .VAR4 (VAR4), .VAR16 (VAR16), .VAR84 (VAR84), .VAR61 (VAR61), .VAR8 (VAR8), .VAR92 (VAR92), .VAR28 (VAR28), .VAR88 (VAR88), .VAR129 (VAR129), .VAR51 (VAR51) ); end else begin : VAR48 assign VAR4 = VAR92; assign VAR16 = VAR28; assign VAR84 = VAR88; assign VAR61 = VAR129; assign VAR51 = VAR8; end end else begin : VAR166 assign VAR131 = 1'b0; assign VAR123 = 1'b0; assign VAR4 = {VAR49{1'b0}}; assign VAR16 = 2'b0; assign VAR84 = {VAR153{1'b0}}; assign VAR61 = 1'b0; assign VAR19 = {VAR49{1'b0}}; assign VAR2 = {VAR30{1'b0}}; assign VAR68 = 4'b0; assign VAR52 = 3'b0; assign VAR33 = 2'b0; assign VAR94 = 2'b0; assign VAR130 = 4'b0; assign VAR31 = 3'b0; assign VAR128 = 4'b0; assign VAR110 = {VAR161{1'b0}}; assign VAR101 = 1'b0; assign VAR158 = {VAR57{1'b0}}; assign VAR148 = {VAR57/8{1'b0}}; assign VAR12 = 1'b0; assign VAR39 = {VAR43{1'b0}}; assign VAR149 = 1'b0; assign VAR51 = 1'b0; end endgenerate generate if (VAR14 == 1) begin : VAR81 if ( VAR44 == 1 && VAR159 == 1 ) begin : VAR136 wire VAR80; wire VAR119; wire VAR106; VAR95 # ( .VAR11 (VAR11), .VAR49 (VAR49), .VAR30 (VAR30), .VAR57 (VAR57), .VAR157 (VAR157), .VAR164 (VAR78), .VAR113 (1), .VAR44 (VAR44), .VAR159 (VAR159), .VAR114 (VAR114) ) VAR45 ( .VAR90 (~VAR102), .VAR82 (VAR82), .VAR62 (VAR80), .VAR107 (VAR119), .VAR96 (), .VAR151 (), .VAR79 (VAR106), .VAR118 (), .VAR13 (), .VAR24 (), .VAR100 (1'b0), .VAR89 (VAR20), .VAR22 (VAR143), .VAR34 (VAR116), .VAR140 (VAR38), .VAR150 (VAR59), .VAR124 (VAR41), .VAR26 (VAR3), .VAR145 (VAR5), .VAR10 (VAR127), .VAR91 (VAR76), .VAR162 (VAR70), .VAR71 (VAR108), .VAR25 (VAR37), .VAR144 (VAR97), .VAR115 (VAR147), .VAR66 (VAR15), .VAR17 (VAR54), .VAR146 (VAR141), .VAR56 (VAR167), .VAR23 (VAR156), .VAR154 (VAR58), .VAR137 (VAR93), .VAR112 (VAR117), .VAR40 (VAR27) ); VAR50 # ( .VAR11 (VAR11), .VAR49 (VAR49), .VAR57 (VAR57), .VAR157 (VAR157), .VAR126 (VAR126), .VAR44 (VAR44), .VAR159 (VAR159) ) VAR32 ( .VAR90 (~VAR102), .VAR82 (VAR82), .VAR62 (VAR80), .VAR107 (VAR119), .VAR79 (VAR106), .VAR138 (VAR138), .VAR6 (VAR6), .VAR75 (VAR75), .VAR86 (VAR86), .VAR111 (VAR111), .VAR103 (VAR103), .VAR1 (VAR1), .VAR18 (VAR18), .VAR67 (VAR67), .VAR132 (VAR132), .VAR60 (VAR60), .VAR104 (VAR104), .VAR98 (VAR98), .VAR155 (VAR155) ); end else begin : VAR109 assign VAR37 = VAR20; assign VAR97 = VAR143; assign VAR147 = VAR116; assign VAR15 = VAR38; assign VAR54 = VAR59; assign VAR141 = VAR41; assign VAR167 = VAR3; assign VAR156 = VAR5; assign VAR58 = VAR127; assign VAR93 = VAR76; assign VAR117 = VAR70; assign VAR108 = VAR27; assign VAR138 = VAR18; assign VAR6 = VAR67; assign VAR75 = VAR132; assign VAR86 = VAR60; assign VAR111 = VAR104; assign VAR103 = VAR98; assign VAR155 = VAR1; end end else begin : VAR55 assign VAR108 = 1'b0; assign VAR138 = {VAR49{1'b0}}; assign VAR6 = {VAR57{1'b0}}; assign VAR75 = 2'b0; assign VAR86 = 1'b0; assign VAR111 = {VAR126{1'b0}}; assign VAR103 = 1'b0; assign VAR37 = {VAR49{1'b0}}; assign VAR97 = {VAR30{1'b0}}; assign VAR147 = 4'b0; assign VAR15 = 3'b0; assign VAR54 = 2'b0; assign VAR141 = 2'b0; assign VAR167 = 4'b0; assign VAR156 = 3'b0; assign VAR58 = 4'b0; assign VAR93 = {VAR78{1'b0}}; assign VAR117 = 1'b0; assign VAR155 = 1'b0; end endgenerate endmodule
gpl-3.0
keith-epidev/VHDL-lib
top/lab_7/part_2/ip/clk_adc/clk_adc_stub.v
1,196
module MODULE1(VAR4, VAR2, VAR1, VAR3) ; input VAR4; input VAR2; output VAR1; output VAR3; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and3/sky130_fd_sc_hdll__and3.functional.pp.v
1,828
module MODULE1 ( VAR2 , VAR11 , VAR8 , VAR12 , VAR6, VAR7, VAR1 , VAR4 ); output VAR2 ; input VAR11 ; input VAR8 ; input VAR12 ; input VAR6; input VAR7; input VAR1 ; input VAR4 ; wire VAR10 ; wire VAR9; and VAR3 (VAR10 , VAR12, VAR11, VAR8 ); VAR13 VAR14 (VAR9, VAR10, VAR6, VAR7); buf VAR5 (VAR2 , VAR9 ); endmodule
apache-2.0
DougFirErickson/parallella-hw
fpga/src/elink/hdl/etx_arbiter.v
5,695
module MODULE1 ( VAR26, VAR27, VAR16, VAR31, VAR19, VAR22, VAR32, VAR30, VAR13, VAR20, VAR23, reset, VAR25, VAR18, VAR37, VAR10, VAR34, VAR8, VAR17, VAR36, VAR15, VAR11, VAR21, VAR29, VAR35, VAR4, VAR14, VAR5, VAR24, VAR7, VAR2, VAR33, VAR38, VAR3, VAR1, VAR6 ); input VAR23; input reset; input VAR25; input VAR18; input [1:0] VAR37; input [3:0] VAR10; input [31:0] VAR34; input [31:0] VAR8; input [31:0] VAR17; output VAR26; input VAR36; input VAR15; input [1:0] VAR11; input [3:0] VAR21; input [31:0] VAR29; input [31:0] VAR35; input [31:0] VAR4; output VAR27; input VAR14; input VAR5; input [1:0] VAR24; input [3:0] VAR7; input [31:0] VAR2; input [31:0] VAR33; input [31:0] VAR38; output VAR16; output VAR31; output VAR19; output [1:0] VAR22; output [3:0] VAR32; output [31:0] VAR30; output [31:0] VAR13; output [31:0] VAR20; input VAR3; input VAR1; input VAR6; reg ready; reg VAR19; reg [1:0] VAR22; reg [3:0] VAR32; reg [31:0] VAR30; reg [31:0] VAR13; reg [31:0] VAR20; wire VAR12; wire VAR28; wire VAR9; wire VAR16; wire VAR26; assign VAR9 = VAR25 & ~VAR1; assign VAR28 = VAR36 & ~VAR3 & ~VAR9; assign VAR12 = VAR14 & ~VAR1 & ~VAR9 & ~VAR28; assign VAR16 = VAR12 & (~ready | VAR6); assign VAR27 = VAR28 & (~ready | VAR6); assign VAR26 = VAR9 & (~ready | VAR6); always @ (posedge VAR23) if( reset ) begin ready <= 1'b0; VAR19 <= 1'b0; VAR22[1:0] <= 2'b0; VAR32[3:0] <= 4'b0; VAR30[31:0] <= 32'b0; VAR20[31:0] <= 32'b0; VAR13[31:0] <= 32'b0; end else if (VAR16 | VAR27 | VAR26 ) begin ready <= 1'b1; VAR19 <= VAR16 ? 1'b1 : VAR27 ? 1'b0 : 1'b1; VAR22[1:0] <= VAR16 ? VAR24[1:0] : VAR27 ? VAR11[1:0] : VAR37[1:0]; VAR32[3:0] <= VAR16 ? VAR7[3:0] : VAR27 ? VAR21[3:0] : VAR10[3:0]; VAR30[31:0] <= VAR16 ? VAR2[31:0] : VAR27 ? VAR29[31:0] : VAR34[31:0]; VAR20[31:0] <= VAR16 ? VAR33[31:0] : VAR27 ? VAR35[31:0] : VAR8[31:0]; VAR13[31:0] <= VAR16 ? VAR38[31:0] : VAR27 ? VAR4[31:0] : VAR17[31:0]; end else if (VAR6) begin ready <= 1'b0; end assign VAR31 = ready; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/and2b/sky130_fd_sc_ms__and2b.behavioral.v
1,452
module MODULE1 ( VAR12 , VAR2, VAR7 ); output VAR12 ; input VAR2; input VAR7 ; supply1 VAR1; supply0 VAR11; supply1 VAR9 ; supply0 VAR10 ; wire VAR4 ; wire VAR5; not VAR8 (VAR4 , VAR2 ); and VAR6 (VAR5, VAR4, VAR7 ); buf VAR3 (VAR12 , VAR5 ); endmodule
apache-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_sfifo_15x128.v
13,760
module MODULE1( clk, rst, din, VAR211, VAR242, dout, VAR360, VAR68, VAR229, VAR289 ); input clk; input rst; input [127 : 0] din; input VAR211; input VAR242; output [127 : 0] dout; output VAR360; output VAR68; output VAR229; output VAR289; VAR351 #( .VAR231(0), .VAR274(0), .VAR387(0), .VAR83(0), .VAR149(0), .VAR415(0), .VAR82(0), .VAR284(32), .VAR368(1), .VAR136(1), .VAR137(1), .VAR47(64), .VAR419(4), .VAR402(1), .VAR405(0), .VAR423(1), .VAR169(64), .VAR230(4), .VAR421(8), .VAR247(4), .VAR44(4), .VAR340(4), .VAR381(0), .VAR54(1), .VAR294(0), .VAR151(4), .VAR172("VAR148"), .VAR116(128), .VAR285(1), .VAR278(32), .VAR244(64), .VAR7(32), .VAR396(64), .VAR209(2), .VAR377("0"), .VAR19(128), .VAR328(0), .VAR36(1), .VAR49(0), .VAR300(0), .VAR166(0), .VAR80(0), .VAR178(0), .VAR108(0), .VAR208(0), .VAR404("VAR240"), .VAR134(1), .VAR128(0), .VAR38(0), .VAR291(0), .VAR398(0), .VAR411(0), .VAR341(0), .VAR190(0), .VAR321(0), .VAR114(0), .VAR403(0), .VAR392(0), .VAR361(0), .VAR133(0), .VAR105(0), .VAR113(1), .VAR25(0), .VAR422(0), .VAR155(0), .VAR388(0), .VAR393(0), .VAR27(0), .VAR373(0), .VAR347(0), .VAR11(0), .VAR59(0), .VAR139(0), .VAR201(0), .VAR303(0), .VAR164(0), .VAR264(0), .VAR425(0), .VAR386(0), .VAR394(0), .VAR86(0), .VAR30(0), .VAR84(0), .VAR35(0), .VAR63(1), .VAR181(0), .VAR162(0), .VAR101(0), .VAR132(0), .VAR157(0), .VAR175(0), .VAR90(0), .VAR50(1), .VAR375(1), .VAR337(1), .VAR153(1), .VAR265(1), .VAR194(1), .VAR13(1), .VAR226(0), .VAR322(0), .VAR314(3), .VAR187("VAR148"), .VAR331(1), .VAR238(0), .VAR342(0), .VAR378(1), .VAR170(0), .VAR23("512x72"), .VAR79(2), .VAR107(1022), .VAR87(1022), .VAR333(1022), .VAR372(1022), .VAR124(1022), .VAR302(1022), .VAR338(3), .VAR346(1), .VAR70(0), .VAR224(0), .VAR159(0), .VAR146(0), .VAR198(0), .VAR407(0), .VAR326(12), .VAR309(1023), .VAR144(1023), .VAR1(1023), .VAR397(1023), .VAR69(1023), .VAR374(1023), .VAR363(11), .VAR73(1), .VAR14(0), .VAR185(0), .VAR203(0), .VAR196(0), .VAR389(0), .VAR410(0), .VAR12(0), .VAR269(4), .VAR301(16), .VAR357(1), .VAR10(4), .VAR160(0), .VAR427(0), .VAR125(0), .VAR85(0), .VAR142(0), .VAR129(0), .VAR365(0), .VAR210(2), .VAR143(0), .VAR81(0), .VAR29(0), .VAR32(0), .VAR154(1), .VAR366(0), .VAR262(0), .VAR336(0), .VAR297(0), .VAR106(0), .VAR18(0), .VAR254(0), .VAR205(0), .VAR213(0), .VAR270(0), .VAR406(0), .VAR345(0), .VAR276(0), .VAR416(0), .VAR89(4), .VAR273(16), .VAR334(1024), .VAR51(16), .VAR188(1024), .VAR117(16), .VAR207(1024), .VAR296(16), .VAR176(1), .VAR16(4), .VAR293(10), .VAR305(4), .VAR239(10), .VAR165(4), .VAR313(10), .VAR279(4), .VAR227(1), .VAR255(0) ) VAR417 ( .VAR179(clk), .VAR287(rst), .VAR286(din), .VAR206(VAR211), .VAR290(VAR242), .VAR249(dout), .VAR96(VAR360), .VAR364(VAR68), .VAR329(VAR229), .VAR280(VAR289), .VAR147(), .VAR6(), .VAR102(), .VAR385(), .VAR91(), .VAR115(), .VAR358(), .VAR193(), .VAR306(), .VAR98(), .VAR330(), .VAR237(), .VAR272(), .VAR130(), .VAR350(), .VAR189(), .VAR260(), .VAR339(), .VAR400(), .VAR390(), .VAR245(), .VAR253(), .VAR199(), .VAR275(), .VAR352(), .VAR312(), .VAR127(), .VAR167(), .VAR220(), .VAR319(), .VAR37(), .VAR418(), .VAR56(), .VAR382(), .VAR320(), .VAR277(), .VAR184(), .VAR34(), .VAR311(), .VAR2(), .VAR110(), .VAR401(), .VAR228(), .VAR67(), .VAR163(), .VAR57(), .VAR307(), .VAR195(), .VAR120(), .VAR324(), .VAR380(), .VAR131(), .VAR173(), .VAR353(), .VAR24(), .VAR370(), .VAR200(), .VAR103(), .VAR218(), .VAR186(), .VAR271(), .VAR426(), .VAR112(), .VAR310(), .VAR21(), .VAR317(), .VAR15(), .VAR65(), .VAR55(), .VAR256(), .VAR202(), .VAR266(), .VAR28(), .VAR192(), .VAR327(), .VAR88(), .VAR261(), .VAR335(), .VAR135(), .VAR93(), .VAR257(), .VAR41(), .VAR371(), .VAR243(), .VAR3(), .VAR233(), .VAR204(), .VAR39(), .VAR123(), .VAR140(), .VAR71(), .VAR250(), .VAR52(), .VAR288(), .VAR76(), .VAR281(), .VAR413(), .VAR343(), .VAR214(), .VAR348(), .VAR246(), .VAR100(), .VAR94(), .VAR104(), .VAR17(), .VAR33(), .VAR182(), .VAR420(), .VAR235(), .VAR141(), .VAR77(), .VAR248(), .VAR369(), .VAR46(), .VAR384(), .VAR355(), .VAR367(), .VAR61(), .VAR268(), .VAR109(), .VAR251(), .VAR362(), .VAR323(), .VAR58(), .VAR212(), .VAR391(), .VAR126(), .VAR223(), .VAR177(), .VAR119(), .VAR359(), .VAR298(), .VAR295(), .VAR97(), .VAR395(), .VAR95(), .VAR4(), .VAR42(), .VAR217(), .VAR74(), .VAR409(), .VAR60(), .VAR8(), .VAR318(), .VAR26(), .VAR92(), .VAR316(), .VAR216(), .VAR20(), .VAR258(), .VAR121(), .VAR232(), .VAR424(), .VAR222(), .VAR48(), .VAR219(), .VAR152(), .VAR308(), .VAR75(), .VAR215(), .VAR45(), .VAR282(), .VAR66(), .VAR168(), .VAR22(), .VAR263(), .VAR354(), .VAR180(), .VAR225(), .VAR158(), .VAR252(), .VAR171(), .VAR191(), .VAR53(), .VAR332(), .VAR234(), .VAR221(), .VAR315(), .VAR349(), .VAR183(), .VAR241(), .VAR379(), .VAR325(), .VAR414(), .VAR40(), .VAR399(), .VAR150(), .VAR408(), .VAR236(), .VAR64(), .VAR156(), .VAR78(), .VAR111(), .VAR9(), .VAR138(), .VAR299(), .VAR118(), .VAR31(), .VAR174(), .VAR72(), .VAR99(), .VAR283(), .VAR161(), .VAR62(), .VAR5(), .VAR145(), .VAR259(), .VAR376(), .VAR356(), .VAR383(), .VAR267(), .VAR122(), .VAR412(), .VAR304(), .VAR344(), .VAR197(), .VAR43(), .VAR292() ); endmodule
gpl-2.0
efabless/openlane
designs/y_huff/src/y_huff.v
68,051
module MODULE1(clk, rst, enable, VAR247, VAR403, VAR408, VAR194, VAR326, VAR377, VAR16, VAR375, VAR265, VAR100, VAR435, VAR63, VAR382, VAR127, VAR347, VAR311, VAR108, VAR243, VAR155, VAR252, VAR363, VAR161, VAR11, VAR88, VAR114, VAR6, VAR343, VAR30, VAR414, VAR349, VAR32, VAR237, VAR211, VAR389, VAR41, VAR438, VAR353, VAR213, VAR276, VAR199, VAR221, VAR68, VAR337, VAR3, VAR18, VAR46, VAR26, VAR217, VAR178, VAR181, VAR424, VAR2, VAR74, VAR102, VAR209, VAR131, VAR149, VAR163, VAR294, VAR126, VAR400, VAR190, VAR398, VAR297, VAR135, VAR119, VAR29, VAR137, VAR94); input clk; input rst; input enable; input [10:0] VAR247, VAR403, VAR408, VAR194, VAR326, VAR377, VAR16, VAR375, VAR265, VAR100, VAR435, VAR63; input [10:0] VAR382, VAR127, VAR347, VAR311, VAR108, VAR243, VAR155, VAR252, VAR363, VAR161, VAR11, VAR88; input [10:0] VAR114, VAR6, VAR343, VAR30, VAR414, VAR349, VAR32, VAR237, VAR211, VAR389, VAR41, VAR438; input [10:0] VAR353, VAR213, VAR276, VAR199, VAR221, VAR68, VAR337, VAR3, VAR18, VAR46, VAR26, VAR217; input [10:0] VAR178, VAR181, VAR424, VAR2, VAR74, VAR102, VAR209, VAR131, VAR149, VAR163, VAR294, VAR126; input [10:0] VAR400, VAR190, VAR398, VAR297; output [31:0] VAR135; output VAR119; output [4:0] VAR29; output VAR137; output VAR94; reg [7:0] VAR251; reg [11:0] VAR393, VAR69, VAR404, VAR235; reg [11:0] VAR118, VAR444; reg [10:0] VAR45, VAR49, VAR388; reg [10:0] VAR218, VAR406, VAR234, VAR273, VAR366, VAR333; reg [10:0] VAR67, VAR24, VAR31, VAR338, VAR423, VAR260; reg [10:0] VAR146, VAR170, VAR425, VAR162, VAR219, VAR107; reg [10:0] VAR134, VAR230, VAR125, VAR185, VAR395, VAR54; reg [10:0] VAR81, VAR443, VAR295, VAR417, VAR261, VAR365; reg [10:0] VAR305, VAR240; reg [10:0] VAR176, VAR17, VAR385, VAR402, VAR324, VAR317; reg [10:0] VAR392, VAR236, VAR206, VAR82, VAR10, VAR174; reg [10:0] VAR128, VAR373, VAR40, VAR145; reg [10:0] VAR179, VAR42, VAR223, VAR140, VAR387, VAR147; reg [10:0] VAR87, VAR1, VAR245, VAR274, VAR344, VAR120; reg [10:0] VAR168, VAR99, VAR342, VAR307; reg [10:0] VAR142, VAR111, VAR268, VAR73, VAR154, VAR431; reg [10:0] VAR302, VAR409, VAR369, VAR256, VAR321, VAR13; reg [10:0] VAR184, VAR12, VAR70, VAR21; reg [10:0] VAR222, VAR92, VAR427, VAR407, VAR204, VAR14; reg [10:0] VAR143, VAR144, VAR158, VAR79, VAR339, VAR226; reg [10:0] VAR48, VAR19, VAR183, VAR360; reg [10:0] VAR310, VAR124, VAR401, VAR246, VAR139, VAR290; reg [10:0] VAR325, VAR259, VAR84, VAR313, VAR62, VAR248; reg [10:0] VAR318, VAR65, VAR350, VAR270; reg [10:0] VAR436, VAR314, VAR447, VAR167, VAR429, VAR334; reg [10:0] VAR231, VAR5, VAR378, VAR44, VAR379, VAR37; reg [3:0] VAR172, VAR416, VAR364, VAR328; reg [3:0] VAR354, VAR23, VAR175, VAR330; reg [3:0] VAR22, VAR446; reg VAR80, VAR66, VAR38, VAR119; reg VAR229, VAR215, VAR169, VAR242, VAR367, VAR77; reg VAR422, VAR257, VAR411, VAR413, VAR105, VAR287; reg VAR320, VAR370, VAR60, VAR212; reg VAR329, VAR95, VAR426, VAR39, VAR20; reg VAR299, VAR312, VAR399, VAR437; reg VAR280, VAR291, VAR298, VAR207; reg VAR341, VAR153, VAR420, VAR282; reg VAR428, VAR116, VAR376, VAR141; reg VAR115, VAR272, VAR47, VAR316; reg VAR28, VAR275; reg VAR289, VAR432, VAR103, VAR340; reg VAR233, VAR419, VAR7, VAR189; reg VAR359, VAR451, VAR202, VAR33; reg VAR61, VAR109, VAR98, VAR51; reg VAR253, VAR78, VAR304, VAR396; reg VAR327, VAR386, VAR93, VAR208; reg VAR76, VAR182; reg VAR332, VAR412, VAR434, VAR383; reg VAR445, VAR25, VAR258, VAR157; reg VAR356, VAR267, VAR59, VAR121; reg VAR171, VAR380, VAR345, VAR278; reg VAR15, VAR191, VAR292, VAR152; reg VAR64, VAR225, VAR34, VAR361; reg VAR55, VAR397, VAR210, VAR384; reg VAR75, VAR244, VAR285, VAR166; reg VAR433, VAR112, VAR188, VAR418; reg VAR371, VAR196, VAR442, VAR27; reg VAR228, VAR449, VAR391, VAR394; reg VAR372, VAR421, VAR195, VAR104; reg VAR232, VAR331, VAR286, VAR159; reg VAR381, VAR9, VAR110, VAR129; reg VAR106, VAR186, VAR250, VAR374; reg VAR308, VAR91, VAR288, VAR241; reg VAR301, VAR8, VAR122, VAR254; reg VAR357, VAR187, VAR405, VAR249; reg VAR52, VAR306, VAR323, VAR198; reg VAR262, VAR36, VAR96, VAR180; reg VAR58, VAR440, VAR150, VAR71, VAR85; reg [10:0] VAR205 [11:0]; reg [3:0] VAR441 [11:0]; reg [15:0] VAR173 [161:0]; reg [4:0] VAR165 [161:0]; reg [7:0] VAR50 [250:0]; reg [10:0] VAR263, VAR89, VAR203; reg [15:0] VAR216, VAR355, VAR200; reg [3:0] VAR97, VAR448, VAR415, VAR177, VAR160; reg [3:0] VAR57, VAR220, VAR269, VAR346, VAR53, VAR351; reg [4:0] VAR192, VAR86; reg [4:0] VAR29, VAR362, VAR136, VAR148; reg [4:0] VAR4, VAR224, VAR239, VAR348, VAR453; reg [4:0] VAR319, VAR138, VAR271, VAR72, VAR197, VAR283; reg [4:0] VAR83; reg [4:0] VAR201, VAR430, VAR293, VAR452, VAR90; reg [31:0] VAR135, VAR390, VAR214, VAR130, VAR101, VAR368, VAR113; reg [31:0] VAR322, VAR281, VAR300, VAR117, VAR238; reg [31:0] VAR284, VAR43, VAR315, VAR156, VAR164; reg [21:0] VAR264; reg [10:0] VAR227, VAR352, VAR255; reg [9:0] VAR335, VAR303, VAR277, VAR123; reg [25:0] VAR133, VAR151, VAR358, VAR132; reg [7:0] VAR266; reg VAR296, VAR336, VAR410, VAR450, VAR439; reg VAR35, VAR56, VAR193, VAR309, VAR137; reg VAR94; wire [7:0] VAR279 = { VAR53, VAR175 }; always @(posedge clk) begin if (rst) begin VAR296 <= 0; VAR336 <= 0; VAR410 <= 0; VAR450 <= 0; VAR439 <= 0; VAR35 <= 0; end else if (VAR229) begin VAR296 <= VAR233 & VAR253 & VAR445 & VAR292 & VAR433 & VAR232 & VAR188 & VAR64; VAR336 <= VAR258 & VAR304 & VAR7 & VAR47 & VAR28 & VAR359 & VAR327 & VAR356; VAR410 <= VAR34 & VAR371 & VAR286 & VAR301 & VAR122 & VAR381 & VAR442 & VAR55; VAR450 <= VAR59 & VAR93 & VAR202 & VAR76 & VAR171 & VAR210 & VAR228 & VAR110; VAR439 <= VAR357 & VAR405 & VAR106 & VAR391 & VAR75 & VAR345 & VAR285 & VAR372; VAR35 <= VAR250 & VAR52 & VAR323 & VAR308 & VAR195 & VAR288 & VAR262 & VAR96; end end always @(posedge clk) begin if (rst) VAR56 <= 0; end else if (enable) VAR56 <= 0; else if (VAR370 & VAR251 < 32) VAR56 <= VAR296 & VAR336 & VAR410 & VAR450 & VAR439 & VAR35; else if (VAR370 & VAR251 < 48) VAR56 <= VAR410 & VAR450 & VAR439 & VAR35; else if (VAR370 & VAR251 <= 64) VAR56 <= VAR439 & VAR35; else if (VAR370 & VAR251 > 64) VAR56 <= 1; end always @(posedge clk) begin if (rst) begin VAR251 <= 0; end else if (enable) begin VAR251 <= 0; end else if (VAR370) begin VAR251 <= VAR251 + 1; end end always @(posedge clk) begin if (rst) begin VAR29 <= 0; end else if (VAR137) begin VAR29 <= 0; end else if (VAR77) begin VAR29 <= VAR29 + VAR362; end else if (VAR60) begin VAR29 <= VAR29 + VAR453; end end always @(posedge clk) begin if (rst) begin VAR136 <= 0; end else if (VAR137) begin VAR136 <= 0; end else if (VAR370) begin VAR136 <= VAR29; end end always @(posedge clk) begin if (rst) begin VAR95 <= 0; VAR426 <= 0; VAR39 <= 0; VAR20 <= 0; VAR299 <= 0; VAR312 <= 0; VAR399 <= 0; VAR437 <= 0; VAR148 <= 0; VAR319 <= 0; VAR138 <= 0; VAR271 <= 0; VAR72 <= 0; VAR197 <= 0; VAR283 <= 0; VAR119 <= 0; VAR137 <= 0; VAR94 <= 0; end else if (VAR370) begin VAR95 <= (VAR136 > VAR29); VAR426 <= VAR95; VAR39 <= VAR426; VAR20 <= VAR39; VAR299 <= VAR20; VAR312 <= VAR299; VAR399 <= VAR312; VAR437 <= VAR399; VAR148 <= VAR136; VAR319 <= VAR148; VAR138 <= VAR319; VAR271 <= VAR138; VAR72 <= VAR271; VAR197 <= VAR72; VAR283 <= VAR197; VAR119 <= VAR399 | VAR251 == 77; VAR137 <= VAR251 == 77; VAR94 <= VAR437 & VAR251 == 77 & VAR29 == 0; end end always @(posedge clk) begin if (rst) begin VAR113 <= 0; end else if (VAR370) begin VAR113[31] <= (VAR399 & VAR197 > 0) ? VAR164[31] : VAR368[31]; VAR113[30] <= (VAR399 & VAR197 > 1) ? VAR164[30] : VAR368[30]; VAR113[29] <= (VAR399 & VAR197 > 2) ? VAR164[29] : VAR368[29]; VAR113[28] <= (VAR399 & VAR197 > 3) ? VAR164[28] : VAR368[28]; VAR113[27] <= (VAR399 & VAR197 > 4) ? VAR164[27] : VAR368[27]; VAR113[26] <= (VAR399 & VAR197 > 5) ? VAR164[26] : VAR368[26]; VAR113[25] <= (VAR399 & VAR197 > 6) ? VAR164[25] : VAR368[25]; VAR113[24] <= (VAR399 & VAR197 > 7) ? VAR164[24] : VAR368[24]; VAR113[23] <= (VAR399 & VAR197 > 8) ? VAR164[23] : VAR368[23]; VAR113[22] <= (VAR399 & VAR197 > 9) ? VAR164[22] : VAR368[22]; VAR113[21] <= (VAR399 & VAR197 > 10) ? VAR164[21] : VAR368[21]; VAR113[20] <= (VAR399 & VAR197 > 11) ? VAR164[20] : VAR368[20]; VAR113[19] <= (VAR399 & VAR197 > 12) ? VAR164[19] : VAR368[19]; VAR113[18] <= (VAR399 & VAR197 > 13) ? VAR164[18] : VAR368[18]; VAR113[17] <= (VAR399 & VAR197 > 14) ? VAR164[17] : VAR368[17]; VAR113[16] <= (VAR399 & VAR197 > 15) ? VAR164[16] : VAR368[16]; VAR113[15] <= (VAR399 & VAR197 > 16) ? VAR164[15] : VAR368[15]; VAR113[14] <= (VAR399 & VAR197 > 17) ? VAR164[14] : VAR368[14]; VAR113[13] <= (VAR399 & VAR197 > 18) ? VAR164[13] : VAR368[13]; VAR113[12] <= (VAR399 & VAR197 > 19) ? VAR164[12] : VAR368[12]; VAR113[11] <= (VAR399 & VAR197 > 20) ? VAR164[11] : VAR368[11]; VAR113[10] <= (VAR399 & VAR197 > 21) ? VAR164[10] : VAR368[10]; VAR113[9] <= (VAR399 & VAR197 > 22) ? VAR164[9] : VAR368[9]; VAR113[8] <= (VAR399 & VAR197 > 23) ? VAR164[8] : VAR368[8]; VAR113[7] <= (VAR399 & VAR197 > 24) ? VAR164[7] : VAR368[7]; VAR113[6] <= (VAR399 & VAR197 > 25) ? VAR164[6] : VAR368[6]; VAR113[5] <= (VAR399 & VAR197 > 26) ? VAR164[5] : VAR368[5]; VAR113[4] <= (VAR399 & VAR197 > 27) ? VAR164[4] : VAR368[4]; VAR113[3] <= (VAR399 & VAR197 > 28) ? VAR164[3] : VAR368[3]; VAR113[2] <= (VAR399 & VAR197 > 29) ? VAR164[2] : VAR368[2]; VAR113[1] <= (VAR399 & VAR197 > 30) ? VAR164[1] : VAR368[1]; VAR113[0] <= VAR368[0]; end end always @(posedge clk) begin if (rst) begin VAR368 <= 0; VAR164 <= 0; end else if (VAR370) begin VAR368 <= (VAR348 == 1) ? VAR101 >> 1 : VAR101; VAR164 <= (VAR90 <= 1) ? VAR156 << 1 : VAR156; end end always @(posedge clk) begin if (rst) begin VAR101 <= 0; VAR348 <= 0; VAR156 <= 0; VAR90 <= 0; end else if (VAR370) begin VAR101 <= (VAR239 >= 2) ? VAR130 >> 2 : VAR130; VAR348 <= (VAR239 >= 2) ? VAR239 - 2 : VAR239; VAR156 <= (VAR452 <= 2) ? VAR315 << 2 : VAR315; VAR90 <= (VAR452 <= 2) ? VAR452 : VAR452 - 2; end end always @(posedge clk) begin if (rst) begin VAR130 <= 0; VAR239 <= 0; VAR315 <= 0; VAR452 <= 0; end else if (VAR370) begin VAR130 <= (VAR224 >= 4) ? VAR214 >> 4 : VAR214; VAR239 <= (VAR224 >= 4) ? VAR224 - 4 : VAR224; VAR315 <= (VAR293 <= 4) ? VAR43 << 4 : VAR43; VAR452 <= (VAR293 <= 4) ? VAR293 : VAR293 - 4; end end always @(posedge clk) begin if (rst) begin VAR214 <= 0; VAR224 <= 0; VAR43 <= 0; VAR293 <= 0; end else if (VAR370) begin VAR214 <= (VAR4 >= 8) ? VAR390 >> 8 : VAR390; VAR224 <= (VAR4 >= 8) ? VAR4 - 8 : VAR4; VAR43 <= (VAR430 <= 8) ? VAR284 << 8 : VAR284; VAR293 <= (VAR430 <= 8) ? VAR430 : VAR430 - 8; end end always @(posedge clk) begin if (rst) begin VAR390 <= 0; VAR4 <= 0; VAR284 <= 0; VAR430 <= 0; VAR151 <= 0; end else if (VAR370) begin VAR390 <= (VAR148 >= 16) ? VAR133 >> 10 : VAR133 << 6; VAR4 <= (VAR148 >= 16) ? VAR148 - 16 : VAR148; VAR284 <= (VAR201 <= 16) ? VAR151 << 16 : VAR151; VAR430 <= (VAR201 <= 16) ? VAR201 : VAR201 - 16; VAR151 <= VAR133; end end always @(posedge clk) begin if (rst) begin VAR358 <= 0; VAR201 <= 0; end else if (VAR370) begin VAR358[25] <= (VAR220 >= 16) ? VAR132[25] : VAR200[15]; VAR358[24] <= (VAR220 >= 15) ? VAR132[24] : VAR200[14]; VAR358[23] <= (VAR220 >= 14) ? VAR132[23] : VAR200[13]; VAR358[22] <= (VAR220 >= 13) ? VAR132[22] : VAR200[12]; VAR358[21] <= (VAR220 >= 12) ? VAR132[21] : VAR200[11]; VAR358[20] <= (VAR220 >= 11) ? VAR132[20] : VAR200[10]; VAR358[19] <= (VAR220 >= 10) ? VAR132[19] : VAR200[9]; VAR358[18] <= (VAR220 >= 9) ? VAR132[18] : VAR200[8]; VAR358[17] <= (VAR220 >= 8) ? VAR132[17] : VAR200[7]; VAR358[16] <= (VAR220 >= 7) ? VAR132[16] : VAR200[6]; VAR358[15] <= (VAR220 >= 6) ? VAR132[15] : VAR200[5]; VAR358[14] <= (VAR220 >= 5) ? VAR132[14] : VAR200[4]; VAR358[13] <= (VAR220 >= 4) ? VAR132[13] : VAR200[3]; VAR358[12] <= (VAR220 >= 3) ? VAR132[12] : VAR200[2]; VAR358[11] <= (VAR220 >= 2) ? VAR132[11] : VAR200[1]; VAR358[10] <= (VAR220 >= 1) ? VAR132[10] : VAR200[0]; VAR358[9:0] <= VAR132[9:0]; VAR201 <= VAR148 + 26; end end always @(posedge clk) begin if (rst) begin VAR133 <= 0; end else if (VAR422) begin VAR133[25] <= (VAR415 >= 11) ? VAR264[21] : VAR203[10]; VAR133[24] <= (VAR415 >= 10) ? VAR264[20] : VAR203[9]; VAR133[23] <= (VAR415 >= 9) ? VAR264[19] : VAR203[8]; VAR133[22] <= (VAR415 >= 8) ? VAR264[18] : VAR203[7]; VAR133[21] <= (VAR415 >= 7) ? VAR264[17] : VAR203[6]; VAR133[20] <= (VAR415 >= 6) ? VAR264[16] : VAR203[5]; VAR133[19] <= (VAR415 >= 5) ? VAR264[15] : VAR203[4]; VAR133[18] <= (VAR415 >= 4) ? VAR264[14] : VAR203[3]; VAR133[17] <= (VAR415 >= 3) ? VAR264[13] : VAR203[2]; VAR133[16] <= (VAR415 >= 2) ? VAR264[12] : VAR203[1]; VAR133[15] <= (VAR415 >= 1) ? VAR264[11] : VAR203[0]; VAR133[14:4] <= VAR264[10:0]; end else if (VAR212) begin VAR133 <= VAR358; end end always @(posedge clk) begin if (rst) begin VAR453 <= 0; VAR132 <= 0; VAR200 <= 0; VAR220 <= 0; end else if (VAR370) begin VAR453 <= (VAR85 & !VAR193 & VAR251 != 67) ? 0 : VAR446 + VAR86; VAR132 <= VAR123 << VAR57; VAR200 <= VAR355; VAR220 <= VAR57; end end always @(posedge clk) begin if (rst) begin VAR264 <= 0; VAR203 <= 0; VAR415 <= 0; end else if (VAR77) begin VAR264 <= VAR255 << VAR448; VAR203 <= VAR89; VAR415 <= VAR448; end end always @(posedge clk) begin if (rst) begin VAR57 <= 0; VAR355 <= 0; VAR123 <= 0; VAR446 <= 0; VAR86 <= 0; VAR85 <= 0; VAR193 <= 0; end else if (VAR370) begin VAR57 <= 16 - VAR192; VAR355 <= VAR216; VAR123 <= VAR277; VAR446 <= VAR22; VAR86 <= VAR192; VAR85 <= VAR71; VAR193 <= VAR309 & !VAR56; end end always @(posedge clk) begin if (rst) begin VAR362 <= 0; VAR255 <= 0; VAR448 <= 0; VAR89 <= 0; end else if (VAR367) begin VAR362 <= VAR328 + VAR97; VAR255 <= VAR352 << VAR177; VAR448 <= 11 - VAR97; VAR89 <= VAR263; end end always @(posedge clk) begin if (rst) begin VAR277 <= 0; VAR216 <= 0; VAR192 <= 0; VAR22 <= 0; VAR71 <= 0; VAR309 <= 0; VAR351 <= 0; end else if (VAR370) begin VAR277 <= VAR303 << VAR160; VAR216 <= VAR173[VAR266]; VAR192 <= VAR165[VAR266]; VAR22 <= VAR330; VAR71 <= VAR150; VAR309 <= VAR351 == 15; VAR351 <= VAR53; end end always @(posedge clk) begin if (rst) begin VAR263 <= 0; VAR97 <= 0; VAR177 <= 0; VAR352 <= 0; VAR328 <= 0; end else if (VAR242) begin VAR263[10:0] <= VAR205[VAR364]; VAR97 <= VAR441[VAR364]; VAR177 <= 11 - VAR364; VAR352 <= VAR227; VAR328 <= VAR364; end end always @(posedge clk) begin if (rst) begin VAR266 <= 0; VAR303 <= 0; VAR160 <= 0; VAR330 <= 0; VAR150 <= 0; VAR53 <= 0; end else if (VAR370) begin VAR266 <= VAR50[VAR279]; VAR303 <= VAR335; VAR160 <= 10 - VAR175; VAR330 <= VAR175; VAR150 <= VAR440; VAR53 <= VAR346; end end always @(posedge clk) begin if (rst) begin VAR364 <= 0; VAR227 <= 0; end else if (VAR169) begin VAR364 <= VAR80 ? VAR416 : VAR172; VAR227 <= VAR393[10:0]; end end always @(posedge clk) begin if (rst) begin VAR175 <= 0; VAR335 <= 0; VAR346 <= 0; VAR440 <= 0; end else if (VAR370) begin VAR175 <= VAR38 ? VAR23 : VAR354; VAR335 <= VAR45[9:0]; VAR346 <= VAR251 == 62 & VAR329 ? 0 : VAR269; VAR440 <= VAR58; end end always @(posedge clk) begin if (rst) begin VAR393 <= 0; end else if (VAR215) begin VAR393 <= VAR80 ? VAR404 : VAR69; end end always @(posedge clk) begin if (rst) VAR269 <= 0; end else if (enable) VAR269 <= 0; else if (VAR370) VAR269 <= VAR329 ? VAR269 + 1: 0; end always @(posedge clk) begin if (rst) begin VAR45 <= 0; VAR58 <= 0; VAR38 <= 0; end else if (VAR370) begin VAR45 <= VAR66 ? VAR388 : VAR49; VAR58 <= VAR329; VAR38 <= VAR66; end end always @(posedge clk) begin if (rst) begin VAR69 <= 0; VAR404 <= 0; VAR80 <= 0; VAR118 <= 0; end else if (VAR229) begin VAR69 <= VAR235; VAR404 <= VAR235 - 1; VAR80 <= VAR235[11]; VAR118 <= VAR444; end end always @(posedge clk) begin if (rst) begin VAR49 <= 0; VAR388 <= 0; VAR66 <= 0; VAR329 <= 0; VAR67 <= 0; VAR24 <= 0; VAR282 <= 0; VAR420 <= 0; VAR31 <= 0; VAR338 <= 0; VAR116 <= 0; VAR428 <= 0; VAR423 <= 0; VAR260 <= 0; VAR141 <= 0; VAR376 <= 0; VAR146 <= 0; VAR170 <= 0; VAR272 <= 0; VAR115 <= 0; VAR425 <= 0; VAR162 <= 0; VAR316 <= 0; VAR47 <= 0; VAR219 <= 0; VAR107 <= 0; VAR275 <= 0; VAR28 <= 0; VAR218 <= 0; VAR406 <= 0; VAR291 <= 0; VAR280 <= 0; VAR366 <= 0; VAR333 <= 0; VAR153 <= 0; VAR341 <= 0; VAR134 <= 0; VAR230 <= 0; VAR432 <= 0; VAR289 <= 0; VAR125 <= 0; VAR185 <= 0; VAR340 <= 0; VAR103 <= 0; VAR395 <= 0; VAR54 <= 0; VAR419 <= 0; VAR233 <= 0; VAR81 <= 0; VAR443 <= 0; VAR189 <= 0; VAR7 <= 0; VAR295 <= 0; VAR417 <= 0; VAR451 <= 0; VAR359 <= 0; VAR261 <= 0; VAR365 <= 0; VAR33 <= 0; VAR202 <= 0; VAR234 <= 0; VAR273 <= 0; VAR207 <= 0; VAR298 <= 0; VAR305 <= 0; VAR240 <= 0; VAR109 <= 0; VAR61 <= 0; VAR176 <= 0; VAR17 <= 0; VAR51 <= 0; VAR98 <= 0; VAR385 <= 0; VAR402 <= 0; VAR78 <= 0; VAR253 <= 0; VAR324 <= 0; VAR317 <= 0; VAR396 <= 0; VAR304 <= 0; VAR392 <= 0; VAR236 <= 0; VAR386 <= 0; VAR327 <= 0; VAR206 <= 0; VAR82 <= 0; VAR208 <= 0; VAR93 <= 0; VAR10 <= 0; VAR174 <= 0; VAR182 <= 0; VAR76 <= 0; VAR128 <= 0; VAR373 <= 0; VAR412 <= 0; VAR332 <= 0; VAR40 <= 0; VAR145 <= 0; VAR383 <= 0; VAR434 <= 0; VAR179 <= 0; VAR42 <= 0; VAR25 <= 0; VAR445 <= 0; VAR223 <= 0; VAR140 <= 0; VAR157 <= 0; VAR258 <= 0; VAR387 <= 0; VAR147 <= 0; VAR267 <= 0; VAR356 <= 0; VAR87 <= 0; VAR1 <= 0; VAR121 <= 0; VAR59 <= 0; VAR245 <= 0; VAR274 <= 0; VAR380 <= 0; VAR171 <= 0; VAR344 <= 0; VAR120 <= 0; VAR278 <= 0; VAR345 <= 0; VAR168 <= 0; VAR99 <= 0; VAR191 <= 0; VAR15 <= 0; VAR342 <= 0; VAR307 <= 0; VAR152 <= 0; VAR292 <= 0; VAR142 <= 0; VAR111 <= 0; VAR225 <= 0; VAR64 <= 0; VAR268 <= 0; VAR73 <= 0; VAR361 <= 0; VAR34 <= 0; VAR154 <= 0; VAR431 <= 0; VAR397 <= 0; VAR55 <= 0; VAR302 <= 0; VAR409 <= 0; VAR384 <= 0; VAR210 <= 0; VAR369 <= 0; VAR256 <= 0; VAR244 <= 0; VAR75 <= 0; VAR321 <= 0; VAR13 <= 0; VAR166 <= 0; VAR285 <= 0; VAR184 <= 0; VAR12 <= 0; VAR112 <= 0; VAR433 <= 0; VAR70 <= 0; VAR21 <= 0; VAR418 <= 0; VAR188 <= 0; VAR222 <= 0; VAR92 <= 0; VAR196 <= 0; VAR371 <= 0; VAR427 <= 0; VAR407 <= 0; VAR27 <= 0; VAR442 <= 0; VAR204 <= 0; VAR14 <= 0; VAR449 <= 0; VAR228 <= 0; VAR143 <= 0; VAR144 <= 0; VAR394 <= 0; VAR391 <= 0; VAR158 <= 0; VAR79 <= 0; VAR421 <= 0; VAR372 <= 0; VAR339 <= 0; VAR226 <= 0; VAR104 <= 0; VAR195 <= 0; VAR48 <= 0; VAR19 <= 0; VAR331 <= 0; VAR232 <= 0; VAR183 <= 0; VAR360 <= 0; VAR159 <= 0; VAR286 <= 0; VAR310 <= 0; VAR124 <= 0; VAR9 <= 0; VAR381 <= 0; VAR401 <= 0; VAR246 <= 0; VAR129 <= 0; VAR110 <= 0; VAR139 <= 0; VAR290 <= 0; VAR186 <= 0; VAR106 <= 0; VAR325 <= 0; VAR259 <= 0; VAR374 <= 0; VAR250 <= 0; VAR84 <= 0; VAR313 <= 0; VAR91 <= 0; VAR308 <= 0; VAR62 <= 0; VAR248 <= 0; VAR241 <= 0; VAR288 <= 0; VAR318 <= 0; VAR65 <= 0; VAR8 <= 0; VAR301 <= 0; VAR350 <= 0; VAR270 <= 0; VAR254 <= 0; VAR122 <= 0; VAR436 <= 0; VAR314 <= 0; VAR187 <= 0; VAR357 <= 0; VAR447 <= 0; VAR167 <= 0; VAR249 <= 0; VAR405 <= 0; VAR429 <= 0; VAR334 <= 0; VAR306 <= 0; VAR52 <= 0; VAR231 <= 0; VAR5 <= 0; VAR198 <= 0; VAR323 <= 0; VAR378 <= 0; VAR44 <= 0; VAR36 <= 0; VAR262 <= 0; VAR379 <= 0; VAR37 <= 0; VAR180 <= 0; VAR96 <= 0; end else if (enable) begin VAR49 <= VAR403; VAR388 <= VAR403 - 1; VAR66 <= VAR403[10]; VAR329 <= !(|VAR403); VAR67 <= VAR408; VAR24 <= VAR408 - 1; VAR282 <= VAR408[10]; VAR420 <= !(|VAR408); VAR31 <= VAR194; VAR338 <= VAR194 - 1; VAR116 <= VAR194[10]; VAR428 <= !(|VAR194); VAR423 <= VAR326; VAR260 <= VAR326 - 1; VAR141 <= VAR326[10]; VAR376 <= !(|VAR326); VAR146 <= VAR377; VAR170 <= VAR377 - 1; VAR272 <= VAR377[10]; VAR115 <= !(|VAR377); VAR425 <= VAR16; VAR162 <= VAR16 - 1; VAR316 <= VAR16[10]; VAR47 <= !(|VAR16); VAR219 <= VAR375; VAR107 <= VAR375 - 1; VAR275 <= VAR375[10]; VAR28 <= !(|VAR375); VAR218 <= VAR265; VAR406 <= VAR265 - 1; VAR291 <= VAR265[10]; VAR280 <= !(|VAR265); VAR366 <= VAR100; VAR333 <= VAR100 - 1; VAR153 <= VAR100[10]; VAR341 <= !(|VAR100); VAR134 <= VAR435; VAR230 <= VAR435 - 1; VAR432 <= VAR435[10]; VAR289 <= !(|VAR435); VAR125 <= VAR63; VAR185 <= VAR63 - 1; VAR340 <= VAR63[10]; VAR103 <= !(|VAR63); VAR395 <= VAR382; VAR54 <= VAR382 - 1; VAR419 <= VAR382[10]; VAR233 <= !(|VAR382); VAR81 <= VAR127; VAR443 <= VAR127 - 1; VAR189 <= VAR127[10]; VAR7 <= !(|VAR127); VAR295 <= VAR347; VAR417 <= VAR347 - 1; VAR451 <= VAR347[10]; VAR359 <= !(|VAR347); VAR261 <= VAR311; VAR365 <= VAR311 - 1; VAR33 <= VAR311[10]; VAR202 <= !(|VAR311); VAR234 <= VAR108; VAR273 <= VAR108 - 1; VAR207 <= VAR108[10]; VAR298 <= !(|VAR108); VAR305 <= VAR243; VAR240 <= VAR243 - 1; VAR109 <= VAR243[10]; VAR61 <= !(|VAR243); VAR176 <= VAR155; VAR17 <= VAR155 - 1; VAR51 <= VAR155[10]; VAR98 <= !(|VAR155); VAR385 <= VAR252; VAR402 <= VAR252 - 1; VAR78 <= VAR252[10]; VAR253 <= !(|VAR252); VAR324 <= VAR363; VAR317 <= VAR363 - 1; VAR396 <= VAR363[10]; VAR304 <= !(|VAR363); VAR392 <= VAR161; VAR236 <= VAR161 - 1; VAR386 <= VAR161[10]; VAR327 <= !(|VAR161); VAR206 <= VAR11; VAR82 <= VAR11 - 1; VAR208 <= VAR11[10]; VAR93 <= !(|VAR11); VAR10 <= VAR88; VAR174 <= VAR88 - 1; VAR182 <= VAR88[10]; VAR76 <= !(|VAR88); VAR128 <= VAR114; VAR373 <= VAR114 - 1; VAR412 <= VAR114[10]; VAR332 <= !(|VAR114); VAR40 <= VAR6; VAR145 <= VAR6 - 1; VAR383 <= VAR6[10]; VAR434 <= !(|VAR6); VAR179 <= VAR343; VAR42 <= VAR343 - 1; VAR25 <= VAR343[10]; VAR445 <= !(|VAR343); VAR223 <= VAR30; VAR140 <= VAR30 - 1; VAR157 <= VAR30[10]; VAR258 <= !(|VAR30); VAR387 <= VAR414; VAR147 <= VAR414 - 1; VAR267 <= VAR414[10]; VAR356 <= !(|VAR414); VAR87 <= VAR349; VAR1 <= VAR349 - 1; VAR121 <= VAR349[10]; VAR59 <= !(|VAR349); VAR245 <= VAR32; VAR274 <= VAR32 - 1; VAR380 <= VAR32[10]; VAR171 <= !(|VAR32); VAR344 <= VAR237; VAR120 <= VAR237 - 1; VAR278 <= VAR237[10]; VAR345 <= !(|VAR237); VAR168 <= VAR211; VAR99 <= VAR211 - 1; VAR191 <= VAR211[10]; VAR15 <= !(|VAR211); VAR342 <= VAR389; VAR307 <= VAR389 - 1; VAR152 <= VAR389[10]; VAR292 <= !(|VAR389); VAR142 <= VAR41; VAR111 <= VAR41 - 1; VAR225 <= VAR41[10]; VAR64 <= !(|VAR41); VAR268 <= VAR438; VAR73 <= VAR438 - 1; VAR361 <= VAR438[10]; VAR34 <= !(|VAR438); VAR154 <= VAR353; VAR431 <= VAR353 - 1; VAR397 <= VAR353[10]; VAR55 <= !(|VAR353); VAR302 <= VAR213; VAR409 <= VAR213 - 1; VAR384 <= VAR213[10]; VAR210 <= !(|VAR213); VAR369 <= VAR276; VAR256 <= VAR276 - 1; VAR244 <= VAR276[10]; VAR75 <= !(|VAR276); VAR321 <= VAR199; VAR13 <= VAR199 - 1; VAR166 <= VAR199[10]; VAR285 <= !(|VAR199); VAR184 <= VAR221; VAR12 <= VAR221 - 1; VAR112 <= VAR221[10]; VAR433 <= !(|VAR221); VAR70 <= VAR68; VAR21 <= VAR68 - 1; VAR418 <= VAR68[10]; VAR188 <= !(|VAR68); VAR222 <= VAR337; VAR92 <= VAR337 - 1; VAR196 <= VAR337[10]; VAR371 <= !(|VAR337); VAR427 <= VAR3; VAR407 <= VAR3 - 1; VAR27 <= VAR3[10]; VAR442 <= !(|VAR3); VAR204 <= VAR18; VAR14 <= VAR18 - 1; VAR449 <= VAR18[10]; VAR228 <= !(|VAR18); VAR143 <= VAR46; VAR144 <= VAR46 - 1; VAR394 <= VAR46[10]; VAR391 <= !(|VAR46); VAR158 <= VAR26; VAR79 <= VAR26 - 1; VAR421 <= VAR26[10]; VAR372 <= !(|VAR26); VAR339 <= VAR217; VAR226 <= VAR217 - 1; VAR104 <= VAR217[10]; VAR195 <= !(|VAR217); VAR48 <= VAR178; VAR19 <= VAR178 - 1; VAR331 <= VAR178[10]; VAR232 <= !(|VAR178); VAR183 <= VAR181; VAR360 <= VAR181 - 1; VAR159 <= VAR181[10]; VAR286 <= !(|VAR181); VAR310 <= VAR424; VAR124 <= VAR424 - 1; VAR9 <= VAR424[10]; VAR381 <= !(|VAR424); VAR401 <= VAR2; VAR246 <= VAR2 - 1; VAR129 <= VAR2[10]; VAR110 <= !(|VAR2); VAR139 <= VAR74; VAR290 <= VAR74 - 1; VAR186 <= VAR74[10]; VAR106 <= !(|VAR74); VAR325 <= VAR102; VAR259 <= VAR102 - 1; VAR374 <= VAR102[10]; VAR250 <= !(|VAR102); VAR84 <= VAR209; VAR313 <= VAR209 - 1; VAR91 <= VAR209[10]; VAR308 <= !(|VAR209); VAR62 <= VAR131; VAR248 <= VAR131 - 1; VAR241 <= VAR131[10]; VAR288 <= !(|VAR131); VAR318 <= VAR149; VAR65 <= VAR149 - 1; VAR8 <= VAR149[10]; VAR301 <= !(|VAR149); VAR350 <= VAR163; VAR270 <= VAR163 - 1; VAR254 <= VAR163[10]; VAR122 <= !(|VAR163); VAR436 <= VAR294; VAR314 <= VAR294 - 1; VAR187 <= VAR294[10]; VAR357 <= !(|VAR294); VAR447 <= VAR126; VAR167 <= VAR126 - 1; VAR249 <= VAR126[10]; VAR405 <= !(|VAR126); VAR429 <= VAR400; VAR334 <= VAR400 - 1; VAR306 <= VAR400[10]; VAR52 <= !(|VAR400); VAR231 <= VAR190; VAR5 <= VAR190 - 1; VAR198 <= VAR190[10]; VAR323 <= !(|VAR190); VAR378 <= VAR398; VAR44 <= VAR398 - 1; VAR36 <= VAR398[10]; VAR262 <= !(|VAR398); VAR379 <= VAR297; VAR37 <= VAR297 - 1; VAR180 <= VAR297[10]; VAR96 <= !(|VAR297); end else if (VAR370) begin VAR49 <= VAR218; VAR388 <= VAR406; VAR66 <= VAR291; VAR329 <= VAR280; VAR218 <= VAR234; VAR406 <= VAR273; VAR291 <= VAR207; VAR280 <= VAR298; VAR234 <= VAR366; VAR273 <= VAR333; VAR207 <= VAR153; VAR298 <= VAR341; VAR366 <= VAR67; VAR333 <= VAR24; VAR153 <= VAR282; VAR341 <= VAR420; VAR67 <= VAR31; VAR24 <= VAR338; VAR282 <= VAR116; VAR420 <= VAR428; VAR31 <= VAR134; VAR338 <= VAR230; VAR116 <= VAR432; VAR428 <= VAR289; VAR134 <= VAR305; VAR230 <= VAR240; VAR432 <= VAR109; VAR289 <= VAR61; VAR305 <= VAR128; VAR240 <= VAR373; VAR109 <= VAR412; VAR61 <= VAR332; VAR128 <= VAR168; VAR373 <= VAR99; VAR412 <= VAR191; VAR332 <= VAR15; VAR168 <= VAR40; VAR99 <= VAR145; VAR191 <= VAR383; VAR15 <= VAR434; VAR40 <= VAR176; VAR145 <= VAR17; VAR383 <= VAR51; VAR434 <= VAR98; VAR176 <= VAR125; VAR17 <= VAR185; VAR51 <= VAR340; VAR98 <= VAR103; VAR125 <= VAR423; VAR185 <= VAR260; VAR340 <= VAR141; VAR103 <= VAR376; VAR423 <= VAR146; VAR260 <= VAR170; VAR141 <= VAR272; VAR376 <= VAR115; VAR146 <= VAR395; VAR170 <= VAR54; VAR272 <= VAR419; VAR115 <= VAR233; VAR395 <= VAR385; VAR54 <= VAR402; VAR419 <= VAR78; VAR233 <= VAR253; VAR385 <= VAR179; VAR402 <= VAR42; VAR78 <= VAR25; VAR253 <= VAR445; VAR179 <= VAR342; VAR42 <= VAR307; VAR25 <= VAR152; VAR445 <= VAR292; VAR342 <= VAR184; VAR307 <= VAR12; VAR152 <= VAR112; VAR292 <= VAR433; VAR184 <= VAR48; VAR12 <= VAR19; VAR112 <= VAR331; VAR433 <= VAR232; VAR48 <= VAR70; VAR19 <= VAR21; VAR331 <= VAR418; VAR232 <= VAR188; VAR70 <= VAR142; VAR21 <= VAR111; VAR418 <= VAR225; VAR188 <= VAR64; VAR142 <= VAR223; VAR111 <= VAR140; VAR225 <= VAR157; VAR64 <= VAR258; VAR223 <= VAR324; VAR140 <= VAR317; VAR157 <= VAR396; VAR258 <= VAR304; VAR324 <= VAR81; VAR317 <= VAR443; VAR396 <= VAR189; VAR304 <= VAR7; VAR81 <= VAR425; VAR443 <= VAR162; VAR189 <= VAR316; VAR7 <= VAR47; VAR425 <= VAR219; VAR162 <= VAR107; VAR316 <= VAR275; VAR47 <= VAR28; VAR219 <= VAR295; VAR107 <= VAR417; VAR275 <= VAR451; VAR28 <= VAR359; VAR295 <= VAR392; VAR417 <= VAR236; VAR451 <= VAR386; VAR359 <= VAR327; VAR392 <= VAR387; VAR236 <= VAR147; VAR386 <= VAR267; VAR327 <= VAR356; VAR387 <= VAR268; VAR147 <= VAR73; VAR267 <= VAR361; VAR356 <= VAR34; VAR268 <= VAR222; VAR73 <= VAR92; VAR361 <= VAR196; VAR34 <= VAR371; VAR222 <= VAR183; VAR92 <= VAR360; VAR196 <= VAR159; VAR371 <= VAR286; VAR183 <= VAR318; VAR360 <= VAR65; VAR159 <= VAR8; VAR286 <= VAR301; VAR318 <= VAR350; VAR65 <= VAR270; VAR8 <= VAR254; VAR301 <= VAR122; VAR350 <= VAR310; VAR270 <= VAR124; VAR254 <= VAR9; VAR122 <= VAR381; VAR310 <= VAR427; VAR124 <= VAR407; VAR9 <= VAR27; VAR381 <= VAR442; VAR427 <= VAR154; VAR407 <= VAR431; VAR27 <= VAR397; VAR442 <= VAR55; VAR154 <= VAR87; VAR431 <= VAR1; VAR397 <= VAR121; VAR55 <= VAR59; VAR87 <= VAR206; VAR1 <= VAR82; VAR121 <= VAR208; VAR59 <= VAR93; VAR206 <= VAR261; VAR82 <= VAR365; VAR208 <= VAR33; VAR93 <= VAR202; VAR261 <= VAR10; VAR365 <= VAR174; VAR33 <= VAR182; VAR202 <= VAR76; VAR10 <= VAR245; VAR174 <= VAR274; VAR182 <= VAR380; VAR76 <= VAR171; VAR245 <= VAR302; VAR274 <= VAR409; VAR380 <= VAR384; VAR171 <= VAR210; VAR302 <= VAR204; VAR409 <= VAR14; VAR384 <= VAR449; VAR210 <= VAR228; VAR204 <= VAR401; VAR14 <= VAR246; VAR449 <= VAR129; VAR228 <= VAR110; VAR401 <= VAR436; VAR246 <= VAR314; VAR129 <= VAR187; VAR110 <= VAR357; VAR436 <= VAR447; VAR314 <= VAR167; VAR187 <= VAR249; VAR357 <= VAR405; VAR447 <= VAR139; VAR167 <= VAR290; VAR249 <= VAR186; VAR405 <= VAR106; VAR139 <= VAR143; VAR290 <= VAR144; VAR186 <= VAR394; VAR106 <= VAR391; VAR143 <= VAR369; VAR144 <= VAR256; VAR394 <= VAR244; VAR391 <= VAR75; VAR369 <= VAR344; VAR256 <= VAR120; VAR244 <= VAR278; VAR75 <= VAR345; VAR344 <= VAR321; VAR120 <= VAR13; VAR278 <= VAR166; VAR345 <= VAR285; VAR321 <= VAR158; VAR13 <= VAR79; VAR166 <= VAR421; VAR285 <= VAR372; VAR158 <= VAR325; VAR79 <= VAR259; VAR421 <= VAR374; VAR372 <= VAR250; VAR325 <= VAR429; VAR259 <= VAR334; VAR374 <= VAR306; VAR250 <= VAR52; VAR429 <= VAR231; VAR334 <= VAR5; VAR306 <= VAR198; VAR52 <= VAR323; VAR231 <= VAR84; VAR5 <= VAR313; VAR198 <= VAR91; VAR323 <= VAR308; VAR84 <= VAR339; VAR313 <= VAR226; VAR91 <= VAR104; VAR308 <= VAR195; VAR339 <= VAR62; VAR226 <= VAR248; VAR104 <= VAR241; VAR195 <= VAR288; VAR62 <= VAR378; VAR248 <= VAR44; VAR241 <= VAR36; VAR288 <= VAR262; VAR378 <= VAR379; VAR44 <= VAR37; VAR36 <= VAR180; VAR262 <= VAR96; VAR379 <= 0; VAR37 <= 0; VAR180 <= 0; VAR96 <= 1; end end always @(posedge clk) begin if (rst) begin VAR235 <= 0; VAR444 <= 0; end else if (enable) begin VAR235 <= {VAR247[10], VAR247} - VAR118; VAR444 <= VAR247[10] ? { 1'b1, VAR247 } : { 1'b0, VAR247 }; end end always @(posedge clk) begin if (rst) VAR172 <= 0; end else if (VAR69[10] == 1) VAR172 <= 11; else if (VAR69[9] == 1) VAR172 <= 10; else if (VAR69[8] == 1) VAR172 <= 9; else if (VAR69[7] == 1) VAR172 <= 8; else if (VAR69[6] == 1) VAR172 <= 7; else if (VAR69[5] == 1) VAR172 <= 6; else if (VAR69[4] == 1) VAR172 <= 5; else if (VAR69[3] == 1) VAR172 <= 4; else if (VAR69[2] == 1) VAR172 <= 3; else if (VAR69[1] == 1) VAR172 <= 2; else if (VAR69[0] == 1) VAR172 <= 1; else VAR172 <= 0; end always @(posedge clk) begin if (rst) VAR416 <= 0; end else if (VAR404[10] == 0) VAR416 <= 11; else if (VAR404[9] == 0) VAR416 <= 10; else if (VAR404[8] == 0) VAR416 <= 9; else if (VAR404[7] == 0) VAR416 <= 8; else if (VAR404[6] == 0) VAR416 <= 7; else if (VAR404[5] == 0) VAR416 <= 6; else if (VAR404[4] == 0) VAR416 <= 5; else if (VAR404[3] == 0) VAR416 <= 4; else if (VAR404[2] == 0) VAR416 <= 3; else if (VAR404[1] == 0) VAR416 <= 2; else if (VAR404[0] == 0) VAR416 <= 1; else VAR416 <= 0; end always @(posedge clk) begin if (rst) VAR354 <= 0; end else if (VAR49[9] == 1) VAR354 <= 10; else if (VAR49[8] == 1) VAR354 <= 9; else if (VAR49[7] == 1) VAR354 <= 8; else if (VAR49[6] == 1) VAR354 <= 7; else if (VAR49[5] == 1) VAR354 <= 6; else if (VAR49[4] == 1) VAR354 <= 5; else if (VAR49[3] == 1) VAR354 <= 4; else if (VAR49[2] == 1) VAR354 <= 3; else if (VAR49[1] == 1) VAR354 <= 2; else if (VAR49[0] == 1) VAR354 <= 1; else VAR354 <= 0; end always @(posedge clk) begin if (rst) VAR23 <= 0; end else if (VAR388[9] == 0) VAR23 <= 10; else if (VAR388[8] == 0) VAR23 <= 9; else if (VAR388[7] == 0) VAR23 <= 8; else if (VAR388[6] == 0) VAR23 <= 7; else if (VAR388[5] == 0) VAR23 <= 6; else if (VAR388[4] == 0) VAR23 <= 5; else if (VAR388[3] == 0) VAR23 <= 4; else if (VAR388[2] == 0) VAR23 <= 3; else if (VAR388[1] == 0) VAR23 <= 2; else if (VAR388[0] == 0) VAR23 <= 1; else VAR23 <= 0; end always @(posedge clk) begin if (rst) begin VAR370 <= 0; end else if (enable) begin VAR370 <= 1; end end always @(posedge clk) begin if (rst) begin VAR60 <= 0; end else if (VAR251 == 68) begin VAR60 <= 0; end else if (VAR77) begin VAR60 <= 1; end end always @(posedge clk) begin if (rst) begin VAR212 <= 0; end else if (VAR422) begin VAR212 <= 1; end end always @(posedge clk) begin if (rst) begin VAR229 <= 0; VAR215 <= 0; VAR169 <= 0; VAR242 <= 0; VAR367 <= 0; VAR77 <= 0; VAR422 <= 0; VAR257 <= 0; VAR411 <= 0; VAR413 <= 0; VAR105 <= 0; VAR287 <= 0; VAR320 <= 0; end else begin VAR229 <= enable; VAR215 <= VAR229; VAR169 <= VAR215; VAR242 <= VAR169; VAR367 <= VAR242; VAR77 <= VAR367; VAR422 <= VAR77; VAR257 <= VAR422; VAR411 <= VAR257; VAR413 <= VAR411; VAR105 <= VAR413; VAR287 <= VAR105; VAR320 <= VAR287; end end always @(posedge clk) begin VAR441[0] <= 2; VAR441[1] <= 2; VAR441[2] <= 2; VAR441[3] <= 3; VAR441[4] <= 4; VAR441[5] <= 5; VAR441[6] <= 6; VAR441[7] <= 7; VAR441[8] <= 8; VAR441[9] <= 9; VAR441[10] <= 10; VAR441[11] <= 11; VAR205[0] <= 11'b00000000000; VAR205[1] <= 11'b01000000000; VAR205[2] <= 11'b10000000000; VAR205[3] <= 11'b11000000000; VAR205[4] <= 11'b11100000000; VAR205[5] <= 11'b11110000000; VAR205[6] <= 11'b11111000000; VAR205[7] <= 11'b11111100000; VAR205[8] <= 11'b11111110000; VAR205[9] <= 11'b11111111000; VAR205[10] <= 11'b11111111100; VAR205[11] <= 11'b11111111110; VAR165[0] <= 2; VAR165[1] <= 2; VAR165[2] <= 3; VAR165[3] <= 4; VAR165[4] <= 4; VAR165[5] <= 4; VAR165[6] <= 5; VAR165[7] <= 5; VAR165[8] <= 5; VAR165[9] <= 6; VAR165[10] <= 6; VAR165[11] <= 7; VAR165[12] <= 7; VAR165[13] <= 7; VAR165[14] <= 7; VAR165[15] <= 8; VAR165[16] <= 8; VAR165[17] <= 8; VAR165[18] <= 9; VAR165[19] <= 9; VAR165[20] <= 9; VAR165[21] <= 9; VAR165[22] <= 9; VAR165[23] <= 10; VAR165[24] <= 10; VAR165[25] <= 10; VAR165[26] <= 10; VAR165[27] <= 10; VAR165[28] <= 11; VAR165[29] <= 11; VAR165[30] <= 11; VAR165[31] <= 11; VAR165[32] <= 12; VAR165[33] <= 12; VAR165[34] <= 12; VAR165[35] <= 12; VAR165[36] <= 15; VAR165[37] <= 16; VAR165[38] <= 16; VAR165[39] <= 16; VAR165[40] <= 16; VAR165[41] <= 16; VAR165[42] <= 16; VAR165[43] <= 16; VAR165[44] <= 16; VAR165[45] <= 16; VAR165[46] <= 16; VAR165[47] <= 16; VAR165[48] <= 16; VAR165[49] <= 16; VAR165[50] <= 16; VAR165[51] <= 16; VAR165[52] <= 16; VAR165[53] <= 16; VAR165[54] <= 16; VAR165[55] <= 16; VAR165[56] <= 16; VAR165[57] <= 16; VAR165[58] <= 16; VAR165[59] <= 16; VAR165[60] <= 16; VAR165[61] <= 16; VAR165[62] <= 16; VAR165[63] <= 16; VAR165[64] <= 16; VAR165[65] <= 16; VAR165[66] <= 16; VAR165[67] <= 16; VAR165[68] <= 16; VAR165[69] <= 16; VAR165[70] <= 16; VAR165[71] <= 16; VAR165[72] <= 16; VAR165[73] <= 16; VAR165[74] <= 16; VAR165[75] <= 16; VAR165[76] <= 16; VAR165[77] <= 16; VAR165[78] <= 16; VAR165[79] <= 16; VAR165[80] <= 16; VAR165[81] <= 16; VAR165[82] <= 16; VAR165[83] <= 16; VAR165[84] <= 16; VAR165[85] <= 16; VAR165[86] <= 16; VAR165[87] <= 16; VAR165[88] <= 16; VAR165[89] <= 16; VAR165[90] <= 16; VAR165[91] <= 16; VAR165[92] <= 16; VAR165[93] <= 16; VAR165[94] <= 16; VAR165[95] <= 16; VAR165[96] <= 16; VAR165[97] <= 16; VAR165[98] <= 16; VAR165[99] <= 16; VAR165[100] <= 16; VAR165[101] <= 16; VAR165[102] <= 16; VAR165[103] <= 16; VAR165[104] <= 16; VAR165[105] <= 16; VAR165[106] <= 16; VAR165[107] <= 16; VAR165[108] <= 16; VAR165[109] <= 16; VAR165[110] <= 16; VAR165[111] <= 16; VAR165[112] <= 16; VAR165[113] <= 16; VAR165[114] <= 16; VAR165[115] <= 16; VAR165[116] <= 16; VAR165[117] <= 16; VAR165[118] <= 16; VAR165[119] <= 16; VAR165[120] <= 16; VAR165[121] <= 16; VAR165[122] <= 16; VAR165[123] <= 16; VAR165[124] <= 16; VAR165[125] <= 16; VAR165[126] <= 16; VAR165[127] <= 16; VAR165[128] <= 16; VAR165[129] <= 16; VAR165[130] <= 16; VAR165[131] <= 16; VAR165[132] <= 16; VAR165[133] <= 16; VAR165[134] <= 16; VAR165[135] <= 16; VAR165[136] <= 16; VAR165[137] <= 16; VAR165[138] <= 16; VAR165[139] <= 16; VAR165[140] <= 16; VAR165[141] <= 16; VAR165[142] <= 16; VAR165[143] <= 16; VAR165[144] <= 16; VAR165[145] <= 16; VAR165[146] <= 16; VAR165[147] <= 16; VAR165[148] <= 16; VAR165[149] <= 16; VAR165[150] <= 16; VAR165[151] <= 16; VAR165[152] <= 16; VAR165[153] <= 16; VAR165[154] <= 16; VAR165[155] <= 16; VAR165[156] <= 16; VAR165[157] <= 16; VAR165[158] <= 16; VAR165[159] <= 16; VAR165[160] <= 16; VAR165[161] <= 16; VAR173[0] <= 16'b0000000000000000; VAR173[1] <= 16'b0100000000000000; VAR173[2] <= 16'b1000000000000000; VAR173[3] <= 16'b1010000000000000; VAR173[4] <= 16'b1011000000000000; VAR173[5] <= 16'b1100000000000000; VAR173[6] <= 16'b1101000000000000; VAR173[7] <= 16'b1101100000000000; VAR173[8] <= 16'b1110000000000000; VAR173[9] <= 16'b1110100000000000; VAR173[10] <= 16'b1110110000000000; VAR173[11] <= 16'b1111000000000000; VAR173[12] <= 16'b1111001000000000; VAR173[13] <= 16'b1111010000000000; VAR173[14] <= 16'b1111011000000000; VAR173[15] <= 16'b1111100000000000; VAR173[16] <= 16'b1111100100000000; VAR173[17] <= 16'b1111101000000000; VAR173[18] <= 16'b1111101100000000; VAR173[19] <= 16'b1111101110000000; VAR173[20] <= 16'b1111110000000000; VAR173[21] <= 16'b1111110010000000; VAR173[22] <= 16'b1111110100000000; VAR173[23] <= 16'b1111110110000000; VAR173[24] <= 16'b1111110111000000; VAR173[25] <= 16'b1111111000000000; VAR173[26] <= 16'b1111111001000000; VAR173[27] <= 16'b1111111010000000; VAR173[28] <= 16'b1111111011000000; VAR173[29] <= 16'b1111111011100000; VAR173[30] <= 16'b1111111100000000; VAR173[31] <= 16'b1111111100100000; VAR173[32] <= 16'b1111111101000000; VAR173[33] <= 16'b1111111101010000; VAR173[34] <= 16'b1111111101100000; VAR173[35] <= 16'b1111111101110000; VAR173[36] <= 16'b1111111110000000; VAR173[37] <= 16'b1111111110000010; VAR173[38] <= 16'b1111111110000011; VAR173[39] <= 16'b1111111110000100; VAR173[40] <= 16'b1111111110000101; VAR173[41] <= 16'b1111111110000110; VAR173[42] <= 16'b1111111110000111; VAR173[43] <= 16'b1111111110001000; VAR173[44] <= 16'b1111111110001001; VAR173[45] <= 16'b1111111110001010; VAR173[46] <= 16'b1111111110001011; VAR173[47] <= 16'b1111111110001100; VAR173[48] <= 16'b1111111110001101; VAR173[49] <= 16'b1111111110001110; VAR173[50] <= 16'b1111111110001111; VAR173[51] <= 16'b1111111110010000; VAR173[52] <= 16'b1111111110010001; VAR173[53] <= 16'b1111111110010010; VAR173[54] <= 16'b1111111110010011; VAR173[55] <= 16'b1111111110010100; VAR173[56] <= 16'b1111111110010101; VAR173[57] <= 16'b1111111110010110; VAR173[58] <= 16'b1111111110010111; VAR173[59] <= 16'b1111111110011000; VAR173[60] <= 16'b1111111110011001; VAR173[61] <= 16'b1111111110011010; VAR173[62] <= 16'b1111111110011011; VAR173[63] <= 16'b1111111110011100; VAR173[64] <= 16'b1111111110011101; VAR173[65] <= 16'b1111111110011110; VAR173[66] <= 16'b1111111110011111; VAR173[67] <= 16'b1111111110100000; VAR173[68] <= 16'b1111111110100001; VAR173[69] <= 16'b1111111110100010; VAR173[70] <= 16'b1111111110100011; VAR173[71] <= 16'b1111111110100100; VAR173[72] <= 16'b1111111110100101; VAR173[73] <= 16'b1111111110100110; VAR173[74] <= 16'b1111111110100111; VAR173[75] <= 16'b1111111110101000; VAR173[76] <= 16'b1111111110101001; VAR173[77] <= 16'b1111111110101010; VAR173[78] <= 16'b1111111110101011; VAR173[79] <= 16'b1111111110101100; VAR173[80] <= 16'b1111111110101101; VAR173[81] <= 16'b1111111110101110; VAR173[82] <= 16'b1111111110101111; VAR173[83] <= 16'b1111111110110000; VAR173[84] <= 16'b1111111110110001; VAR173[85] <= 16'b1111111110110010; VAR173[86] <= 16'b1111111110110011; VAR173[87] <= 16'b1111111110110100; VAR173[88] <= 16'b1111111110110101; VAR173[89] <= 16'b1111111110110110; VAR173[90] <= 16'b1111111110110111; VAR173[91] <= 16'b1111111110111000; VAR173[92] <= 16'b1111111110111001; VAR173[93] <= 16'b1111111110111010; VAR173[94] <= 16'b1111111110111011; VAR173[95] <= 16'b1111111110111100; VAR173[96] <= 16'b1111111110111101; VAR173[97] <= 16'b1111111110111110; VAR173[98] <= 16'b1111111110111111; VAR173[99] <= 16'b1111111111000000; VAR173[100] <= 16'b1111111111000001; VAR173[101] <= 16'b1111111111000010; VAR173[102] <= 16'b1111111111000011; VAR173[103] <= 16'b1111111111000100; VAR173[104] <= 16'b1111111111000101; VAR173[105] <= 16'b1111111111000110; VAR173[106] <= 16'b1111111111000111; VAR173[107] <= 16'b1111111111001000; VAR173[108] <= 16'b1111111111001001; VAR173[109] <= 16'b1111111111001010; VAR173[110] <= 16'b1111111111001011; VAR173[111] <= 16'b1111111111001100; VAR173[112] <= 16'b1111111111001101; VAR173[113] <= 16'b1111111111001110; VAR173[114] <= 16'b1111111111001111; VAR173[115] <= 16'b1111111111010000; VAR173[116] <= 16'b1111111111010001; VAR173[117] <= 16'b1111111111010010; VAR173[118] <= 16'b1111111111010011; VAR173[119] <= 16'b1111111111010100; VAR173[120] <= 16'b1111111111010101; VAR173[121] <= 16'b1111111111010110; VAR173[122] <= 16'b1111111111010111; VAR173[123] <= 16'b1111111111011000; VAR173[124] <= 16'b1111111111011001; VAR173[125] <= 16'b1111111111011010; VAR173[126] <= 16'b1111111111011011; VAR173[127] <= 16'b1111111111011100; VAR173[128] <= 16'b1111111111011101; VAR173[129] <= 16'b1111111111011110; VAR173[130] <= 16'b1111111111011111; VAR173[131] <= 16'b1111111111100000; VAR173[132] <= 16'b1111111111100001; VAR173[133] <= 16'b1111111111100010; VAR173[134] <= 16'b1111111111100011; VAR173[135] <= 16'b1111111111100100; VAR173[136] <= 16'b1111111111100101; VAR173[137] <= 16'b1111111111100110; VAR173[138] <= 16'b1111111111100111; VAR173[139] <= 16'b1111111111101000; VAR173[140] <= 16'b1111111111101001; VAR173[141] <= 16'b1111111111101010; VAR173[142] <= 16'b1111111111101011; VAR173[143] <= 16'b1111111111101100; VAR173[144] <= 16'b1111111111101101; VAR173[145] <= 16'b1111111111101110; VAR173[146] <= 16'b1111111111101111; VAR173[147] <= 16'b1111111111110000; VAR173[148] <= 16'b1111111111110001; VAR173[149] <= 16'b1111111111110010; VAR173[150] <= 16'b1111111111110011; VAR173[151] <= 16'b1111111111110100; VAR173[152] <= 16'b1111111111110101; VAR173[153] <= 16'b1111111111110110; VAR173[154] <= 16'b1111111111110111; VAR173[155] <= 16'b1111111111111000; VAR173[156] <= 16'b1111111111111001; VAR173[157] <= 16'b1111111111111010; VAR173[158] <= 16'b1111111111111011; VAR173[159] <= 16'b1111111111111100; VAR173[160] <= 16'b1111111111111101; VAR173[161] <= 16'b1111111111111110; VAR50[1] <= 0; VAR50[2] <= 1; VAR50[3] <= 2; VAR50[0] <= 3; VAR50[4] <= 4; VAR50[17] <= 5; VAR50[5] <= 6; VAR50[18] <= 7; VAR50[33] <= 8; VAR50[49] <= 9; VAR50[65] <= 10; VAR50[6] <= 11; VAR50[19] <= 12; VAR50[81] <= 13; VAR50[97] <= 14; VAR50[7] <= 15; VAR50[34] <= 16; VAR50[113] <= 17; VAR50[20] <= 18; VAR50[50] <= 19; VAR50[129] <= 20; VAR50[145] <= 21; VAR50[161] <= 22; VAR50[8] <= 23; VAR50[35] <= 24; VAR50[66] <= 25; VAR50[177] <= 26; VAR50[193] <= 27; VAR50[21] <= 28; VAR50[82] <= 29; VAR50[209] <= 30; VAR50[240] <= 31; VAR50[36] <= 32; VAR50[51] <= 33; VAR50[98] <= 34; VAR50[114] <= 35; VAR50[130] <= 36; VAR50[9] <= 37; VAR50[10] <= 38; VAR50[22] <= 39; VAR50[23] <= 40; VAR50[24] <= 41; VAR50[25] <= 42; VAR50[26] <= 43; VAR50[37] <= 44; VAR50[38] <= 45; VAR50[39] <= 46; VAR50[40] <= 47; VAR50[41] <= 48; VAR50[42] <= 49; VAR50[52] <= 50; VAR50[53] <= 51; VAR50[54] <= 52; VAR50[55] <= 53; VAR50[56] <= 54; VAR50[57] <= 55; VAR50[58] <= 56; VAR50[67] <= 57; VAR50[68] <= 58; VAR50[69] <= 59; VAR50[70] <= 60; VAR50[71] <= 61; VAR50[72] <= 62; VAR50[73] <= 63; VAR50[74] <= 64; VAR50[83] <= 65; VAR50[84] <= 66; VAR50[85] <= 67; VAR50[86] <= 68; VAR50[87] <= 69; VAR50[88] <= 70; VAR50[89] <= 71; VAR50[90] <= 72; VAR50[99] <= 73; VAR50[100] <= 74; VAR50[101] <= 75; VAR50[102] <= 76; VAR50[103] <= 77; VAR50[104] <= 78; VAR50[105] <= 79; VAR50[106] <= 80; VAR50[115] <= 81; VAR50[116] <= 82; VAR50[117] <= 83; VAR50[118] <= 84; VAR50[119] <= 85; VAR50[120] <= 86; VAR50[121] <= 87; VAR50[122] <= 88; VAR50[131] <= 89; VAR50[132] <= 90; VAR50[133] <= 91; VAR50[134] <= 92; VAR50[135] <= 93; VAR50[136] <= 94; VAR50[137] <= 95; VAR50[138] <= 96; VAR50[146] <= 97; VAR50[147] <= 98; VAR50[148] <= 99; VAR50[149] <= 100; VAR50[150] <= 101; VAR50[151] <= 102; VAR50[152] <= 103; VAR50[153] <= 104; VAR50[154] <= 105; VAR50[162] <= 106; VAR50[163] <= 107; VAR50[164] <= 108; VAR50[165] <= 109; VAR50[166] <= 110; VAR50[167] <= 111; VAR50[168] <= 112; VAR50[169] <= 113; VAR50[170] <= 114; VAR50[178] <= 115; VAR50[179] <= 116; VAR50[180] <= 117; VAR50[181] <= 118; VAR50[182] <= 119; VAR50[183] <= 120; VAR50[184] <= 121; VAR50[185] <= 122; VAR50[186] <= 123; VAR50[194] <= 124; VAR50[195] <= 125; VAR50[196] <= 126; VAR50[197] <= 127; VAR50[198] <= 128; VAR50[199] <= 129; VAR50[200] <= 130; VAR50[201] <= 131; VAR50[202] <= 132; VAR50[210] <= 133; VAR50[211] <= 134; VAR50[212] <= 135; VAR50[213] <= 136; VAR50[214] <= 137; VAR50[215] <= 138; VAR50[216] <= 139; VAR50[217] <= 140; VAR50[218] <= 141; VAR50[225] <= 142; VAR50[226] <= 143; VAR50[227] <= 144; VAR50[228] <= 145; VAR50[229] <= 146; VAR50[230] <= 147; VAR50[231] <= 148; VAR50[232] <= 149; VAR50[233] <= 150; VAR50[234] <= 151; VAR50[241] <= 152; VAR50[242] <= 153; VAR50[243] <= 154; VAR50[244] <= 155; VAR50[245] <= 156; VAR50[246] <= 157; VAR50[247] <= 158; VAR50[248] <= 159; VAR50[249] <= 160; VAR50[250] <= 161; VAR50[16] <= 0; VAR50[32] <= 0; VAR50[48] <= 0; VAR50[64] <= 0; VAR50[80] <= 0; VAR50[96] <= 0; VAR50[112] <= 0; VAR50[128] <= 0; VAR50[144] <= 0; VAR50[160] <= 0; VAR50[176] <= 0; VAR50[192] <= 0; VAR50[208] <= 0; VAR50[224] <= 0; end always @(posedge clk) begin if (rst) VAR135[31] <= 0; end else if (VAR370 && VAR437) VAR135[31] <= VAR113[31]; else if (VAR370 && VAR283 == 0) VAR135[31] <= VAR113[31]; end always @(posedge clk) begin if (rst) VAR135[30] <= 0; end else if (VAR370 && VAR437) VAR135[30] <= VAR113[30]; else if (VAR370 && VAR283 <= 1) VAR135[30] <= VAR113[30]; end always @(posedge clk) begin if (rst) VAR135[29] <= 0; end else if (VAR370 && VAR437) VAR135[29] <= VAR113[29]; else if (VAR370 && VAR283 <= 2) VAR135[29] <= VAR113[29]; end always @(posedge clk) begin if (rst) VAR135[28] <= 0; end else if (VAR370 && VAR437) VAR135[28] <= VAR113[28]; else if (VAR370 && VAR283 <= 3) VAR135[28] <= VAR113[28]; end always @(posedge clk) begin if (rst) VAR135[27] <= 0; end else if (VAR370 && VAR437) VAR135[27] <= VAR113[27]; else if (VAR370 && VAR283 <= 4) VAR135[27] <= VAR113[27]; end always @(posedge clk) begin if (rst) VAR135[26] <= 0; end else if (VAR370 && VAR437) VAR135[26] <= VAR113[26]; else if (VAR370 && VAR283 <= 5) VAR135[26] <= VAR113[26]; end always @(posedge clk) begin if (rst) VAR135[25] <= 0; end else if (VAR370 && VAR437) VAR135[25] <= VAR113[25]; else if (VAR370 && VAR283 <= 6) VAR135[25] <= VAR113[25]; end always @(posedge clk) begin if (rst) VAR135[24] <= 0; end else if (VAR370 && VAR437) VAR135[24] <= VAR113[24]; else if (VAR370 && VAR283 <= 7) VAR135[24] <= VAR113[24]; end always @(posedge clk) begin if (rst) VAR135[23] <= 0; end else if (VAR370 && VAR437) VAR135[23] <= VAR113[23]; else if (VAR370 && VAR283 <= 8) VAR135[23] <= VAR113[23]; end always @(posedge clk) begin if (rst) VAR135[22] <= 0; end else if (VAR370 && VAR437) VAR135[22] <= VAR113[22]; else if (VAR370 && VAR283 <= 9) VAR135[22] <= VAR113[22]; end always @(posedge clk) begin if (rst) VAR135[21] <= 0; end else if (VAR370 && VAR437) VAR135[21] <= VAR113[21]; else if (VAR370 && VAR283 <= 10) VAR135[21] <= VAR113[21]; end always @(posedge clk) begin if (rst) VAR135[20] <= 0; end else if (VAR370 && VAR437) VAR135[20] <= VAR113[20]; else if (VAR370 && VAR283 <= 11) VAR135[20] <= VAR113[20]; end always @(posedge clk) begin if (rst) VAR135[19] <= 0; end else if (VAR370 && VAR437) VAR135[19] <= VAR113[19]; else if (VAR370 && VAR283 <= 12) VAR135[19] <= VAR113[19]; end always @(posedge clk) begin if (rst) VAR135[18] <= 0; end else if (VAR370 && VAR437) VAR135[18] <= VAR113[18]; else if (VAR370 && VAR283 <= 13) VAR135[18] <= VAR113[18]; end always @(posedge clk) begin if (rst) VAR135[17] <= 0; end else if (VAR370 && VAR437) VAR135[17] <= VAR113[17]; else if (VAR370 && VAR283 <= 14) VAR135[17] <= VAR113[17]; end always @(posedge clk) begin if (rst) VAR135[16] <= 0; end else if (VAR370 && VAR437) VAR135[16] <= VAR113[16]; else if (VAR370 && VAR283 <= 15) VAR135[16] <= VAR113[16]; end always @(posedge clk) begin if (rst) VAR135[15] <= 0; end else if (VAR370 && VAR437) VAR135[15] <= VAR113[15]; else if (VAR370 && VAR283 <= 16) VAR135[15] <= VAR113[15]; end always @(posedge clk) begin if (rst) VAR135[14] <= 0; end else if (VAR370 && VAR437) VAR135[14] <= VAR113[14]; else if (VAR370 && VAR283 <= 17) VAR135[14] <= VAR113[14]; end always @(posedge clk) begin if (rst) VAR135[13] <= 0; end else if (VAR370 && VAR437) VAR135[13] <= VAR113[13]; else if (VAR370 && VAR283 <= 18) VAR135[13] <= VAR113[13]; end always @(posedge clk) begin if (rst) VAR135[12] <= 0; end else if (VAR370 && VAR437) VAR135[12] <= VAR113[12]; else if (VAR370 && VAR283 <= 19) VAR135[12] <= VAR113[12]; end always @(posedge clk) begin if (rst) VAR135[11] <= 0; end else if (VAR370 && VAR437) VAR135[11] <= VAR113[11]; else if (VAR370 && VAR283 <= 20) VAR135[11] <= VAR113[11]; end always @(posedge clk) begin if (rst) VAR135[10] <= 0; end else if (VAR370 && VAR437) VAR135[10] <= VAR113[10]; else if (VAR370 && VAR283 <= 21) VAR135[10] <= VAR113[10]; end always @(posedge clk) begin if (rst) VAR135[9] <= 0; end else if (VAR370 && VAR437) VAR135[9] <= VAR113[9]; else if (VAR370 && VAR283 <= 22) VAR135[9] <= VAR113[9]; end always @(posedge clk) begin if (rst) VAR135[8] <= 0; end else if (VAR370 && VAR437) VAR135[8] <= VAR113[8]; else if (VAR370 && VAR283 <= 23) VAR135[8] <= VAR113[8]; end always @(posedge clk) begin if (rst) VAR135[7] <= 0; end else if (VAR370 && VAR437) VAR135[7] <= VAR113[7]; else if (VAR370 && VAR283 <= 24) VAR135[7] <= VAR113[7]; end always @(posedge clk) begin if (rst) VAR135[6] <= 0; end else if (VAR370 && VAR437) VAR135[6] <= VAR113[6]; else if (VAR370 && VAR283 <= 25) VAR135[6] <= VAR113[6]; end always @(posedge clk) begin if (rst) VAR135[5] <= 0; end else if (VAR370 && VAR437) VAR135[5] <= VAR113[5]; else if (VAR370 && VAR283 <= 26) VAR135[5] <= VAR113[5]; end always @(posedge clk) begin if (rst) VAR135[4] <= 0; end else if (VAR370 && VAR437) VAR135[4] <= VAR113[4]; else if (VAR370 && VAR283 <= 27) VAR135[4] <= VAR113[4]; end always @(posedge clk) begin if (rst) VAR135[3] <= 0; end else if (VAR370 && VAR437) VAR135[3] <= VAR113[3]; else if (VAR370 && VAR283 <= 28) VAR135[3] <= VAR113[3]; end always @(posedge clk) begin if (rst) VAR135[2] <= 0; end else if (VAR370 && VAR437) VAR135[2] <= VAR113[2]; else if (VAR370 && VAR283 <= 29) VAR135[2] <= VAR113[2]; end always @(posedge clk) begin if (rst) VAR135[1] <= 0; end else if (VAR370 && VAR437) VAR135[1] <= VAR113[1]; else if (VAR370 && VAR283 <= 30) VAR135[1] <= VAR113[1]; end always @(posedge clk) begin if (rst) VAR135[0] <= 0; end else if (VAR370 && VAR437) VAR135[0] <= VAR113[0]; else if (VAR370 && VAR283 <= 31) VAR135[0] <= VAR113[0]; end endmodule
apache-2.0
andrewandrepowell/zybo_petalinux
zybo_petalinux_webcam/zybo_petalinux_webcam.ip_user_files/ipstatic/processing_system7_bfm_v2_0/hdl/processing_system7_bfm_v2_0_intr_rd_mem.v
2,297
module MODULE1( VAR9, VAR19, VAR8, VAR18, req, VAR21, VAR6, VAR15, VAR10, VAR4, VAR7 ); input VAR9, VAR19; output VAR8, VAR18; input VAR7, VAR4; input [VAR12-1:0] VAR10, VAR15; input req, VAR21; input [VAR16-1:0] VAR6; reg [VAR5-1:0] VAR20 = 0, VAR2 = 0; reg [VAR14-1:0] VAR1 [0:VAR17-1]; wire VAR8, VAR18; assign VAR18 = (VAR20 === VAR2)?1'b1: 1'b0; assign VAR8 = ((VAR20[VAR5-1]!== VAR2[VAR5-1]) && (VAR20[VAR5-2:0] === VAR2[VAR5-2:0]))?1'b1 :1'b0; task VAR13; output [VAR14-1:0] VAR3; begin VAR3 = VAR1[VAR2[VAR5-1:0]]; if(VAR2[VAR5-2:0] === VAR17-1) VAR2[VAR5-2:0] = 0; end else VAR2 = VAR2 + 1; end endtask reg state; reg VAR11; always@(negedge VAR19 or posedge VAR9) begin if(!VAR19) begin VAR20 = 0; VAR2 = 0; state = 0; VAR11 = 0; end else begin case (state) 0 : begin state = 0; VAR11 = 0; if(req)begin state = 1; VAR11 = VAR21; end end 1 : begin state = 1; if(VAR4 | VAR7 | VAR11) begin if(VAR7) VAR1[VAR20[VAR5-2:0]] = {VAR10,VAR6}; end else if(VAR4) VAR1[VAR20[VAR5-2:0]] = {VAR15,VAR6}; end else VAR1[VAR20[VAR5-2:0]] = VAR6; if(VAR20[VAR5-2:0] === VAR17-1) VAR20[VAR5-2:0] = 0; end else VAR20 = VAR20 + 1; state = 0; VAR11 = 0; end end endcase end end endmodule
gpl-3.0
ShepardSiegel/ocpi
coregen/dram_k7_mig12/mig_7series_v1_2/user_design/rtl/phy/calib_top.v
37,673
module MODULE1 # ( parameter VAR194 = 100, parameter VAR44 = 2, parameter VAR196 = 3333, parameter VAR134 = 3, parameter VAR136 = "VAR87", parameter VAR183 = 64, parameter VAR110 = 4, parameter VAR17 = 3, parameter VAR111 = 144'h000000000000000000000000000000000000, parameter [7:0] VAR37 = 8'b00000000, parameter VAR5 = 2, parameter VAR39 = 10, parameter VAR167 = 1, parameter VAR198 = 64, parameter VAR53 = 3, parameter VAR22 = 8, parameter VAR66 = 8, parameter VAR145 = 14, parameter VAR197 = 1, parameter VAR174 = 1, parameter VAR36 = 1, parameter VAR1 = "VAR91", parameter VAR47 = "VAR70", parameter VAR88 = 3, parameter VAR171 = 16'h0000, parameter VAR26 = 12'h000, parameter VAR72 = 3'h0, parameter VAR27 = "0", parameter VAR102 = "1T", parameter VAR117 = "8", parameter VAR60 = "VAR105", parameter VAR114 = 5, parameter VAR86 = 5, parameter VAR73 = 110000, parameter VAR143 = "VAR33", parameter VAR92 = "VAR70", parameter VAR18 = "60", parameter VAR176 = "60", parameter VAR80 = "VAR24", parameter VAR67 = 1, parameter VAR51 = "VAR153", parameter VAR172 = "VAR153", parameter VAR154 = "VAR24" ) ( input clk, input rst, input [7:0] VAR76, input [7:0] VAR55, input VAR32, input VAR13, input VAR158, input VAR20, output VAR141, output VAR177, output VAR118, output VAR77, output [1:0] VAR108, output [3:0] VAR192, output [2:0] VAR9, output VAR8, output [1:0] VAR11, output [1:0] VAR135, output [5:0] VAR96, output [VAR44*VAR145-1:0] VAR139, output [VAR44*VAR5-1:0]VAR138, output [VAR174*VAR167*VAR44-1:0] VAR178, output [VAR44-1:0] VAR166, output [VAR44-1:0] VAR97, output [VAR44-1:0] VAR115, output VAR49, output reg [5:0] VAR180, output reg VAR126, output reg [VAR17-1:0] VAR4, output reg [VAR17-1:0] VAR7, output reg VAR29, input VAR14, input VAR56, input VAR71, input VAR28, output VAR52, output VAR101, output VAR100, output VAR116, output [5:0] VAR123, output VAR19, output VAR163, output VAR130, output VAR140, output VAR42, output VAR58, output VAR142, output VAR181, output VAR182, input [8:0] VAR15, input VAR150, output [2*VAR44*VAR198-1:0]VAR65, output [5*VAR197*VAR198-1:0] VAR113, input[2*VAR44*VAR198-1:0] VAR124, output [6*VAR197-1:0] VAR64, output VAR3, output VAR43, output VAR81, output VAR103, output VAR165, output VAR16, output VAR34, output VAR161, output VAR12, output [4:0] VAR112, output VAR129, output [VAR22-1:0] VAR69, output [99:0] VAR89, output [1:0] VAR93, output [1:0] VAR30, output [1:0] VAR95, output [5*VAR22-1:0] VAR125, output [5*VAR22-1:0] VAR195, input VAR82, input VAR46, input VAR164, input VAR94, input [VAR53-1:0] VAR79, input VAR188, output [255:0] VAR31, output [255:0] VAR160 ); localparam VAR21 = 1 + (|VAR37 ? 1 : 0); wire [VAR183-1:0] VAR170; wire VAR23; wire VAR122; wire VAR193; wire VAR85; wire VAR59; wire VAR74; reg VAR179; wire VAR151; wire VAR54; wire VAR165; wire VAR119; wire VAR169; wire VAR137; wire VAR63; wire VAR68; wire VAR131; wire VAR187; wire VAR184; wire VAR148; wire VAR41; wire VAR157; wire VAR109; wire VAR146; wire VAR156; wire VAR25; wire VAR61; wire VAR155; wire VAR62; wire VAR57; wire VAR144; wire [5:0] VAR121; wire [6*VAR197-1:0] VAR35; wire [6*VAR197-1:0] VAR128; wire VAR185; wire VAR38; wire VAR75; wire VAR98; wire VAR191; wire VAR127; wire VAR2; wire VAR133; wire VAR159; wire VAR45; wire VAR83; wire VAR168; wire [VAR53:0] VAR6; wire [VAR53:0] VAR120; wire [VAR53:0] VAR152; wire [8:0] VAR175; wire VAR84; wire [8:0] VAR40; wire VAR10; wire VAR48; wire [VAR53:0] VAR104; reg [VAR53:0] VAR99; wire [3*VAR22-1:0] VAR107; wire [6*VAR22-1:0] VAR162; wire VAR147; wire VAR173; wire VAR132; reg VAR189; reg VAR186; wire VAR149; wire VAR78; reg VAR50; reg VAR90; reg VAR190; reg VAR106; begin
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2bb2oi/sky130_fd_sc_hd__a2bb2oi.blackbox.v
1,463
module MODULE1 ( VAR7 , VAR8, VAR3, VAR9 , VAR1 ); output VAR7 ; input VAR8; input VAR3; input VAR9 ; input VAR1 ; supply1 VAR5; supply0 VAR2; supply1 VAR6 ; supply0 VAR4 ; endmodule
apache-2.0
ECE492-Team5/Platform
soc-platform-quartusii/soc_system/synthesis/submodules/soc_system_hps_0.v
30,534
module MODULE1 #( parameter VAR114 = 3, parameter VAR14 = 2 ) ( output wire VAR170, input wire VAR154, input wire VAR85, input wire VAR171, input wire [27:0] VAR180, input wire VAR88, input wire [7:0] VAR80, input wire [31:0] VAR132, input wire [3:0] VAR139, input wire [2:0] VAR111, input wire [1:0] VAR40, input wire [1:0] VAR42, input wire [3:0] VAR117, input wire [2:0] VAR163, input wire VAR82, output wire VAR2, input wire [4:0] VAR5, input wire [7:0] VAR143, input wire [127:0] VAR26, input wire [15:0] VAR43, input wire VAR62, input wire VAR96, output wire VAR119, output wire [7:0] VAR98, output wire [1:0] VAR102, output wire VAR148, input wire VAR103, input wire [7:0] VAR4, input wire [31:0] VAR165, input wire [3:0] VAR69, input wire [2:0] VAR141, input wire [1:0] VAR20, input wire [1:0] VAR64, input wire [3:0] VAR83, input wire [2:0] VAR55, input wire VAR77, output wire VAR120, input wire [4:0] VAR61, output wire [7:0] VAR76, output wire [127:0] VAR146, output wire [1:0] VAR15, output wire VAR152, output wire VAR112, input wire VAR113, input wire VAR86, output wire [11:0] VAR50, output wire [20:0] VAR48, output wire [3:0] VAR68, output wire [2:0] VAR9, output wire [1:0] VAR23, output wire [1:0] VAR24, output wire [3:0] VAR41, output wire [2:0] VAR123, output wire VAR116, input wire VAR140, output wire [11:0] VAR159, output wire [31:0] VAR107, output wire [3:0] VAR175, output wire VAR73, output wire VAR176, input wire VAR30, input wire [11:0] VAR144, input wire [1:0] VAR33, input wire VAR115, output wire VAR1, output wire [11:0] VAR122, output wire [20:0] VAR137, output wire [3:0] VAR167, output wire [2:0] VAR173, output wire [1:0] VAR60, output wire [1:0] VAR79, output wire [3:0] VAR106, output wire [2:0] VAR145, output wire VAR19, input wire VAR153, input wire [11:0] VAR22, input wire [31:0] VAR65, input wire [1:0] VAR45, input wire VAR92, input wire VAR118, output wire VAR169, input wire VAR105, output wire [11:0] VAR128, output wire [29:0] VAR10, output wire [3:0] VAR150, output wire [2:0] VAR101, output wire [1:0] VAR161, output wire [1:0] VAR166, output wire [3:0] VAR35, output wire [2:0] VAR59, output wire VAR160, input wire VAR100, output wire [11:0] VAR127, output wire [63:0] VAR184, output wire [7:0] VAR142, output wire VAR8, output wire VAR109, input wire VAR51, input wire [11:0] VAR56, input wire [1:0] VAR84, input wire VAR3, output wire VAR39, output wire [11:0] VAR13, output wire [29:0] VAR7, output wire [3:0] VAR134, output wire [2:0] VAR66, output wire [1:0] VAR178, output wire [1:0] VAR110, output wire [3:0] VAR182, output wire [2:0] VAR71, output wire VAR133, input wire VAR126, input wire [11:0] VAR29, input wire [63:0] VAR12, input wire [1:0] VAR75, input wire VAR124, input wire VAR46, output wire VAR74, input wire [31:0] VAR125, input wire [31:0] VAR151, output wire [14:0] VAR186, output wire [2:0] VAR37, output wire VAR95, output wire VAR11, output wire VAR58, output wire VAR78, output wire VAR27, output wire VAR90, output wire VAR17, output wire VAR108, inout wire [31:0] VAR72, inout wire [3:0] VAR158, inout wire [3:0] VAR47, output wire VAR179, output wire [3:0] VAR57, input wire VAR147, output wire VAR174, output wire VAR21, output wire VAR25, output wire VAR164, output wire VAR138, input wire VAR91, inout wire VAR52, output wire VAR155, input wire VAR70, output wire VAR181, input wire VAR89, input wire VAR36, input wire VAR136, input wire VAR130, inout wire VAR16, inout wire VAR63, inout wire VAR81, output wire VAR135, inout wire VAR104, inout wire VAR183, inout wire VAR172, inout wire VAR129, inout wire VAR162, inout wire VAR6, inout wire VAR177, inout wire VAR38, inout wire VAR18, inout wire VAR131, input wire VAR34, output wire VAR185, input wire VAR156, input wire VAR32, output wire VAR99, output wire VAR31, input wire VAR44, output wire VAR94, input wire VAR157, output wire VAR28, inout wire VAR97, inout wire VAR53, inout wire VAR168, inout wire VAR49, inout wire VAR67, inout wire VAR121, inout wire VAR54, inout wire VAR93, inout wire VAR149, inout wire VAR87 ); generate if (VAR114 != 3) begin begin
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or4/sky130_fd_sc_hd__or4.symbol.v
1,282
module MODULE1 ( input VAR9, input VAR2, input VAR8, input VAR5, output VAR1 ); supply1 VAR3; supply0 VAR7; supply1 VAR6 ; supply0 VAR4 ; endmodule
apache-2.0
twlostow/dsi-shield
hdl/rtl/fmlarb/fmlarb_dack.v
1,765
module MODULE1( input VAR5, input VAR2, input VAR3, input VAR1, input VAR6, output VAR8, output reg ack ); wire read = VAR1 & ~VAR6; wire write = VAR1 & VAR6; reg VAR9; reg VAR10; reg VAR11; always @(posedge VAR5) begin if(VAR2) begin VAR9 <= 1'b0; VAR10 <= 1'b0; VAR11 <= 1'b0; end else begin VAR9 <= read; VAR10 <= VAR9; VAR11 <= VAR10; end end reg VAR7; always @(posedge VAR5) begin if(VAR2) begin VAR7 <= 1'b0; ack <= 1'b0; end else begin ack <= VAR11|write; end end reg VAR4; assign VAR8 = VAR3 & ~VAR4; always @(posedge VAR5) begin if(VAR2) VAR4 <= 1'b0; end else begin if(VAR1) VAR4 <= 1'b1; if(ack) VAR4 <= 1'b0; end end endmodule
lgpl-3.0
skyfex/svo-raycaster
raycaster2/raycast_core_master.v
4,513
module MODULE1 ( clk, rst, VAR7, VAR39, VAR27, VAR28, VAR25, VAR17, VAR42, VAR19, VAR5, VAR35, VAR32, VAR33 ); parameter VAR24 = 2; parameter VAR41 = 1; input clk; input rst; output reg [31:0] VAR7; input [31:0] VAR39; output reg VAR27; output VAR28; input VAR25; input VAR17; input VAR42; input [31:0] VAR19; input [2:0] VAR5; input VAR35; output VAR32; output [15:0] VAR33; parameter VAR37 = 0, VAR2 = 1, VAR29 = 2, VAR1 = 3, VAR15 = 4, VAR31 = 5; reg [2:0] state; reg [31:0] VAR3; reg [31:0] VAR21; reg VAR38; reg [31:0] VAR11; wire [7:0] VAR18 = VAR3[7:0]; wire [7:0] VAR14 = VAR3[15:8]; wire [14:0] VAR20 = VAR3[30:16]; wire VAR8 = VAR3[31]; wire [7:0] VAR26 = VAR18 & ~VAR14; reg [3:0] VAR4 [0:7]; wire [31:0] VAR6; wire [15:0] VAR9; wire VAR43 = (state==VAR15 && VAR25); assign VAR32 = (state==VAR37); assign VAR33 = VAR3[15:0]; assign VAR28 = VAR27; always @(posedge clk) begin if (rst) begin state <= VAR37; end else begin case (state) VAR37: begin if (VAR17) begin VAR21 <= VAR19; VAR7 <= VAR19; VAR38 <= 0; VAR27 <= 1; state <= VAR15; end else if (VAR42) begin VAR3[15:0] <= VAR9; VAR21 <= VAR6; VAR38 <= 1; state <= VAR37; end else if (VAR35) begin if (VAR38) begin VAR7 <= VAR21 + {27'b0, VAR4[VAR5], 2'b0}; VAR27 <= 1; state <= VAR15; end else begin VAR7 <= VAR11; VAR27 <= 1; state <= VAR2; end end end VAR2: begin if (VAR25) begin VAR27 <= 0; VAR11 <= {VAR39[29:0],2'b0}; state <= VAR29; end end VAR29: begin VAR21 <= VAR21 + VAR11; state <= VAR1; end VAR1: begin VAR7 <= VAR21 + {27'b0, VAR4[VAR5], 2'b0}; VAR27 <= 1; state <= VAR15; end VAR15: begin if (VAR25) begin VAR27 <= 0; VAR38 <= 0; VAR21 <= VAR7; VAR3 <= VAR39; state <= VAR31; end end VAR31: begin if (VAR8) begin VAR11 <= VAR21 + {15'b0, VAR20, 2'b0}; end else begin VAR21 <= VAR21 + {15'b0, VAR20, 2'b0}; VAR38 <= 1; end state <= VAR37; end endcase end end VAR36 VAR40 ( .clk (clk), .VAR23 (VAR43), .VAR12 (VAR42), .VAR10 (VAR21), .VAR22 (VAR6) ); VAR36 VAR16 ( .clk (clk), .VAR23 (VAR43), .VAR12 (VAR42), .VAR10 (VAR3[15:0]), .VAR22 (VAR9) ); always @(VAR26) begin VAR4[0] = 3'b0; VAR4[1] = {2'b0, VAR26[0]}; VAR4[2] = VAR4[1] + {2'b0, VAR26[1]}; VAR4[3] = VAR4[2] + {2'b0, VAR26[2]}; VAR4[4] = VAR4[3] + {2'b0, VAR26[3]}; VAR4[5] = VAR4[4] + {2'b0, VAR26[4]}; VAR4[6] = VAR4[5] + {2'b0, VAR26[5]}; VAR4[7] = VAR4[6] + {2'b0, VAR26[6]}; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/clkinv/sky130_fd_sc_hdll__clkinv.behavioral.pp.v
1,792
module MODULE1 ( VAR11 , VAR3 , VAR4, VAR5, VAR12 , VAR6 ); output VAR11 ; input VAR3 ; input VAR4; input VAR5; input VAR12 ; input VAR6 ; wire VAR9 ; wire VAR2; not VAR7 (VAR9 , VAR3 ); VAR10 VAR8 (VAR2, VAR9, VAR4, VAR5); buf VAR1 (VAR11 , VAR2 ); endmodule
apache-2.0
lbl-cal/StanfordNoC
router/src/clib/c_rr_arbiter.v
5,494
module MODULE1 (clk, reset, VAR17, VAR23, VAR45, VAR25, VAR26); parameter VAR38 = 32; parameter VAR44 = 1; parameter VAR3 = 1; localparam VAR54 = VAR16(VAR38); parameter VAR30 = VAR8; input clk; input reset; input VAR17; input [0:VAR44*VAR38-1] VAR23; output [0:VAR44*VAR38-1] VAR45; wire [0:VAR44*VAR38-1] VAR45; output [0:VAR38-1] VAR25; wire [0:VAR38-1] VAR25; input VAR26; wire [0:VAR44*VAR38-1] VAR32; wire [0:VAR38-1] VAR29; genvar VAR47; generate for(VAR47 = 0; VAR47 < VAR44; VAR47 = VAR47 + 1) begin:VAR10 wire [0:VAR38-1] req; assign req = VAR23[VAR47*VAR38:(VAR47+1)*VAR38-1]; wire [0:VAR38-1] VAR25; VAR15 VAR49 (.VAR29(VAR29), .req(req), .VAR25(VAR25)); assign VAR32[VAR47*VAR38:(VAR47+1)*VAR38-1] = VAR25; end if(VAR3) begin wire [0:VAR54-1] VAR41; VAR20 .VAR6(1)) VAR21 (.VAR12(VAR25), .VAR34(VAR41)); wire [0:VAR54-1] VAR14, VAR46; assign VAR14 = VAR26 ? VAR41 : VAR46; VAR2 .VAR30(VAR30)) VAR46 (.clk(clk), .reset(reset), .VAR17(VAR17), .VAR36(VAR14), .VAR11(VAR46)); wire [0:VAR38-1] VAR48; VAR50 .VAR9(1)) VAR5 (.VAR12(VAR46), .VAR34(VAR48)); assign VAR29 = {1'b0, VAR48[0:VAR38-2]}; end else begin wire [0:VAR38-2] VAR31; assign VAR31 = VAR25[1:VAR38-1]; wire [0:VAR38-2] VAR7; VAR13 VAR42 (.VAR12(VAR31), .VAR34(VAR7)); wire [0:VAR38-2] VAR33, VAR19; assign VAR33 = VAR26 ? VAR7 : VAR19; VAR2 .VAR30(VAR30)) VAR19 (.clk(clk), .reset(reset), .VAR17(VAR17), .VAR36(VAR33), .VAR11(VAR19)); assign VAR29 = {1'b0, VAR19}; end if(VAR44 == 1) begin assign VAR45 = VAR32; assign VAR25 = VAR32; end else if(VAR44 > 1) begin wire [0:VAR44-1] VAR51; VAR18 .VAR35(VAR38), .VAR52(VAR37)) VAR27 (.VAR12(VAR23), .VAR34(VAR51)); wire [0:VAR44-1] VAR53; assign VAR53 = {VAR51[0:VAR44-2], 1'b1}; wire [0:VAR44-1] VAR28; VAR39 VAR1 (.VAR12(VAR53), .VAR34(VAR28)); VAR40 .VAR35(VAR38), .VAR52(VAR24)) VAR22 (.select(VAR28), .VAR12(VAR32), .VAR34(VAR45)); VAR4 .VAR35(VAR38)) VAR43 (.select(VAR28), .VAR12(VAR32), .VAR34(VAR25)); end endgenerate endmodule
bsd-2-clause
trivoldus28/pulsarch-verilog
design/sys/iop/common/rtl/swrvr_dlib.v
6,605
module MODULE3 (dout, VAR21, VAR15, sel) ; parameter VAR4 = 1; output [VAR4-1:0] dout; input [VAR4-1:0] VAR21; input [VAR4-1:0] VAR15; input sel; reg [VAR4-1:0] dout ; always @ (sel or VAR21 or VAR15) begin case (sel) 1'b1: dout = VAR15 ; 1'b0: dout = VAR21; default: begin if (VAR21 == VAR15) begin dout = VAR21; end else dout = {VAR4{1'VAR12}}; end endcase end endmodule module MODULE5 (dout, VAR21, VAR15, VAR18, VAR10, VAR19, VAR13, VAR1, VAR7) ; parameter VAR4 = 1; output [VAR4-1:0] dout; input [VAR4-1:0] VAR21; input [VAR4-1:0] VAR15; input [VAR4-1:0] VAR18; input [VAR4-1:0] VAR10; input VAR19; input VAR13; input VAR1; input VAR7; reg [VAR4-1:0] dout ; constraint VAR23 (VAR20 ({VAR7,VAR1,VAR13,VAR19})); wire [3:0] sel = {VAR7,VAR1,VAR13,VAR19}; always @ (VAR19 or VAR13 or VAR1 or VAR7 or VAR21 or VAR15 or VAR18 or VAR10) case ({VAR7,VAR1,VAR13,VAR19}) 4'b1110 : dout = VAR21 ; 4'b1101 : dout = VAR15 ; 4'b1011 : dout = VAR18 ; 4'b0111 : dout = VAR10 ; 4'b1111 : dout = {VAR4{1'VAR12}} ; default : dout = {VAR4{1'VAR12}} ; endcase endmodule module MODULE6 (dout, VAR21, VAR15, VAR18, VAR10, VAR3, VAR19, VAR13, VAR1, VAR7, VAR14) ; parameter VAR4 = 1; output [VAR4-1:0] dout; input [VAR4-1:0] VAR21; input [VAR4-1:0] VAR15; input [VAR4-1:0] VAR18; input [VAR4-1:0] VAR10; input [VAR4-1:0] VAR3; input VAR19; input VAR13; input VAR1; input VAR7; input VAR14; reg [VAR4-1:0] dout ; constraint VAR6 (VAR20 ({VAR14,VAR7,VAR1,VAR13,VAR19})); wire [4:0] sel = {VAR14,VAR7,VAR1,VAR13,VAR19}; always @ (VAR19 or VAR13 or VAR1 or VAR7 or VAR14 or VAR21 or VAR15 or VAR18 or VAR10 or VAR3) case ({VAR14,VAR7,VAR1,VAR13,VAR19}) 5'b11110 : dout = VAR21 ; 5'b11101 : dout = VAR15 ; 5'b11011 : dout = VAR18 ; 5'b10111 : dout = VAR10 ; 5'b01111 : dout = VAR3 ; 5'b11111 : dout = {VAR4{1'VAR12}} ; default : dout = {VAR4{1'VAR12}} ; endcase endmodule module MODULE4 (dout, VAR21, VAR15, VAR18, VAR10, VAR3, VAR11, VAR2, VAR9, VAR19, VAR13, VAR1, VAR7, VAR14, VAR22, VAR5, VAR16) ; parameter VAR4 = 1; output [VAR4-1:0] dout; input [VAR4-1:0] VAR21; input [VAR4-1:0] VAR15; input [VAR4-1:0] VAR18; input [VAR4-1:0] VAR10; input [VAR4-1:0] VAR3; input [VAR4-1:0] VAR11; input [VAR4-1:0] VAR2; input [VAR4-1:0] VAR9; input VAR19; input VAR13; input VAR1; input VAR7; input VAR14; input VAR22; input VAR5; input VAR16; reg [VAR4-1:0] dout ; constraint VAR17 (VAR20 ({VAR16,VAR5,VAR22,VAR14, VAR7,VAR1,VAR13,VAR19})); wire [7:0] sel = {VAR16,VAR5,VAR22,VAR14, VAR7,VAR1,VAR13,VAR19}; always @ (VAR19 or VAR13 or VAR1 or VAR7 or VAR21 or VAR15 or VAR18 or VAR10 or VAR14 or VAR22 or VAR5 or VAR16 or VAR3 or VAR11 or VAR2 or VAR9) case ({VAR16,VAR5,VAR22,VAR14,VAR7,VAR1,VAR13,VAR19}) 8'b11111110 : dout = VAR21 ; 8'b11111101 : dout = VAR15 ; 8'b11111011 : dout = VAR18 ; 8'b11110111 : dout = VAR10 ; 8'b11101111 : dout = VAR3 ; 8'b11011111 : dout = VAR11 ; 8'b10111111 : dout = VAR2 ; 8'b01111111 : dout = VAR9 ; 8'b11111111 : dout = {VAR4{1'VAR12}} ; default : dout = {VAR4{1'VAR12}} ; endcase endmodule module MODULE2 (dout, VAR21, VAR15, VAR18, VAR19, VAR13, VAR1); parameter VAR4 = 1; output [VAR4-1:0] dout; input [VAR4-1:0] VAR21; input [VAR4-1:0] VAR15; input [VAR4-1:0] VAR18; input VAR19; input VAR13; input VAR1; reg [VAR4-1:0] dout ; constraint VAR8 (VAR20 ({VAR1,VAR13,VAR19})); wire [2:0] sel = {VAR1,VAR13,VAR19}; always @ (VAR19 or VAR13 or VAR1 or VAR21 or VAR15 or VAR18) case ({VAR1,VAR13,VAR19}) 3'b110 : dout = VAR21 ; 3'b101 : dout = VAR15 ; 3'b011 : dout = VAR18 ; default : dout = {VAR4{1'VAR12}} ; endcase endmodule module MODULE1(dout, in); parameter VAR4 = 1; output [VAR4-1:0] dout; input [VAR4-1:0] in; assign dout = in; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o2bb2a/sky130_fd_sc_hs__o2bb2a_2.v
2,271
module MODULE1 ( VAR7 , VAR6, VAR3, VAR9 , VAR5 , VAR4, VAR2 ); output VAR7 ; input VAR6; input VAR3; input VAR9 ; input VAR5 ; input VAR4; input VAR2; VAR8 VAR1 ( .VAR7(VAR7), .VAR6(VAR6), .VAR3(VAR3), .VAR9(VAR9), .VAR5(VAR5), .VAR4(VAR4), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR7 , VAR6, VAR3, VAR9 , VAR5 ); output VAR7 ; input VAR6; input VAR3; input VAR9 ; input VAR5 ; supply1 VAR4; supply0 VAR2; VAR8 VAR1 ( .VAR7(VAR7), .VAR6(VAR6), .VAR3(VAR3), .VAR9(VAR9), .VAR5(VAR5) ); endmodule
apache-2.0
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
bin_Gray_Processing/iface/ip/export/export_master.v
1,793
module MODULE1 ( clk, reset, address, read, VAR13, VAR3, write, VAR17, VAR12, VAR14, VAR8, VAR5, VAR15, VAR18, VAR1, VAR19, VAR9, VAR2, VAR7, VAR6, VAR22, VAR24, interrupt, VAR23 ); parameter VAR21 = 4; parameter VAR16 = 32; parameter VAR4 = 32; parameter VAR11 = 1; localparam VAR10 = VAR21 * 8; localparam VAR20 = VAR16 - VAR4; input clk; input reset; input [VAR4-1:0] address; input read; output [VAR10-1:0] VAR13; output VAR3; input write; input [VAR10-1:0] VAR17; input [VAR11-1:0] VAR12; input VAR5; input [VAR21-1:0] VAR14; output VAR8; output interrupt; output [VAR16-1:0] VAR15; output VAR18; input [VAR10-1:0] VAR1; input VAR19; output VAR9; output [VAR10-1:0] VAR2; output [VAR11-1:0] VAR7; output VAR6; output [VAR21-1:0] VAR22; input VAR24; input VAR23; assign VAR15 = address << VAR20; assign VAR18 = read; assign VAR13 = VAR1; assign VAR3 = VAR19; assign VAR9 = write; assign VAR2 = VAR17; assign VAR7 = VAR12; assign VAR6 = VAR5; assign VAR22 = VAR14; assign interrupt = VAR23; assign VAR8 = VAR24; endmodule
mit
wgml/sysrek
fsm2/fsm2.v
1,600
module MODULE1( input clk, input rst, input VAR5, output [7:0] VAR10, output VAR2 ); localparam VAR6 = 2'b00; localparam VAR8 = 2'b01; localparam VAR9 = 2'b10; reg [1:0] state = VAR6; reg [7:0] VAR3 = 8'b00000000; reg VAR4 = 1'b0; reg [2:0] VAR1; reg [1:0] VAR7 = 2'b11; always @(posedge clk) begin if(rst) begin VAR3 = 0; VAR4 = 0; VAR7 = 2'b11; state = VAR6; end case(state) VAR6: begin if(VAR7 == 2'b00 && VAR5 == 1) begin VAR7 = 2'b11; VAR3 = 8'b00000000; VAR1 = 3'b000; state = VAR8; end end VAR8: begin VAR3[VAR1] = VAR5; VAR1 = VAR1 + 1; if(VAR1 == 3'b000) begin VAR4 = 1'b1; state = VAR9; VAR1 = 3'b000; end end VAR9: begin VAR4 = 1'b0; VAR3 = 8'b00000000; state = VAR6; end endcase if(state == VAR6) VAR7 = { VAR7[0], VAR5 }; end assign VAR10 = VAR3; assign VAR2 = VAR4; endmodule
gpl-2.0
betaEncoder/PSoC_WS2812Bdriver
Lticker.cydsn/WS2812driver/WS2812driver.v
16,028
module MODULE1 ( output VAR80, output VAR20, output VAR57, input VAR89 ); localparam VAR25 = 2'd0; localparam VAR73 = 2'd1; localparam VAR2 = 2'd2; localparam VAR47 = 2'd3; reg VAR66; reg [1:0] VAR31; reg VAR58; reg VAR8; wire VAR52; wire VAR33; localparam VAR53 = 3'd0; localparam VAR38 = 3'd1; localparam VAR44 = 3'd2; localparam VAR16 = 3'd3; localparam VAR35 = 3'd4; localparam VAR21 = 3'd5; localparam VAR69 = 3'd6; reg [2:0] VAR88; reg [2:0] VAR77; wire[7:0] VAR28; wire VAR14; wire VAR67; wire VAR11; wire VAR39; wire VAR54; assign VAR80 = VAR58; assign VAR57 = VAR66; assign VAR20 = |VAR31; assign VAR28 = VAR14?8'd5:8'd17; always @ (posedge VAR89) begin case(VAR31) VAR25:begin VAR58 <= 1'b0; VAR8 <= 1'b0; if(|VAR88) begin VAR31 <= VAR73; end else begin VAR31 <= VAR25; end end VAR73:begin VAR58 <= 1'b1; VAR8 <= 1'b0; VAR31 <= VAR2; end VAR2:begin VAR8 <= 1'b0; if(VAR52) begin VAR58 <= 1'b0; VAR31 <= VAR47; end else begin VAR58 <= 1'b1; VAR31 <= VAR2; end end VAR47:begin VAR58 <= 1'b0; if(VAR33) begin if(|VAR88) begin VAR31 <= VAR73; end else begin VAR31 <= VAR25; end end else begin VAR31 <= VAR47; end if(VAR52) begin VAR8 <= 1'b1; end else begin VAR8 <= 1'b0; end end default:begin VAR31 <= VAR25; end endcase end always @ (posedge VAR89) begin case(VAR88) VAR53:begin VAR77 <= VAR77; VAR66 <= VAR66; if(VAR11) begin VAR88 <= VAR53; end else begin VAR88 <= VAR38; end end VAR38:begin VAR88 <= VAR44; VAR77 <= 3'd7; VAR66 <= VAR66; end VAR44:begin VAR77 <= VAR77; VAR66 <= VAR66; if(VAR8) begin VAR88 <= VAR16; end else begin VAR88 <= VAR44; end end VAR16:begin VAR77 <= VAR77 - 1; VAR66 <= VAR11 & VAR54; if(VAR77==3'd0) begin if(VAR11) begin if(VAR54) begin VAR88 <= VAR53; end else begin VAR88 <= VAR35; end end else begin VAR88 <= VAR38; end end else begin VAR88 <= VAR44; end end VAR35:begin VAR88 <= VAR21; VAR77 <= 3'd7; VAR66 <= VAR66; end VAR21:begin VAR77 <= VAR77; VAR66 <= VAR66; if(VAR8) begin VAR88 <= VAR69; end else begin VAR88 <= VAR21; end end VAR69:begin VAR77 <= VAR77 - 1; VAR66 <= VAR11 & VAR54; if(VAR77==3'd0) begin if(VAR54) begin if(VAR11) begin VAR88 <= VAR53; end else begin VAR88 <= VAR38; end end else begin VAR88 <= VAR35; end end else begin VAR88 <= VAR21; end end default:begin VAR77 <= 3'd7; VAR88 <= VAR53; VAR66 <= VAR66; end endcase end VAR79 #(.VAR6( { 8'hFF, 8'h00, 8'hFF, 8'hFF, 1'h0, VAR29, VAR90, 10'h00, ,VAR56,VAR64} )) VAR17( .reset(1'b0), .clk(VAR89), .VAR13(VAR88), .VAR18(1'b0), .VAR5(1'b0), .VAR85(1'b0), .VAR37(1'b0), .VAR60(1'b0), .VAR65(1'b0), .VAR10(), .VAR19(), .VAR74(), .VAR84(), .VAR41(), .VAR43(), .VAR3(), .VAR86(), .VAR55(), .VAR4(), .VAR81(), .VAR72(VAR14), .VAR83(VAR67), .VAR51(VAR11), .VAR36(VAR39), .VAR46(VAR54) ); VAR26 #(.VAR82(23), .VAR75(5), .VAR24(23), .VAR71(3), .VAR76( { 8'hFF, 8'h00, 8'hFF, 8'hFF, 1'h0, VAR29, VAR90, 10'h00, VAR62,VAR30, } )) VAR70( .reset(1'b0), .clk(VAR89), .VAR13({1'b0, VAR31}), .VAR18(1'b0), .VAR5(1'b0), .VAR85(1'b0), .VAR37(1'b0), .VAR60(1'b0), .VAR65(1'b0), .VAR10(), .VAR19(), .VAR74(VAR33), .VAR84(), .VAR41(VAR52), .VAR43(), .VAR3(), .VAR86(), .VAR55(), .VAR4(), .VAR81(), .VAR72(), .VAR83(), .VAR51(), .VAR36(), .VAR46(), .VAR23(1'b0), .VAR49(), .VAR63(1'b0), .VAR48(), .VAR34(1'b0), .VAR42(), .VAR50(1'b0), .VAR78(), .VAR87(2'b0), .VAR22(), .VAR15(2'b0), .VAR7(), .VAR27(2'b0), .VAR61(), .VAR32(2'b0), .VAR45(), .VAR1(2'b0), .VAR59(), .VAR40(1'b0), .VAR9(), .VAR68(VAR28), .VAR12() ); endmodule
mit
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/niosII_system/submodules/niosII_system_sdram_0.v
23,937
module MODULE1 ( clk, rd, VAR68, wr, VAR10, VAR16, VAR49, VAR42, VAR55, VAR43 ) ; output VAR16; output VAR49; output VAR42; output VAR55; output [ 40: 0] VAR43; input clk; input rd; input VAR68; input wr; input [ 40: 0] VAR10; wire VAR16; wire VAR49; wire VAR42; reg [ 1: 0] VAR50; reg [ 40: 0] VAR21; reg [ 40: 0] VAR63; wire VAR55; reg VAR36; reg [ 40: 0] VAR43; wire [ 1: 0] VAR12; reg VAR82; assign VAR12 = {rd, wr}; assign VAR55 = VAR50 == 2; assign VAR49 = VAR50 >= 1; assign VAR42 = VAR50 == 0; assign VAR16 = VAR50 <= 1; always @(VAR21 or VAR63 or VAR36) begin case (VAR36) 1'd0: begin VAR43 = VAR21; end 1'd1: begin VAR43 = VAR63; end default: begin end endcase end always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) begin VAR82 <= 0; VAR36 <= 0; VAR50 <= 0; end else case (VAR12) 2'd1: begin if (!VAR55) begin VAR50 <= VAR50 + 1; VAR82 <= (VAR82 == 1) ? 0 : (VAR82 + 1); end end 2'd2: begin if (!VAR42) begin VAR50 <= VAR50 - 1; VAR36 <= (VAR36 == 1) ? 0 : (VAR36 + 1); end end 2'd3: begin VAR82 <= (VAR82 == 1) ? 0 : (VAR82 + 1); VAR36 <= (VAR36 == 1) ? 0 : (VAR36 + 1); end default: begin end endcase end always @(posedge clk) begin if (wr & !VAR55) case (VAR82) 1'd0: begin VAR21 <= VAR10; end 1'd1: begin VAR63 <= VAR10; end default: begin end endcase end endmodule module MODULE2 ( VAR19, VAR5, VAR64, VAR9, VAR27, VAR51, clk, VAR68, VAR47, VAR20, VAR59, VAR62, VAR79, VAR74, VAR52, VAR75, VAR83, VAR14, VAR22, VAR25 ) ; output [ 15: 0] VAR47; output VAR20; output VAR59; output [ 11: 0] VAR62; output [ 1: 0] VAR79; output VAR74; output VAR52; output VAR75; inout [ 15: 0] VAR83; output [ 1: 0] VAR14; output VAR22; output VAR25; input [ 21: 0] VAR19; input [ 1: 0] VAR5; input VAR64; input [ 15: 0] VAR9; input VAR27; input VAR51; input clk; input VAR68; wire [ 23: 0] VAR57; reg VAR26; reg [ 21: 0] VAR3; wire [ 1: 0] VAR4; reg VAR30; reg [ 15: 0] VAR80; reg [ 1: 0] VAR66; reg VAR76; wire VAR16; wire VAR49; wire VAR29; wire [ 7: 0] VAR53; wire VAR78; wire [ 3: 0] VAR11; wire [ 2: 0] VAR34; wire VAR77; wire VAR28; wire VAR46; wire [ 21: 0] VAR7; wire [ 1: 0] VAR39; wire VAR40; wire [ 15: 0] VAR72; wire [ 1: 0] VAR2; wire VAR58; reg VAR48; wire VAR8; wire VAR31; wire [ 40: 0] VAR54; reg [ 11: 0] VAR69; reg [ 3: 0] VAR44; reg [ 2: 0] VAR35; reg [ 2: 0] VAR70; reg [ 2: 0] VAR41; reg [ 2: 0] VAR24; reg VAR37; reg [ 11: 0] VAR73 ; reg [ 1: 0] VAR23 ; reg [ 3: 0] VAR60 ; reg [ 2: 0] VAR56; reg [ 15: 0] VAR61 ; reg [ 1: 0] VAR65 ; reg [ 8: 0] VAR67; reg [ 8: 0] VAR32; reg VAR15 ; wire VAR13; wire VAR6; reg [ 2: 0] VAR18; reg [ 12: 0] VAR38; reg VAR1; wire VAR45; wire VAR17; wire [ 23: 0] VAR81; reg VAR84; reg [ 15: 0] VAR47 ; reg VAR20; wire VAR59; wire [ 11: 0] VAR62; wire [ 1: 0] VAR79; wire VAR74; wire VAR52; wire VAR75; wire [ 15: 0] VAR83; wire [ 1: 0] VAR14; wire VAR22; wire VAR25; assign VAR78 = 1; assign {VAR75, VAR22, VAR74, VAR25} = VAR60; assign VAR62 = VAR73; assign VAR52 = VAR78; assign VAR83 = VAR15?VAR61:{16{1'VAR71}}; assign VAR14 = VAR65; assign VAR79 = VAR23; assign VAR31 = VAR48 & VAR13; assign VAR40 = 1'b0; assign VAR77 = VAR31 ? VAR40 : VAR30; assign VAR28 = VAR77; assign {VAR8, VAR7, VAR2, VAR72} = VAR54; MODULE1 VAR33 ( .VAR16 (VAR16), .VAR49 (VAR49), .clk (clk), .VAR42 (VAR58), .VAR55 (VAR59), .rd (VAR31), .VAR43 (VAR54), .VAR68 (VAR68), .wr ((~VAR51 | ~VAR27) & !VAR59), .VAR10 ({VAR51, VAR19, VAR51 ? 2'b0 : VAR5, VAR9}) ); assign VAR39 = {VAR7[21],VAR7[8]}; always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) VAR38 <= 5000; end else if (VAR38 == 0) VAR38 <= 781; else VAR38 <= VAR38 - 1'b1; end always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) VAR1 <= 0; end else if (1) VAR1 <= ((VAR38 == 0) | VAR1) & ~VAR26 & VAR37; end always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) VAR84 <= 0; end else if (1) VAR84 <= (VAR38 == 0) & VAR1; end always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) VAR37 <= 0; end else if (1) VAR37 <= VAR37 | (VAR24 == 3'b101); end always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) begin VAR24 <= 3'b000; VAR70 <= 3'b000; VAR44 <= 4'b1111; VAR69 <= {12{1'b1}}; VAR35 <= {3{1'b0}}; end else begin VAR69 <= {12{1'b1}}; case (VAR24) 3'b000: begin VAR44 <= 4'b1111; VAR41 <= 3'b0; if (VAR38 == 0) VAR24 <= 3'b001; end 3'b001: begin VAR24 <= 3'b011; VAR44 <= {{1{1'b0}},3'h2}; VAR35 <= 0; VAR70 <= 3'b010; end 3'b010: begin VAR44 <= {{1{1'b0}},3'h1}; VAR41 <= VAR41 + 1'b1; VAR24 <= 3'b011; VAR35 <= 3; if (VAR41 == 3'h1) VAR70 <= 3'b111; end else VAR70 <= 3'b010; end 3'b011: begin VAR44 <= {{1{1'b0}},3'h7}; if (VAR35 > 1) VAR35 <= VAR35 - 1'b1; end else VAR24 <= VAR70; end 3'b101: begin VAR24 <= 3'b101; end 3'b111: begin VAR24 <= 3'b011; VAR44 <= {{1{1'b0}},3'h0}; VAR69 <= {{2{1'b0}},1'b0,2'b00,3'h3,4'h0}; VAR35 <= 4; VAR70 <= 3'b101; end default: begin VAR24 <= 3'b000; end endcase end end assign VAR4 = {VAR3[21],VAR3[8]}; assign VAR46 = VAR30 == VAR40; assign VAR45 = VAR76 == VAR8; assign VAR29 = VAR4 == VAR39; assign VAR17 = {VAR3[20 : 9]} == {VAR7[20 : 9]}; assign VAR13 = VAR46 && VAR45 && VAR29 && VAR17 && !VAR58; assign VAR53 = VAR31 ? { {4{1'b0}},VAR7[7 : 0] } : { {4{1'b0}},VAR3[7 : 0] }; always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) begin VAR32 <= 9'b000000001; VAR67 <= 9'b000000001; VAR60 <= 4'b1111; VAR23 <= 2'b00; VAR73 <= 12'b000000000000; VAR61 <= 16'b0000000000000000; VAR65 <= 2'b00; VAR56 <= 3'b000; VAR26 <= 1'b0; VAR48 <= 1'b0; VAR15 <= 1'b0; end else begin VAR48 <= 1'b0; VAR15 <= 1'b0; case (VAR32) 9'b000000001: begin if (VAR37) begin if (VAR1) VAR60 <= {{1{1'b0}},3'h7}; end else VAR60 <= 4'b1111; VAR26 <= 1'b0; if (VAR1) begin VAR32 <= 9'b001000000; VAR67 <= 9'b010000000; VAR56 <= 0; VAR30 <= 1'b1; end else if (!VAR58) begin VAR48 <= 1'b1; VAR30 <= VAR40; VAR76 <= VAR8; VAR3 <= VAR7; VAR80 <= VAR72; VAR66 <= VAR2; VAR32 <= 9'b000000010; end end else begin VAR73 <= VAR69; VAR32 <= 9'b000000001; VAR67 <= 9'b000000001; VAR60 <= VAR44; end end 9'b000000010: begin VAR32 <= 9'b000000100; VAR60 <= {VAR28,3'h3}; VAR23 <= VAR4; VAR73 <= VAR3[20 : 9]; VAR61 <= VAR80; VAR65 <= VAR66; VAR56 <= 1; VAR67 <= VAR76 ? 9'b000001000 : 9'b000010000; end 9'b000000100: begin if (VAR67 == 9'b010000000) VAR60 <= {{1{1'b0}},3'h7}; end else VAR60 <= {VAR28,3'h7}; if (VAR56 > 1) VAR56 <= VAR56 - 1'b1; end else VAR32 <= VAR67; end 9'b000001000: begin VAR60 <= {VAR28,3'h5}; VAR23 <= VAR31 ? VAR39 : VAR4; VAR65 <= VAR31 ? VAR2 : VAR66; VAR73 <= VAR53; if (VAR13) begin if (VAR1) begin VAR32 <= 9'b000000100; VAR67 <= 9'b000000001; VAR56 <= 2; end else begin VAR48 <= 1'b1; VAR30 <= VAR40; VAR76 <= VAR8; VAR3 <= VAR7; VAR80 <= VAR72; VAR66 <= VAR2; end end else begin if (~VAR13 & VAR48) VAR60 <= {VAR28,3'h7}; VAR32 <= 9'b100000000; end end 9'b000010000: begin VAR60 <= {VAR28,3'h4}; VAR15 <= 1'b1; VAR61 <= VAR31 ? VAR72 : VAR80; VAR65 <= VAR31 ? VAR2 : VAR66; VAR23 <= VAR31 ? VAR39 : VAR4; VAR73 <= VAR53; if (VAR13) begin if (VAR1) begin VAR32 <= 9'b000000100; VAR67 <= 9'b000000001; VAR56 <= 1; end else begin VAR48 <= 1'b1; VAR30 <= VAR40; VAR76 <= VAR8; VAR3 <= VAR7; VAR80 <= VAR72; VAR66 <= VAR2; end end else begin if (~VAR13 & VAR48) begin VAR60 <= {VAR28,3'h7}; VAR15 <= 1'b0; end VAR32 <= 9'b100000000; end end 9'b000100000: begin VAR60 <= {VAR28,3'h7}; if (VAR56 > 1) VAR56 <= VAR56 - 1'b1; end else begin VAR32 <= 9'b001000000; VAR56 <= 0; end end 9'b001000000: begin VAR32 <= 9'b000000100; VAR73 <= {12{1'b1}}; if (VAR1) VAR60 <= {{1{1'b0}},3'h2}; end else VAR60 <= {VAR28,3'h2}; end 9'b010000000: begin VAR26 <= 1'b1; VAR32 <= 9'b000000100; VAR60 <= {{1{1'b0}},3'h1}; VAR56 <= 3; VAR67 <= 9'b000000001; end 9'b100000000: begin VAR60 <= {VAR28,3'h7}; if (VAR1) begin VAR32 <= 9'b000000100; VAR67 <= 9'b000000001; VAR56 <= 1; end else if (!VAR58) if (VAR46 && VAR45 && VAR29 && VAR17) begin VAR32 <= VAR8 ? 9'b000001000 : 9'b000010000; VAR48 <= 1'b1; VAR30 <= VAR40; VAR76 <= VAR8; VAR3 <= VAR7; VAR80 <= VAR72; VAR66 <= VAR2; end else begin VAR32 <= 9'b000100000; VAR67 <= 9'b000000001; VAR56 <= 1; end end default: begin VAR32 <= VAR32; VAR60 <= 4'b1111; VAR48 <= 1'b0; VAR15 <= 1'b0; end endcase end end assign VAR6 = VAR60[2 : 0] == 3'h5; always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) VAR18 <= {3{1'b0}}; end else VAR18 <= (VAR18 << 1) | { {2{1'b0}}, VAR6 }; end always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) VAR47 <= 0; end else VAR47 <= VAR83; end always @(posedge clk or negedge VAR68) begin if (VAR68 == 0) VAR20 <= 0; end else if (1) VAR20 <= VAR18[2]; end assign VAR34 = VAR60[2 : 0]; assign VAR11 = VAR60; begin
gpl-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_mig_7series_0_0/system_mig_7series_0_0/user_design/rtl/system_mig_7series_0_0.v
10,467
module MODULE1 ( inout [15:0] VAR26, inout [1:0] VAR9, inout [1:0] VAR12, output [13:0] VAR47, output [2:0] VAR60, output VAR62, output VAR14, output VAR63, output VAR37, output [0:0] VAR44, output [0:0] VAR57, output [0:0] VAR39, output [0:0] VAR41, output [1:0] VAR58, output [0:0] VAR3, input VAR24, input VAR4, output VAR27, output VAR1, output VAR19, input VAR30, output VAR54, output VAR40, output VAR56, input [0:0] VAR71, input [27:0] VAR10, input [7:0] VAR69, input [2:0] VAR6, input [1:0] VAR23, input [0:0] VAR7, input [3:0] VAR72, input [2:0] VAR21, input [3:0] VAR52, input VAR32, output VAR5, input [127:0] VAR20, input [15:0] VAR17, input VAR68, input VAR34, output VAR33, input VAR29, output [0:0] VAR8, output [1:0] VAR53, output VAR46, input [0:0] VAR55, input [27:0] VAR64, input [7:0] VAR59, input [2:0] VAR36, input [1:0] VAR28, input [0:0] VAR42, input [3:0] VAR15, input [2:0] VAR70, input [3:0] VAR2, input VAR51, output VAR22, input VAR16, output [0:0] VAR38, output [127:0] VAR45, output [1:0] VAR25, output VAR61, output VAR35, output VAR11, input [11:0] VAR18, output [11:0] VAR13, output VAR31, input VAR50, input [6:0] VAR48, input [7:0] VAR49, input VAR43, input VAR65 ); VAR67 VAR66 ( .VAR47 (VAR47), .VAR60 (VAR60), .VAR14 (VAR14), .VAR57 (VAR57), .VAR44 (VAR44), .VAR39 (VAR39), .VAR62 (VAR62), .VAR37 (VAR37), .VAR63 (VAR63), .VAR26 (VAR26), .VAR9 (VAR9), .VAR12 (VAR12), .VAR11 (VAR11), .VAR41 (VAR41), .VAR58 (VAR58), .VAR3 (VAR3), .VAR27 (VAR27), .VAR1 (VAR1), .VAR19 (VAR19), .VAR30 (VAR30), .VAR54 (VAR54), .VAR40 (VAR40), .VAR56 (VAR56), .VAR71 (VAR71), .VAR10 (VAR10), .VAR69 (VAR69), .VAR6 (VAR6), .VAR23 (VAR23), .VAR7 (VAR7), .VAR72 (VAR72), .VAR21 (VAR21), .VAR52 (VAR52), .VAR32 (VAR32), .VAR5 (VAR5), .VAR20 (VAR20), .VAR17 (VAR17), .VAR68 (VAR68), .VAR34 (VAR34), .VAR33 (VAR33), .VAR8 (VAR8), .VAR53 (VAR53), .VAR46 (VAR46), .VAR29 (VAR29), .VAR55 (VAR55), .VAR64 (VAR64), .VAR59 (VAR59), .VAR36 (VAR36), .VAR28 (VAR28), .VAR42 (VAR42), .VAR15 (VAR15), .VAR70 (VAR70), .VAR2 (VAR2), .VAR51 (VAR51), .VAR22 (VAR22), .VAR38 (VAR38), .VAR45 (VAR45), .VAR25 (VAR25), .VAR61 (VAR61), .VAR35 (VAR35), .VAR16 (VAR16), .VAR24 (VAR24), .VAR4 (VAR4), .VAR18 (VAR18), .VAR13 (VAR13), .VAR31 (VAR31), .VAR50 (VAR50), .VAR48 (VAR48), .VAR49 (VAR49), .VAR43 (VAR43), .VAR65 (VAR65) ); endmodule
apache-2.0
lbl-cal/StanfordNoC
router/src/clib/c_regfile.v
7,542
module MODULE1 (clk, VAR9, VAR6, VAR5, VAR7, VAR14, VAR15); parameter VAR2 = 8; parameter VAR19 = 64; parameter VAR13 = 1; parameter VAR12 = 1; parameter VAR20 = VAR8; localparam VAR18 = VAR10(VAR2); input clk; input VAR9; input [0:VAR13-1] VAR6; input [0:VAR13*VAR18-1] VAR5; input [0:VAR13*VAR19-1] VAR7; input [0:VAR12*VAR18-1] VAR14; output [0:VAR12*VAR19-1] VAR15; wire [0:VAR12*VAR19-1] VAR15; genvar VAR11; genvar VAR16; generate case(VAR20) begin if(VAR13 == 1) begin reg [0:VAR19-1] VAR3 [0:VAR2-1]; always @(posedge clk) if(VAR9) if(VAR6) VAR3[VAR5] <= VAR7; for(VAR11 = 0; VAR11 < VAR12; VAR11 = VAR11 + 1) begin:VAR17 wire [0:VAR18-1] VAR1; assign VAR1 = VAR14[VAR11*VAR18: (VAR11+1)*VAR18-1]; wire [0:VAR19-1] VAR4; assign VAR4 = VAR3[VAR1]; assign VAR15[VAR11*VAR19:(VAR11+1)*VAR19-1] = VAR4; end end else begin begin begin begin begin begin begin
bsd-2-clause
SymbiFlow/yosys
techlibs/gowin/arith_map.v
2,086
module MODULE1( module 80gw1nalu(VAR3, VAR4, VAR30, VAR5, VAR1, VAR25, VAR10); parameter VAR7 = 0; parameter VAR8 = 0; parameter VAR6 = 1; parameter VAR29 = 1; parameter VAR14 = 1; input [VAR6-1:0] VAR3; input [VAR29-1:0] VAR4; output [VAR14-1:0] VAR1, VAR25; input VAR30, VAR5; output [VAR14-1:0] VAR10; wire VAR20 = VAR14 <= 2; wire [VAR14-1:0] VAR23, VAR28; \pos #(.VAR7(VAR7), .VAR6(VAR6), .VAR14(VAR14)) VAR26 (.VAR3(VAR3), .VAR25(VAR23)); \pos #(.VAR7(VAR8), .VAR6(VAR29), .VAR14(VAR14)) VAR15 (.VAR3(VAR4), .VAR25(VAR28)); wire [VAR14-1:0] VAR9 = VAR23; wire [VAR14-1:0] VAR27 = VAR28; wire [VAR14-1:0] VAR13 = {VAR10, VAR30}; genvar VAR2; generate for (VAR2 = 0; VAR2 < VAR14; VAR2 = VAR2 + 1) begin:VAR24 VAR19 #(.VAR11(2)) alu(.VAR12(VAR9[VAR2]), .VAR17(VAR27[VAR2]), .VAR21(~VAR5), .VAR18(VAR13[VAR2]), .VAR22(VAR10[VAR2]), .VAR16(VAR25[VAR2]) ); end endgenerate assign VAR1 = VAR9 ^ VAR27; endmodule
isc
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v
1,588
if (VAR9 && (VAR8==VAR7)) \ begin: VAR17 \ VAR19 VAR18 (.VAR2(VAR6),.VAR1(VAR11),.VAR15); \ end module MODULE1 #(parameter VAR13(VAR8) , parameter VAR9=0 ) (input [VAR8-1:0] VAR6 , input [VAR8-1:0] VAR11 , output [VAR8-1:0] VAR15 ); begin :VAR3 end VAR4 assert(VAR9==0) else ("## %VAR20 VAR12 VAR10 VAR14 VAR16 VAR5 VAR17"); assign VAR15 = ~(VAR6 ^ VAR11); end endmodule
bsd-3-clause
dries007/Basys3
VGA/VGA.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v
3,978
module MODULE1 ( input VAR5, output VAR4, input reset, output VAR1 ); VAR3 VAR2 ( .VAR5(VAR5), .VAR4(VAR4), .reset(reset), .VAR1(VAR1) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nand3b/sky130_fd_sc_hs__nand3b.pp.symbol.v
1,280
module MODULE1 ( input VAR4 , input VAR6 , input VAR3 , output VAR5 , input VAR2, input VAR1 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/bufz/gf180mcu_fd_sc_mcu7t5v0__bufz_8.behavioral.pp.v
1,236
module MODULE1( VAR1, VAR4, VAR6, VAR7, VAR8 ); input VAR1, VAR4; inout VAR7, VAR8; output VAR6; VAR3 VAR5(.VAR1(VAR1),.VAR4(VAR4),.VAR6(VAR6),.VAR7(VAR7),.VAR8(VAR8)); VAR3 VAR2(.VAR1(VAR1),.VAR4(VAR4),.VAR6(VAR6),.VAR7(VAR7),.VAR8(VAR8));
apache-2.0
intelligenttoasters/CPC2.0
FPGA/rtl/Altera/small_rom.v
6,659
module MODULE1 ( address, VAR42, VAR5); parameter VAR21 = ""; parameter VAR50 = "VAR6=VAR1"; parameter VAR12 = "VAR51"; input [11:0] address; input VAR42; output [7:0] VAR5; tri1 VAR42; wire [7:0] VAR26; wire [7:0] VAR5 = VAR26[7:0]; VAR28 VAR22 ( .VAR30 (address), .VAR18 (VAR42), .VAR7 (VAR26), .VAR45 (1'b0), .VAR4 (1'b0), .VAR19 (1'b1), .VAR32 (1'b0), .VAR34 (1'b0), .VAR8 (1'b1), .VAR9 (1'b1), .VAR2 (1'b1), .VAR17 (1'b1), .VAR33 (1'b1), .VAR31 (1'b1), .VAR27 (1'b1), .VAR11 ({8{1'b1}}), .VAR52 (1'b1), .VAR15 (), .VAR35 (), .VAR10 (1'b1), .VAR14 (1'b1), .VAR49 (1'b0), .VAR37 (1'b0)); VAR22.VAR41 = "VAR25", VAR22.VAR36 = "VAR24", VAR22.VAR46 = "VAR24", VAR22.VAR21 = VAR21, VAR22.VAR3 = "VAR23 VAR20", VAR22.VAR50 = VAR50, VAR22.VAR44 = "VAR28", VAR22.VAR29 = 4096, VAR22.VAR53 = "VAR47", VAR22.VAR39 = "VAR25", VAR22.VAR38 = "VAR16", VAR22.VAR13 = VAR12, VAR22.VAR40 = 12, VAR22.VAR43 = 8, VAR22.VAR48 = 1; endmodule
gpl-3.0
vad-rulezz/megabot
minsoc/utils/contributions/initialized_onchip_ram/minsoc_onchip_ram_top_altera.v
9,961
module MODULE2 ( VAR15, VAR87, VAR63, VAR89, VAR34, VAR18, VAR58, VAR60, VAR85, VAR25, VAR42 ); parameter VAR44 = 13; localparam VAR38 = 11; localparam VAR84 = (1<<(VAR44-VAR38)); input VAR15; input VAR87; input [31:0] VAR63; output [31:0] VAR89; input [31:0] VAR34; input [3:0] VAR18; input VAR58; input VAR60; input VAR85; output VAR25; output VAR42; wire VAR83; wire [3:0] VAR69; wire [31:0] VAR89; reg VAR65; reg VAR3; assign VAR25 = VAR3 | VAR65; assign VAR42 = VAR60 & VAR85 & (|VAR34[23:VAR44+2]); assign VAR83 = VAR60 & VAR85 & VAR58 & (|VAR18[3:0]); assign VAR69 = (VAR60 & VAR85) * VAR18; always @ (negedge VAR15 or posedge VAR87) begin if (VAR87) VAR65 <= 1'b0; end else if (VAR60 & VAR85 & VAR58 & ~VAR65) VAR65 <= 1'b1; else VAR65 <= 1'b0; end always @ (posedge VAR15 or posedge VAR87) begin if (VAR87) VAR3 <= 1'b0; end else if (VAR60 & VAR85 & ~VAR42 & ~VAR58 & ~VAR3) VAR3 <= 1'b1; else VAR3 <= 1'b0; end VAR41 VAR50 ( .VAR66 (VAR83), .VAR52 (VAR15), .VAR48 (VAR69), .VAR32 (VAR34[VAR44+1:2]), .VAR1 (VAR63), .VAR53 (VAR89), .VAR5 (1'b0), .VAR33 (1'b0), .VAR75 (1'b1), .VAR43 (1'b0), .VAR56 (1'b0), .VAR92 (1'b1), .VAR51 (1'b1), .VAR88 (1'b1), .VAR68 (1'b1), .VAR9 (1'b1), .VAR39 (1'b1), .VAR24 (1'b1), .VAR47 (), .VAR6 (), .VAR91 (1'b1), .VAR79 (1'b1), .VAR23 (1'b0)); VAR50.VAR95 = "VAR28", VAR50.VAR12 = "VAR28", VAR50.VAR71 = VAR21, VAR50.VAR72 = "VAR16 VAR45", VAR50.VAR80 = "VAR62=VAR70", VAR50.VAR13 = "VAR41", VAR50.VAR59 = "VAR30", VAR50.VAR81 = "VAR86", VAR50.VAR31 = "VAR82", VAR50.VAR57 = "VAR36", VAR50.VAR49 = "VAR8", VAR50.VAR78 = (1<<VAR44), VAR50.VAR4 = VAR44, VAR50.VAR40 = 32, VAR50.VAR29 = 8, VAR50.VAR14 = 4; localparam VAR94 = VAR44-VAR38; localparam VAR26 = VAR84-1; wire [31:0] VAR22[0:VAR19-1]; wire [31:0] VAR55[0:VAR26-1]; generate genvar VAR2, VAR27; for (VAR2=0; VAR2<VAR94; VAR2=VAR2+1) begin : VAR46 for (VAR27=0; VAR27<(VAR19>>(VAR2+1)); VAR27=VAR27+1) begin : VAR7 if (VAR2==0) begin MODULE1 # ( .VAR64(32) ) VAR20( .sel( VAR34[VAR38+2+VAR2] ), .VAR10( VAR22[VAR27*2] ), .VAR76( VAR22[VAR27*2+1] ), .out( VAR55[VAR27] ) ); end else begin MODULE1 # ( .VAR64(32) ) VAR20( .sel( VAR34[VAR38+2+VAR2] ), .VAR10( VAR55[(VAR19-(VAR19>>(VAR2-1)))+VAR27*2] ), .VAR76( VAR55[(VAR19-(VAR19>>(VAR2-1)))+VAR27*2+1] ), .out( VAR55[(VAR19-(VAR19>>VAR2))+VAR27] ) ); end end end endgenerate assign VAR89 = VAR55[VAR26-1]; wire [VAR84-1:0] VAR73; generate genvar VAR61; for (VAR61=0; VAR61 < VAR84; VAR61=VAR61+1) begin : VAR90 assign VAR73[VAR61] = VAR34[VAR44+1:VAR38+2] == VAR61; VAR93 VAR74 ( .clk(VAR15), .rst(VAR87), .addr(VAR34[VAR38+1:2]), .VAR35(VAR63[7:0]), .VAR17(VAR22[VAR61][7:0]), .VAR83(VAR83 & VAR73[VAR61]), .VAR67(1'b1), .VAR11(VAR69[0]) ); VAR93 VAR54 ( .clk(VAR15), .rst(VAR87), .addr(VAR34[VAR38+1:2]), .VAR35(VAR63[15:8]), .VAR17(VAR22[VAR61][15:8]), .VAR83(VAR83 & VAR73[VAR61]), .VAR67(1'b1), .VAR11(VAR69[1]) ); VAR93 VAR37 ( .clk(VAR15), .rst(VAR87), .addr(VAR34[VAR38+1:2]), .VAR35(VAR63[23:16]), .VAR17(VAR22[VAR61][23:16]), .VAR83(VAR83 & VAR73[VAR61]), .VAR67(1'b1), .VAR11(VAR69[2]) ); VAR93 VAR77 ( .clk(VAR15), .rst(VAR87), .addr(VAR34[VAR38+1:2]), .VAR35(VAR63[31:24]), .VAR17(VAR22[VAR61][31:24]), .VAR83(VAR83 & VAR73[VAR61]), .VAR67(1'b1), .VAR11(VAR69[3]) ); end endgenerate endmodule module MODULE1(sel,VAR10,VAR76,out); parameter VAR64 = 32; input sel; input [VAR64-1:0] VAR10, VAR76; output reg [VAR64-1:0] out; always @ (sel or VAR10 or VAR76) begin case (sel) 1'b0: out = VAR10; 1'b1: out = VAR76; endcase end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inputiso1n/sky130_fd_sc_lp__inputiso1n_lp.v
2,309
module MODULE1 ( VAR9 , VAR8 , VAR1, VAR7 , VAR4 , VAR2 , VAR6 ); output VAR9 ; input VAR8 ; input VAR1; input VAR7 ; input VAR4 ; input VAR2 ; input VAR6 ; VAR5 VAR3 ( .VAR9(VAR9), .VAR8(VAR8), .VAR1(VAR1), .VAR7(VAR7), .VAR4(VAR4), .VAR2(VAR2), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR9 , VAR8 , VAR1 ); output VAR9 ; input VAR8 ; input VAR1; supply1 VAR7; supply0 VAR4; supply1 VAR2 ; supply0 VAR6 ; VAR5 VAR3 ( .VAR9(VAR9), .VAR8(VAR8), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfsbp/sky130_fd_sc_hd__sdfsbp.blackbox.v
1,455
module MODULE1 ( VAR5 , VAR11 , VAR10 , VAR2 , VAR4 , VAR9 , VAR3 ); output VAR5 ; output VAR11 ; input VAR10 ; input VAR2 ; input VAR4 ; input VAR9 ; input VAR3; supply1 VAR6; supply0 VAR7; supply1 VAR1 ; supply0 VAR8 ; endmodule
apache-2.0
olgirard/openmsp430
fpga/altera_de0_nano_soc/rtl/verilog/openmsp430/omsp_wakeup_cell.v
4,153
module MODULE1 ( VAR3, VAR14, VAR8, VAR13, VAR7, VAR4 ); output VAR3; input VAR14; input VAR8; input VAR13; input VAR7; input VAR4; wire VAR5; VAR1 VAR2 ( .VAR8 (VAR8), .VAR9 (VAR13), .VAR11 (VAR7), .VAR10 (VAR5) ); wire VAR6; VAR1 VAR12 ( .VAR8 (VAR8), .VAR9 (VAR14), .VAR11 (VAR4), .VAR10 (VAR6) ); wire VAR5 = VAR7; wire VAR6 = VAR4; reg VAR3; always @(posedge VAR6 or posedge VAR5) if (VAR5) VAR3 <= 1'b0; else VAR3 <= 1'b1; endmodule
bsd-3-clause
KestrelComputer/kestrel
cores/S16X4/rtl/verilog/S16X4.v
6,237
module MODULE1( input VAR40, input VAR31, output [15:1] VAR42, output VAR21, output VAR18, output VAR3, output [1:0] VAR19, output VAR45, output VAR15, output [15:0] VAR29, input VAR5, input [15:0] VAR26 ); reg [15:1] VAR16; reg VAR35; reg VAR39; reg [1:0] sel; reg VAR30; reg VAR2; reg [15:0] VAR17; assign VAR42 = VAR16; assign VAR21 = VAR35; assign VAR18 = VAR39; assign VAR3 = VAR39; assign VAR19 = sel; assign VAR45 = VAR30; assign VAR15 = VAR2; assign VAR29 = VAR17; wire VAR28 = (VAR39 & VAR5) | ~VAR39; reg [4:0] VAR22; reg [15:1] VAR10; reg [15:0] VAR11, VAR8, VAR7, VAR34, VAR43, VAR12; reg [15:0] VAR1; reg VAR4, VAR27; wire reset = (VAR4 | VAR27); wire VAR37 = VAR8 == 0; wire VAR23 = VAR22[0]; wire VAR44 = VAR23 ? (VAR26 == 16'h0000) : (VAR1[11:0] == 12'h000); wire [3:0] VAR36 = VAR1[15:12]; wire VAR32 = VAR23 | (VAR36 == VAR9); wire VAR13 = ((VAR36 == VAR33) && VAR37) | ((VAR36 == VAR24) && ~VAR37) | (VAR36 == VAR25); wire VAR14 = reset | VAR44 | VAR13; wire [15:1] VAR6 = reset ? 0 : (VAR32 ? VAR10+1 : (VAR13 ? VAR7[15:1] : VAR10)); wire [4:0] VAR38 = VAR14 ? 5'b00001 : (VAR22 << 1); wire [15:0] sum = VAR8 + VAR7; wire [15:0] VAR20 = VAR8 & VAR7; wire [15:0] VAR41 = VAR8 ^ VAR7; always @(*) begin if(reset) begin VAR16 <= 0; VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR8; VAR12 <= VAR7; end else if(VAR23) begin VAR16 <= VAR10; VAR35 <= 0; VAR39 <= 1; sel <= 2'b11; VAR30 <= 0; VAR2 <= 1; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR8; VAR12 <= VAR7; end else begin case(VAR36) VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR16 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR8; VAR12 <= VAR7; end VAR35 <= 0; VAR39 <= 1; sel <= 2'b11; VAR30 <= 1; VAR2 <= 1; VAR16 <= VAR10; VAR17 <= 0; VAR34 <= VAR8; VAR43 <= VAR7; VAR12 <= VAR26; end VAR35 <= 0; VAR39 <= 1; sel <= 2'b11; VAR30 <= 1; VAR2 <= 0; VAR16 <= VAR7[15:1]; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR8; VAR12 <= VAR26; end VAR35 <= 1; VAR39 <= 1; sel <= 2'b11; VAR30 <= 1; VAR2 <= 0; VAR16 <= VAR7[15:1]; VAR17 <= VAR8; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= VAR11; end VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR16 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= sum; end VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR16 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= VAR20; end VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR16 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= VAR41; end VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR16 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= VAR11; end VAR35 <= 0; VAR39 <= 1; sel <= {VAR7[0], ~VAR7[0]}; VAR30 <= 1; VAR2 <= 0; VAR16 <= VAR7[15:1]; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR8; VAR12 <= VAR7[0] ? {8'b00000000, VAR26[15:8]} : {8'b00000000, VAR26[7:0]}; end VAR35 <= 1; VAR39 <= 1; sel <= {VAR7[0], ~VAR7[0]}; VAR30 <= 1; VAR2 <= 0; VAR16 <= VAR7[15:1]; VAR17 <= VAR7[0] ? {VAR8[7:0], 8'b00000000} : {8'b00000000, VAR8[7:0]}; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= VAR11; end VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR16 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= VAR8; end VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR16 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR11; VAR12 <= VAR11; end default: begin VAR16 <= 0; VAR35 <= 0; VAR39 <= 0; sel <= 2'b00; VAR30 <= 0; VAR2 <= 0; VAR17 <= 0; VAR34 <= VAR11; VAR43 <= VAR8; VAR12 <= VAR7; end endcase end end always @(posedge VAR40) begin VAR27 <= VAR4; VAR4 <= VAR31; if(reset || VAR28) begin VAR22 <= VAR38; VAR10 <= VAR6; end if(VAR28) begin if(VAR23) VAR1 <= VAR26; end else VAR1 <= {VAR1[11:0], 4'b0000}; VAR11 <= VAR34; VAR8 <= VAR43; VAR7 <= VAR12; end end
mpl-2.0
ThotIP/async_fifo
src/vlog/sync_r2w.v
1,242
module MODULE1 parameter VAR6 = 4 )( input wire VAR3, input wire VAR1, input wire [VAR6:0] VAR4, output reg [VAR6:0] VAR2 ); reg [VAR6:0] VAR5; always @(posedge VAR3 or negedge VAR1) begin if (!VAR1) {VAR2,VAR5} <= 0; end else {VAR2,VAR5} <= {VAR5,VAR4}; end endmodule
apache-2.0
scalable-networks/ext
uhd/fpga/usrp1/toplevel/usrp_std/usrp_std.v
12,605
module MODULE1 (output VAR195, input VAR83, input VAR23, input VAR97, inout VAR72, input VAR204, input VAR108, output VAR80, output VAR10, input wire [11:0] VAR53, input wire [11:0] VAR130, input wire [11:0] VAR76, input wire [11:0] VAR11, output wire [13:0] VAR146, output wire [13:0] VAR87, output wire VAR170, output wire VAR172, input VAR91, input wire [2:0] VAR6, output wire [1:0] VAR124, inout [15:0] VAR174, inout wire [15:0] VAR120, inout wire [15:0] VAR104, inout wire [15:0] VAR121, inout wire [15:0] VAR127 ); wire [15:0] VAR38,VAR75; assign VAR195 = 1'b0; wire VAR3,VAR57; wire VAR17 = VAR6[0]; wire VAR194 = VAR6[1]; wire VAR132 = VAR6[2]; wire VAR85, VAR12; assign VAR124[0] = VAR85; assign VAR124[1] = VAR12; wire VAR176, VAR51; wire VAR101 = VAR108; assign VAR80 = VAR51; assign VAR10 = VAR176; wire [15:0] VAR133; wire [3:0] VAR128,VAR59,VAR82,VAR111; wire VAR37; wire [3:0] VAR99; wire [2:0] VAR21; wire [7:0] VAR19, VAR123; wire [31:0] VAR164, VAR64; wire VAR154, VAR134; wire VAR34, VAR165, VAR27, VAR175; wire [7:0] VAR173; VAR84 VAR84( .VAR69(VAR133),.VAR89(VAR132),.VAR144(VAR174) ); assign VAR3 = VAR83; wire [15:0] VAR112,VAR190,VAR48,VAR188; wire [15:0] VAR33,VAR62,VAR181,VAR131,VAR67,VAR68,VAR197,VAR129; wire [15:0] VAR115,VAR86,VAR66,VAR148; wire [15:0] VAR94,VAR149,VAR113,VAR74; wire VAR167, VAR44; wire VAR118; wire VAR106; wire [6:0] VAR73; wire [31:0] VAR60; reg [15:0] VAR35; reg [15:0] VAR22,VAR205; assign VAR94 = VAR112; assign VAR149 = VAR190; assign VAR113 = VAR48; assign VAR74 = VAR188; VAR177 VAR177 ( .VAR91(VAR91), .VAR52(VAR27), .VAR174(VAR174),.VAR17(VAR17), .VAR85(VAR85), .VAR176(VAR176), .VAR101(VAR101), .VAR109(VAR3), .reset(VAR34), .VAR15({VAR21,1'b0}), .VAR41(VAR112),.VAR152(VAR190), .VAR4(VAR48),.VAR137(VAR188), .VAR88(VAR167), .VAR118(VAR118), .VAR147(VAR164) ); VAR24 VAR45 ( .VAR36(VAR3),.reset(VAR34),.enable(VAR154), .VAR19(VAR19),.VAR180(VAR44), .VAR54(VAR167),.VAR2(), .VAR92(VAR94),.VAR161(VAR149),.VAR202(VAR115),.VAR198(VAR66) ); assign VAR115=16'd0; assign VAR66=16'd0; VAR24 VAR26 ( .VAR36(VAR3),.reset(VAR34),.enable(VAR154), .VAR19(VAR19),.VAR180(VAR44), .VAR54(VAR167),.VAR2(), .VAR92(VAR113),.VAR161(VAR74),.VAR202(VAR86),.VAR198(VAR148) ); assign VAR86=16'd0; assign VAR148=16'd0; VAR46 #(VAR199) VAR81(.VAR36(VAR3),.reset(VAR34),.VAR9(VAR106),.addr(VAR73),.in(VAR60), .out({VAR111,VAR82,VAR59,VAR128,VAR37,VAR21})); wire [15:0] VAR7 = VAR128[3] ? (VAR128[1] ? (VAR128[0] ? VAR148 : VAR86) : (VAR128[0] ? VAR66 : VAR115)) : 16'b0; wire [15:0] VAR58 = VAR59[3] ? (VAR59[1] ? (VAR59[0] ? VAR148 : VAR86) : (VAR59[0] ? VAR66 : VAR115)) : 16'b0; wire [15:0] VAR39 = VAR82[3] ? (VAR82[1] ? (VAR82[0] ? VAR148 : VAR86) : (VAR82[0] ? VAR66 : VAR115)) : 16'b0; wire [15:0] VAR155 = VAR111[3] ? (VAR111[1] ? (VAR111[0] ? VAR148 : VAR86) : (VAR111[0] ? VAR66 : VAR115)) : 16'b0; wire VAR14 = VAR44; assign VAR170 = VAR14; assign VAR172 = VAR14; assign VAR146 = VAR14 ? VAR58[15:2] : VAR7[15:2]; assign VAR87 = VAR14 ? VAR155[15:2] : VAR39[15:2]; wire VAR153,VAR29,VAR55; wire [15:0] VAR61,VAR1,VAR150,VAR90, VAR77,VAR160,VAR119,VAR122; wire VAR105 = VAR173[0]; wire counter = VAR173[1]; always @(posedge VAR3) if(VAR165) VAR35 <= 16'd0; else if(~VAR134) VAR35 <= 16'd0; else if(VAR55) VAR35 <=VAR35 + 16'd2; always @(posedge VAR3) if(VAR167) begin VAR22 <= VAR112; VAR205 <= VAR190; end assign VAR33 = counter ? VAR35 : VAR105 ? VAR22 : VAR61; assign VAR62 = counter ? VAR35 + 16'd1 : VAR105 ? VAR205 : VAR1; assign VAR181 = VAR150; assign VAR131 = VAR90; assign VAR67 = VAR77; assign VAR68 = VAR160; assign VAR197 = VAR119; assign VAR129 = VAR122; wire [15:0] VAR71,VAR126,VAR168,VAR43,VAR196,VAR191,VAR159,VAR182; wire [31:0] VAR25,VAR110,VAR189,VAR201; VAR186 VAR186(.VAR36(VAR3),.reset(VAR165),.enable(1'b1), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR53(VAR53),.VAR130(VAR130),.VAR76(VAR76),.VAR11(VAR11), .VAR25(VAR25),.VAR110(VAR110),.VAR189(VAR189),.VAR201(VAR201), .VAR71(VAR71),.VAR126(VAR126), .VAR168(VAR168),.VAR43(VAR43), .VAR196(VAR196),.VAR191(VAR191), .VAR159(VAR159),.VAR182(VAR182),.VAR99(VAR99) ); VAR162 VAR162 ( .VAR91(VAR91),.VAR52(VAR175),.reset(VAR165), .VAR28(VAR165), .VAR174(VAR133),.VAR194(VAR194),.VAR12(VAR12),.VAR51(VAR51), .VAR15(VAR99), .VAR142(VAR33),.VAR145(VAR62), .VAR13(VAR181),.VAR193(VAR131), .VAR184(VAR67),.VAR78(VAR68), .VAR50(VAR197),.VAR125(VAR129), .VAR163(VAR3),.VAR40(VAR55), .VAR101(VAR101), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR147(VAR64) ); VAR79 #(VAR93,VAR179) VAR98 ( .VAR36(VAR3),.reset(1'b0),.enable(VAR134), .VAR123(VAR123),.VAR180(VAR153),.VAR70(VAR29),.VAR55(VAR55), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR92(VAR71),.VAR161(VAR126),.VAR202(VAR61),.VAR198(VAR1),.VAR38(VAR38),.VAR75(VAR75)); assign VAR61=16'd0; assign VAR1=16'd0; VAR79 #(VAR141,VAR157) VAR166 ( .VAR36(VAR3),.reset(1'b0),.enable(VAR134), .VAR123(VAR123),.VAR180(VAR153),.VAR70(VAR29),.VAR55(), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR92(VAR168),.VAR161(VAR43),.VAR202(VAR150),.VAR198(VAR90)); assign VAR150=16'd0; assign VAR90=16'd0; VAR79 #(VAR107,VAR151) VAR114 ( .VAR36(VAR3),.reset(1'b0),.enable(VAR134), .VAR123(VAR123),.VAR180(VAR153),.VAR70(VAR29),.VAR55(), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR92(VAR196),.VAR161(VAR191),.VAR202(VAR77),.VAR198(VAR160)); assign VAR77=16'd0; assign VAR160=16'd0; VAR79 #(VAR96,VAR116) VAR103 ( .VAR36(VAR3),.reset(1'b0),.enable(VAR134), .VAR123(VAR123),.VAR180(VAR153),.VAR70(VAR29),.VAR55(), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR92(VAR159),.VAR161(VAR182),.VAR202(VAR119),.VAR198(VAR122)); assign VAR119=16'd0; assign VAR122=16'd0; wire [31:0] VAR203; assign VAR203[7] = VAR136; assign VAR203[6:4] = VAR8; assign VAR203[3] = VAR192; assign VAR203[2:0] = VAR138; VAR156 VAR156 ( .VAR83(VAR3),.VAR143(VAR23),.VAR140(VAR97), .enable(VAR204),.reset(1'b0),.VAR18(VAR72), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR49({VAR121,VAR120}),.VAR16({VAR127,VAR104}),.VAR20(VAR203),.VAR30(32'hf0f0931a), .VAR100(VAR25),.VAR185(VAR110),.VAR65(VAR189),.VAR200(VAR201) ); wire [15:0] VAR32,VAR139,VAR5,VAR63; VAR56 VAR56 ( .VAR83(VAR3),.VAR91(VAR91), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106), .VAR27(VAR27),.VAR175(VAR175), .VAR34(VAR34),.VAR165(VAR165), .VAR154(VAR154),.VAR134(VAR134), .VAR19(VAR19),.VAR123(VAR123), .VAR44(VAR44),.VAR167(VAR167), .VAR153(VAR153),.VAR29(VAR29), .VAR118(VAR118), .VAR171(VAR164[15:0]),.VAR42(VAR164[31:16]), .VAR158(VAR64[15:0]),.VAR47(VAR64[31:16]), .VAR32(VAR32),.VAR139(VAR139),.VAR5(VAR5),.VAR63(VAR63) ); VAR102 VAR102 (.VAR117(VAR120),.VAR169(VAR121),.VAR31(VAR104),.VAR187(VAR127), .VAR32(VAR32),.VAR139(VAR139),.VAR5(VAR5),.VAR63(VAR63), .VAR36(VAR3),.VAR135(VAR165),.VAR178(VAR34), .VAR73(VAR73),.VAR60(VAR60),.VAR106(VAR106)); VAR46 #(VAR95) VAR183(.VAR36(VAR3),.reset(VAR165),.VAR9(VAR106),.addr(VAR73),.in(VAR60),.out(VAR173)); endmodule
gpl-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/6223c44b21ae4a4d/zqynq_lab_1_design_axi_gpio_1_1_stub.v
2,391
module MODULE1(VAR18, VAR13, VAR10, VAR6, VAR17, VAR14, VAR1, VAR7, VAR12, VAR15, VAR11, VAR16, VAR4, VAR20, VAR2, VAR8, VAR3, VAR9, VAR5, VAR19, VAR21) ; input VAR18; input VAR13; input [8:0]VAR10; input VAR6; output VAR17; input [31:0]VAR14; input [3:0]VAR1; input VAR7; output VAR12; output [1:0]VAR15; output VAR11; input VAR16; input [8:0]VAR4; input VAR20; output VAR2; output [31:0]VAR8; output [1:0]VAR3; output VAR9; input VAR5; output VAR19; input [4:0]VAR21; endmodule
mit
HackLinux/CPU-Design
cpu/EX.v
4,701
module MODULE1(VAR11, VAR14, VAR21, VAR20, VAR16, VAR9, VAR6, VAR12, VAR8, VAR24, VAR15, VAR13, VAR29, VAR19, VAR22, VAR17, VAR25 ); input VAR11; input [31:0] VAR14; input signed [31:0] VAR21, VAR20; input [4:0] VAR16; input [31:0] VAR9; inout [31:0] VAR15; input [63:0] VAR17, VAR25; output [31:0] VAR24; output [4:0] VAR6; output [31:0] VAR12; output VAR8; output VAR13; output VAR29; output VAR22; output VAR19; reg [31:0] VAR2; reg signed [31:0] VAR27, VAR3; reg [4:0] VAR4; reg signed [31:0] VAR10; reg signed [31:0] VAR5; reg VAR26; reg VAR28, VAR23; reg VAR18; reg VAR7; assign VAR6 = VAR4; assign VAR12 = VAR5; assign VAR8 = VAR26; assign VAR13 = VAR28; assign VAR29 = VAR23; assign VAR24 = VAR10; assign VAR15 = VAR23 ? 32'VAR1 : VAR3; assign VAR22 = VAR18; assign VAR19 = VAR7; always @(posedge VAR11) begin VAR18 <= 0; VAR7 <= 0; if(VAR17[63:48] !== 16'b1000000000000000 && VAR25[63:48] !== 16'b1000000000000000) begin .001 if(!(VAR2 === 1 && VAR3 === 0 && VAR10 === 0)) begin VAR7 <= 1; end casex(VAR2) 1: begin VAR5 <= VAR3 << VAR10; .010 VAR26 <= 1; end 2: begin VAR5 <= VAR10[15:15]===1 ? VAR27 - (~{16'b1111111111111111,VAR10[15:0]}+1) : VAR27 + VAR10; .010 VAR26 <= 1; end 3: begin VAR5 <= VAR27 * VAR3; .010 VAR26 <= 1; end 4: begin VAR5 <= VAR27; .010 VAR26 <= 1; end 5: begin VAR5 <= VAR10; .010 VAR26 <= 1; end 6: begin VAR5 <= VAR27 + VAR3; .010 VAR26 <= 1; end 9: begin VAR23 <= 1; .010 VAR5 <= VAR15; .010 VAR26 <= 1; end 10: begin .010 VAR28 <= 1; end 12: begin .001 VAR18 <= 1; .498 end 13: begin VAR5 <= VAR27 * (VAR10[15:15]==1 ? -(~{16'b1111111111111111,VAR10[15:0]}+1) : VAR10); .010 VAR26 <= 1; end 14: begin VAR5 <= VAR10; .010 VAR26 <= 1; end 15: begin VAR5 <= VAR27 | VAR10; .010 VAR26 <= 1; end 16: begin VAR5 <= VAR10 << 16; .010 VAR26 <= 1; end endcase end end always @(negedge VAR11) begin .010 if(VAR17[63:48] !== 16'b1000000000000000) begin VAR2 <= VAR14; VAR27 <= VAR21; VAR3 <= VAR20; VAR10 <= VAR9; VAR4 <= VAR16; VAR26 <= 0; VAR28 <= 0; VAR23 <= 0; end end endmodule
bsd-2-clause
AEW2015/PYNQ_PR_Overlay
Pynq-Z1/vivado/ip/Pmods/pmodOLEDrgb_v1_0/hdl/PmodOLEDrgb_v1_0.v
9,682
module MODULE1 # ( parameter integer VAR92 = 32, parameter integer VAR166 = 7, parameter integer VAR41 = 32, parameter integer VAR108 = 9 ) ( input wire VAR51, input wire VAR95, output wire VAR146, output wire VAR113, input wire VAR33, output wire VAR47, output wire VAR88, input wire VAR22, output wire VAR34, output wire VAR38, input wire VAR80, output wire VAR151, output wire VAR39, input wire VAR12, output wire VAR148, output wire VAR87, input wire VAR40, output wire VAR61, output wire VAR169, input wire VAR70, output wire VAR131, output wire VAR129, input wire VAR60, output wire VAR82, output wire VAR3, input wire VAR21, input wire VAR65, input wire VAR136, input wire [VAR166-1 : 0] VAR96, input wire [2 : 0] VAR128, input wire VAR43, output wire VAR48, input wire [VAR92-1 : 0] VAR150, input wire [(VAR92/8)-1 : 0] VAR155, input wire VAR79, output wire VAR138, output wire [1 : 0] VAR172, output wire VAR135, input wire VAR1, input wire [VAR166-1 : 0] VAR134, input wire [2 : 0] VAR66, input wire VAR143, output wire VAR117, output wire [VAR92-1 : 0] VAR46, output wire [1 : 0] VAR124, output wire VAR158, input wire VAR93, input wire [VAR108-1 : 0] VAR147, input wire [2 : 0] VAR152, input wire VAR26, output wire VAR58, input wire [VAR41-1 : 0] VAR49, input wire [(VAR41/8)-1 : 0] VAR4, input wire VAR160, output wire VAR104, output wire [1 : 0] VAR165, output wire VAR133, input wire VAR27, input wire [VAR108-1 : 0] VAR13, input wire [2 : 0] VAR24, input wire VAR167, output wire VAR121, output wire [VAR41-1 : 0] VAR122, output wire [1 : 0] VAR54, output wire VAR111, input wire VAR53 ); wire VAR89; wire VAR139; wire VAR97; wire VAR114; wire VAR74; wire VAR69; wire VAR31; wire VAR83; wire VAR115; wire VAR29; wire VAR141; wire VAR55; wire VAR98; wire VAR16; wire VAR72; wire VAR77; wire VAR56; wire VAR85; wire VAR174; wire VAR81; wire VAR127; wire VAR23; wire VAR37; wire VAR67; VAR125 VAR170 (.VAR76(VAR98), .VAR5(VAR16), .VAR42(VAR72), .VAR8(VAR174), .VAR102(VAR81), .VAR145(VAR127), .VAR154(VAR23), .VAR137(VAR37), .VAR112(VAR67), .VAR6(VAR77), .VAR91(VAR56), .VAR32(VAR85), .VAR9(VAR89), .VAR118(VAR139), .VAR126(VAR97), .VAR28(VAR114), .VAR162(VAR74), .VAR14(VAR69), .VAR123(VAR31), .VAR110(VAR83), .VAR109(VAR115), .VAR156(VAR29), .VAR73(VAR141), .VAR99(VAR55), .VAR161(VAR33), .VAR62(VAR47), .VAR171(VAR88), .VAR106(VAR22), .VAR19(VAR34), .VAR25(VAR38), .VAR142(VAR80), .VAR71(VAR151), .VAR94(VAR39), .VAR159(VAR12), .VAR30(VAR148), .VAR15(VAR87), .VAR50(VAR40), .VAR68(VAR61), .VAR119(VAR169), .VAR120(VAR70), .VAR11(VAR131), .VAR144(VAR129), .VAR45(VAR60), .VAR100(VAR82), .VAR168(VAR3), .VAR105(VAR95), .VAR103(VAR146), .VAR63(VAR113)); VAR130 VAR107 (.VAR84({VAR29,VAR31,VAR114,VAR89}), .VAR164({VAR141,VAR83,VAR74,VAR139}), .VAR140({VAR55,VAR115,VAR69,VAR97}), .VAR21(VAR21), .VAR52(VAR13), .VAR136(VAR136), .VAR78(VAR121), .VAR59(VAR167), .VAR35(VAR147), .VAR149(VAR58), .VAR173(VAR26), .VAR163(VAR27), .VAR18(VAR165), .VAR75(VAR133), .VAR132(VAR122), .VAR64(VAR53), .VAR10(VAR54), .VAR86(VAR111), .VAR90(VAR49), .VAR7(VAR104), .VAR36(VAR4), .VAR153(VAR160)); VAR116 VAR44 (.VAR51(VAR51), .VAR20(VAR174), .VAR17(VAR81), .VAR157(VAR127), .VAR57(VAR23), .VAR101(VAR37), .VAR2(VAR67), .VAR21(VAR65), .VAR52(VAR134), .VAR136(VAR136), .VAR78(VAR117), .VAR59(VAR143), .VAR35(VAR96), .VAR149(VAR48), .VAR173(VAR43), .VAR163(VAR1), .VAR18(VAR172), .VAR75(VAR135), .VAR132(VAR46), .VAR64(VAR93), .VAR10(VAR124), .VAR86(VAR158), .VAR90(VAR150), .VAR7(VAR138), .VAR36(VAR155), .VAR153(VAR79), .VAR77(VAR77), .VAR56(VAR56), .VAR85(VAR85), .VAR98(VAR98), .VAR16(VAR16), .VAR72(VAR72)); endmodule
bsd-3-clause
meteorcloudy/CPU_verilog
IP_ROM.v
3,674
module MODULE1(VAR2,VAR3 ); input [31:0] VAR2; output [31:0] VAR3; wire [31:0] VAR1 [0:63]; /* assign VAR1[6'h00]=32'h20230000; assign VAR1[6'h01]=32'h20430000; assign VAR1[6'h02]=32'h14420001; assign VAR1[6'h03]=32'hffffffff; assign VAR1[6'h04]=32'hffffffff; assign VAR1[6'h05]=32'h1c210080; assign VAR1[6'h06]=32'hffffffff; assign VAR1[6'h07]=32'h28000078; assign VAR1[6'h08]=32'hffffffff; assign VAR1[6'h09]=32'hffffffff; assign VAR1[6'h0A]=32'h28000078; assign VAR1[6'h00]= 32'h20230000; assign VAR1[6'h01]= 32'h14210001; assign VAR1[6'h02]= 32'h24230000; assign VAR1[6'h03]= 32'h1c210080; assign VAR1[6'h04]= 32'h28000020; assign VAR1[6'h05]= 32'hffffffff; assign VAR1[6'h06]= 32'h30000000; assign VAR1[6'h07]= 32'hffffffff; assign VAR1[6'h08]= 32'h20230000; assign VAR1[6'h09]= 32'h20430000; assign VAR1[6'h0A]= 32'h3c410002; assign VAR1[6'h0B]= 32'h08210002; assign VAR1[6'h0C]= 32'h24230000; assign VAR1[6'h0D]= 32'hffffffff; assign VAR1[6'h0E]= 32'hffffffff; assign VAR1[6'h0F]= 32'hffffffff; assign VAR1[6'h10]= 32'hffffffff; assign VAR1[6'h11]= 32'hffffffff; assign VAR1[6'h12]= 32'hffffffff; assign VAR1[6'h13]= 32'hffffffff; assign VAR1[6'h14]= 32'hffffffff; assign VAR1[6'h15]= 32'hffffffff; assign VAR1[6'h16]=32'hffffffff; assign VAR1[6'h17]=32'hffffffff; assign VAR1[6'h18]=32'hffffffff; assign VAR1[6'h19]=32'hffffffff; assign VAR1[6'h1A]=32'hffffffff; assign VAR1[6'h1B]=32'hffffffff; assign VAR1[6'h1C]=32'hffffffff; assign VAR1[6'h1D]=32'hffffffff; assign VAR1[6'h1E]=32'h24230000; assign VAR1[6'h1F]=32'hffffffff; assign VAR1[6'h20]=32'hffffffff; assign VAR1[6'h21]=32'hffffffff; assign VAR1[6'h22]=32'hffffffff; assign VAR1[6'h23]=32'hffffffff; assign VAR1[6'h24]=32'hffffffff; assign VAR1[6'h25]=32'h00000000; assign VAR1[6'h26]=32'h00000000; assign VAR1[6'h27]=32'h00000000; assign VAR1[6'h28]=32'h00000000; assign VAR1[6'h29]=32'h00000000; assign VAR1[6'h2A]=32'h00000000; assign VAR1[6'h2B]=32'h00000000; assign VAR1[6'h2C]=32'h00000000; assign VAR1[6'h2D]=32'h00000000; assign VAR1[6'h2E]=32'h00000000; assign VAR1[6'h2F]=32'h00000000; assign VAR1[6'h30]=32'h00000000; assign VAR1[6'h31]=32'h00000000; assign VAR1[6'h32]=32'h00000000; assign VAR1[6'h33]=32'h00000000; assign VAR1[6'h34]=32'h00000000; assign VAR1[6'h35]=32'h00000000; assign VAR1[6'h36]=32'h00000000; assign VAR1[6'h37]=32'h00000000; assign VAR1[6'h38]=32'h00000000; assign VAR1[6'h39]=32'h00000000; assign VAR1[6'h3A]=32'h00000000; assign VAR1[6'h3B]=32'h00000000; assign VAR1[6'h3C]=32'h00000000; assign VAR1[6'h3D]=32'h00000000; assign VAR1[6'h3E]=32'h00000000; assign VAR1[6'h3F]=32'h00000000; assign VAR3=VAR1[VAR2[7:2]]; endmodule
mit
apotocnik/redpitaya_guide
projects/2_knight_rider/knight_rider.v
1,391
module MODULE1( input clk, output [7:0] VAR4 ); parameter VAR1 = 10'b1100000000; parameter VAR6 = 1; reg [9:0] VAR3 = VAR1; reg [3:0] VAR2 = VAR6*8; reg VAR5 = VAR6; always @ (posedge clk) begin if (VAR5 == 0) begin VAR3 <= VAR3 << 1; end else begin VAR3 <= VAR3 >> 1; end VAR2 <= VAR2 + 1; end always @ (VAR2) begin if (VAR2 < 8) begin VAR5 = 0; end else begin VAR5 = 1; end end assign VAR4 = VAR3[8:1]; endmodule
gpl-3.0
tmolteno/TART
hardware/FPGA/tart_spi/bench/capture/signal_stagger.v
6,056
module MODULE1 parameter VAR22 = VAR4-1, parameter VAR5 = 12, parameter VAR12 = 4, parameter VAR30 = VAR12-1, parameter VAR25 = 0, parameter VAR2 = VAR5>>1, parameter VAR13 = VAR5-1, parameter VAR29 = VAR12+1, parameter VAR24 = VAR29-1, parameter VAR3 = 0, parameter VAR11 = VAR5>>1, parameter VAR17 = VAR5-1, parameter VAR20 = 1, parameter VAR1 = 1, parameter VAR10 = 1, parameter VAR7 = 0, parameter VAR18 = 3) ( input clk, input rst, input VAR21, input [VAR22:0] VAR28, output reg VAR14 = 1'b0, output VAR19, output reg [VAR22:0] VAR27 = {VAR4{1'b0}} ); reg signed [VAR24:0] VAR32 = VAR3; wire [VAR29:0] VAR6; wire VAR26; wire [VAR24:0] VAR8; reg signed [VAR30:0] VAR15; reg signed [VAR30:0] VAR9; reg signed [VAR30:0] VAR16; reg [VAR30:0] VAR31 = VAR25; reg VAR34 = 1'b0; wire [VAR12:0] VAR33; wire VAR23, valid; assign VAR6 = VAR32 + 1; assign VAR26 = VAR32 == VAR17; assign VAR8 = VAR32 + VAR9 + VAR15; assign VAR33 = VAR23 ? VAR25 : VAR31 + 1; assign VAR23 = VAR31 == VAR13; assign valid = VAR8 >= VAR11 && VAR34; assign VAR19 = VAR34;
lgpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai31/gf180mcu_fd_sc_mcu7t5v0__oai31_1.functional.v
1,436
module MODULE1( VAR7, VAR15, VAR14, VAR3, VAR9 ); input VAR15, VAR3, VAR9, VAR7; output VAR14; wire VAR4; not VAR1( VAR4, VAR15 ); wire VAR8; not VAR16( VAR8, VAR3 ); wire VAR12; not VAR2( VAR12, VAR9 ); wire VAR11; and VAR10( VAR11, VAR4, VAR8, VAR12 ); wire VAR13; not VAR5( VAR13, VAR7 ); or VAR6( VAR14, VAR11, VAR13 ); endmodule
apache-2.0
bluespec/Flute
builds/RV32ACDFIMSU_Flute_verilator/Verilog_RTL/mkCSR_MIP.v
9,941
module MODULE1(VAR52, VAR56, VAR46, VAR54, VAR40, VAR15, VAR6, VAR31, VAR53, VAR21, VAR8, VAR66, VAR11, VAR7, VAR28, VAR23, VAR4); input VAR52; input VAR56; input VAR46; output [31 : 0] VAR54; input [27 : 0] VAR40; input [31 : 0] VAR15; input VAR6; output [31 : 0] VAR31; output [31 : 0] VAR53; input [27 : 0] VAR21; input [31 : 0] VAR8; input VAR66; output [31 : 0] VAR11; input VAR7; input VAR28; input VAR23; input VAR4; wire [31 : 0] VAR11, VAR31, VAR54, VAR53; reg VAR37; wire VAR57, VAR68; reg VAR10; wire VAR55, VAR49; reg VAR43; wire VAR63, VAR38; reg VAR47; wire VAR64, VAR51; reg VAR48; reg VAR70; wire VAR18; reg VAR17; wire VAR58, VAR24; reg VAR22; reg VAR67; wire VAR30; reg VAR33; reg VAR26; wire VAR5; reg VAR12; wire VAR25, VAR61; wire VAR42, VAR19, VAR13, VAR45, VAR50, VAR60, VAR34, VAR14, VAR71, VAR1, VAR69, VAR35, VAR2, VAR29; wire [11 : 0] VAR3, VAR32; wire VAR9, VAR44, VAR27, VAR65, VAR41, VAR16, VAR39, VAR36, VAR20; assign VAR45 = 1'd1 ; assign VAR69 = VAR46 ; assign VAR54 = { 20'd0, VAR3 } ; assign VAR31 = { 20'd0, VAR32 } ; assign VAR13 = 1'd1 ; assign VAR1 = VAR6 ; assign VAR53 = { 22'd0, VAR47, VAR22, 2'b0, VAR17, VAR12, 2'b0, VAR48, VAR33 } ; assign VAR11 = { 22'd0, VAR47, VAR16, 2'b0, VAR17, VAR12, 2'b0, VAR27, VAR36 } ; assign VAR19 = 1'd1 ; assign VAR71 = VAR66 ; assign VAR42 = 1'd1 ; assign VAR14 = 1'd1 ; assign VAR50 = 1'd1 ; assign VAR35 = 1'd1 ; assign VAR60 = 1'd1 ; assign VAR2 = 1'd1 ; assign VAR34 = 1'd1 ; assign VAR29 = 1'd1 ; assign VAR57 = VAR7 ; assign VAR68 = 1'b1 ; assign VAR55 = VAR23 ; assign VAR49 = 1'b1 ; assign VAR63 = VAR4 ; assign VAR38 = 1'b1 ; assign VAR64 = VAR28 ; assign VAR51 = 1'b1 ; always@(VAR46 or VAR6 or VAR44 or VAR66 or VAR27) case (1'b1) VAR46: VAR70 = 1'd0; VAR6: VAR70 = VAR44; VAR66: VAR70 = VAR27; default: VAR70 = 1'b0 ; endcase assign VAR18 = VAR6 || VAR66 || VAR46 ; assign VAR58 = !VAR46 && VAR65 ; assign VAR24 = VAR6 || VAR46 ; always@(VAR46 or VAR6 or VAR41 or VAR66 or VAR16) case (1'b1) VAR46: VAR67 = 1'd0; VAR6: VAR67 = VAR41; VAR66: VAR67 = VAR16; default: VAR67 = 1'b0 ; endcase assign VAR30 = VAR6 || VAR66 || VAR46 ; always@(VAR46 or VAR6 or VAR39 or VAR66 or VAR36) case (1'b1) VAR46: VAR26 = 1'd0; VAR6: VAR26 = VAR39; VAR66: VAR26 = VAR36; default: VAR26 = 1'b0 ; endcase assign VAR5 = VAR6 || VAR66 || VAR46 ; assign VAR25 = !VAR46 && VAR20 ; assign VAR61 = VAR6 || VAR46 ; assign VAR3 = { VAR37, 1'b0, VAR47, VAR22, VAR43, 1'b0, VAR17, VAR12, VAR10, 1'b0, VAR48, VAR33 } ; assign VAR32 = { VAR37, 1'b0, VAR9, VAR41, VAR43, 1'b0, VAR65, VAR20, VAR10, 1'b0, VAR44, VAR39 } ; assign VAR9 = VAR40[18] && VAR15[9] ; assign VAR44 = VAR40[18] && VAR15[1] ; assign VAR27 = VAR21[18] && VAR8[1] ; assign VAR65 = VAR40[18] && VAR15[5] ; assign VAR41 = VAR40[13] && VAR15[8] ; assign VAR16 = VAR21[13] && VAR8[8] ; assign VAR39 = VAR40[13] && VAR15[0] ; assign VAR36 = VAR21[13] && VAR8[0] ; assign VAR20 = VAR40[13] && VAR15[4] ; always@(posedge VAR52) begin if (VAR56 == VAR59) begin VAR37 <= VAR62 1'd0; VAR10 <= VAR62 1'd0; VAR43 <= VAR62 1'd0; VAR47 <= VAR62 1'd0; VAR48 <= VAR62 1'd0; VAR17 <= VAR62 1'd0; VAR22 <= VAR62 1'd0; VAR33 <= VAR62 1'd0; VAR12 <= VAR62 1'd0; end else begin if (VAR68) VAR37 <= VAR62 VAR57; if (VAR49) VAR10 <= VAR62 VAR55; if (VAR38) VAR43 <= VAR62 VAR63; if (VAR51) VAR47 <= VAR62 VAR64; if (VAR18) VAR48 <= VAR62 VAR70; if (VAR24) VAR17 <= VAR62 VAR58; if (VAR30) VAR22 <= VAR62 VAR67; if (VAR5) VAR33 <= VAR62 VAR26; if (VAR61) VAR12 <= VAR62 VAR25; end end begin VAR37 = 1'h0; VAR10 = 1'h0; VAR43 = 1'h0; VAR47 = 1'h0; VAR48 = 1'h0; VAR17 = 1'h0; VAR22 = 1'h0; VAR33 = 1'h0; VAR12 = 1'h0; end
apache-2.0
sh-chris110/chris
FPGA/chris.final/Qsys/soc_design/synthesis/submodules/soc_design_SystemID.v
2,203
module MODULE1 ( address, VAR2, VAR1, VAR3 ) ; output [ 31: 0] VAR3; input address; input VAR2; input VAR1; wire [ 31: 0] VAR3; assign VAR3 = address ? 1500971289 : 255; endmodule
gpl-2.0
CospanDesign/nysa-tx1-pcie-platform
tx1_pcie/slave/wb_tx1_pcie/rtl/xilinx/pcie_7x_v1_11_0_pipe_reset.v
22,146
module MODULE1 # ( parameter VAR30 = "VAR6", parameter VAR13 = "VAR34", parameter VAR66 = "VAR27", parameter VAR35 = "VAR71", parameter VAR29 = "VAR6", parameter VAR72 = 1, parameter VAR67 = 6'd63, parameter VAR68 = 1 ) ( input VAR69, input VAR3, input VAR48, input VAR4, input [VAR72-1:0] VAR33, input [VAR72-1:0] VAR78, input [VAR72-1:0] VAR2, input VAR21, input [VAR72-1:0] VAR1, input [VAR72-1:0] VAR44, input VAR81, input [VAR72-1:0] VAR74, input [VAR72-1:0] VAR8, input [VAR72-1:0] VAR79, output VAR85, output VAR80, output reg VAR50, output reg VAR24, output reg VAR58, output VAR9, output VAR70, output VAR5, output VAR77, output VAR16, output VAR63, output [4:0] VAR41 ); reg [VAR72-1:0] VAR43; reg [VAR72-1:0] VAR53; reg [VAR72-1:0] VAR64; reg VAR32; reg [VAR72-1:0] VAR83; reg [VAR72-1:0] VAR49; reg VAR25; reg [VAR72-1:0] VAR62; reg [VAR72-1:0] VAR31; reg [VAR72-1:0] VAR10; reg [VAR72-1:0] VAR23; reg [VAR72-1:0] VAR40; reg [VAR72-1:0] VAR82; reg VAR37; reg [VAR72-1:0] VAR36; reg [VAR72-1:0] VAR28; reg VAR12; reg [VAR72-1:0] VAR60; reg [VAR72-1:0] VAR19; reg [VAR72-1:0] VAR73; reg [ 5:0] VAR22 = 6'd0; reg VAR84 = 1'd0; reg VAR76 = 1'd0; reg VAR39 = 1'd0; reg VAR18 = 1'd0; reg VAR57 = 1'd0; reg VAR61 = 1'd0; reg VAR75 = 1'd0; reg VAR42 = 1'd0; reg [4:0] fsm = 5'h2; localparam VAR11 = 5'h0; localparam VAR65 = 5'h1; localparam VAR51 = 5'h2; localparam VAR59 = 5'h3; localparam VAR38 = 5'h4; localparam VAR47 = 5'h5; localparam VAR56 = 5'h6; localparam VAR45 = 5'h7; localparam VAR17 = 5'h8; localparam VAR54 = 5'h9; localparam VAR26 = 5'hA; localparam VAR15 = 5'hB; localparam VAR46 = 5'hC; localparam VAR20 = 5'hD; localparam VAR55 = 5'hE; localparam VAR14 = 5'hF; localparam VAR7 = 5'h10; always @ (posedge VAR69) begin if (!VAR4) begin VAR43 <= {VAR72{1'd0}}; VAR53 <= {VAR72{1'd0}}; VAR64 <= {VAR72{1'd0}}; VAR32 <= 1'd0; VAR83 <= {VAR72{1'd0}}; VAR49 <= {VAR72{1'd0}}; VAR25 <= 1'd0; VAR62 <= {VAR72{1'd0}}; VAR31 <= {VAR72{1'd0}}; VAR10 <= {VAR72{1'd0}}; VAR23 <= {VAR72{1'd0}}; VAR40 <= {VAR72{1'd0}}; VAR82 <= {VAR72{1'd0}}; VAR37 <= 1'd0; VAR36 <= {VAR72{1'd0}}; VAR28 <= {VAR72{1'd0}}; VAR12 <= 1'd0; VAR60 <= {VAR72{1'd0}}; VAR19 <= {VAR72{1'd0}}; VAR73 <= {VAR72{1'd0}}; end else begin VAR43 <= VAR33; VAR53 <= VAR78; VAR64 <= VAR2; VAR32 <= VAR21; VAR83 <= VAR1; VAR49 <= VAR44; VAR25 <= VAR81; VAR62 <= VAR74; VAR31 <= VAR8; VAR10 <= VAR79; VAR23 <= VAR43; VAR40 <= VAR53; VAR82 <= VAR64; VAR37 <= VAR32; VAR36 <= VAR83; VAR28 <= VAR49; VAR12 <= VAR25; VAR60 <= VAR62; VAR19 <= VAR31; VAR73 <= VAR10; end end always @ (posedge VAR69) begin if (!VAR4) VAR22 <= 6'd0; end else if ((fsm == VAR65) && (VAR22 < VAR67)) VAR22 <= VAR22 + 6'd1; else if ((fsm == VAR65) && (VAR22 == VAR67)) VAR22 <= VAR22; else VAR22 <= 6'd0; end always @ (posedge VAR69) begin if (!VAR4) begin fsm <= VAR65; VAR84 <= 1'd0; VAR76 <= 1'd0; VAR75 <= 1'd0; VAR42 <= 1'd0; end else begin case (fsm) VAR11 : begin if (!VAR4) begin fsm <= VAR65; VAR84 <= 1'd0; VAR76 <= 1'd0; VAR75 <= 1'd0; VAR42 <= 1'd0; end else begin fsm <= VAR11; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end end VAR65 : begin fsm <= ((VAR22 == VAR67) ? VAR51 : VAR65); VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR51 : begin fsm <= ((&(~VAR82) && (&(~VAR60))) ? VAR47 : VAR51); VAR84 <= 1'd1; VAR76 <= VAR76; VAR75 <= 1'd1; VAR42 <= VAR42; end VAR47 : begin fsm <= (&VAR82 ? VAR56 : VAR47); VAR84 <= 1'd0; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR56 : begin fsm <= (&VAR36 ? ((VAR13 == "VAR34") ? VAR45 : VAR59) : VAR56); VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR59 : begin fsm <= &(~VAR23) ? VAR38 : VAR59; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR38 : begin fsm <= (&VAR23) ? VAR45 : VAR38; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR45 : begin fsm <= (VAR13 == "VAR34") ? VAR46 : VAR17; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= 1'b0; VAR42 <= VAR42; end VAR17 : begin fsm <= (&VAR40 || (VAR30 == "VAR71")) ? VAR54 : VAR17; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR54 : begin fsm <= (&(~VAR40) || (VAR30 == "VAR71")) ? VAR26 : VAR54; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR26 : begin fsm <= &(~VAR23) ? VAR15 : VAR26; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR15 : begin fsm <= (&VAR23) ? VAR46 : VAR15; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR46 : begin if (VAR12 && (&VAR28 || (VAR68 == 1)) && (VAR37 || (VAR66 == "VAR27"))) begin fsm <= VAR20; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= 1'd1; end else begin fsm <= VAR46; VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= 1'd0; end end VAR20 : begin fsm <= (&VAR60 && (&(~VAR19)) ? VAR55 : VAR20); VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR55 : begin fsm <= ((VAR29 == "VAR71") ? VAR11 : VAR14); VAR84 <= VAR84; VAR76 <= (VAR66 == "VAR52"); VAR75 <= VAR75; VAR42 <= VAR42; end VAR14 : begin fsm <= (&(~VAR73) ? VAR7 : VAR14); VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end VAR7 : begin fsm <= (&VAR73 ? VAR11 : VAR7); VAR84 <= VAR84; VAR76 <= VAR76; VAR75 <= VAR75; VAR42 <= VAR42; end default : begin fsm <= VAR65; VAR84 <= 1'd0; VAR76 <= 1'd0; VAR75 <= 1'd0; VAR42 <= 1'd0; end endcase end end always @ (posedge VAR3) begin if (VAR84) begin VAR39 <= 1'd1; VAR18 <= 1'd1; end else begin VAR39 <= 1'd0; VAR18 <= VAR39; end end always @ (posedge VAR48) begin if (fsm == VAR65) begin VAR57 <= 1'd1; VAR61 <= VAR57; end else begin VAR57 <= 1'd0; VAR61 <= VAR57; end end assign VAR85 = VAR84; assign VAR80 = ((VAR35 == "VAR6") ? 1'd0 : VAR76); assign VAR9 = VAR18; assign VAR70 = VAR61; assign VAR5 = VAR75; assign VAR77 = VAR42; assign VAR16 = (fsm == VAR14); assign VAR63 = (fsm == VAR11); assign VAR41 = fsm; always @ (posedge VAR69) begin if (!VAR4) begin VAR50 <= 1'd0; VAR24 <= 1'd0; VAR58 <= 1'd0; end else begin VAR50 <= (fsm == VAR59) || (fsm == VAR26); VAR24 <= (fsm == VAR59) || (fsm == VAR38) || (fsm == VAR26) || (fsm == VAR15); VAR58 <= (fsm == VAR59) || (fsm == VAR38); end end endmodule
mit
eda-globetrotter/PicenoDecoders
viterbi/cencoder.v
1,442
module MODULE1 (output [1:0] VAR4, input VAR1, input clk, input reset); reg [1:0] VAR4; reg VAR2, VAR3; always @ (posedge clk) begin if (reset) begin VAR2 <= 1'b0; end else begin VAR2 <= VAR1; end end always @ (posedge clk) begin if (reset) begin VAR3 <= 1'b0; end else begin VAR3 <= VAR2; end end always @ (VAR2 or VAR3 or VAR1) begin VAR4[0] <= VAR1^VAR2^VAR3; VAR4[1] <= VAR1^VAR3; end endmodule
mit
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/verilog/feedforward_mul_7ns_32s_39_3.v
1,441
module MODULE1(clk, VAR16, VAR12, VAR3, VAR10); input clk; input VAR16; input[7 - 1 : 0] VAR12; input[32 - 1 : 0] VAR3; output[39 - 1 : 0] VAR10; reg [7 - 1 : 0] VAR9; reg signed [32 - 1 : 0] VAR6; wire signed [39 - 1 : 0] VAR15; reg signed [39 - 1 : 0] VAR14; assign VAR10 = VAR14; assign VAR15 = ({1'b0, VAR9}) * VAR6; always @ (posedge clk) begin if (VAR16) begin VAR9 <= VAR12; VAR6 <= VAR3; VAR14 <= VAR15; end end endmodule module MODULE2( clk, reset, VAR16, VAR5, VAR1, dout); parameter VAR2 = 32'd1; parameter VAR8 = 32'd1; parameter VAR13 = 32'd1; parameter VAR7 = 32'd1; parameter VAR11 = 32'd1; input clk; input reset; input VAR16; input[VAR13 - 1:0] VAR5; input[VAR7 - 1:0] VAR1; output[VAR11 - 1:0] dout; MODULE1 VAR4( .clk( clk ), .VAR16( VAR16 ), .VAR12( VAR5 ), .VAR3( VAR1 ), .VAR10( dout )); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/and3b/sky130_fd_sc_hs__and3b.behavioral.v
1,882
module MODULE1 ( VAR13 , VAR5 , VAR2 , VAR3 , VAR10, VAR1 ); output VAR13 ; input VAR5 ; input VAR2 ; input VAR3 ; input VAR10; input VAR1; wire VAR7 ; wire VAR6 ; wire VAR14; not VAR11 (VAR7 , VAR5 ); and VAR12 (VAR6 , VAR3, VAR7, VAR2 ); VAR4 VAR9 (VAR14, VAR6, VAR10, VAR1); buf VAR8 (VAR13 , VAR14 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a22oi/sky130_fd_sc_hd__a22oi_4.v
2,352
module MODULE2 ( VAR7 , VAR4 , VAR2 , VAR9 , VAR3 , VAR6, VAR11, VAR5 , VAR8 ); output VAR7 ; input VAR4 ; input VAR2 ; input VAR9 ; input VAR3 ; input VAR6; input VAR11; input VAR5 ; input VAR8 ; VAR10 VAR1 ( .VAR7(VAR7), .VAR4(VAR4), .VAR2(VAR2), .VAR9(VAR9), .VAR3(VAR3), .VAR6(VAR6), .VAR11(VAR11), .VAR5(VAR5), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR7 , VAR4, VAR2, VAR9, VAR3 ); output VAR7 ; input VAR4; input VAR2; input VAR9; input VAR3; supply1 VAR6; supply0 VAR11; supply1 VAR5 ; supply0 VAR8 ; VAR10 VAR1 ( .VAR7(VAR7), .VAR4(VAR4), .VAR2(VAR2), .VAR9(VAR9), .VAR3(VAR3) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfxtp/sky130_fd_sc_hdll__sdfxtp_2.v
2,361
module MODULE1 ( VAR5 , VAR4 , VAR11 , VAR1 , VAR2 , VAR3, VAR7, VAR6 , VAR8 ); output VAR5 ; input VAR4 ; input VAR11 ; input VAR1 ; input VAR2 ; input VAR3; input VAR7; input VAR6 ; input VAR8 ; VAR10 VAR9 ( .VAR5(VAR5), .VAR4(VAR4), .VAR11(VAR11), .VAR1(VAR1), .VAR2(VAR2), .VAR3(VAR3), .VAR7(VAR7), .VAR6(VAR6), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR5 , VAR4, VAR11 , VAR1, VAR2 ); output VAR5 ; input VAR4; input VAR11 ; input VAR1; input VAR2; supply1 VAR3; supply0 VAR7; supply1 VAR6 ; supply0 VAR8 ; VAR10 VAR9 ( .VAR5(VAR5), .VAR4(VAR4), .VAR11(VAR11), .VAR1(VAR1), .VAR2(VAR2) ); endmodule
apache-2.0
cr88192/bgbtech_bjx1core
bjx1c32b/DecOp4_XE_0.v
15,142
module MODULE1( VAR74, VAR53, VAR68, VAR47, VAR20, VAR82 ); input[31:0] VAR74; output[6:0] VAR53; output[6:0] VAR68; output[6:0] VAR47; output[31:0] VAR20; output[7:0] VAR82; reg[6:0] VAR63; reg[6:0] VAR85; reg[6:0] VAR153; reg[31:0] VAR51; reg[7:0] VAR93; assign VAR53 = VAR63; assign VAR68 = VAR85; assign VAR47 = VAR153; assign VAR20 = VAR51; assign VAR82 = VAR93; reg[6:0] VAR186; reg[6:0] VAR138; reg[6:0] VAR160; reg[6:0] VAR25; reg[6:0] VAR188; reg[6:0] VAR62; reg[6:0] VAR191; reg[6:0] VAR161; reg[6:0] VAR44; reg[31:0] VAR5; reg[31:0] VAR198; reg[31:0] VAR3; reg[31:0] VAR18; reg[31:0] VAR200; reg[31:0] VAR137; reg[31:0] VAR90; reg[31:0] VAR142; reg[6:0] VAR12; reg[6:0] VAR127; reg[6:0] VAR175; reg[6:0] VAR147; reg[6:0] VAR173; reg[6:0] VAR184; reg[6:0] VAR40; reg[31:0] VAR148; reg[31:0] VAR193; reg[31:0] VAR67; reg VAR14; reg[3:0] VAR170; reg VAR61; reg[15:0] VAR156; reg[15:0] VAR7; reg[4:0] VAR2; reg[3:0] VAR88; always @* begin VAR7=VAR74[31:16]; VAR156=VAR74[15: 0]; VAR14 = 0; VAR186 = {3'h0, VAR7[11: 8]}; VAR138 = {3'h0, VAR7[ 7: 4]}; VAR160 = {3'h0, VAR7[ 3: 0]}; VAR25 = {3'h0, VAR156[ 7: 4]}; VAR188 = {3'h0, VAR156[ 3: 0]}; VAR62 = {3'h4, VAR7[11: 8]}; VAR191 = {3'h4, VAR7[ 7: 4]}; VAR161 = {3'h4, VAR156[ 7: 4]}; VAR44 = {3'h4, VAR156[ 3: 0]}; VAR5 = {VAR156[7] ? 24'hFFFFFF : 24'h0, VAR156[ 7:0]}; VAR198 = {24'h000000, VAR156[ 7:0]}; VAR3 = {24'hFFFFFF, VAR156[ 7:0]}; VAR137 = {VAR156[7] ? 20'hFFFFF : 20'h0, VAR156[ 7:0], VAR7[ 7:4]}; VAR90 = {VAR156[7] ? 20'hFFFFF : 20'h0, VAR156[ 7:0], VAR7[ 3:0]}; VAR18 = {VAR156[7] ? 16'hFFFF : 16'h0000, VAR156[ 7:0], VAR7[ 7:0]}; VAR200 = {VAR156[7] ? 12'hFFF : 12'h000, VAR156[ 7:0], VAR7[11:0]}; VAR170=VAR156[ 7: 4]; VAR61=0; if(VAR14) begin VAR61 = VAR170[3]; VAR12 = {2'h0, VAR170[2], VAR7[11: 8]}; VAR127 = {2'h0, VAR170[1], VAR7[ 7: 4]}; VAR175 = {2'h0, VAR170[0], VAR7[ 3: 0]}; VAR173 = {2'h0, VAR170[0], VAR156[ 3: 0]}; VAR184 = {2'h0, VAR156[7], VAR7[11: 8]}; VAR40 = {2'h0, VAR156[6], VAR7[ 7: 4]}; VAR147 = VAR173; VAR148 = { VAR156[5] ? 26'h3FFFFFF : 26'h0000000, VAR156[ 5: 0]}; VAR193 = {26'h0000000, VAR156[ 5: 0]}; VAR67 = {26'h3FFFFFF, VAR156[ 5: 0]}; VAR142 = 0; end else begin VAR12 = VAR186; VAR127 = VAR138; VAR175 = VAR160; VAR173 = VAR188; VAR147 = VAR25; VAR184 = VAR186; VAR40 = VAR138; VAR148 = VAR5; VAR193 = VAR198; VAR67 = VAR3; VAR142 = {28'h0000000, VAR156[ 3: 0]}; end VAR93=VAR131; VAR51=0; VAR63=VAR112; VAR85=VAR112; VAR153=VAR112; VAR2=VAR111; VAR88=VAR15; casez(VAR7[15:0]) 16'VAR146: begin VAR93 = VAR100; VAR2=VAR194; end 16'VAR105: begin VAR93 = VAR179; VAR2=VAR194; end 16'VAR155: begin VAR93 = VAR70; VAR2=VAR194; end 16'VAR122: begin VAR93 = VAR83; VAR2=VAR194; end 16'VAR162: begin VAR93 = VAR166; VAR2=VAR194; end 16'VAR59: begin VAR93 = VAR116; VAR2=VAR194; end 16'VAR110: begin VAR93 = VAR135; VAR2=VAR194; end 16'VAR129: begin VAR93 = VAR84; VAR2=VAR194; end 16'VAR151: begin VAR93 = VAR70; VAR2=VAR117; end 16'VAR125: begin VAR93 = VAR100; VAR2=VAR159; end 16'VAR24: begin VAR93 = VAR179; VAR2=VAR159; end 16'VAR102: begin VAR93 = VAR70; VAR2=VAR159; end 16'VAR64: begin VAR93 = VAR83; end 16'VAR176: begin VAR93=VAR169; VAR2=VAR86; VAR88=VAR26; end 16'VAR196: begin VAR93=VAR126; VAR2=VAR86; VAR88=VAR26; end 16'VAR109: begin VAR93=VAR132; VAR2=VAR86; VAR88=VAR26; end 16'VAR183: begin VAR93=VAR99; VAR2=VAR133; end 16'VAR30: begin VAR93=VAR118; VAR2=VAR133; end 16'VAR43: begin VAR93=VAR61 ? VAR60 : VAR115; VAR2=VAR17; end 16'VAR21: begin VAR93=VAR61 ? VAR29 : VAR46; VAR2=VAR17; end 16'VAR143: begin VAR93=VAR61 ? VAR22 : VAR52; VAR2=VAR17; end 16'VAR37: begin VAR93=VAR167; VAR2=VAR17; end 16'VAR56: begin VAR93=VAR91; VAR2=VAR17; end 16'VAR4: begin VAR93=VAR73; VAR2=VAR86; VAR88=VAR26; end 16'VAR42: begin end 16'VAR164: begin VAR93=VAR178; VAR2=VAR86; VAR88=VAR26; end 16'VAR92: begin case(VAR7[7:4]) 4'h0: begin VAR93=VAR178; VAR2=VAR31; end 4'h1: begin VAR93=VAR73; VAR2=VAR31; end 4'h2: begin VAR93=VAR66; VAR2=VAR31; end 4'h3: begin VAR93=VAR169; VAR2=VAR31; end 4'h4: begin VAR93=VAR132; VAR2=VAR31; end 4'h5: begin VAR93=VAR126; VAR2=VAR31; end 4'h6: begin VAR93=VAR78; VAR2=VAR31; end 4'h7: begin VAR93=VAR16; VAR2=VAR31; end 4'h8: begin VAR93=VAR130; VAR2=VAR31; end 4'h9: begin VAR93=VAR187; VAR2=VAR31; end 4'hC: begin VAR93=VAR157; VAR2=VAR31; VAR88=VAR79; end 4'hD: begin VAR93=VAR58; VAR2=VAR31; VAR88=VAR79; end 4'hE: begin VAR93=VAR96; VAR2=VAR31; VAR88=VAR79; end default: begin end endcase end 16'VAR34: begin VAR93=VAR61 ? VAR195 : VAR130; VAR2=VAR86; VAR88=VAR15; end 16'VAR50: begin VAR93=VAR61 ? VAR9 : VAR78; VAR2=VAR86; VAR88=VAR15; end 16'VAR172: begin case(VAR7[7:4]) 4'h0: begin VAR93=VAR48; VAR2=VAR31; end 4'h1: begin VAR93=VAR190; VAR2=VAR31; end default: begin end endcase end 16'VAR45: begin VAR93 = VAR135; VAR2=VAR117; end 16'VAR36: begin VAR93=VAR166; VAR2=VAR159; end 16'VAR11: begin VAR93 = VAR116; VAR2=VAR159; end 16'VAR32: begin VAR93 = VAR135; VAR2=VAR159; end 16'VAR33: begin VAR93=VAR84; VAR2=VAR159; end 16'VAR181: begin VAR93=VAR55; VAR2=VAR194; end 16'VAR145: begin VAR93=VAR28; VAR2=VAR194; end 16'VAR163: begin VAR93=VAR197; VAR2=VAR194; end 16'VAR49: begin VAR93=VAR123; VAR2=VAR194; end 16'VAR57: begin VAR93=VAR55; VAR2=VAR159; end 16'VAR19: begin VAR93=VAR28; VAR2=VAR159; end 16'VAR23: begin VAR93=VAR197; VAR2=VAR159; end 16'VAR107: begin VAR93=VAR123; VAR2=VAR159; end 16'VAR10: begin VAR93=VAR48; VAR2=VAR159; end 16'VAR41: begin VAR93=VAR190; VAR2=VAR159; end 16'VAR149: begin VAR93=VAR39; VAR2=VAR159; end 16'VAR13: begin VAR93=VAR84; VAR2=VAR159; end 16'VAR144: begin VAR93=VAR178; VAR2=VAR141; end 16'VAR87: begin VAR93=VAR165; VAR2=VAR174; end 16'VAR98: begin VAR93=VAR182; VAR2=VAR174; end 16'VAR75: begin VAR93=VAR115; VAR2=VAR177; end 16'VAR124: begin VAR93=VAR189; VAR2=VAR174; end 16'VAR27: begin end 16'VAR134: begin VAR93=VAR154; VAR2=VAR174; end 16'VAR80: begin end 16'VAR121: begin VAR93=VAR76; VAR2=VAR174; end 16'VAR77: begin VAR93=VAR65; VAR2=VAR174; end 16'VAR81: begin VAR93=VAR152; VAR2=VAR141; end 16'VAR1: begin VAR93=VAR104; VAR2=VAR71; end 16'VAR54: begin VAR93=VAR95; VAR2=VAR71; end 16'VAR158: begin VAR93=VAR100; VAR2=VAR108; VAR88=VAR119; end 16'VAR139: begin VAR93=VAR179; VAR2=VAR108; VAR88=VAR119; end 16'VAR150: begin VAR93=VAR70; VAR2=VAR108; VAR88=VAR119; end 16'VAR89: begin VAR93=VAR166; VAR2=VAR108; VAR88=VAR35; end 16'VAR120: begin VAR93=VAR116; VAR2=VAR108; VAR88=VAR35; end 16'VAR114: begin VAR93=VAR135; VAR2=VAR108; VAR88=VAR35; end 16'VAR136: begin VAR93=VAR61 ? VAR199 : VAR94; VAR2=VAR128; end 16'VAR101: begin VAR93=VAR169; VAR2=VAR177; end 16'VAR113: begin VAR93=VAR126; VAR2=VAR177; end 16'VAR180: begin VAR93=VAR132; VAR2=VAR177; end 16'VAR192: begin VAR93=VAR8; VAR2=VAR141; end default: begin end endcase case(VAR2) VAR111: begin end VAR168: begin VAR63=VAR186; VAR85=VAR63; end VAR159: begin VAR63 = VAR186; VAR85 = VAR138; VAR51 = VAR5; end VAR194: begin VAR63=VAR186; VAR85=VAR138; VAR153=VAR25; VAR51=VAR142; end VAR117: begin VAR63 = VAR186; VAR85 = VAR138; VAR153 = VAR160; VAR51 = VAR5; end VAR6: begin VAR63=VAR62; VAR85=VAR191; end VAR72: begin VAR63=VAR186; VAR85=VAR138; end VAR86: begin if(VAR14) begin VAR63=VAR184; VAR85=VAR40; VAR153=VAR97; case(VAR88) VAR15: VAR51=VAR148; VAR26: VAR51=VAR193; VAR185: VAR51=VAR67; default: begin end endcase end else begin VAR63=VAR186; VAR85=VAR138; VAR153=VAR97; case(VAR88) VAR15: VAR51=VAR5; VAR26: VAR51=VAR198; VAR185: VAR51=VAR3; default: begin end endcase end end VAR17: begin VAR85=VAR186; VAR153=VAR97; VAR51=VAR137; end VAR133: begin VAR63=VAR186; VAR85=VAR138; end VAR141: begin VAR63={2'h0, VAR14, VAR7[11:8]}; VAR85=VAR63; VAR153=VAR97; VAR51=VAR18; end VAR174: begin VAR51 = VAR18; end VAR71: begin VAR51 = VAR200; end VAR177: begin VAR63=VAR138; VAR85=VAR63; VAR153=VAR97; VAR51=VAR90; end VAR140: begin VAR63=VAR186; VAR85=VAR186; VAR153=VAR97; end VAR108: begin case(VAR88) VAR119: begin VAR63=VAR103; VAR85=VAR38; VAR153=VAR97; VAR51=VAR198; end VAR35: begin VAR63=VAR38; VAR85=VAR103; VAR153=VAR97; VAR51=VAR198; end default: begin VAR63=VAR106; VAR85=VAR106; VAR153=VAR106; VAR51=32'VAR69; end endcase end VAR31: begin case(VAR88) VAR171: begin VAR63=VAR186; VAR85=VAR25; VAR153=VAR188; end VAR79: begin VAR63=VAR62; VAR85=VAR161; VAR153=VAR44; end default: begin VAR63=VAR106; VAR85=VAR106; VAR153=VAR106; VAR51=32'VAR69; end endcase end default: begin VAR63=VAR106; VAR85=VAR106; VAR153=VAR106; VAR51=32'VAR69; end endcase end endmodule
mit
olgirard/openmsp430
fpga/actel_m1a3pl_dev_kit/rtl/verilog/openmsp430/omsp_dbg_i2c.v
18,555
module MODULE1 ( VAR79, VAR33, VAR64, VAR39, VAR66, VAR30, VAR25, VAR62, VAR69, VAR83, VAR28, VAR56, VAR54, VAR48, VAR75, VAR11, VAR16 ); output [5:0] VAR79; output [15:0] VAR33; output VAR64; output VAR39; output VAR66; input VAR30; input [15:0] VAR25; input [6:0] VAR62; input [6:0] VAR69; input VAR83; input VAR28; input VAR56; input VAR54; input VAR48; input VAR75; input VAR11; input VAR16; wire VAR19; VAR22 VAR1 ( .VAR15 (VAR19), .VAR20 (~VAR83), .clk (VAR30), .rst (VAR56) ); wire VAR63 = ~VAR19; wire VAR35; VAR22 VAR77 ( .VAR15 (VAR35), .VAR20 (~VAR28), .clk (VAR30), .rst (VAR56) ); wire VAR14 = ~VAR35; reg [1:0] VAR67; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR67 <= 2'h3; else VAR67 <= {VAR67[0], VAR63}; reg [1:0] VAR8; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR8 <= 2'h3; else VAR8 <= {VAR8[0], VAR14}; wire VAR76 = (VAR63 & VAR67[0]) | (VAR63 & VAR67[1]) | (VAR67[0] & VAR67[1]); wire VAR47 = (VAR14 & VAR8[0]) | (VAR14 & VAR8[1]) | (VAR8[0] & VAR8[1]); reg VAR17; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR17 <= 1'b1; else VAR17 <= VAR47; wire VAR42 = VAR17 & ~VAR47; wire VAR29 = ~VAR17 & VAR47; wire VAR10 = VAR17 ^ VAR47; reg VAR68; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR68 <= 1'b1; else VAR68 <= VAR76; wire VAR37 = VAR68 & ~VAR76; wire VAR18 = ~VAR68 & VAR76; wire VAR52 = VAR68 ^ VAR76; reg [1:0] VAR43; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR43 <= 2'b00; else VAR43 <= {VAR43[0], VAR18}; wire VAR5 = VAR43[1]; wire VAR26 = VAR42 & VAR76; wire VAR21 = VAR29 & VAR76; wire VAR2; reg VAR50; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR50 <= 1'b0; else if (VAR26) VAR50 <= 1'b1; else if (VAR21 || VAR2) VAR50 <= 1'b0; wire VAR7 = VAR50 & ~VAR21; wire VAR4 = ~VAR7 | VAR26; reg [2:0] VAR12; reg [2:0] VAR72; reg [8:0] VAR65; wire VAR24; wire VAR82; reg VAR39; parameter VAR61 = 3'h0; parameter VAR51 = 3'h1; parameter VAR27 = 3'h2; parameter VAR3 = 3'h3; parameter VAR32 = 3'h4; parameter VAR58 = 3'h5; always @(VAR12 or VAR4 or VAR24 or VAR2 or VAR82 or VAR37 or VAR65 or VAR47) case (VAR12) VAR61 : VAR72 = VAR4 ? VAR61 : ~VAR24 ? VAR61 : VAR2 ? VAR61 : VAR51; VAR51 : VAR72 = VAR4 ? VAR61 : ~VAR37 ? VAR51 : VAR65[0] ? VAR32 : VAR27; VAR27 : VAR72 = VAR4 ? VAR61 : ~VAR24 ? VAR27 : VAR3; VAR3 : VAR72 = VAR4 ? VAR61 : ~VAR37 ? VAR3 : VAR27; VAR32 : VAR72 = VAR4 ? VAR61 : ~VAR82 ? VAR32 : VAR58; VAR58 : VAR72 = VAR4 ? VAR61 : ~VAR37 ? VAR58 : ~VAR47 ? VAR32 : VAR61; default : VAR72 = VAR61; endcase always @(posedge VAR30 or posedge VAR56) if (VAR56) VAR12 <= VAR61; else VAR12 <= VAR72; wire VAR13 = ((VAR12==VAR61) | (VAR12 ==VAR27) | (VAR12 ==VAR3)); wire VAR38 = (VAR12 ==VAR32) | (VAR12 ==VAR58); wire VAR46 = (VAR72==VAR32) | (VAR72==VAR58); assign VAR24 = VAR13 & VAR37 & VAR65[8]; assign VAR82 = VAR38 & VAR37 & (VAR65==9'h100); wire VAR44 = VAR4 | ((VAR12==VAR51) & VAR37 & ~VAR65[0]) | ((VAR12==VAR3) & VAR37); wire VAR60 = VAR13 & VAR5; wire VAR73 = ((VAR12==VAR51) & VAR18 & VAR65[0]) | ((VAR12==VAR58) & VAR18); wire VAR9 = VAR46 & VAR37 & (VAR65!=9'h100); wire [7:0] VAR74; wire [8:0] VAR31 = VAR44 ? 9'h001 : VAR73 ? {VAR74, 1'b1} : VAR60 ? {VAR65[7:0], VAR47} : VAR9 ? {VAR65[7:0], 1'b0} : VAR65[8:0]; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR65 <= 9'h001; else VAR65 <= VAR31; assign VAR2 = (VAR12 == VAR61) && VAR24 && ( (VAR65[7:1] != VAR69[6:0]) && (VAR65[7:1] != VAR62[6:0])); wire [6:0] VAR23 = VAR69; wire VAR53 = VAR24 & (VAR12==VAR27); wire VAR49 = VAR82; reg VAR64; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR64 <= 1'b1; else if (VAR37) VAR64 <= ~((VAR72==VAR51) || (VAR72==VAR3) || (VAR9 & ~VAR65[8])); reg [2:0] VAR40; reg [2:0] VAR41; reg VAR70; parameter VAR6 = 3'h0; parameter VAR81 = 3'h1; parameter VAR57 = 3'h2; parameter VAR80 = 3'h3; parameter VAR34 = 3'h4; always @(VAR40 or VAR53 or VAR49 or VAR65 or VAR70 or VAR11 or VAR75 or VAR54 or VAR48 or VAR16) case (VAR40) VAR6 : VAR41 = VAR11 ? VAR81 : VAR75 ? VAR80 : ~VAR53 ? VAR6 : VAR65[7] ? VAR81 : VAR80; VAR81 : VAR41 = (VAR54 & VAR48) ? VAR6 : ~VAR53 ? VAR81 : (VAR54 & ~VAR48) ? (VAR16 ? VAR81 : VAR57) : VAR70 ? VAR6 : VAR57; VAR57 : VAR41 = ~VAR53 ? VAR57 : (VAR54 & ~VAR48) ? VAR81 : VAR6; VAR80 : VAR41 = ~VAR49 ? VAR80 : ( VAR54 & VAR16) ? VAR80 : ( VAR54 & ~VAR16) ? VAR34 : ~VAR70 ? VAR34 : VAR6; VAR34 : VAR41 = ~VAR49 ? VAR34 : VAR54 ? VAR80 : VAR6; default : VAR41 = VAR6; endcase always @(posedge VAR30 or posedge VAR56) if (VAR56) VAR40 <= VAR6; else VAR40 <= VAR41; wire VAR36 = (VAR40==VAR6) & VAR53; wire VAR45 = (VAR40==VAR81) & VAR53; wire VAR78 = (VAR40==VAR57) & VAR53; parameter VAR55 = 6'h06; reg [5:0] VAR79; always @ (posedge VAR30 or posedge VAR56) if (VAR56) begin VAR70 <= 1'b0; VAR79 <= 6'h00; end else if (VAR36) begin VAR70 <= VAR65[6]; VAR79 <= VAR65[5:0]; end else if (VAR54) begin VAR70 <= VAR16; VAR79 <= VAR55; end reg [7:0] VAR71; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR71 <= 8'h00; else if (VAR45) VAR71 <= VAR65[7:0]; reg [7:0] VAR59; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR59 <= 8'h00; else if (VAR45) VAR59 <= 8'h00; else if (VAR78) VAR59 <= VAR65[7:0]; assign VAR33 = {VAR59, VAR71}; reg VAR66; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR66 <= 1'b0; else VAR66 <= (VAR54 & VAR16) ? VAR45 : (VAR54 & ~VAR16) ? VAR78 : VAR70 ? VAR45 : VAR78; always @ (posedge VAR30 or posedge VAR56) if (VAR56) VAR39 <= 1'b0; else VAR39 <= (VAR54 & VAR16) ? (VAR49 & (VAR40==VAR80)) : (VAR54 & ~VAR16) ? (VAR49 & (VAR40==VAR34)) : VAR36 ? ~VAR65[7] : 1'b0; assign VAR74 = (VAR40==VAR34) ? VAR25[15:8] : VAR25[7:0]; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a32o/sky130_fd_sc_ls__a32o_2.v
2,469
module MODULE1 ( VAR9 , VAR4 , VAR3 , VAR5 , VAR1 , VAR12 , VAR10, VAR2, VAR11 , VAR6 ); output VAR9 ; input VAR4 ; input VAR3 ; input VAR5 ; input VAR1 ; input VAR12 ; input VAR10; input VAR2; input VAR11 ; input VAR6 ; VAR8 VAR7 ( .VAR9(VAR9), .VAR4(VAR4), .VAR3(VAR3), .VAR5(VAR5), .VAR1(VAR1), .VAR12(VAR12), .VAR10(VAR10), .VAR2(VAR2), .VAR11(VAR11), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR9 , VAR4, VAR3, VAR5, VAR1, VAR12 ); output VAR9 ; input VAR4; input VAR3; input VAR5; input VAR1; input VAR12; supply1 VAR10; supply0 VAR2; supply1 VAR11 ; supply0 VAR6 ; VAR8 VAR7 ( .VAR9(VAR9), .VAR4(VAR4), .VAR3(VAR3), .VAR5(VAR5), .VAR1(VAR1), .VAR12(VAR12) ); endmodule
apache-2.0
mistryalok/Zedboard
learning/training/Microsystem/les6/ip_repo/myip_1.0/hdl/myip_v1_0.v
6,527
module MODULE1 # ( parameter integer VAR45 = 32, parameter integer VAR53 = 4, parameter integer VAR34 = 1, parameter integer VAR38 = 32, parameter integer VAR51 = 6, parameter integer VAR114 = 0, parameter integer VAR80 = 0, parameter integer VAR93 = 0, parameter integer VAR96 = 0, parameter integer VAR18 = 0 ) ( input wire VAR87, input wire VAR65, input wire [VAR53-1 : 0] VAR81, input wire [2 : 0] VAR113, input wire VAR109, output wire VAR8, input wire [VAR45-1 : 0] VAR10, input wire [(VAR45/8)-1 : 0] VAR108, input wire VAR84, output wire VAR115, output wire [1 : 0] VAR59, output wire VAR120, input wire VAR132, input wire [VAR53-1 : 0] VAR89, input wire [2 : 0] VAR88, input wire VAR75, output wire VAR76, output wire [VAR45-1 : 0] VAR135, output wire [1 : 0] VAR47, output wire VAR119, input wire VAR94, input wire VAR16, input wire VAR63, input wire [VAR34-1 : 0] VAR127, input wire [VAR51-1 : 0] VAR29, input wire [7 : 0] VAR102, input wire [2 : 0] VAR133, input wire [1 : 0] VAR111, input wire VAR95, input wire [3 : 0] VAR97, input wire [2 : 0] VAR55, input wire [3 : 0] VAR77, input wire [3 : 0] VAR1, input wire [VAR114-1 : 0] VAR117, input wire VAR25, output wire VAR124, input wire [VAR38-1 : 0] VAR28, input wire [(VAR38/8)-1 : 0] VAR92, input wire VAR128, input wire [VAR93-1 : 0] VAR66, input wire VAR52, output wire VAR131, output wire [VAR34-1 : 0] VAR74, output wire [1 : 0] VAR7, output wire [VAR18-1 : 0] VAR31, output wire VAR57, input wire VAR68, input wire [VAR34-1 : 0] VAR27, input wire [VAR51-1 : 0] VAR62, input wire [7 : 0] VAR4, input wire [2 : 0] VAR14, input wire [1 : 0] VAR98, input wire VAR105, input wire [3 : 0] VAR19, input wire [2 : 0] VAR17, input wire [3 : 0] VAR20, input wire [3 : 0] VAR64, input wire [VAR80-1 : 0] VAR37, input wire VAR72, output wire VAR121, output wire [VAR34-1 : 0] VAR5, output wire [VAR38-1 : 0] VAR24, output wire [1 : 0] VAR91, output wire VAR67, output wire [VAR96-1 : 0] VAR79, output wire VAR2, input wire VAR11 ); VAR58 # ( .VAR22(VAR45), .VAR49(VAR53) ) VAR118 ( .VAR36(VAR87), .VAR126(VAR65), .VAR129(VAR81), .VAR39(VAR113), .VAR106(VAR109), .VAR100(VAR8), .VAR110(VAR10), .VAR83(VAR108), .VAR26(VAR84), .VAR12(VAR115), .VAR61(VAR59), .VAR9(VAR120), .VAR90(VAR132), .VAR86(VAR89), .VAR69(VAR88), .VAR3(VAR75), .VAR60(VAR76), .VAR130(VAR135), .VAR104(VAR47), .VAR125(VAR119), .VAR40(VAR94) ); VAR32 # ( .VAR82(VAR34), .VAR22(VAR38), .VAR49(VAR51), .VAR73(VAR114), .VAR41(VAR80), .VAR103(VAR93), .VAR15(VAR96), .VAR33(VAR18) ) VAR21 ( .VAR36(VAR16), .VAR126(VAR63), .VAR122(VAR127), .VAR129(VAR29), .VAR30(VAR102), .VAR23(VAR133), .VAR78(VAR111), .VAR54(VAR95), .VAR116(VAR97), .VAR39(VAR55), .VAR134(VAR77), .VAR112(VAR1), .VAR44(VAR117), .VAR106(VAR25), .VAR100(VAR124), .VAR110(VAR28), .VAR83(VAR92), .VAR46(VAR128), .VAR13(VAR66), .VAR26(VAR52), .VAR12(VAR131), .VAR56(VAR74), .VAR61(VAR7), .VAR48(VAR31), .VAR9(VAR57), .VAR90(VAR68), .VAR123(VAR27), .VAR86(VAR62), .VAR6(VAR4), .VAR107(VAR14), .VAR35(VAR98), .VAR85(VAR105), .VAR71(VAR19), .VAR69(VAR17), .VAR99(VAR20), .VAR50(VAR64), .VAR101(VAR37), .VAR3(VAR72), .VAR60(VAR121), .VAR70(VAR5), .VAR130(VAR24), .VAR104(VAR91), .VAR42(VAR67), .VAR43(VAR79), .VAR125(VAR2), .VAR40(VAR11) ); endmodule
gpl-3.0