repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/xor2/sky130_fd_sc_hd__xor2_1.v | 2,117 | module MODULE1 (
VAR3 ,
VAR6 ,
VAR8 ,
VAR9,
VAR2,
VAR4 ,
VAR7
);
output VAR3 ;
input VAR6 ;
input VAR8 ;
input VAR9;
input VAR2;
input VAR4 ;
input VAR7 ;
VAR1 VAR5 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR3,
VAR6,
VAR8
);
output VAR3;
input VAR6;
input VAR8;
supply1 VAR9;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR7 ;
VAR1 VAR5 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8)
);
endmodule | apache-2.0 |
grantae/uart | src/uart_rx.v | 3,720 | module MODULE1(
input VAR17,
input reset,
input VAR10,
input VAR12,
output reg [7:0] VAR4 = 0,
output VAR19
);
reg [1:0] VAR1 = 2'b11;
always @(posedge VAR17) begin
VAR1 <= (VAR10) ? {VAR1[0], VAR12} : VAR1;
end
reg [1:0] VAR3 = 2'b00;
reg VAR22 = 1'b1;
always @(posedge VAR17) begin
if (VAR10) begin
case (VAR1[1])
1'b0: VAR3 <= (VAR3 == 2'b11) ? VAR3 : VAR3 + 1'b1;
1'b1: VAR3 <= (VAR3 == 2'b00) ? VAR3 : VAR3 - 1'b1;
endcase
VAR22 <= (VAR3 == 2'b11) ? 1'b0 : ((VAR3 == 2'b00) ? 1'b1 : VAR22);
end
else begin
VAR3 <= VAR3;
VAR22 <= VAR22;
end
end
localparam [3:0] VAR18=0, VAR6=1, VAR2=2, VAR13=3, VAR7=4, VAR9=5, VAR21=6,
VAR8=7, VAR23=8, VAR15=9;
reg [3:0] state = VAR18;
reg VAR14 = 1'b0;
reg [3:0] VAR11 = 4'b1110; always @(posedge VAR17) begin
if (VAR10) begin
if (~VAR14) begin
VAR14 <= ~VAR22; end
else begin
VAR14 <= ((state == VAR18) && (VAR22 == 1'b1)) ? 1'b0 : VAR14;
end
VAR11 <= (VAR14) ? VAR11 + 1'b1 : 4'b1110;
end
else begin
VAR14 <= VAR14;
VAR11 <= VAR11;
end
end
wire VAR16 = (VAR11 == 4'b1111);
always @(posedge VAR17) begin
if (reset) begin
state <= VAR18;
end
else if (VAR10) begin
case (state)
VAR18: state <= (VAR16 & (VAR22 == 1'b0)) ? VAR6 : VAR18; VAR6: state <= (VAR16) ? VAR2 : VAR6;
VAR2: state <= (VAR16) ? VAR13 : VAR2;
VAR13: state <= (VAR16) ? VAR7 : VAR13;
VAR7: state <= (VAR16) ? VAR9 : VAR7;
VAR9: state <= (VAR16) ? VAR21 : VAR9;
VAR21: state <= (VAR16) ? VAR8 : VAR21;
VAR8: state <= (VAR16) ? VAR23 : VAR8;
VAR23: state <= (VAR16) ? VAR15 : VAR23;
VAR15: state <= (VAR16) ? VAR18 : VAR15;
default: state <= 4'VAR20;
endcase
end
else state <= state;
end
wire VAR5 = (VAR10 & VAR16 & (state!=VAR18) & (state!=VAR15));
always @(posedge VAR17) begin
VAR4 <= (VAR5) ? {VAR22, VAR4[7:1]} : VAR4[7:0];
end
assign VAR19 = (VAR10 & VAR16 & (state==VAR15));
endmodule | mit |
intelligenttoasters/CPC2.0 | FPGA/rtl/cpc/cpc_core.v | 10,802 | module MODULE1 (
input VAR124,
input VAR10,
input VAR22,
input VAR43,
output VAR36,
input VAR178,
input VAR177,
input [15:0] VAR65,
output [7:0] VAR114,
output [15:0] VAR128,
input [79:0] VAR171,
output [7:0] VAR134,
input [7:0] VAR153,
output [7:0] VAR70,
output [23:0] VAR39,
output VAR141,
output VAR77,
output VAR182,
input VAR122,
input [63:0] VAR49, output reg VAR127 = 0,
output VAR107,
input VAR83,
input [3:0] VAR14,
input [7:0] VAR94,
output [7:0] VAR198,
input VAR76,
input VAR196,
input VAR125,
output VAR203,
output [7:0] VAR180
);
wire [15:0] VAR90;
wire [7:0] VAR112, VAR15, VAR2, VAR121, VAR123, VAR100, VAR147, VAR33, VAR142;
wire [7:0] VAR194, VAR149, VAR12, VAR52, VAR183, VAR158, VAR18;
wire VAR67, VAR81, VAR35, VAR8, VAR98, VAR79, VAR58, VAR120, VAR89, VAR96, VAR160, VAR151;
wire VAR48, VAR13;
wire VAR140 = (VAR8 | VAR81);
wire VAR129 = (VAR8 | VAR67);
wire VAR1 = (VAR35 | VAR81);
wire VAR59 = (VAR35 | VAR67);
wire [5:0] VAR109; wire [8:0] VAR99; wire [7:0] VAR148; wire [13:0]VAR30;
wire [4:0]VAR165;
wire [23:0] VAR37;
wire VAR74;
wire [2:0] VAR117;
wire VAR146 = (VAR90[12] == VAR55);
wire [1:0] VAR82;
wire [7:0] VAR132; wire VAR60 = ( VAR90[11] == VAR55 );
reg [4:0] VAR166 = 0;
reg [7:0] VAR193;
assign VAR70 = VAR112;
assign VAR141 = ~VAR35 & ((VAR89 & VAR49[VAR109]) | VAR120 | VAR160);
assign VAR77 = ~VAR1;
assign VAR182 = ~VAR59;
assign VAR148 = ( VAR99[8] ) ? VAR99[7:0] :
5'd0; assign VAR160 = ~VAR35 &
(VAR99[8] & (VAR90[15:14] == 2'b01));
assign VAR39 = {1'd0, VAR89 | VAR120, (VAR89) ? {2'b00, VAR109} : (VAR120) ? 8'h40 : VAR148, VAR90[13:0]};
VAR21 VAR115(
.clk(VAR10),
.VAR190( VAR43 ),
.VAR139(VAR58),
.VAR27(1'b1),
.VAR95(1'b1),
.VAR113(1'b1), .VAR150(VAR15),
.dout(VAR112),
.VAR90(VAR90),
.VAR187(VAR67),
.VAR92(VAR81),
.VAR19(VAR35),
.VAR155(VAR8),
.VAR133(VAR79),
.VAR44(),
.VAR41(),
.VAR50()
);
VAR103 VAR169(
.VAR170( VAR124 ),
.VAR159( VAR15 ),
.VAR175(VAR153),
.VAR120(VAR120),
.VAR42(VAR2),
.VAR89(VAR89),
.VAR167(VAR153),
.VAR160(VAR160),
.VAR6(VAR123),
.VAR96(VAR96),
.VAR138(VAR33),
.VAR200( VAR60 ),
.VAR168(VAR147),
.VAR111( VAR146),
.VAR75(VAR18),
.VAR151( VAR151)
);
assign VAR96 = ~VAR35; assign VAR120 = (VAR90[15:14] == 2'b00) && (VAR98 == 0) && VAR96;
assign VAR89 = (VAR90[15:14] == 2'b11) && (VAR98 == 0) && VAR96;
assign VAR151 = (VAR90[15:1] == (16'hfb7f>>1)) && ~VAR140;
VAR179 VAR4(
.VAR201(VAR90),
.VAR38(VAR65),
.VAR181(VAR112),
.VAR105(8'b0),
.VAR110(VAR124),
.VAR45(VAR177),
.VAR57(VAR59 == VAR55),
.VAR172(1'b0),
.VAR31(VAR123),
.VAR29(VAR114)
);
assign VAR2 = (VAR49[VAR109]) ? VAR153 : 8'hff;
reg VAR87 = 1'b1;
always @(negedge VAR22) VAR87 <= (VAR140 & VAR129);
VAR5 VAR106(
.VAR204(VAR22),
.VAR104(VAR43),
.VAR16(VAR90[14]),
.VAR174(VAR90[9]),
.VAR144(VAR90[8]),
.VAR189(!(VAR140 & VAR129)),
.VAR20(VAR112),
.VAR73(VAR165),
.VAR3(VAR30),
.VAR17(VAR13),
.VAR9(VAR48),
.VAR184(),
.VAR128(VAR128)
);
VAR53 VAR145 (
.VAR43(VAR43),
.VAR135(VAR124),
.VAR23(VAR90),
.VAR150( VAR112 ),
.VAR51( VAR114 ),
.VAR191(VAR67),
.VAR78(VAR81),
.VAR136(VAR35),
.VAR56(VAR8),
.VAR79(VAR79), .VAR185(VAR58),
.VAR40(VAR98),
.VAR109(VAR109[5:0]),
.VAR99(VAR99),
.VAR62(VAR117),
.VAR54(VAR74),
.VAR137(VAR37),
.VAR46(VAR48),
.VAR163(VAR13)
);
assign VAR149 = (VAR12[3:0] == 4'd0) ? VAR171[7:0] :
(VAR12[3:0] == 4'd1) ? VAR171[15:8] :
(VAR12[3:0] == 4'd2) ? VAR171[23:16]:
(VAR12[3:0] == 4'd3) ? VAR171[31:24] :
(VAR12[3:0] == 4'd4) ? VAR171[39:32] :
(VAR12[3:0] == 4'd5) ? VAR171[47:40] :
(VAR12[3:0] == 4'd6) ? VAR171[55:48] :
(VAR12[3:0] == 4'd7) ? VAR171[63:56] :
(VAR12[3:0] == 4'd8) ? VAR171[71:64] :
(VAR12[3:0] == 4'd9) ? VAR171[79:72] :
8'd255;
VAR202 VAR126(
.VAR43(VAR43),
.VAR135(VAR124),
.VAR32(VAR90[11]),
.VAR102(VAR90[8]),
.VAR186(VAR90[9]),
.VAR68(VAR140),
.VAR157(VAR129),
.VAR150(VAR112),
.do(VAR33),
.VAR23(VAR149),
.VAR11(VAR194),
.VAR164({7'd127,VAR48}), .VAR47(VAR12)
);
VAR34 VAR188(
.VAR154(VAR194), .VAR64(),
.VAR28(),
.VAR197(VAR55), .VAR7(VAR162), .VAR131(VAR12[7]),
.VAR143(VAR162),
.VAR118(VAR12[6]),
.VAR152(VAR162),
.VAR176(VAR134),
.VAR80(8'hff),
.VAR66(),
.VAR88(),
.VAR69(8'hff),
.VAR84(),
.VAR93(),
.VAR26(VAR162), .VAR195(VAR43),
.VAR199(VAR22)
);
VAR75 VAR130 (
.VAR135(VAR10),
.VAR156(~VAR43),
.VAR91(VAR90[15:1] == (16'hfb7f>>1)),
.VAR71(VAR112),
.VAR24(VAR18),
.VAR161(VAR90[0]),
.VAR86(!VAR140),
.VAR101(!VAR129),
.VAR116(VAR107),
.VAR85(VAR127),
.VAR61(VAR83),
.VAR90(VAR14),
.VAR119(VAR94),
.VAR25(VAR198),
.VAR72(VAR76),
.VAR173(VAR196),
.VAR63(VAR125),
.VAR97(VAR203) );
reg [1:0] VAR192 = 2'd0;
always @(posedge VAR124)
VAR192 = {VAR192[0], !VAR129 && (VAR90[15:0] == 16'hfa7e)};
wire VAR108 = (VAR192 == 2'b01);
always @(negedge VAR124)
if( VAR108 ) VAR127 <= VAR112[0];
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a31o/sky130_fd_sc_lp__a31o.pp.blackbox.v | 1,383 | module MODULE1 (
VAR5 ,
VAR3 ,
VAR1 ,
VAR2 ,
VAR8 ,
VAR7,
VAR9,
VAR4 ,
VAR6
);
output VAR5 ;
input VAR3 ;
input VAR1 ;
input VAR2 ;
input VAR8 ;
input VAR7;
input VAR9;
input VAR4 ;
input VAR6 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/o21ai/sky130_fd_sc_hdll__o21ai_2.v | 2,277 | module MODULE2 (
VAR2 ,
VAR3 ,
VAR10 ,
VAR6 ,
VAR5,
VAR4,
VAR7 ,
VAR1
);
output VAR2 ;
input VAR3 ;
input VAR10 ;
input VAR6 ;
input VAR5;
input VAR4;
input VAR7 ;
input VAR1 ;
VAR8 VAR9 (
.VAR2(VAR2),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR2 ,
VAR3,
VAR10,
VAR6
);
output VAR2 ;
input VAR3;
input VAR10;
input VAR6;
supply1 VAR5;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR1 ;
VAR8 VAR9 (
.VAR2(VAR2),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR6(VAR6)
);
endmodule | apache-2.0 |
borti4938/sd2snes | verilog/sd2snes_gsu/dac_buf.v | 9,127 | module MODULE1 (
VAR43,
VAR14,
VAR34,
VAR33,
VAR23,
VAR52);
input VAR43;
input [7:0] VAR14;
input [8:0] VAR34;
input [10:0] VAR33;
input VAR23;
output [31:0] VAR52;
tri1 VAR43;
tri0 VAR23;
wire [31:0] VAR38;
wire [31:0] VAR52 = VAR38[31:0];
VAR47 VAR46 (
.VAR37 (VAR33),
.VAR4 (VAR34),
.VAR31 (VAR43),
.VAR45 (VAR14),
.VAR26 (VAR23),
.VAR58 (VAR38),
.VAR30 (1'b0),
.VAR39 (1'b0),
.VAR6 (1'b0),
.VAR21 (1'b0),
.VAR16 (1'b1),
.VAR27 (1'b1),
.VAR1 (1'b1),
.VAR15 (1'b1),
.VAR56 (1'b1),
.VAR20 (1'b1),
.VAR48 (1'b1),
.VAR42 ({32{1'b1}}),
.VAR50 (),
.VAR54 (),
.VAR49 (1'b1),
.VAR12 (1'b1),
.VAR13 (1'b0));
VAR46.VAR36 = "VAR59",
VAR46.VAR7 = "VAR55",
VAR46.VAR29 = "VAR40",
VAR46.VAR5 = "VAR40",
VAR46.VAR53 = "VAR40",
VAR46.VAR2 = "VAR44 VAR19 VAR35",
VAR46.VAR60 = "VAR47",
VAR46.VAR11 = 2048,
VAR46.VAR28 = 512,
VAR46.VAR41 = "VAR8",
VAR46.VAR10 = "VAR59",
VAR46.VAR57 = "VAR55",
VAR46.VAR18 = "VAR3",
VAR46.VAR22 = "VAR51",
VAR46.VAR25 = 11,
VAR46.VAR24 = 9,
VAR46.VAR32 = 8,
VAR46.VAR9 = 32,
VAR46.VAR17 = 1;
endmodule | gpl-2.0 |
545/Atari7800 | core/ag_6502/trunk/agat7/ag_video.v | 4,565 | module MODULE1(input[10:0] VAR1, input VAR5, output[7:0] VAR4);
reg[7:0] VAR2[0:2047];
assign VAR4 = VAR5?VAR2[VAR1]:8'VAR3; | gpl-2.0 |
theapi/de1-soc | vga/rtl/verilog/vga_controller.v | 2,109 | module MODULE1(
input reset,
input VAR11,
input VAR22,
output VAR13,
output VAR30,
output VAR15,
output [7:0] VAR21,
output [7:0] VAR3,
output [7:0] VAR23
);
wire [10:0] VAR2;
wire [10:0] VAR4;
reg [7:0] VAR27;
reg [7:0] VAR9;
reg [7:0] VAR24;
wire [7:0] VAR5;
wire [23:0] VAR17;
reg [18:0] VAR28;
wire [31:0] VAR25;
VAR16 VAR1(
.reset(reset),
.VAR22(VAR22),
.VAR13(VAR13),
.VAR8(VAR2),
.VAR10(VAR4),
.VAR25(VAR25),
.VAR30(VAR30),
.VAR15(VAR15)
);
VAR19 VAR6 (
.VAR14 (VAR5),
.VAR18(8'b0),
.VAR12(VAR28),
.VAR20(19'b0),
.VAR7(1'b0),
.clk(VAR11)
);
VAR26 VAR29 (
.VAR14 (VAR17),
.VAR18(8'b0),
.VAR12(VAR5),
.VAR20(8'b0),
.VAR7(1'b0),
.clk(VAR11)
);
always@(posedge VAR22) begin
VAR28 <= VAR25[18:0];
end
assign VAR21 = VAR17[23:16];
assign VAR3 = VAR17[15:8];
assign VAR23 = VAR17[7:0];
endmodule | mit |
JohnDMcMaster/proxmark3 | fpga/fpga.v | 7,821 | module MODULE1(
VAR39, VAR27, VAR65, VAR105,
VAR57, VAR12, VAR93,
VAR111, VAR96, VAR70, VAR125, VAR13, VAR58,
VAR22, VAR43, VAR86,
VAR36, VAR44, VAR2, VAR24,
VAR67, VAR107,
VAR55
);
input VAR39, VAR65, VAR105;
output VAR27;
input VAR57, VAR12, VAR93;
output VAR111, VAR96, VAR70, VAR125, VAR13, VAR58;
input [7:0] VAR22;
output VAR43, VAR86;
input VAR2;
output VAR36, VAR44, VAR24;
input VAR67, VAR107;
output VAR55;
VAR23 #(.VAR106("VAR46") ) VAR102(
.VAR108(VAR145),
.VAR85(VAR57)
);
VAR23 #(.VAR106("VAR46") ) VAR132(
.VAR108(VAR33),
.VAR85(VAR39)
);
reg [15:0] VAR61;
reg [7:0] VAR136;
reg [7:0] VAR31;
always @(posedge VAR105)
begin
case(VAR61[15:12])
4'b0001: VAR31 <= VAR61[7:0];
4'b0010: VAR136 <= VAR61[7:0];
endcase
end
always @(posedge VAR33)
begin
if(~VAR105)
begin
VAR61[15:1] <= VAR61[14:0];
VAR61[0] <= VAR65;
end
end
wire [2:0] VAR15;
assign VAR15 = VAR31[7:5];
wire VAR7;
assign VAR7 = VAR31[3];
wire VAR110;
assign VAR110 = VAR31[0];
wire VAR101;
assign VAR101 = VAR31[0];
wire VAR35;
assign VAR35 = VAR31[1];
wire VAR94;
assign VAR94 = VAR31[2];
wire [2:0] VAR77;
assign VAR77 = VAR31[2:0];
VAR98 VAR17(
VAR145, VAR12, VAR93,
VAR5, VAR38, VAR28, VAR20, VAR83, VAR6,
VAR22, VAR123,
VAR62, VAR78, VAR2, VAR127,
VAR67, VAR107,
VAR81,
VAR7, VAR136
);
VAR128 VAR104(
VAR145, VAR12, VAR93,
VAR19, VAR14, VAR54, VAR109, VAR68, VAR112,
VAR22, VAR9,
VAR103, VAR114, VAR2, VAR51,
VAR67, VAR107,
VAR88, VAR136
);
VAR129 VAR4(
VAR145, VAR12, VAR93,
VAR137, VAR124, VAR134, VAR32, VAR40, VAR120,
VAR22, VAR118,
VAR115, VAR116, VAR2, VAR130,
VAR67, VAR107,
VAR59, VAR136
);
VAR97 VAR82(
VAR145, VAR12, VAR93,
VAR71, VAR52, VAR126, VAR41, VAR138, VAR47,
VAR22, VAR135,
VAR18, VAR100, VAR2, VAR133,
VAR67, VAR107,
VAR92,
VAR110
);
VAR73 VAR3(
VAR145, VAR12, VAR93,
VAR25, VAR121, VAR53, VAR74, VAR30, VAR21,
VAR22, VAR50,
VAR91, VAR69, VAR2, VAR45,
VAR67, VAR107,
VAR84,
VAR101, VAR35, VAR94
);
VAR140 VAR10(
VAR145, VAR12, VAR93,
VAR26, VAR87, VAR42, VAR63, VAR34, VAR75,
VAR22, VAR142,
VAR144, VAR66, VAR2, VAR119,
VAR67, VAR107,
VAR8,
VAR77
);
VAR29 VAR117(
VAR145, VAR12, VAR93,
VAR76, VAR37, VAR131, VAR143, VAR90, VAR1,
VAR22, VAR16,
VAR99, VAR89, VAR2, VAR56,
VAR67, VAR107,
VAR11,
VAR77
);
VAR113 VAR80 (VAR15, VAR24, VAR127, VAR130, VAR133, VAR45, VAR119, VAR56, VAR51, 1'b0);
VAR113 VAR72 (VAR15, VAR44, VAR78, VAR116, VAR100, VAR69, VAR66, VAR89, VAR114, 1'b0);
VAR113 VAR122 (VAR15, VAR36, VAR62, VAR115, VAR18, VAR91, VAR144, VAR99, VAR103, 1'b0);
VAR113 VAR141 (VAR15, VAR70, VAR28, VAR134, VAR126, VAR53, VAR42, VAR131, VAR54, 1'b0);
VAR113 VAR95 (VAR15, VAR125, VAR20, VAR32, VAR41, VAR74, VAR63, VAR143, VAR109, 1'b0);
VAR113 VAR48 (VAR15, VAR13, VAR83, VAR40, VAR138, VAR30, VAR34, VAR90, VAR68, 1'b0);
VAR113 VAR64 (VAR15, VAR58, VAR6, VAR120, VAR47, VAR21, VAR75, VAR1, VAR112, 1'b0);
VAR113 VAR49 (VAR15, VAR111, VAR5, VAR137, VAR71, VAR25, VAR26, VAR76, VAR19, 1'b0);
VAR113 VAR79 (VAR15, VAR96, VAR38, VAR124, VAR52, VAR121, VAR87, VAR37, VAR14, 1'b0);
VAR113 VAR60 (VAR15, VAR43, VAR123, VAR118, VAR135, VAR50, VAR142, VAR16, VAR9, 1'b0);
VAR113 VAR139 (VAR15, VAR55, VAR81, VAR59, VAR92, VAR84, VAR8, VAR11, VAR88, 1'b0);
assign VAR86 = 1'b0;
endmodule | gpl-2.0 |
Rod2693rm/netfpga-firewal-ddos | src/tcam/tcam_usr.v | 4,031 | module MODULE1(
clk,
VAR22,
VAR40,
VAR36,
din,
VAR32,
VAR38,
VAR24,
VAR25,
VAR26);
input clk;
input [31 : 0] VAR22;
input [31 : 0] VAR40;
input [31 : 0] VAR36;
input [31 : 0] din;
input VAR32;
input [10 : 0] VAR38;
output VAR24;
output VAR25;
output [2047 : 0] VAR26;
VAR11 #(
.VAR18(2),
.VAR14(32),
.VAR45(32),
.VAR12(32),
.VAR2(2048),
.VAR28(32),
.VAR48(0),
.VAR41(1),
.VAR29(1),
.VAR30(1),
.VAR9(0),
.VAR46(0),
.VAR5(0),
.VAR42(0),
.VAR8(1),
.VAR44(1),
.VAR19(2048),
.VAR43(0),
.VAR1(0),
.VAR31("MODULE1.VAR23"),
.VAR51(0),
.VAR50(1),
.VAR13(0),
.VAR37(2),
.VAR21(32),
.VAR4(11))
VAR47 (
.VAR34(clk),
.VAR10(VAR22),
.VAR17(VAR40),
.VAR15(VAR36),
.VAR16(din),
.VAR33(VAR32),
.VAR35(VAR38),
.VAR39(VAR24),
.VAR49(VAR25),
.VAR7(VAR26),
.VAR6(),
.VAR3(),
.VAR27(),
.VAR20());
endmodule | gpl-3.0 |
leekeith/DEVBOX | Dev_Box_HW/soc_system/synthesis/submodules/altera_up_video_alpha_blender_normal.v | 9,997 | module MODULE1 (
VAR13,
VAR20,
VAR21,
VAR7,
VAR4
);
input [29: 0] VAR13;
input [39: 0] VAR20;
output [ 9: 0] VAR21;
output [ 9: 0] VAR7;
output [ 9: 0] VAR4;
wire [ 9: 0] VAR30;
wire [17: 0] VAR27;
wire [17: 0] VAR2;
wire [17: 0] VAR33;
wire [17: 0] VAR23;
wire [17: 0] VAR17;
wire [17: 0] VAR28;
assign VAR21 = {1'b0, VAR27[17:9]} +
{1'b0, VAR23[17:9]};
assign VAR7 = {1'b0, VAR2[17:9]} +
{1'b0, VAR17[17:9]};
assign VAR4 = {1'b0, VAR33[17:9]} +
{1'b0, VAR28[17:9]};
assign VAR30 = 10'h3FF - VAR20[39:30];
VAR24 VAR34 (
.VAR18 (1'b0),
.VAR6 (1'b1),
.VAR32 (1'b0),
.sum (1'b0),
.VAR12 (VAR20[29:21]),
.VAR16 (VAR20[39:31]),
.VAR3 (VAR27)
);
VAR34.VAR25 = "VAR10=5",
VAR34.VAR5 = "VAR14",
VAR34.VAR15 = "VAR8",
VAR34.VAR22 = 9,
VAR34.VAR11 = 9,
VAR34.VAR9 = 18;
VAR24 VAR19 (
.VAR18 (1'b0),
.VAR6 (1'b1),
.VAR32 (1'b0),
.sum (1'b0),
.VAR12 (VAR20[19:11]),
.VAR16 (VAR20[39:31]),
.VAR3 (VAR2)
);
VAR19.VAR25 = "VAR10=5",
VAR19.VAR5 = "VAR14",
VAR19.VAR15 = "VAR8",
VAR19.VAR22 = 9,
VAR19.VAR11 = 9,
VAR19.VAR9 = 18;
VAR24 VAR31 (
.VAR18 (1'b0),
.VAR6 (1'b1),
.VAR32 (1'b0),
.sum (1'b0),
.VAR12 (VAR20[ 9: 1]),
.VAR16 (VAR20[39:31]),
.VAR3 (VAR33)
);
VAR31.VAR25 = "VAR10=5",
VAR31.VAR5 = "VAR14",
VAR31.VAR15 = "VAR8",
VAR31.VAR22 = 9,
VAR31.VAR11 = 9,
VAR31.VAR9 = 18;
VAR24 VAR1 (
.VAR18 (1'b0),
.VAR6 (1'b1),
.VAR32 (1'b0),
.sum (1'b0),
.VAR12 (VAR13[29:21]),
.VAR16 (VAR30[ 9: 1]),
.VAR3 (VAR23)
);
VAR1.VAR25 = "VAR10=5",
VAR1.VAR5 = "VAR14",
VAR1.VAR15 = "VAR8",
VAR1.VAR22 = 9,
VAR1.VAR11 = 9,
VAR1.VAR9 = 18;
VAR24 VAR29 (
.VAR18 (1'b0),
.VAR6 (1'b1),
.VAR32 (1'b0),
.sum (1'b0),
.VAR12 (VAR13[19:11]),
.VAR16 (VAR30[ 9: 1]),
.VAR3 (VAR17)
);
VAR29.VAR25 = "VAR10=5",
VAR29.VAR5 = "VAR14",
VAR29.VAR15 = "VAR8",
VAR29.VAR22 = 9,
VAR29.VAR11 = 9,
VAR29.VAR9 = 18;
VAR24 VAR26 (
.VAR18 (1'b0),
.VAR6 (1'b1),
.VAR32 (1'b0),
.sum (1'b0),
.VAR12 (VAR13[ 9: 1]),
.VAR16 (VAR30[ 9: 1]),
.VAR3 (VAR28)
);
VAR26.VAR25 = "VAR10=5",
VAR26.VAR5 = "VAR14",
VAR26.VAR15 = "VAR8",
VAR26.VAR22 = 9,
VAR26.VAR11 = 9,
VAR26.VAR9 = 18;
endmodule | gpl-2.0 |
bluespec/Flute | builds/RV64ACDFIMSU_Flute_verilator/Verilog_RTL/mkAXI4_Deburster_A.v | 48,625 | module MODULE1(VAR219,
VAR128,
VAR183,
VAR276,
VAR251,
VAR226,
VAR123,
VAR184,
VAR281,
VAR234,
VAR102,
VAR6,
VAR38,
VAR268,
VAR31,
VAR107,
VAR127,
VAR28,
VAR255,
VAR34,
VAR8,
VAR51,
VAR267,
VAR296,
VAR273,
VAR87,
VAR86,
VAR242,
VAR35,
VAR54,
VAR64,
VAR129,
VAR101,
VAR149,
VAR118,
VAR282,
VAR230,
VAR178,
VAR142,
VAR252,
VAR157,
VAR125,
VAR13,
VAR158,
VAR134,
VAR29,
VAR225,
VAR175,
VAR69,
VAR292,
VAR99,
VAR110,
VAR68,
VAR44,
VAR288,
VAR151,
VAR201,
VAR22,
VAR65,
VAR130,
VAR11,
VAR194,
VAR74,
VAR278,
VAR140,
VAR122,
VAR249,
VAR227,
VAR56,
VAR40,
VAR139,
VAR208,
VAR84,
VAR171,
VAR212,
VAR9,
VAR61,
VAR120,
VAR185,
VAR279,
VAR238,
VAR180);
input VAR219;
input VAR128;
input VAR183;
output VAR276;
input VAR251;
input [3 : 0] VAR226;
input [63 : 0] VAR123;
input [7 : 0] VAR184;
input [2 : 0] VAR281;
input [1 : 0] VAR234;
input VAR102;
input [3 : 0] VAR6;
input [2 : 0] VAR38;
input [3 : 0] VAR268;
input [3 : 0] VAR31;
output VAR107;
input VAR127;
input [63 : 0] VAR28;
input [7 : 0] VAR255;
input VAR34;
output VAR8;
output VAR51;
output [3 : 0] VAR267;
output [1 : 0] VAR296;
input VAR273;
input VAR87;
input [3 : 0] VAR86;
input [63 : 0] VAR242;
input [7 : 0] VAR35;
input [2 : 0] VAR54;
input [1 : 0] VAR64;
input VAR129;
input [3 : 0] VAR101;
input [2 : 0] VAR149;
input [3 : 0] VAR118;
input [3 : 0] VAR282;
output VAR230;
output VAR178;
output [3 : 0] VAR142;
output [63 : 0] VAR252;
output [1 : 0] VAR157;
output VAR125;
input VAR13;
output VAR158;
output [3 : 0] VAR134;
output [63 : 0] VAR29;
output [7 : 0] VAR225;
output [2 : 0] VAR175;
output [1 : 0] VAR69;
output VAR292;
output [3 : 0] VAR99;
output [2 : 0] VAR110;
output [3 : 0] VAR68;
output [3 : 0] VAR44;
input VAR288;
output VAR151;
output [63 : 0] VAR201;
output [7 : 0] VAR22;
output VAR65;
input VAR130;
input VAR11;
input [3 : 0] VAR194;
input [1 : 0] VAR74;
output VAR278;
output VAR140;
output [3 : 0] VAR122;
output [63 : 0] VAR249;
output [7 : 0] VAR227;
output [2 : 0] VAR56;
output [1 : 0] VAR40;
output VAR139;
output [3 : 0] VAR208;
output [2 : 0] VAR84;
output [3 : 0] VAR171;
output [3 : 0] VAR212;
input VAR9;
input VAR61;
input [3 : 0] VAR120;
input [63 : 0] VAR185;
input [1 : 0] VAR279;
input VAR238;
output VAR180;
wire [63 : 0] VAR252,
VAR249,
VAR29,
VAR201;
wire [7 : 0] VAR227, VAR225, VAR22;
wire [3 : 0] VAR267,
VAR142,
VAR208,
VAR122,
VAR171,
VAR212,
VAR99,
VAR134,
VAR68,
VAR44;
wire [2 : 0] VAR84,
VAR56,
VAR110,
VAR175;
wire [1 : 0] VAR296,
VAR157,
VAR40,
VAR69;
wire VAR276,
VAR230,
VAR107,
VAR51,
VAR125,
VAR178,
VAR8,
VAR139,
VAR140,
VAR292,
VAR158,
VAR278,
VAR180,
VAR65,
VAR151;
reg [7 : 0] VAR290;
wire [7 : 0] VAR136;
wire VAR70;
reg [7 : 0] VAR272;
wire [7 : 0] VAR26;
wire VAR2;
reg [1 : 0] VAR221;
wire [1 : 0] VAR254;
wire VAR153;
reg [63 : 0] VAR257;
wire [63 : 0] VAR27;
wire VAR82;
reg [63 : 0] VAR260;
wire [63 : 0] VAR163;
wire VAR106;
reg [7 : 0] VAR285;
wire [7 : 0] VAR50;
wire VAR202;
reg VAR104;
wire VAR179, VAR177;
reg [7 : 0] VAR37;
wire [7 : 0] VAR146;
wire VAR78;
wire [7 : 0] VAR222, VAR197;
wire VAR248,
VAR133,
VAR196,
VAR135,
VAR294;
wire [7 : 0] VAR224, VAR39;
wire VAR5,
VAR239,
VAR284,
VAR243,
VAR32;
wire [96 : 0] VAR199,
VAR241;
wire VAR187,
VAR148,
VAR55,
VAR250,
VAR83;
wire [70 : 0] VAR216,
VAR166;
wire VAR206,
VAR52,
VAR247,
VAR155,
VAR165;
wire [96 : 0] VAR145,
VAR147;
wire VAR218,
VAR112,
VAR88,
VAR223,
VAR203;
wire [72 : 0] VAR3,
VAR90;
wire VAR97,
VAR18,
VAR280,
VAR181,
VAR15;
wire [5 : 0] VAR233,
VAR81;
wire VAR164,
VAR73,
VAR237,
VAR14,
VAR209;
wire [96 : 0] VAR298,
VAR156;
wire VAR108,
VAR169,
VAR275,
VAR109,
VAR36;
wire [70 : 0] VAR143,
VAR92;
wire VAR189,
VAR188,
VAR176,
VAR244,
VAR190;
wire [96 : 0] VAR80,
VAR33;
wire VAR103,
VAR49,
VAR232,
VAR291,
VAR283;
wire [72 : 0] VAR256,
VAR89;
wire VAR277,
VAR114,
VAR4,
VAR119,
VAR274;
wire [5 : 0] VAR41,
VAR91;
wire VAR75,
VAR263,
VAR43,
VAR193,
VAR167;
wire VAR59,
VAR98,
VAR174,
VAR111,
VAR100,
VAR67,
VAR96,
VAR259,
VAR192,
VAR205,
VAR200,
VAR16,
VAR168,
VAR229,
VAR77,
VAR154,
VAR23,
VAR152,
VAR53,
VAR58,
VAR62,
VAR217,
VAR207,
VAR57,
VAR116,
VAR240,
VAR210,
VAR105,
VAR162,
VAR93,
VAR204,
VAR295;
wire [7 : 0] VAR253,
VAR47,
VAR117,
VAR85;
wire [1 : 0] VAR286;
wire VAR71;
reg [31 : 0] VAR173;
reg [31 : 0] VAR24;
wire [63 : 0] VAR131,
VAR79,
VAR231,
VAR12,
VAR293,
VAR94,
VAR182,
VAR30,
VAR63,
VAR265,
VAR126,
VAR213,
VAR215,
VAR72,
VAR266,
VAR160;
wire [7 : 0] VAR287, VAR20, VAR246, VAR60;
wire VAR66,
VAR271,
VAR144,
VAR228;
assign VAR276 = !VAR104 ;
assign VAR200 = !VAR104 ;
assign VAR210 = VAR183 ;
assign VAR96 = 1'd1 ;
assign VAR207 = 1'd1 ;
assign VAR107 = VAR203 ;
assign VAR205 = 1'd1 ;
assign VAR240 = 1'd1 ;
assign VAR8 = VAR15 ;
assign VAR51 = VAR237 ;
assign VAR267 = VAR81[5:2] ;
assign VAR296 = VAR81[1:0] ;
assign VAR259 = 1'd1 ;
assign VAR57 = 1'd1 ;
assign VAR67 = 1'd1 ;
assign VAR217 = 1'd1 ;
assign VAR230 = VAR83 ;
assign VAR178 = VAR247 ;
assign VAR142 = VAR166[70:67] ;
assign VAR252 = VAR166[66:3] ;
assign VAR157 = VAR166[2:1] ;
assign VAR125 = VAR166[0] ;
assign VAR192 = 1'd1 ;
assign VAR116 = 1'd1 ;
assign VAR158 = VAR232 ;
assign VAR134 = VAR33[96:93] ;
assign VAR29 = VAR33[92:29] ;
assign VAR225 = VAR33[28:21] ;
assign VAR175 = VAR33[20:18] ;
assign VAR69 = VAR33[17:16] ;
assign VAR292 = VAR33[15] ;
assign VAR99 = VAR33[14:11] ;
assign VAR110 = VAR33[10:8] ;
assign VAR68 = VAR33[7:4] ;
assign VAR44 = VAR33[3:0] ;
assign VAR168 = 1'd1 ;
assign VAR162 = 1'd1 ;
assign VAR151 = VAR4 ;
assign VAR201 = VAR89[72:9] ;
assign VAR22 = VAR89[8:1] ;
assign VAR65 = VAR89[0] ;
assign VAR154 = 1'd1 ;
assign VAR295 = 1'd1 ;
assign VAR229 = 1'd1 ;
assign VAR93 = 1'd1 ;
assign VAR278 = VAR167 ;
assign VAR140 = VAR275 ;
assign VAR122 = VAR156[96:93] ;
assign VAR249 = VAR156[92:29] ;
assign VAR227 = VAR156[28:21] ;
assign VAR56 = VAR156[20:18] ;
assign VAR40 = VAR156[17:16] ;
assign VAR139 = VAR156[15] ;
assign VAR208 = VAR156[14:11] ;
assign VAR84 = VAR156[10:8] ;
assign VAR171 = VAR156[7:4] ;
assign VAR212 = VAR156[3:0] ;
assign VAR16 = 1'd1 ;
assign VAR105 = 1'd1 ;
assign VAR77 = 1'd1 ;
assign VAR204 = 1'd1 ;
assign VAR180 = VAR190 ;
VAR132 #(.VAR150(32'd8),
.VAR236(32'd4),
.VAR121(32'd2),
.VAR48(1'd1)) VAR186(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR222),
.VAR211(VAR135),
.VAR46(VAR133),
.VAR195(VAR248),
.VAR137(VAR197),
.VAR258(VAR294),
.VAR297(VAR196));
VAR132 #(.VAR150(32'd8),
.VAR236(32'd4),
.VAR121(32'd2),
.VAR48(1'd1)) VAR1(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR224),
.VAR211(VAR243),
.VAR46(VAR239),
.VAR195(VAR5),
.VAR137(VAR39),
.VAR258(VAR32),
.VAR297(VAR284));
VAR214 #(.VAR42(32'd97),
.VAR48(1'd1)) VAR270(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR199),
.VAR211(VAR250),
.VAR46(VAR148),
.VAR195(VAR187),
.VAR137(VAR241),
.VAR258(VAR83),
.VAR297(VAR55));
VAR214 #(.VAR42(32'd71),
.VAR48(1'd1)) VAR124(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR216),
.VAR211(VAR155),
.VAR46(VAR52),
.VAR195(VAR206),
.VAR137(VAR166),
.VAR258(VAR165),
.VAR297(VAR247));
VAR214 #(.VAR42(32'd97),
.VAR48(1'd1)) VAR262(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR145),
.VAR211(VAR223),
.VAR46(VAR112),
.VAR195(VAR218),
.VAR137(VAR147),
.VAR258(VAR203),
.VAR297(VAR88));
VAR214 #(.VAR42(32'd73),
.VAR48(1'd1)) VAR76(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR3),
.VAR211(VAR181),
.VAR46(VAR18),
.VAR195(VAR97),
.VAR137(VAR90),
.VAR258(VAR15),
.VAR297(VAR280));
VAR214 #(.VAR42(32'd6),
.VAR48(1'd1)) VAR289(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR233),
.VAR211(VAR14),
.VAR46(VAR73),
.VAR195(VAR164),
.VAR137(VAR81),
.VAR258(VAR209),
.VAR297(VAR237));
VAR214 #(.VAR42(32'd97),
.VAR48(1'd1)) VAR115(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR298),
.VAR211(VAR109),
.VAR46(VAR169),
.VAR195(VAR108),
.VAR137(VAR156),
.VAR258(VAR36),
.VAR297(VAR275));
VAR214 #(.VAR42(32'd71),
.VAR48(1'd1)) VAR245(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR143),
.VAR211(VAR244),
.VAR46(VAR188),
.VAR195(VAR189),
.VAR137(VAR92),
.VAR258(VAR190),
.VAR297(VAR176));
VAR214 #(.VAR42(32'd97),
.VAR48(1'd1)) VAR19(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR80),
.VAR211(VAR291),
.VAR46(VAR49),
.VAR195(VAR103),
.VAR137(VAR33),
.VAR258(VAR283),
.VAR297(VAR232));
VAR214 #(.VAR42(32'd73),
.VAR48(1'd1)) VAR235(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR256),
.VAR211(VAR119),
.VAR46(VAR114),
.VAR195(VAR277),
.VAR137(VAR89),
.VAR258(VAR274),
.VAR297(VAR4));
VAR214 #(.VAR42(32'd6),
.VAR48(1'd1)) VAR21(.VAR220(VAR128),
.VAR219(VAR219),
.VAR161(VAR41),
.VAR211(VAR193),
.VAR46(VAR263),
.VAR195(VAR75),
.VAR137(VAR91),
.VAR258(VAR167),
.VAR297(VAR43));
assign VAR100 =
VAR283 &&
VAR88 &&
VAR274 &&
VAR280 &&
(VAR37 != 8'd0 || VAR32) ;
assign VAR62 =
VAR100 ;
assign VAR111 =
VAR43 && VAR284 &&
(VAR271 ||
VAR209) ;
assign VAR58 =
VAR111 ;
assign VAR98 =
VAR36 &&
VAR55 &&
(VAR290 != 8'd0 || VAR294) ;
assign VAR152 =
VAR98 ;
assign VAR59 =
VAR176 && VAR196 &&
VAR165 ;
assign VAR23 =
VAR59 ;
assign VAR174 = VAR104 ;
assign VAR53 = VAR104 ;
assign VAR71 =
VAR58 &&
(VAR221 == 2'b0 &&
VAR91[1:0] != 2'b0 ||
!VAR271) ;
assign VAR253 =
VAR66 ?
VAR246 :
8'd0 ;
assign VAR47 =
VAR271 ?
VAR20 :
8'd0 ;
assign VAR286 =
VAR271 ?
VAR91[1:0] :
2'b0 ;
assign VAR117 =
VAR144 ?
VAR60 :
8'd0 ;
assign VAR85 =
VAR228 ?
VAR287 :
8'd0 ;
assign VAR136 =
VAR104 ? 8'd0 : VAR253 ;
assign VAR70 =
VAR152 || VAR104 ;
assign VAR26 =
VAR104 ? 8'd0 : VAR47 ;
assign VAR2 =
VAR58 || VAR104 ;
assign VAR254 =
VAR104 ? 2'b0 : VAR286 ;
assign VAR153 = VAR71 || VAR104 ;
assign VAR27 = VAR131 ;
assign VAR82 =
VAR98 ;
assign VAR163 = VAR79 ;
assign VAR106 =
VAR100 ;
assign VAR50 =
VAR104 ? 8'd0 : VAR117 ;
assign VAR202 =
VAR23 || VAR104 ;
assign VAR179 = !VAR104 ;
assign VAR177 = VAR104 || VAR183 ;
assign VAR146 =
VAR104 ? 8'd0 : VAR85 ;
assign VAR78 =
VAR62 || VAR104 ;
assign VAR222 = VAR241[28:21] ;
assign VAR135 =
VAR152 &&
VAR290 == 8'd0 ;
assign VAR133 =
VAR23 &&
!VAR144 ;
assign VAR248 = VAR104 ;
assign VAR224 = VAR147[28:21] ;
assign VAR243 =
VAR62 &&
VAR37 == 8'd0 ;
assign VAR239 =
VAR58 &&
!VAR271 ;
assign VAR5 = VAR104 ;
assign VAR199 =
{ VAR86,
VAR242,
VAR35,
VAR54,
VAR64,
VAR129,
VAR101,
VAR149,
VAR118,
VAR282 } ;
assign VAR250 =
VAR87 && VAR83 ;
assign VAR148 =
VAR152 &&
!VAR66 ;
assign VAR187 = VAR104 ;
assign VAR216 =
{ VAR92[70:1],
!VAR144 } ;
assign VAR155 =
VAR59 ;
assign VAR52 =
VAR13 && VAR247 ;
assign VAR206 = VAR104 ;
assign VAR145 =
{ VAR226,
VAR123,
VAR184,
VAR281,
VAR234,
VAR102,
VAR6,
VAR38,
VAR268,
VAR31 } ;
assign VAR223 =
VAR251 && VAR203 ;
assign VAR112 =
VAR62 &&
!VAR228 ;
assign VAR218 = VAR104 ;
assign VAR3 =
{ VAR28, VAR255, VAR34 } ;
assign VAR181 =
VAR127 && VAR15 ;
assign VAR18 =
VAR100 ;
assign VAR97 = VAR104 ;
assign VAR233 =
{ VAR91[5:2],
(VAR221 == 2'b0) ?
VAR91[1:0] :
VAR221 } ;
assign VAR14 =
VAR58 &&
!VAR271 ;
assign VAR73 =
VAR273 && VAR237 ;
assign VAR164 = VAR104 ;
assign VAR298 =
{ VAR241[96:93],
VAR131,
8'd0,
VAR241[20:18],
2'b0,
VAR241[15:0] } ;
assign VAR109 =
VAR98 ;
assign VAR169 =
VAR275 && VAR9 ;
assign VAR108 = VAR104 ;
assign VAR143 =
{ VAR120,
VAR185,
VAR279,
VAR238 } ;
assign VAR244 =
VAR61 && VAR190 ;
assign VAR188 =
VAR59 ;
assign VAR189 = VAR104 ;
assign VAR80 =
{ VAR147[96:93],
VAR79,
8'd0,
VAR147[20:18],
2'b0,
VAR147[15:0] } ;
assign VAR291 =
VAR100 ;
assign VAR49 =
VAR232 && VAR288 ;
assign VAR103 = VAR104 ;
assign VAR256 =
{ VAR90[72:1], 1'd1 } ;
assign VAR119 =
VAR100 ;
assign VAR114 =
VAR4 && VAR130 ;
assign VAR277 = VAR104 ;
assign VAR41 = { VAR194, VAR74 } ;
assign VAR193 =
VAR11 && VAR167 ;
assign VAR263 =
VAR111 ;
assign VAR75 = VAR104 ;
assign VAR131 =
(VAR290 == 8'd0) ?
VAR241[92:29] :
((VAR241[17:16] == 2'b10) ?
VAR12 :
VAR94) ;
assign VAR79 =
(VAR37 == 8'd0) ?
VAR147[92:29] :
((VAR147[17:16] == 2'b10) ?
VAR231 :
VAR293) ;
assign VAR231 = VAR126 | VAR215 ;
assign VAR12 = VAR213 | VAR266 ;
assign VAR293 =
VAR260 +
(64'd1 << VAR147[20:18]) ;
assign VAR94 =
VAR257 +
(64'd1 << VAR241[20:18]) ;
assign VAR182 =
{ 56'd0, VAR147[28:21] } + 64'd1 ;
assign VAR30 =
{ 56'd0, VAR241[28:21] } + 64'd1 ;
assign VAR66 =
VAR290 <
VAR241[28:21] ;
assign VAR271 =
VAR272 < VAR39 ;
assign VAR144 =
VAR285 < VAR197 ;
assign VAR228 =
VAR37 < VAR147[28:21] ;
assign VAR63 =
(VAR182 <<
VAR147[20:18]) -
64'd1 ;
assign VAR265 =
(VAR30 <<
VAR241[20:18]) -
64'd1 ;
assign VAR126 = VAR260 & VAR72 ;
assign VAR287 = VAR37 + 8'd1 ;
assign VAR20 = VAR272 + 8'd1 ;
assign VAR213 = VAR257 & VAR160 ;
assign VAR246 = VAR290 + 8'd1 ;
assign VAR60 = VAR285 + 8'd1 ;
assign VAR215 = VAR293 & VAR63 ;
assign VAR72 = ~VAR63 ;
assign VAR266 = VAR94 & VAR265 ;
assign VAR160 = ~VAR265 ;
always@(posedge VAR219)
begin
if (VAR128 == VAR261)
begin
VAR290 <= VAR138 8'd0;
VAR272 <= VAR138 8'd0;
VAR221 <= VAR138 2'b0;
VAR285 <= VAR138 8'd0;
VAR104 <= VAR138 1'd1;
VAR37 <= VAR138 8'd0;
end
else
begin
if (VAR70)
VAR290 <= VAR138 VAR136;
if (VAR2)
VAR272 <= VAR138 VAR26;
if (VAR153)
VAR221 <= VAR138 VAR254;
if (VAR202)
VAR285 <= VAR138 VAR50;
if (VAR177)
VAR104 <= VAR138 VAR179;
if (VAR78)
VAR37 <= VAR138 VAR146;
end
if (VAR82)
VAR257 <= VAR138 VAR27;
if (VAR106)
VAR260 <= VAR138 VAR163;
end
begin
VAR290 = 8'hAA;
VAR272 = 8'hAA;
VAR221 = 2'h2;
VAR257 = 64'hAAAAAAAAAAAAAAAA;
VAR260 = 64'hAAAAAAAAAAAAAAAA;
VAR285 = 8'hAA;
VAR104 = 1'h0;
VAR37 = 8'hAA;
end
always@(negedge VAR219)
begin
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
begin
VAR173 = VAR264;
end
VAR24 = VAR173 / 32'd10;
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
VAR25("%0d: VAR170: %VAR113::VAR198.VAR172: VAR113 VAR141",
VAR24);
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
",
VAR147[28:21]);
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
(" ");
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
("VAR45 { ", "VAR17: ");
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
("'VAR269%VAR269", VAR90[72:9]);
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
(", ", "VAR10: ");
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
("'VAR269%VAR269", VAR90[8:1]);
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
(", ", "VAR159: ");
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
("VAR95");
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
(", ", "VAR7: ");
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
("'VAR269%VAR269", 1'd0, " }");
if (VAR128 != VAR261)
if (VAR62 &&
!VAR228 &&
!VAR90[0])
("\VAR191");
end
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/nor2b/sky130_fd_sc_ms__nor2b_2.v | 2,173 | module MODULE1 (
VAR4 ,
VAR8 ,
VAR5 ,
VAR2,
VAR1,
VAR9 ,
VAR6
);
output VAR4 ;
input VAR8 ;
input VAR5 ;
input VAR2;
input VAR1;
input VAR9 ;
input VAR6 ;
VAR3 VAR7 (
.VAR4(VAR4),
.VAR8(VAR8),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR4 ,
VAR8 ,
VAR5
);
output VAR4 ;
input VAR8 ;
input VAR5;
supply1 VAR2;
supply0 VAR1;
supply1 VAR9 ;
supply0 VAR6 ;
VAR3 VAR7 (
.VAR4(VAR4),
.VAR8(VAR8),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/dlxtn/sky130_fd_sc_hdll__dlxtn_1.v | 2,220 | module MODULE2 (
VAR5 ,
VAR8 ,
VAR9,
VAR7 ,
VAR3 ,
VAR4 ,
VAR6
);
output VAR5 ;
input VAR8 ;
input VAR9;
input VAR7 ;
input VAR3 ;
input VAR4 ;
input VAR6 ;
VAR2 VAR1 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR5 ,
VAR8 ,
VAR9
);
output VAR5 ;
input VAR8 ;
input VAR9;
supply1 VAR7;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR6 ;
VAR2 VAR1 (
.VAR5(VAR5),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/xnor3/sky130_fd_sc_lp__xnor3.blackbox.v | 1,269 | module MODULE1 (
VAR4,
VAR6,
VAR7,
VAR1
);
output VAR4;
input VAR6;
input VAR7;
input VAR1;
supply1 VAR3;
supply0 VAR8;
supply1 VAR2 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
c4puter/bridge-hdl | modules/flipflop/flipflop.v | 2,096 | module MODULE1 (
input VAR5,
input VAR1,
input clk,
output VAR2,
input VAR3
);
parameter VAR6 = 0;
reg VAR4;
assign VAR2 = VAR4;
always @(VAR3 or posedge clk)
if (VAR3)
VAR4 <= 0;
else if (VAR1)
VAR4 <= VAR5; | gpl-2.0 |
scalable-networks/ext | uhd/fpga/usrp2/control_lib/mux4.v | 1,036 | module MODULE1
(input en,
input [1:0] sel,
input [VAR3-1:0] VAR7,
input [VAR3-1:0] VAR1,
input [VAR3-1:0] VAR4,
input [VAR3-1:0] VAR2,
output [VAR3-1:0] VAR6);
assign VAR6 = en ? (sel[1] ? (sel[0] ? VAR2 : VAR4) : (sel[0] ? VAR1 : VAR7)) :
VAR5;
endmodule | gpl-2.0 |
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC | bin_Erosion_Operation/ip/Erosion/acl_fp_ceil.v | 7,842 | module MODULE1(VAR19, VAR5, enable, VAR10, VAR8);
input VAR19, VAR5, enable;
input [31:0] VAR10;
output [31:0] VAR8;
reg VAR11;
reg [7:0] VAR12;
reg [22:0] VAR13;
always@(posedge VAR19 or negedge VAR5)
begin
if (~VAR5)
begin
VAR11 <= 1'b0;
VAR12 <= 8'd0;
VAR13 <= 23'd0;
end
else if (enable)
begin
VAR11 <= VAR10[31];
VAR12 <= VAR10[30:23];
VAR13 <= VAR10[22:0];
end
end
reg VAR18;
reg [7:0] VAR4;
reg [24:0] VAR9;
reg VAR3;
reg VAR14;
reg [24:0] VAR15;
always@(posedge VAR19 or negedge VAR5)
begin
if (~VAR5)
begin
VAR18 <= 1'b0;
VAR4 <= 8'd0;
VAR9 <= 25'd0;
VAR3 <= 1'b0;
VAR14 <= 1'b0;
VAR15 <= 25'd0;
end
else if (enable)
begin
VAR18 <= VAR11;
VAR4 <= VAR12;
VAR14 <= 1'b0;
VAR9[24:23] <= {1'b0, |VAR12};
case (VAR12)
8'd127 : begin
VAR3 <= |(VAR13[22:0]);
VAR15 <= 25'h0800000;
VAR9[22:0] <= 23'd0;
end
8'd128 : begin
VAR3 <= |(VAR13[21:0]);
VAR9[22:0] <= {VAR13[22], 22'd0};
VAR15 <= 25'h0400000;
end
8'd129 : begin
VAR3 <= |(VAR13[20:0]);
VAR9[22:0] <= {VAR13[22:21], 21'd0};
VAR15 <= 25'h0200000;
end
8'd130 : begin
VAR3 <= |(VAR13[19:0]);
VAR9[22:0] <= {VAR13[22:20], 20'd0};
VAR15 <= 25'h0100000;
end
8'd131 : begin
VAR3 <= |(VAR13[18:0]);
VAR9[22:0] <= {VAR13[22:19], 19'd0};
VAR15 <= 25'h0080000;
end
8'd132 : begin
VAR3 <= |(VAR13[17:0]);
VAR9[22:0] <= {VAR13[22:18], 18'd0};
VAR15 <= 25'h0040000;
end
8'd133 : begin
VAR3 <= |(VAR13[16:0]);
VAR9[22:0] <= {VAR13[22:17], 17'd0};
VAR15 <= 25'h0020000;
end
8'd134 : begin
VAR3 <= |(VAR13[15:0]);
VAR9[22:0] <= {VAR13[22:16], 16'd0};
VAR15 <= 25'h0010000;
end
8'd135 : begin
VAR3 <= |(VAR13[14:0]);
VAR9[22:0] <= {VAR13[22:15], 15'd0};
VAR15 <= 25'h0008000;
end
8'd136 : begin
VAR3 <= |(VAR13[13:0]);
VAR9[22:0] <= {VAR13[22:14], 14'd0};
VAR15 <= 25'h0004000;
end
8'd137 : begin
VAR3 <= |(VAR13[12:0]);
VAR9[22:0] <= {VAR13[22:13], 13'd0};
VAR15 <= 25'h0002000;
end
8'd138 : begin
VAR3 <= |(VAR13[11:0]);
VAR9[22:0] <= {VAR13[22:12], 12'd0};
VAR15 <= 25'h0001000;
end
8'd139 : begin
VAR3 <= |(VAR13[10:0]);
VAR9[22:0] <= {VAR13[22:11], 11'd0};
VAR15 <= 25'h0000800;
end
8'd140 : begin
VAR3 <= |(VAR13[9:0]);
VAR9[22:0] <= {VAR13[22:10], 10'd0};
VAR15 <= 25'h0000400;
end
8'd141 : begin
VAR3 <= |(VAR13[8:0]);
VAR9[22:0] <= {VAR13[22:9], 9'd0};
VAR15 <= 25'h0000200;
end
8'd142 : begin
VAR3 <= |(VAR13[7:0]);
VAR9[22:0] <= {VAR13[22:8], 8'd0};
VAR15 <= 25'h0000100;
end
8'd143 : begin
VAR3 <= |(VAR13[6:0]);
VAR9[22:0] <= {VAR13[22:7], 7'd0};
VAR15 <= 25'h0000080;
end
8'd144 : begin
VAR3 <= |(VAR13[5:0]);
VAR9[22:0] <= {VAR13[22:6], 6'd0};
VAR15 <= 25'h0000040;
end
8'd145 : begin
VAR3 <= |(VAR13[4:0]);
VAR9[22:0] <= {VAR13[22:5], 5'd0};
VAR15 <= 25'h0000020;
end
8'd146 : begin
VAR3 <= |(VAR13[3:0]);
VAR9[22:0] <= {VAR13[22:4], 4'd0};
VAR15 <= 25'h0000010;
end
8'd147 : begin
VAR3 <= |(VAR13[2:0]);
VAR9[22:0] <= {VAR13[22:3], 3'd0};
VAR15 <= 25'h0000008;
end
8'd148 : begin
VAR3 <= |(VAR13[1:0]);
VAR9[22:0] <= {VAR13[22:2], 2'd0};
VAR15 <= 25'h0000004;
end
8'd149 : begin
VAR3 <= VAR13[0];
VAR9[22:0] <= {VAR13[22:1], 1'd0};
VAR15 <= 25'h0000002;
end
8'd150 : begin
VAR3 <= 1'b0;
VAR9[22:0] <= VAR13;
VAR15 <= 25'h0000001;
end
default:
if (VAR12 > 8'd150)
begin
VAR3 <= 1'b0;
VAR9[22:0] <= VAR13;
VAR14 <= 1'b0;
VAR15 <= 25'h0000000;
end
else
begin
VAR3 <= (|VAR13) | (|VAR12);
VAR15 <= 25'h0800000;
VAR14 <= 1'b1;
VAR9[22:0] <= 23'd0;
end
endcase
end
end
reg VAR1;
reg [7:0] VAR6;
reg [24:0] VAR2;
always@(posedge VAR19 or negedge VAR5)
begin
if (~VAR5)
begin
VAR1 <= 1'b0;
VAR6 <= 8'd0;
VAR2 <= 25'd0;
end
else if (enable)
begin
if (VAR14)
begin
VAR1 <= 1'b0;
if (VAR18 | ~VAR3)
begin
VAR6 <= 8'd0;
VAR2 <= 25'h0000000;
end
else
begin
VAR6 <= 8'd127;
VAR2 <= 25'h0800000;
end
end
else
begin
VAR1 <= VAR18;
VAR6 <= VAR4;
if (VAR3 & ~VAR18)
VAR2 <= VAR9 + VAR15;
end
else
VAR2 <= VAR9;
end
end
end
reg VAR7;
reg [7:0] VAR16;
reg [22:0] VAR17;
always@(posedge VAR19 or negedge VAR5)
begin
if (~VAR5)
begin
VAR7 <= 1'b0;
VAR16 <= 8'd0;
VAR17 <= 23'd0;
end
else if (enable)
begin
VAR7 <= VAR1;
if (VAR2[24])
begin
VAR17 <= VAR2[23:1];
VAR16 <= VAR6 + 1'b1;
end
else
begin
VAR17 <= VAR2[22:0];
if (VAR2[23] && (VAR6 == 8'd0))
VAR16 <= 8'd1;
end
else
VAR16 <= VAR6;
end
end
end
assign VAR8 = {VAR7, VAR16, VAR17};
endmodule | mit |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/sg_list_reader_32.v | 5,594 | module MODULE1 #(
parameter VAR12 = 9'd32
)
(
input VAR19,
input VAR4,
input [VAR12-1:0] VAR17, input VAR2, output VAR23,
output VAR15, output VAR11, input VAR9, output [63:0] VAR6, output [31:0] VAR5 );
reg [2:0] VAR16=VAR3, VAR16=VAR3;
reg [2:0] VAR10=VAR27, VAR10=VAR27;
reg [VAR12-1:0] VAR25={VAR12{1'd0}}, VAR25={VAR12{1'd0}};
reg [63:0] VAR24=64'd0, VAR24=64'd0;
reg [31:0] VAR13=0, VAR13=0;
reg VAR26=0, VAR26=0;
reg VAR28=0, VAR28=0;
assign VAR23 = !VAR16[2]; assign VAR15 = VAR10[2]; assign VAR11 = (VAR2 & !VAR16[2]); assign VAR6 = VAR24;
assign VAR5 = VAR13;
always @ (posedge VAR19) begin
VAR16 <= (VAR4 ? VAR3 : VAR16);
VAR10 <= (VAR4 ? VAR27 : VAR10);
VAR25 <= VAR25;
VAR26 <= (VAR4 ? 1'd0 : VAR26);
VAR28 <= (VAR4 ? 1'd0 : VAR28);
VAR24 <= VAR24;
VAR13 <= VAR13;
end
always @ (*) begin
VAR16 = VAR16;
VAR10 = VAR10;
VAR24 = VAR24;
VAR13 = VAR13;
VAR25 = VAR17;
VAR26 = (VAR23 & !VAR2);
VAR28 = VAR26;
case (VAR10)
if (VAR28) begin
VAR24[31:0] = VAR25;
VAR10 = VAR1;
end
end
if (VAR28) begin
VAR24[63:32] = VAR25;
VAR10 = VAR20;
end
end
if (VAR28) begin
VAR13 = VAR25;
VAR10 = VAR14;
end
end
if (VAR28)
VAR10 = VAR21;
end
if (VAR9)
VAR10 = VAR27;
end
default: begin
VAR10 = VAR27;
end
endcase
case (VAR16)
VAR16 = VAR22;
end
VAR16 = VAR18;
end
VAR16 = VAR7;
end
VAR16 = VAR8;
end
VAR16 = VAR3;
end
default: begin
VAR16 = VAR3;
end
endcase
end
endmodule | gpl-3.0 |
Cosmos-OpenSSD/Cosmos-plus-OpenSSD | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_Tiger4NSC_1_0/synth/OpenSSD2_Tiger4NSC_1_0.v | 14,564 | module MODULE1 (
VAR27,
VAR36,
VAR69,
VAR5,
VAR23,
VAR80,
VAR14,
VAR85,
VAR29,
VAR76,
VAR63,
VAR48,
VAR37,
VAR67,
VAR28,
VAR38,
VAR65,
VAR79,
VAR20,
VAR25,
VAR51,
VAR77,
VAR32,
VAR52,
VAR55,
VAR88,
VAR50,
VAR53,
VAR47,
VAR61,
VAR56,
VAR72,
VAR71,
VAR58,
VAR8,
VAR39,
VAR4,
VAR92,
VAR68,
VAR91,
VAR35,
VAR89,
VAR33,
VAR7,
VAR30,
VAR17,
VAR64,
VAR40,
VAR9,
VAR83,
VAR24,
VAR46,
VAR60,
VAR43,
VAR2,
VAR41,
VAR78,
VAR73,
VAR19,
VAR12,
VAR59,
VAR81,
VAR74,
VAR84,
VAR31,
VAR87,
VAR90,
VAR94,
VAR82,
VAR18,
VAR6,
VAR22,
VAR57,
VAR54,
VAR62,
VAR42,
VAR75,
VAR49,
VAR44,
VAR70,
VAR11,
VAR1,
VAR16,
VAR26
);
input wire VAR27;
input wire VAR36;
input wire VAR69;
output wire VAR5;
input wire [31 : 0] VAR23;
input wire [2 : 0] VAR80;
input wire VAR14;
output wire VAR85;
input wire [31 : 0] VAR29;
input wire [3 : 0] VAR76;
output wire VAR63;
input wire VAR48;
output wire [1 : 0] VAR37;
input wire VAR67;
output wire VAR28;
input wire [31 : 0] VAR38;
input wire [2 : 0] VAR65;
output wire VAR79;
input wire VAR20;
output wire [31 : 0] VAR25;
output wire [1 : 0] VAR51;
output wire [31 : 0] VAR77;
output wire [7 : 0] VAR32;
output wire [2 : 0] VAR52;
output wire [1 : 0] VAR55;
output wire [3 : 0] VAR88;
output wire [2 : 0] VAR50;
output wire VAR53;
input wire VAR47;
output wire [31 : 0] VAR61;
output wire [3 : 0] VAR56;
output wire VAR72;
output wire VAR71;
input wire VAR58;
input wire [1 : 0] VAR8;
input wire VAR39;
output wire VAR4;
output wire [31 : 0] VAR92;
output wire [7 : 0] VAR68;
output wire [2 : 0] VAR91;
output wire [1 : 0] VAR35;
output wire [3 : 0] VAR89;
output wire [2 : 0] VAR33;
output wire VAR7;
input wire VAR30;
input wire [31 : 0] VAR17;
input wire [1 : 0] VAR64;
input wire VAR40;
input wire VAR9;
output wire VAR83;
output wire [5 : 0] VAR24;
output wire [4 : 0] VAR46;
output wire [4 : 0] VAR60;
output wire [31 : 0] VAR43;
output wire [15 : 0] VAR2;
output wire VAR41;
input wire VAR78;
output wire [31 : 0] VAR73;
output wire VAR19;
output wire VAR12;
input wire VAR59;
input wire [31 : 0] VAR81;
input wire VAR74;
input wire VAR84;
output wire VAR31;
input wire [7 : 0] VAR87;
output wire VAR90;
output wire VAR94;
output wire [255 : 0] VAR82;
output wire VAR18;
output wire VAR6;
output wire [63 : 0] VAR22;
input wire [63 : 0] VAR57;
input wire VAR54;
output wire [1 : 0] VAR62;
output wire [1 : 0] VAR42;
output wire [647 : 0] VAR75;
input wire VAR49;
input wire [1 : 0] VAR44;
input wire [1 : 0] VAR70;
input wire [17 : 0] VAR11;
input wire [359 : 0] VAR1;
output wire VAR16;
output wire [31 : 0] VAR26;
VAR3 #(
.VAR45(8),
.VAR10(64),
.VAR34(256),
.VAR66(2),
.VAR13(12),
.VAR93(9),
.VAR15(27),
.VAR86(15)
) VAR21 (
.VAR27(VAR27),
.VAR36(VAR36),
.VAR69(VAR69),
.VAR5(VAR5),
.VAR23(VAR23),
.VAR80(VAR80),
.VAR14(VAR14),
.VAR85(VAR85),
.VAR29(VAR29),
.VAR76(VAR76),
.VAR63(VAR63),
.VAR48(VAR48),
.VAR37(VAR37),
.VAR67(VAR67),
.VAR28(VAR28),
.VAR38(VAR38),
.VAR65(VAR65),
.VAR79(VAR79),
.VAR20(VAR20),
.VAR25(VAR25),
.VAR51(VAR51),
.VAR77(VAR77),
.VAR32(VAR32),
.VAR52(VAR52),
.VAR55(VAR55),
.VAR88(VAR88),
.VAR50(VAR50),
.VAR53(VAR53),
.VAR47(VAR47),
.VAR61(VAR61),
.VAR56(VAR56),
.VAR72(VAR72),
.VAR71(VAR71),
.VAR58(VAR58),
.VAR8(VAR8),
.VAR39(VAR39),
.VAR4(VAR4),
.VAR92(VAR92),
.VAR68(VAR68),
.VAR91(VAR91),
.VAR35(VAR35),
.VAR89(VAR89),
.VAR33(VAR33),
.VAR7(VAR7),
.VAR30(VAR30),
.VAR17(VAR17),
.VAR64(VAR64),
.VAR40(VAR40),
.VAR9(VAR9),
.VAR83(VAR83),
.VAR24(VAR24),
.VAR46(VAR46),
.VAR60(VAR60),
.VAR43(VAR43),
.VAR2(VAR2),
.VAR41(VAR41),
.VAR78(VAR78),
.VAR73(VAR73),
.VAR19(VAR19),
.VAR12(VAR12),
.VAR59(VAR59),
.VAR81(VAR81),
.VAR74(VAR74),
.VAR84(VAR84),
.VAR31(VAR31),
.VAR87(VAR87),
.VAR90(VAR90),
.VAR94(VAR94),
.VAR82(VAR82),
.VAR18(VAR18),
.VAR6(VAR6),
.VAR22(VAR22),
.VAR57(VAR57),
.VAR54(VAR54),
.VAR62(VAR62),
.VAR42(VAR42),
.VAR75(VAR75),
.VAR49(VAR49),
.VAR44(VAR44),
.VAR70(VAR70),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR16(VAR16),
.VAR26(VAR26)
);
endmodule | gpl-3.0 |
wamgoo/FPGA-Imaging-Library | Geometry/Crop/HDL/Crop.srcs/sources_1/new/Crop.v | 4,862 | module MODULE1(
clk,
VAR22,
VAR3,
VAR9,
VAR20,
VAR10,
VAR12,
VAR6,
VAR24,
VAR21,
VAR11,
VAR19,
VAR2,
VAR7);
parameter VAR25 = 0;
parameter VAR8 = 8;
parameter VAR5 = 320;
parameter VAR16 = 240;
parameter VAR4 = 9;
input clk;
input VAR22;
input[VAR4 - 1 : 0] VAR3;
input[VAR4 - 1 : 0] VAR9;
input[VAR4 - 1 : 0] VAR20;
input[VAR4 - 1 : 0] VAR10;
input VAR12;
input [VAR8 - 1 : 0] VAR6;
input[VAR4 - 1 : 0] VAR24;
input[VAR4 - 1 : 0] VAR21;
output VAR11;
output[VAR8 - 1 : 0] VAR19;
output[VAR4 - 1 : 0] VAR2;
output[VAR4 - 1 : 0] VAR7;
generate
reg[VAR4 - 1 : 0] VAR15;
reg[VAR4 - 1 : 0] VAR18;
reg[VAR8 - 1 : 0] VAR26;
reg VAR13;
reg VAR1, VAR14, VAR17, VAR23;
always @(posedge clk or negedge VAR22 or negedge VAR12) begin
if(~VAR22 || ~VAR12)
VAR13 <= 0;
end
else
VAR13 <= 1;
end
assign VAR11 = VAR13;
always @(posedge clk or negedge VAR22 or negedge VAR12) begin
if(~VAR22 || ~VAR12) begin
VAR1 <= 0;
VAR14 <= 0;
VAR17 <= 0;
VAR23 <= 0;
end else begin
VAR1 <= VAR21 >= VAR3 ? 1 : 0;
VAR14 <= VAR21 <= VAR9 ? 1 : 0;
VAR17 <= VAR24 >= VAR20 ? 1 : 0;
VAR23 <= VAR24 <= VAR10 ? 1 : 0;
end
end
if(VAR25 == 0) begin
always @(posedge clk) begin
VAR15 <= VAR24;
VAR18 <= VAR21;
VAR26 <= VAR6;
end
end else begin
always @(posedge VAR12) begin
VAR15 <= VAR24;
VAR18 <= VAR21;
VAR26 <= VAR6;
end
end
assign VAR2 = VAR15;
assign VAR7 = VAR18;
assign VAR19 = VAR11 & VAR1 & VAR14 & VAR17 & VAR23 ? VAR26 : 0;
endgenerate
endmodule | lgpl-2.1 |
intelligenttoasters/CPC2.0 | FPGA/Quartus/custom/usb/hostController/sendpacketarbiter.v | 6,359 | module MODULE1 (VAR14, VAR22, VAR7, VAR5, VAR9, VAR4, VAR1, clk, rst, VAR3, VAR19);
input VAR22;
input [3:0] VAR7;
input VAR5;
input VAR4;
input VAR1;
input clk;
input rst;
output VAR14;
output VAR9;
output [3:0] VAR3;
output VAR19;
reg VAR14, VAR10;
wire VAR22;
wire [3:0] VAR7;
wire VAR5;
reg VAR9, VAR15;
wire VAR4;
wire VAR1;
wire clk;
wire rst;
reg [3:0] VAR3, VAR18;
reg VAR19, VAR20;
reg VAR8, VAR11;
reg [1:0] VAR25;
reg [1:0] VAR2;
always @(VAR8 or VAR1 or VAR5 or VAR7)
begin
if (VAR8 == 1'b1)
begin
VAR19 <= VAR1;
VAR3 <= VAR17;
end
else
begin
VAR19 <= VAR5;
VAR3 <= VAR7;
end
end
always @ (VAR22 or VAR4 or VAR14 or VAR9 or VAR8 or VAR25)
begin : VAR12
VAR2 <= VAR25;
VAR10 <= VAR14;
VAR15 <= VAR9;
VAR11 <= VAR8;
case (VAR25)
if (VAR22 == 1'b0)
begin
VAR2 <= VAR23;
VAR10 <= 1'b0;
end
if (VAR4 == 1'b0)
begin
VAR2 <= VAR23;
VAR15 <= 1'b0;
end
if (VAR4 == 1'b1)
begin
VAR2 <= VAR24;
VAR15 <= 1'b1;
VAR11 <= 1'b1;
end
else if (VAR22 == 1'b1)
begin
VAR2 <= VAR21;
VAR10 <= 1'b1;
VAR11 <= 1'b0;
end
VAR2 <= VAR23;
endcase
end
always @ (posedge clk)
begin : VAR16
if (rst)
VAR25 <= VAR6;
end
else
VAR25 <= VAR2;
end
always @ (posedge clk)
begin : VAR13
if (rst)
begin
VAR8 <= 1'b0;
VAR9 <= 1'b0;
VAR14 <= 1'b0;
end
else
begin
VAR8 <= VAR11;
VAR9 <= VAR15;
VAR14 <= VAR10;
end
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/a22oi/sky130_fd_sc_hd__a22oi_2.v | 2,352 | module MODULE1 (
VAR11 ,
VAR1 ,
VAR4 ,
VAR10 ,
VAR7 ,
VAR5,
VAR6,
VAR3 ,
VAR9
);
output VAR11 ;
input VAR1 ;
input VAR4 ;
input VAR10 ;
input VAR7 ;
input VAR5;
input VAR6;
input VAR3 ;
input VAR9 ;
VAR2 VAR8 (
.VAR11(VAR11),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR9(VAR9)
);
endmodule
module MODULE1 (
VAR11 ,
VAR1,
VAR4,
VAR10,
VAR7
);
output VAR11 ;
input VAR1;
input VAR4;
input VAR10;
input VAR7;
supply1 VAR5;
supply0 VAR6;
supply1 VAR3 ;
supply0 VAR9 ;
VAR2 VAR8 (
.VAR11(VAR11),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7)
);
endmodule | apache-2.0 |
olajep/oh | src/adi/hdl/library/common/up_adc_channel.v | 15,826 | module MODULE1 #(
parameter VAR96 = 6'h01,
parameter VAR74 = 4'h0,
parameter VAR73 = 0,
parameter VAR5 = 0,
parameter VAR15 = 0,
parameter VAR42 = 0) (
input VAR84,
input VAR33,
output VAR79,
output VAR63,
output VAR35,
output VAR91,
output VAR45,
output VAR72,
output [15:0] VAR18,
output [15:0] VAR95,
output [15:0] VAR27,
output [15:0] VAR34,
output [ 3:0] VAR56,
output [ 3:0] VAR82,
input VAR69,
input VAR92,
input VAR31,
output VAR93,
output VAR8,
output VAR52,
output VAR60,
output VAR36,
output [ 7:0] VAR101,
output [ 7:0] VAR16,
output [ 7:0] VAR17,
output [15:0] VAR76,
output [15:0] VAR14,
input VAR47,
input VAR29,
input [ 7:0] VAR99,
input [ 7:0] VAR44,
input [ 7:0] VAR68,
input [15:0] VAR89,
input [15:0] VAR38,
input VAR75,
input VAR53,
input VAR57,
input [13:0] VAR24,
input [31:0] VAR20,
output VAR51,
input VAR65,
input [13:0] VAR1,
output [31:0] VAR87,
output VAR98);
reg VAR62 = 'd0;
reg VAR67 = 'd0;
reg VAR22 = 'd0;
reg VAR66 = 'd0;
reg VAR12 = 'd0;
reg VAR11 = 'd0;
reg VAR50 = 'd0;
reg VAR39 = 'd0;
reg VAR13 = 'd0;
reg VAR26 = 'd0;
reg VAR54 = 'd0;
reg VAR9 = 'd0;
reg VAR83 = 'd0;
reg [15:0] VAR64 = 'd0;
reg [15:0] VAR85 = 'd0;
reg [15:0] VAR61 = 'd0;
reg [15:0] VAR2 = 'd0;
reg [ 3:0] VAR81 = 'd0;
reg [ 3:0] VAR70 = 'd0;
reg VAR23 = 'd0;
reg VAR100 = 'd0;
reg [ 7:0] VAR58 = 'd0;
reg [ 7:0] VAR88 = 'd0;
reg [ 7:0] VAR21 = 'd0;
reg [15:0] VAR59 = 'd0;
reg [15:0] VAR32 = 'd0;
reg VAR7 = 'd0;
reg [31:0] VAR97 = 'd0;
reg [15:0] VAR90 = 'd0;
reg [15:0] VAR19 = 'd0;
reg [ 3:0] VAR25 = 'd0;
reg [ 3:0] VAR80 = 'd0;
wire VAR4;
wire VAR78;
wire VAR48;
wire VAR49;
wire VAR55;
function [15:0] VAR102;
input [15:0] din;
reg [15:0] VAR46;
reg [15:0] VAR3;
reg [15:0] dout;
begin
VAR46 = {1'b0, din[14:0]};
VAR3 = ~VAR46 + 1'b1;
dout = (din[15] == 1'b1) ? VAR3 : VAR46;
VAR102 = dout;
end
endfunction
assign VAR93 = VAR54;
assign VAR8 = VAR9;
assign VAR52 = VAR83;
assign VAR60 = VAR23;
assign VAR36 = VAR100;
assign VAR101 = VAR58;
assign VAR16 = VAR88;
assign VAR17 = VAR21;
assign VAR76 = VAR59;
assign VAR14 = VAR32;
assign VAR4 = ((VAR24[13:8] == VAR96) && (VAR24[7:4] == VAR74)) ? VAR57 : 1'b0;
assign VAR78 = ((VAR1[13:8] == VAR96) && (VAR1[7:4] == VAR74)) ? VAR65 : 1'b0;
assign VAR51 = VAR62;
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR62 <= 'd0;
VAR67 <= 'd0;
VAR22 <= 'd0;
end else begin
VAR62 <= VAR4;
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h0)) begin
VAR67 <= VAR20[11];
VAR22 <= VAR20[10];
end
end
end
generate
if (VAR42 == 1) begin
always @(posedge VAR53) begin
VAR66 <= 'd0;
end
end else begin
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR66 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h0)) begin
VAR66 <= VAR20[9];
end
end
end
end
endgenerate
generate
if (VAR15 == 1) begin
always @(posedge VAR53) begin
VAR12 <= 'd0;
end
end else begin
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR12 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h0)) begin
VAR12 <= VAR20[8];
end
end
end
end
endgenerate
generate
if (VAR5 == 1) begin
always @(posedge VAR53) begin
VAR11 <= 'd0;
VAR50 <= 'd0;
VAR39 <= 'd0;
end
end else begin
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR11 <= 'd0;
VAR50 <= 'd0;
VAR39 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h0)) begin
VAR11 <= VAR20[6];
VAR50 <= VAR20[5];
VAR39 <= VAR20[4];
end
end
end
end
endgenerate
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR13 <= 'd0;
VAR26 <= 'd0;
VAR54 <= 'd0;
VAR9 <= 'd0;
VAR83 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h0)) begin
VAR13 <= VAR20[1];
VAR26 <= VAR20[0];
end
if (VAR48 == 1'b1) begin
VAR54 <= 1'b1;
end else if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h1)) begin
VAR54 <= VAR54 & ~VAR20[2];
end
if (VAR49 == 1'b1) begin
VAR9 <= 1'b1;
end else if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h1)) begin
VAR9 <= VAR9 & ~VAR20[1];
end
if (VAR55 == 1'b1) begin
VAR83 <= 1'b1;
end else if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h1)) begin
VAR83 <= VAR83 & ~VAR20[0];
end
end
end
generate
if (VAR15 == 1) begin
always @(posedge VAR53) begin
VAR64 <= 'd0;
VAR85 <= 'd0;
end
end else begin
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR64 <= 'd0;
VAR85 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h4)) begin
VAR64 <= VAR20[31:16];
VAR85 <= VAR20[15:0];
end
end
end
end
endgenerate
generate
if (VAR42 == 1) begin
always @(posedge VAR53) begin
VAR61 <= 'd0;
VAR2 <= 'd0;
end
end else begin
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR61 <= 'd0;
VAR2 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h5)) begin
VAR61 <= VAR20[31:16];
VAR2 <= VAR20[15:0];
end
end
end
end
endgenerate
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR81 <= 'd0;
VAR70 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h6)) begin
VAR81 <= VAR20[19:16];
VAR70 <= VAR20[3:0];
end
end
end
generate
if (VAR73 == 1) begin
always @(posedge VAR53) begin
VAR23 <= 'd0;
VAR100 <= 'd0;
VAR58 <= 'd0;
VAR88 <= 'd0;
VAR21 <= 'd0;
VAR59 <= 'd0;
VAR32 <= 'd0;
end
end else begin
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR23 <= 'd0;
VAR100 <= 'd0;
VAR58 <= 'd0;
VAR88 <= 'd0;
VAR21 <= 'd0;
VAR59 <= 'd0;
VAR32 <= 'd0;
end else begin
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h8)) begin
VAR23 <= VAR20[25];
VAR100 <= VAR20[24];
VAR58 <= VAR20[23:16];
VAR88 <= VAR20[15:8];
VAR21 <= VAR20[7:0];
end
if ((VAR4 == 1'b1) && (VAR24[3:0] == 4'h9)) begin
VAR59 <= VAR20[31:16];
VAR32 <= VAR20[15:0];
end
end
end
end
endgenerate
assign VAR98 = VAR7;
assign VAR87 = VAR97;
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR7 <= 'd0;
VAR97 <= 'd0;
end else begin
VAR7 <= VAR78;
if (VAR78 == 1'b1) begin
case (VAR1[3:0])
4'h0: VAR97 <= { 20'd0, VAR67, VAR22,
VAR66, VAR12,
1'd0, VAR11, VAR50, VAR39,
2'd0, VAR13, VAR26};
4'h1: VAR97 <= { 29'd0, VAR54, VAR9, VAR83};
4'h4: VAR97 <= { VAR64, VAR85};
4'h5: VAR97 <= { VAR61, VAR2};
4'h6: VAR97 <= { 12'd0, VAR81, 12'd0, VAR70};
4'h8: VAR97 <= { 6'd0, VAR47, VAR29,
VAR99, VAR44,
VAR68};
4'h9: VAR97 <= { VAR89, VAR38};
default: VAR97 <= 0;
endcase
end else begin
VAR97 <= 32'd0;
end
end
end
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR90 <= 16'd0;
VAR19 <= 16'd0;
end else begin
VAR90 <= VAR102(VAR61);
VAR19 <= VAR102(VAR2);
end
end
always @(posedge VAR53) begin
if (VAR75 == 0) begin
VAR25 <= 4'd0;
VAR80 <= 4'd0;
end else begin
case ({VAR13, VAR22})
2'b10: VAR25 <= 4'h1;
2'b01: VAR25 <= 4'h9;
default: VAR25 <= VAR81;
endcase
if (VAR67 == 1'b1) begin
VAR80 <= 4'h1;
end else begin
VAR80 <= VAR70;
end
end
end
VAR28 #(.VAR86(78)) VAR30 (
.VAR75 (VAR75),
.VAR53 (VAR53),
.VAR6 ({ VAR66,
VAR12,
VAR11,
VAR50,
VAR39,
VAR26,
VAR64,
VAR85,
VAR90,
VAR19,
VAR25,
VAR80}),
.VAR77 (),
.VAR37 (VAR33),
.VAR41 (VAR84),
.VAR10 ({ VAR63,
VAR35,
VAR91,
VAR45,
VAR72,
VAR79,
VAR18,
VAR95,
VAR27,
VAR34,
VAR56,
VAR82}));
VAR94 #(.VAR86(3)) VAR71 (
.VAR75 (VAR75),
.VAR53 (VAR53),
.VAR43 ({VAR48,
VAR49,
VAR55}),
.VAR37 (VAR33),
.VAR41 (VAR84),
.VAR40 ({ VAR69,
VAR92,
VAR31}));
endmodule | mit |
asicguy/gplgpu | hdl/altera_ddr3/alt_ddrx_cmd_queue.v | 37,635 | module MODULE1
VAR14 = 5,
VAR10 = 2,
VAR93 = 2,
VAR73 = 4,
VAR69 = 4,
VAR122 = 16,
VAR85 = 12,
VAR83 = 3,
VAR123 = 2,
VAR11 = 33,
VAR23 = 4,
VAR6 = 1,
VAR43 = 8,
VAR138 = 12,
VAR18 = 2,
VAR16 = 1
)
(
VAR63 ,
VAR44 ,
VAR12 ,
VAR30 ,
VAR1 ,
VAR62 ,
VAR99 ,
VAR132 ,
VAR71 ,
VAR37 ,
VAR127 ,
VAR59,
VAR29,
VAR54,
VAR2,
VAR56,
VAR115,
VAR97,
VAR125,
VAR91,
VAR57,
VAR129,
VAR94,
VAR114,
VAR105,
VAR143,
VAR61,
VAR40,
VAR26,
VAR48,
VAR55,
VAR100,
VAR34,
VAR107,
VAR86,
VAR118,
VAR74,
VAR66,
VAR17,
VAR87,
VAR102,
VAR76,
VAR111,
VAR8,
VAR112,
VAR25,
VAR45,
VAR141,
VAR24,
VAR70,
VAR113,
VAR109,
VAR106,
VAR67,
VAR80,
VAR142,
VAR64,
VAR110,
VAR60,
VAR22,
VAR38,
VAR95,
VAR130,
VAR117,
VAR133,
VAR50,
VAR81,
VAR79,
VAR9,
VAR82,
VAR7,
VAR124,
VAR31,
VAR101
);
localparam VAR119 = 2;
localparam VAR139 = 1 + 1 + 1 + 1 + VAR119 + VAR123 + VAR83 + VAR122 + VAR85;
localparam VAR96 = 1 + 1 + 1 + VAR123 + VAR83 + VAR122 + VAR85;
localparam VAR108 = VAR85;
localparam VAR98 = VAR122;
localparam VAR21 = VAR83;
localparam VAR36 = VAR123;
input VAR63 ; input VAR44 ;
input VAR12 ;
input VAR30 ;
input [VAR119-1:0] VAR1 ;
input VAR62 ;
input VAR99 ;
input [VAR123-1:0] VAR132 ;
input [VAR122-1:0] VAR37 ;
input [VAR83-1:0] VAR71 ;
input [VAR85-1:0] VAR127 ;
output VAR29 ;
output VAR54 ;
output VAR2 ;
input VAR59;
output VAR114;
output VAR56;
output VAR115;
output VAR97;
output [VAR119-1:0] VAR125;
output [VAR123-1:0] VAR91;
output [VAR122-1:0] VAR57;
output [VAR83-1:0] VAR129;
output [VAR85-1:0] VAR94;
output VAR105;
output VAR55;
output VAR143;
output VAR61;
output [VAR123-1:0] VAR40;
output [VAR122-1:0] VAR26;
output [VAR83-1:0] VAR48;
output VAR100;
output VAR66;
output VAR34;
output VAR107;
output [VAR123-1:0] VAR86;
output [VAR122-1:0] VAR118;
output [VAR83-1:0] VAR74;
output VAR17;
output VAR112;
output VAR87;
output VAR102;
output [VAR123-1:0] VAR76;
output [VAR122-1:0] VAR111;
output [VAR83-1:0] VAR8;
output VAR25;
output VAR45;
output VAR141;
output VAR24;
output [VAR123-1:0] VAR70;
output [VAR122-1:0] VAR113;
output [VAR83-1:0] VAR109;
output VAR106;
output VAR67;
output VAR80;
output VAR142;
output [VAR123-1:0] VAR64;
output [VAR122-1:0] VAR110;
output [VAR83-1:0] VAR60;
output VAR22;
output VAR38;
output VAR95;
output VAR130;
output [VAR123-1:0] VAR117;
output [VAR122-1:0] VAR133;
output [VAR83-1:0] VAR50;
output VAR81;
output VAR79;
output VAR9;
output VAR82;
output [VAR123-1:0] VAR7;
output [VAR122-1:0] VAR124;
output [VAR83-1:0] VAR31;
output VAR101;
integer VAR90;
integer VAR39;
integer VAR104;
integer VAR77;
reg [VAR139-1:0] VAR58[VAR73-1:0];
reg VAR46[VAR73-1:0];
reg [VAR119-1:0] VAR89;
reg VAR49;
reg VAR15;
reg VAR52;
reg [VAR123-1:0] VAR51;
reg [VAR122-1:0] VAR42;
reg [VAR83-1:0] VAR19;
reg [VAR85-1:0] VAR131;
reg [VAR119-1:0] VAR68;
reg VAR84;
reg VAR28;
reg VAR103;
reg [VAR123-1:0] VAR92;
reg [VAR122-1:0] VAR53;
reg [VAR83-1:0] VAR75;
reg [VAR85-1:0] VAR13;
wire [VAR123-1:0] VAR116 ;
wire [VAR122-1:0] VAR134 ;
wire [VAR83-1:0] VAR137;
wire [VAR85-1:0] VAR136 ;
wire VAR12 ;
wire VAR30 ;
wire VAR62 ;
wire VAR99;
wire VAR3;
wire [VAR119-1:0] VAR1;
wire VAR59;
wire [VAR139-1:0] VAR35;
wire VAR140;
wire [VAR123-1:0] VAR78 [VAR73-1:0];
wire [VAR122-1:0] VAR4 [VAR73-1:0];
wire [VAR83-1:0] VAR135 [VAR73-1:0];
wire [VAR85-1:0] VAR65 [VAR73-1:0];
wire VAR41 [VAR73-1:0];
wire VAR20 [VAR73-1:0];
wire VAR120 [VAR73-1:0];
wire VAR47 [VAR73-1:0];
wire [VAR119-1:0] VAR33 [VAR73-1:0];
reg [VAR72(VAR73)-1:0] VAR126;
reg [VAR72(VAR73)-1:0] VAR128;
reg [VAR72(VAR73)-1:0] VAR5;
wire VAR32;
wire VAR2;
wire VAR114;
wire VAR56;
wire VAR115;
wire VAR97;
wire [VAR119-1:0] VAR125;
wire [VAR123-1:0] VAR91;
wire [VAR122-1:0] VAR57;
wire [VAR83-1:0] VAR129;
wire [VAR85-1:0] VAR94;
wire VAR105;
wire VAR55;
wire VAR143;
wire VAR61;
wire [VAR123-1:0] VAR40;
wire [VAR122-1:0] VAR26;
wire [VAR83-1:0] VAR48;
wire VAR100;
wire VAR66;
wire VAR34;
wire VAR107;
wire [VAR123-1:0] VAR86;
wire [VAR122-1:0] VAR118;
wire [VAR83-1:0] VAR74;
wire VAR17;
wire VAR112;
wire VAR87;
wire VAR102;
wire [VAR123-1:0] VAR76;
wire [VAR122-1:0] VAR111;
wire [VAR83-1:0] VAR8;
wire VAR25;
wire VAR45;
wire VAR141;
wire VAR24;
wire [VAR123-1:0] VAR70;
wire [VAR122-1:0] VAR113;
wire [VAR83-1:0] VAR109;
wire VAR106;
wire VAR67;
wire VAR80;
wire VAR142;
wire [VAR123-1:0] VAR64;
wire [VAR122-1:0] VAR110;
wire [VAR83-1:0] VAR60;
wire VAR22;
wire VAR38;
wire VAR95;
wire VAR130;
wire [VAR123-1:0] VAR117;
wire [VAR122-1:0] VAR133;
wire [VAR83-1:0] VAR50;
wire VAR81;
wire VAR79;
wire VAR9;
wire VAR82;
wire [VAR123-1:0] VAR7;
wire [VAR122-1:0] VAR124;
wire [VAR83-1:0] VAR31;
wire VAR101;
genvar VAR121;
assign VAR116 = VAR132;
assign VAR137 = VAR71;
assign VAR134 = VAR37;
assign VAR136 = VAR127;
assign VAR3 = VAR99 & VAR30;
assign VAR35 = {VAR12,VAR30,VAR3,VAR62,VAR1,VAR116,VAR134,VAR137,VAR136};
generate begin
for(VAR121=0; VAR121<VAR73; VAR121=VAR121+1) begin : VAR88
assign VAR41[VAR121] = VAR58[VAR121][VAR139-1];
assign VAR20[VAR121] = VAR58[VAR121][VAR139-2];
assign VAR47[VAR121] = VAR58[VAR121][VAR139-3];
assign VAR120[VAR121] = VAR58[VAR121][VAR139-4];
assign VAR33[VAR121] = VAR58[VAR121][VAR119 + VAR123 + VAR122 + VAR83 + VAR85 - 1 : VAR123 + VAR122 + VAR83 + VAR85];
assign VAR78[VAR121] = VAR58[VAR121][VAR123 + VAR122 + VAR83 + VAR85 - 1 : VAR122 + VAR83 + VAR85];
assign VAR4[VAR121] = VAR58[VAR121][VAR122 + VAR83 + VAR85 - 1 : VAR83 + VAR85];
assign VAR135[VAR121] = VAR58[VAR121][VAR83 + VAR85 - 1 : VAR85];
assign VAR65[VAR121] = VAR58[VAR121][VAR85 - 1 : 0];
end
end
endgenerate
assign VAR114 = VAR46[0];
assign VAR56 = VAR41 [0];
assign VAR115 = VAR20 [0];
assign VAR97 = VAR120[0];
assign VAR125 = VAR33 [0];
assign VAR91 = VAR78 [0];
assign VAR57 = VAR4 [0];
assign VAR129 = VAR135 [0];
assign VAR94 = VAR65 [0];
assign VAR105 = VAR47 [0];
generate
if (VAR69 > 0)
begin
assign VAR55 = VAR46[1];
assign VAR143 = VAR41 [1];
assign VAR61 = VAR20 [1];
assign VAR40 = VAR78 [1];
assign VAR26 = VAR4 [1];
assign VAR48 = VAR135 [1];
assign VAR100 = VAR47 [1];
end
else
begin
assign VAR55 = 0;
assign VAR143 = 0;
assign VAR61 = 0;
assign VAR40 = 0;
assign VAR26 = 0;
assign VAR48 = 0;
assign VAR100 = 0;
end
endgenerate
generate
if (VAR69 > 2)
begin
assign VAR66 = VAR46[2];
assign VAR34 = VAR41 [2];
assign VAR107 = VAR20 [2];
assign VAR86 = VAR78 [2];
assign VAR118 = VAR4 [2];
assign VAR74 = VAR135 [2];
assign VAR17 = VAR47 [2];
assign VAR112 = VAR46[3];
assign VAR87 = VAR41 [3];
assign VAR102 = VAR20 [3];
assign VAR76 = VAR78 [3];
assign VAR111 = VAR4 [3];
assign VAR8 = VAR135 [3];
assign VAR25 = VAR47 [3];
end
else
begin
assign VAR66 = 0;
assign VAR34 = 0;
assign VAR107 = 0;
assign VAR86 = 0;
assign VAR118 = 0;
assign VAR74 = 0;
assign VAR17 = 0;
assign VAR112 = 0;
assign VAR87 = 0;
assign VAR102 = 0;
assign VAR76 = 0;
assign VAR111 = 0;
assign VAR8 = 0;
assign VAR25 = 0;
end
endgenerate
generate
if (VAR69 > 4)
begin
assign VAR24 = VAR46[4];
assign VAR45 = VAR41 [4];
assign VAR141 = VAR20[4];
assign VAR70 = VAR78[4];
assign VAR113 = VAR4 [4];
assign VAR109 = VAR135[4];
assign VAR106 = VAR47 [4];
assign VAR142 = VAR46[5];
assign VAR67 = VAR41 [5];
assign VAR80 = VAR20[5];
assign VAR64 = VAR78[5];
assign VAR110 = VAR4 [5];
assign VAR60 = VAR135[5];
assign VAR22 = VAR47 [5];
end
else
begin
assign VAR24 = 0;
assign VAR45 = 0;
assign VAR141 = 0;
assign VAR70 = 0;
assign VAR113 = 0;
assign VAR109 = 0;
assign VAR106 = 0;
assign VAR142 = 0;
assign VAR67 = 0;
assign VAR80 = 0;
assign VAR64 = 0;
assign VAR110 = 0;
assign VAR60 = 0;
assign VAR22 = 0;
end
endgenerate
generate
if (VAR69 > 6)
begin
assign VAR130 = VAR46[6];
assign VAR38 = VAR41 [6];
assign VAR95 = VAR20[6];
assign VAR117 = VAR78[6];
assign VAR133 = VAR4 [6];
assign VAR50 = VAR135[6];
assign VAR81 = VAR47 [6];
assign VAR82 = VAR46[7];
assign VAR79 = VAR41 [7];
assign VAR9 = VAR20[7];
assign VAR7 = VAR78[7];
assign VAR124 = VAR4 [7];
assign VAR31 = VAR135[7];
assign VAR101 = VAR47 [7];
end
else
begin
assign VAR130 = 0;
assign VAR38 = 0;
assign VAR95 = 0;
assign VAR117 = 0;
assign VAR133 = 0;
assign VAR50 = 0;
assign VAR81 = 0;
assign VAR82 = 0;
assign VAR79 = 0;
assign VAR9 = 0;
assign VAR7 = 0;
assign VAR124 = 0;
assign VAR31 = 0;
assign VAR101 = 0;
end
endgenerate
always @(posedge VAR63 or negedge VAR44) begin
if (!VAR44) begin
VAR49 <= 1'b0;
VAR15 <= 1'b0;
VAR51 <= {VAR123{1'b0}};
VAR42 <= {VAR122{1'b0}};
VAR19 <= {VAR83{1'b0}};
VAR131 <= {VAR85{1'b0}};
VAR89 <= {VAR119{1'b0}};
VAR52 <= 1'b0;
end
else if (VAR140) begin
VAR49 <= VAR12;
VAR15 <= VAR30;
VAR52 <= VAR3;
VAR51 <= VAR116;
VAR19 <= VAR137;
VAR42 <= VAR134;
VAR131 <= VAR136;
VAR89 <= VAR1;
end
else if (VAR32)
VAR89 <= 2;
end
always @(posedge VAR63 or negedge VAR44) begin
if (!VAR44) begin
VAR84 <= 1'b0;
VAR28 <= 1'b0;
VAR92 <= {VAR123{1'b0}};
VAR53 <= {VAR122{1'b0}};
VAR75 <= {VAR83{1'b0}};
VAR13 <= {VAR85{1'b0}};
VAR68 <= {VAR119{1'b0}};
VAR103 <= 1'b0;
end
else if (VAR140) begin
VAR84 <= VAR49;
VAR28 <= VAR15;
VAR103 <= VAR52;
VAR92 <= VAR51;
VAR75 <= VAR19;
VAR53 <= VAR42;
VAR13 <= VAR131;
VAR68 <= VAR89;
end
end
always @(posedge VAR63 or negedge VAR44) begin
if (!VAR44) begin
VAR126 <= 0;
VAR128 <= 0;
VAR5 <= 0;
end
else
if (VAR59) begin if (VAR32 && VAR126 != 1)
begin
if (VAR140)
begin
VAR126 <= VAR126 - 1;
VAR128 <= VAR126 - 2;
VAR5 <= VAR126 - 3;
end
else
begin
VAR126 <= VAR126 - 2;
VAR128 <= VAR126 - 3;
VAR5 <= VAR126 - 4;
end
end
else
begin
if (VAR140) begin
end
else if (VAR126 != 0)
begin
VAR126 <= VAR126 - 1;
VAR128 <= VAR126 - 2;
VAR5 <= VAR126 - 3;
end
end
end
else if (VAR140) begin if (VAR32)
begin
end
else
if (!VAR29)
begin
VAR126 <= VAR126 + 1;
VAR128 <= VAR126;
VAR5 <= VAR126 - 1;
end
end
else if (VAR32)
begin
VAR126 <= VAR126 - 1;
VAR128 <= VAR126 - 2;
VAR5 <= VAR126 - 3;
end
end
assign VAR32 = (VAR6 == 1) ?
VAR126 != 0
& VAR46[VAR126]
& VAR84 == VAR49
& VAR28 == VAR15
& VAR103 == VAR52
& VAR92 == VAR51
& VAR75 == VAR19
& VAR53 == VAR42
& ((VAR23 == 2) ? (VAR13[VAR85-1 : 2] == VAR131[VAR85-1 : 2]) : (VAR13[VAR85-1 : 3] == VAR131[VAR85-1 : 3]) )
& ((VAR23 == 2) ? (VAR13[1] == 0 & VAR131[1] == 1) : (VAR13[2] == 0 & VAR131[2] == 1) )
& VAR68 == 1 & VAR89 == 1
:
1'b0;
assign VAR140 = (VAR12) | (VAR30);
assign VAR2 = (VAR12) | (VAR30);
assign VAR29 = !VAR46[0];
assign VAR54 = VAR46[VAR73-1];
always @(posedge VAR63 or negedge VAR44) begin
if (!VAR44) begin
for(VAR39=0; VAR39<VAR73; VAR39=VAR39+1) begin
VAR46[VAR39] <= 1'b0;
VAR58[VAR39] <= 0;
end
end
else
if (VAR59) begin if (VAR32 && VAR126 != 1)
begin
for(VAR39=0; VAR39<VAR73-1; VAR39=VAR39+1) begin
if(VAR46[VAR39] == 1'b1 & VAR46[VAR39+1] == 1'b0) begin
VAR46[VAR39] <= 1'b0;
end
else if (VAR39 == VAR128) begin
VAR46[VAR39] <= VAR140;
VAR58[VAR39] <= VAR35;
end
else if (VAR39 == VAR5) begin
VAR58[VAR39] <= {VAR58[VAR39+1][VAR139-1:VAR139-4],2'd2,VAR58[VAR39+1][VAR139-7:0]};
end
else begin
VAR46[VAR39] <= VAR46[VAR39+1];
VAR58[VAR39] <= VAR58[VAR39+1];
end
end
VAR46[VAR73-1] <= 1'b0;
VAR58[VAR73-1] <= VAR58[VAR73-1] & VAR35;
end
else
begin
for(VAR39=0; VAR39<VAR73-1; VAR39=VAR39+1) begin
if(VAR46[VAR39] == 1'b1 & VAR46[VAR39+1] == 1'b0) begin
VAR46[VAR39] <= VAR140;
VAR58[VAR39] <= VAR35;
end
else begin
VAR46[VAR39] <= VAR46[VAR39+1];
VAR58[VAR39] <= VAR58[VAR39+1];
end
end
VAR46[VAR73-1] <= VAR46[VAR73-1] & VAR140;
VAR58[VAR73-1] <= VAR58[VAR73-1] & VAR35;
end
end
else if (VAR140) begin if (VAR32)
begin
VAR58[VAR126] <= VAR35;
VAR58[VAR128][VAR119 + VAR123 + VAR122 + VAR83 + VAR85 - 1 : VAR123 + VAR122 + VAR83 + VAR85] <= 2;
end
else
begin
for(VAR39=1; VAR39<VAR73; VAR39=VAR39+1) begin
if(VAR46[VAR39-1] == 1'b1 & VAR46[VAR39] == 1'b0) begin
VAR46[VAR39] <= 1'b1;
VAR58[VAR39] <= VAR35;
end
end
if(VAR46[0] == 1'b0) begin
VAR46[0] <= 1'b1;
VAR58[0] <= VAR35;
end
end
end
else if (VAR32)
begin
for(VAR39=0; VAR39<VAR73-1; VAR39=VAR39+1) begin
if(VAR46[VAR39] == 1'b1 & VAR46[VAR39+1] == 1'b0)
VAR46[VAR39] <= 1'b0;
end
else
VAR46[VAR39] <= VAR46[VAR39+1];
end
VAR46[VAR73-1] <= 1'b0;
VAR58[VAR128][VAR119 + VAR123 + VAR122 + VAR83 + VAR85 - 1 : VAR123 + VAR122 + VAR83 + VAR85] <= 2;
end
end
function integer VAR72; input integer VAR27;
begin
for (VAR72=0; VAR27>0; VAR72=VAR72+1)
VAR27 = VAR27>>1;
VAR72 = VAR72 - 1;
end
endfunction
endmodule | gpl-3.0 |
The-OpenROAD-Project/asap7 | asap7sc6t_26/Verilog/asap7sc6t_OA_LVT_FF_210930.v | 242,182 | module MODULE1 (VAR2, VAR6, VAR4, VAR7, VAR10, VAR11);
output VAR2;
input VAR6, VAR4, VAR7, VAR10, VAR11;
wire VAR12, VAR1, VAR5;
wire VAR13, VAR8, VAR9;
wire VAR3;
not (VAR8, VAR11);
not (VAR13, VAR10);
not (VAR5, VAR7);
and (VAR9, VAR5, VAR13);
not (VAR1, VAR4);
not (VAR12, VAR6);
and (VAR3, VAR12, VAR1, VAR13);
or (VAR2, VAR3, VAR9, VAR8); | bsd-3-clause |
TalentlessAlpaca/Automated_Vacuum_Cleaner | j1_soc/hdl/Ultrasonico/peripheral.v | 1,467 | module MODULE1(
input clk,
input rst,
input [15:0] din, input VAR3, input [3:0] addr, input rd, input wr, input VAR1,
output reg [15:0] dout );
reg [1:0] VAR6;
wire VAR2 = ~rst ;
wire [15:0] VAR4 ;
wire VAR7 ;
always @(*) begin case (addr)
4'h0: VAR6 = (VAR3 && rd) ? 2'b01 : 2'b00 ; 4'h2: VAR6 = (VAR3 && rd) ? 2'b10 : 2'b00 ; default: VAR6 = 2'b00 ;
endcase
end
always @(negedge clk) begin case (VAR6)
2'b01: dout[0] = VAR7 ;
2'b10: dout = VAR4[15:0] ;
default: dout = 0 ;
endcase
end
VAR8 VAR5 ( .clk(clk), .VAR2(VAR2), .VAR1(VAR1) , .VAR4(VAR4) , .VAR7(VAR7) );
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o32ai/sky130_fd_sc_ls__o32ai.behavioral.v | 1,643 | module MODULE1 (
VAR15 ,
VAR16,
VAR8,
VAR6,
VAR17,
VAR12
);
output VAR15 ;
input VAR16;
input VAR8;
input VAR6;
input VAR17;
input VAR12;
supply1 VAR13;
supply0 VAR10;
supply1 VAR1 ;
supply0 VAR3 ;
wire VAR2 ;
wire VAR5 ;
wire VAR7;
nor VAR4 (VAR2 , VAR6, VAR16, VAR8 );
nor VAR14 (VAR5 , VAR17, VAR12 );
or VAR9 (VAR7, VAR5, VAR2);
buf VAR11 (VAR15 , VAR7 );
endmodule | apache-2.0 |
ffu/DSA-3.2.2 | usrp/fpga/sdr_lib/rx_chain.v | 3,224 | module MODULE1
(input VAR16,
input reset,
input enable,
input wire [7:0] VAR39,
input VAR43,
input VAR31,
output wire VAR27,
input [6:0] VAR18, input [31:0] VAR6, input VAR1,
input wire [15:0] VAR10,
input wire [15:0] VAR23,
output wire [15:0] VAR13,
output wire [15:0] VAR7,
output wire [15:0] VAR30,output wire [15:0] VAR28
);
parameter VAR14 = 0;
parameter VAR21 = 0;
wire [31:0] VAR32;
wire [15:0] VAR9, VAR40;
wire [15:0] VAR25, VAR15;
assign VAR30 = VAR25;
VAR24 #(VAR14,VAR21,32) VAR45
(.clk(VAR16),.reset(reset),.enable(enable),
.VAR18(VAR18),.VAR6(VAR6),.VAR1(VAR1),
.VAR29(VAR43),.VAR32(VAR32) );
VAR22 VAR26
( .VAR16(VAR16),.reset(reset),.enable(enable),
.VAR38(VAR10),.VAR33(VAR23),.VAR44(VAR32[31:16]),
.VAR36(VAR9),.VAR3(VAR40),.VAR41() );
assign VAR9 = VAR10;
assign VAR40 = VAR23;
assign VAR43 = 1;
VAR2 VAR20
( .VAR16(VAR16),.reset(reset),.enable(enable),
.VAR5(VAR39),.VAR11(VAR43),.VAR35(VAR31),
.VAR37(VAR9),.VAR12(VAR25) );
assign VAR25 = VAR9;
assign VAR31 = VAR43;
VAR42 VAR34
( .VAR16(VAR16),.reset(reset),.enable(enable),
.VAR11(VAR31),.VAR35(VAR27),
.VAR8(VAR25),.VAR19(VAR13),.VAR28(VAR28) );
assign VAR13 = VAR25;
assign VAR27 = VAR31;
VAR2 VAR4
( .VAR16(VAR16),.reset(reset),.enable(enable),
.VAR5(VAR39),.VAR11(VAR43),.VAR35(VAR31),
.VAR37(VAR40),.VAR12(VAR15) );
assign VAR15 = VAR40;
VAR42 VAR17
( .VAR16(VAR16),.reset(reset),.enable(enable),
.VAR11(VAR31),.VAR35(),
.VAR8(VAR15),.VAR19(VAR7) );
assign VAR7 = VAR15;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sdfrtp/sky130_fd_sc_lp__sdfrtp_2.v | 2,583 | module MODULE2 (
VAR11 ,
VAR12 ,
VAR4 ,
VAR2 ,
VAR8 ,
VAR9,
VAR1 ,
VAR7 ,
VAR5 ,
VAR10
);
output VAR11 ;
input VAR12 ;
input VAR4 ;
input VAR2 ;
input VAR8 ;
input VAR9;
input VAR1 ;
input VAR7 ;
input VAR5 ;
input VAR10 ;
VAR6 VAR3 (
.VAR11(VAR11),
.VAR12(VAR12),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR11 ,
VAR12 ,
VAR4 ,
VAR2 ,
VAR8 ,
VAR9
);
output VAR11 ;
input VAR12 ;
input VAR4 ;
input VAR2 ;
input VAR8 ;
input VAR9;
supply1 VAR1;
supply0 VAR7;
supply1 VAR5 ;
supply0 VAR10 ;
VAR6 VAR3 (
.VAR11(VAR11),
.VAR12(VAR12),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule | apache-2.0 |
zhaishaomin/ring_network-based-multicore- | mem/memory_fsm.v | 36,658 | module MODULE1( clk,
rst,
VAR96,
VAR112,
VAR49,
VAR111,
VAR80,
VAR87,
VAR48,
VAR72,
VAR88,
VAR70,
VAR51,
VAR120,
VAR43,
VAR75,
VAR128,
VAR22,
VAR92,
VAR81,
VAR69,
VAR23,
VAR16,
VAR114,
VAR66,
VAR54,
VAR126,
VAR118,
VAR40,
VAR113,
VAR83,
VAR11,
VAR94,
VAR102,
VAR63,
VAR12,
VAR109,
VAR108,
VAR117,
VAR116,
VAR8,
VAR24,
VAR53,
VAR18,
VAR27,
VAR122,
VAR41
);
parameter VAR38=4'b0001;
parameter VAR42=4'b0010;
parameter VAR100=4'b0011;
parameter VAR127=4'b0100;
parameter VAR1=4'b0101;
parameter VAR79=4'b0110;
parameter VAR39=4'b0111;
parameter VAR7=4'b1000;
parameter VAR74=4'b1001;
parameter VAR19=4'b1010;
parameter VAR29=2'b00;
parameter VAR30=5'b00000;
parameter VAR6=5'b00001;
parameter VAR36=5'b00010;
parameter VAR99=5'b00110;
parameter VAR97=5'b00011;
parameter VAR77=5'b00100;
parameter VAR60=5'b00101;
parameter VAR62=5'b00110;
parameter VAR46=5'b10000;
parameter VAR90=5'b10001;
parameter VAR26=5'b10010;
parameter VAR95=5'b10011;
parameter VAR91=5'b11000;
parameter VAR82=5'b11001;
parameter VAR9=5'b11010;
parameter VAR78=5'b11100;
parameter VAR59=5'b10100;
parameter VAR76=5'b11011;
parameter VAR67=5'b10101;
parameter VAR10=5'b10110;
parameter VAR13=5'b10111;
parameter VAR107=2'b00;
parameter VAR50=1'b0;
parameter VAR2=1'b0;
parameter VAR68=1'b0;
input clk;
input rst;
input [1:0] VAR111;
input VAR49;
input VAR96;
input VAR112;
input [5:0] VAR80;
input [127:0] VAR87;
input VAR48;
input VAR72;
input [15:0] VAR88;
input [31:0] VAR70;
input [127:0] VAR51;
input VAR120;
input [31:0] VAR43;
input VAR75;
input [15:0] VAR128;
input [31:0] VAR22;
input [127:0] VAR92;
output [127:0] VAR81;
output [5:0] VAR69;
output [31:0] VAR23;
output VAR16;
output VAR114;
output VAR66;
output VAR54;
output VAR126;
output VAR118;
output [15:0] VAR40;
output [31:0] VAR113;
output [127:0] VAR83;
output VAR11;
output [127:0] VAR94;
output VAR102;
output [3:0] VAR63;
output [3:0] VAR12;
output VAR109;
output VAR108;
output [15:0] VAR117;
output [31:0] VAR116;
output [3:0] VAR8;
output VAR24;
output VAR53;
output [15:0] VAR18;
output [31:0] VAR27;
output [127:0] VAR122;
output VAR41;
wire [5:0] VAR31;
assign VAR31=VAR80;
reg [15:0] VAR71;
reg [15:0] VAR124;
wire [15:0] VAR34;
wire [15:0] VAR89;
reg VAR114;
reg VAR41;
reg VAR54;
reg [1:0] VAR5;
reg [1:0] VAR28;
reg VAR64;
reg VAR35;
reg VAR84;
reg [5:0] VAR45;
reg VAR86;
reg [3:0] VAR25;
reg [3:0] VAR44;
reg VAR20;
reg [3:0] VAR110;
reg [3:0] VAR115;
reg VAR33;
reg VAR101;
reg VAR102;
reg [3:0] VAR63;
reg [3:0] VAR4;
reg [3:0] VAR105;
reg VAR73;
reg [175:0] VAR37;
reg VAR118;
reg VAR53;
reg VAR126;
reg VAR108;
reg VAR11;
reg VAR65;
reg [15:0] VAR123;
reg VAR3;
reg [15:0] VAR104;
reg [3:0] VAR8;
reg VAR24;
reg [3:0] VAR12;
reg VAR109;
reg VAR32;
reg VAR61;
reg VAR106;
reg VAR15;
reg VAR93;
reg [4:0] VAR55;
reg VAR125;
reg VAR47;
reg VAR119;
reg VAR121;
parameter VAR57=2'b00;
parameter VAR98=2'b01;
parameter VAR58=2'b10;
parameter VAR56=2'b11;
reg [1:0] VAR52;
reg [1:0] VAR14;
wire [15:0] VAR17;
wire [31:0] VAR21;
wire [127:0] VAR103;
wire [127:0] VAR85;
assign VAR85=VAR87;
assign VAR17=VAR5?VAR128:VAR88;
assign VAR21=VAR5?VAR22:VAR70;
assign VAR23=VAR21;
always@(*)
begin
VAR41=1'b0;
VAR114=1'b0;
VAR54=1'b0;
VAR55=5'b00000;
VAR15=1'b0;
VAR93=1'b0;
VAR5=1'b0;
VAR28=1'b0;
VAR52=VAR14;
VAR84=1'b0;
VAR45=6'b000000;
VAR86=1'b0;
VAR25=4'b0000;
VAR20=1'b0;
VAR110=4'b0000;
VAR33=1'b0;
VAR101=1'b0;
VAR102=1'b0;
VAR63=4'b0000;
VAR4=4'b0000;
VAR105=4'b0000;
VAR73=1'b0;
VAR37=176'h0000;
VAR118=1'b0;
VAR53=1'b0;
VAR126=1'b0;
VAR108=1'b0;
VAR11=1'b0;
VAR32=1'b0;
VAR61=1'b0;
VAR65=1'b0;
VAR123 =16'h0000;
VAR3=1'b0;
VAR104=16'h0000;
VAR12=4'b0000;
VAR109=1'b0;
VAR8=4'b0000;
VAR24=1'b0;
VAR106=1'b0;
VAR125=1'b0;
VAR47=1'b0;
VAR119=1'b0;
VAR121=1'b0;
case(VAR14)
VAR57:
begin
if(VAR48==1'b1||VAR72==1'b1)
begin
VAR5=1'b0;
VAR28=1'b0;
VAR52=VAR98;
VAR32=1'b0;
VAR61=1'b0;
VAR65=1'b1;
VAR3=1'b1;
end
else if(VAR75==1'b1)
begin
VAR5=1'b1;
VAR28=1'b1;
VAR52=VAR98;
VAR32=1'b0;
VAR61=1'b0;
VAR65=1'b1;
VAR3=1'b1;
end
end
VAR98:
begin
VAR114=1'b1;
case(VAR17[12:11])
2'b00:VAR84=VAR31[3:0]==4'b0001;
2'b01:VAR84=VAR31[3:0]==4'b0010;
2'b10:VAR84=VAR31[3:0]==4'b0100;
2'b11:VAR84=VAR31[3:0]==4'b1000;
endcase
case(VAR17[12:11])
2'b00:VAR106=VAR31[0];
2'b01:VAR106=VAR31[1];
2'b10:VAR106=VAR31[2];
2'b11:VAR106=VAR31[3];
endcase
if((VAR17[9:5]==VAR30||VAR17[9:5]==VAR13)&&VAR31[5:4]==2'b00&&VAR106==1'b0)
begin
if(VAR21[12:11]==VAR29)
VAR15=1'b0;
end
else
VAR15=1'b1;
VAR33=1'b1;
case(VAR17[12:11])
2'b00:VAR45={VAR31[5:1],1'b0};
2'b01:VAR45={VAR31[5:2],1'b0,VAR31[0]};
2'b10:VAR45={VAR31[5:3],1'b0,VAR31[1:0]};
2'b11:VAR45={VAR31[5:4],1'b0,VAR31[2:0]};
default:VAR45=VAR31;
endcase
VAR25=VAR38;
VAR86=1'b1;
VAR52=VAR58;
VAR61=1'b1;
VAR65=1'b1;
VAR123={VAR124[12:11],1'b0,VAR124[15:14],1'b1,VAR91,5'b00000};
end
if(VAR17[9:5]==VAR30&&VAR31[5]==1'b1)
begin
if(VAR21[12:11]==VAR29)
VAR15=1'b0;
end
else
VAR15=1'b1;
VAR25=VAR19;
VAR86=1'b1;
VAR52=VAR58;
VAR61=1'b1;
VAR65=1'b1;
VAR123={VAR124[12:11],1'b0,VAR124[15:14],1'b1,VAR67,5'b00000};
end
if(VAR17[9:5]==VAR30&&VAR31[5:4]==2'b01&&VAR106==1'b0)
begin
if(VAR21[12:11]==VAR29)
VAR93=1'b0;
end
else
VAR93=1'b1;
VAR33=1'b1;
VAR45={2'b11,VAR31[3:0]};
VAR20=1'b1;
VAR110=VAR42;
VAR32=1'b1;
VAR52=VAR58;
VAR3=1'b1;
VAR104={2'b00,1'b0,VAR71[15:14],1'b1,VAR97,VAR71[12:11],3'b000};
end
if((VAR17[9:5]==VAR6||VAR17[9:5]==VAR10||VAR17[9:5]==VAR36)&&VAR31[5:4]==2'b00&&(|VAR31[3:0]==1'b0||VAR84))
begin
if(VAR21[12:11]==VAR29)
VAR15=1'b0;
end
else
VAR15=1'b1;
VAR33=1'b1;
case(VAR17[12:11])
2'b00:VAR45=6'b100001;
2'b01:VAR45=6'b100010;
2'b10:VAR45=6'b100100;
2'b11:VAR45=6'b101000;
default:VAR45=6'b100001;
endcase
VAR25=VAR100;
VAR86=1'b1;
VAR101=1'b0;
VAR52=VAR56;
VAR61=1'b1;
VAR65=1'b1;
VAR123={VAR124[12:11],1'b0,VAR124[15:14],1'b1,VAR82,5'b00000};
end
if((VAR17[9:5]==VAR6||VAR17[9:5]==VAR36)&&VAR31[5]==1'b1)
begin
if(VAR21[12:11]==VAR29)
VAR15=1'b0;
end
else
VAR15=1'b1;
VAR25=VAR19;
VAR86=1'b1;
VAR101=1'b0;
VAR52=VAR56;
VAR61=1'b1;
VAR65=1'b1;
VAR123={VAR124[12:11],1'b0,VAR124[15:14],1'b1,VAR67,5'b00000};
end
if((VAR17[9:5]==VAR6||VAR17[9:5]==VAR36)&&VAR31[5:4]==2'b00&&!(|VAR31[3:0]==1'b0||VAR84))
begin
if(VAR106==1'b1)
begin
case(VAR17[12:11])
2'b00:VAR45={2'b10,VAR31[3:1],1'b0};
2'b01:VAR45={2'b10,VAR31[3:2],1'b0,VAR31[0]};
2'b10:VAR45={2'b10,VAR31[3],1'b0,VAR31[1:0]};
2'b11:VAR45={2'b10,1'b0,VAR31[2:0]};
default:VAR45={2'b10,VAR31[3:1],1'b0};
endcase
end
else
begin
VAR45={2'b10,VAR31[3:0]};
end
if(VAR17[9:5]==VAR6)
begin
VAR110=VAR1;
VAR55=VAR77;
end
else
begin
VAR110=VAR79;
VAR55=VAR62;
end
if(VAR21[12:11]==VAR29)
VAR93=1'b0;
end
else
VAR93=1'b1;
VAR33=1'b1;
VAR20=1'b1;
VAR101=1'b1;
VAR25=VAR127;
VAR86=1'b1;
VAR102=1'b1;
VAR63=VAR31[3:0];
VAR52=VAR56;
VAR32=1'b1;
VAR61=1'b1;
VAR65=1'b1;
VAR123={VAR124[12:11],1'b0,VAR124[15:14],1'b1,VAR82,1'b0,VAR31[3:0]};
VAR3=1'b1;
VAR104={2'b00,1'b0,VAR124[15:14],1'b1,VAR55,VAR124[12:11],3'b000};
end
if(VAR17[9:5]==VAR6&&VAR31[5:4]==2'b10&&VAR106==1'b0)
begin
if(VAR21[12:11]==VAR29)
VAR93=1'b0;
end
else
VAR93=1'b1;
VAR101=1'b0;
VAR33=1'b1;
VAR45={2'b11,VAR31[3:0]};
VAR20=1'b1;
VAR110=VAR39;
VAR52=VAR56;
VAR32=1'b1;
VAR3=1'b1;
VAR104={2'b00,1'b0,VAR71[15:14],1'b1,VAR60,VAR71[12:11],3'b000};
end
if(VAR17[9:5]==VAR36&&VAR31[5:4]==2'b10&&VAR106==1'b0)
begin
if(VAR21[12:11]==VAR29)
VAR15=1'b0;
end
else
VAR15=1'b1;
VAR101=1'b0;
VAR86=1'b1;
VAR25=VAR7;
VAR52=VAR56;
VAR61=1'b1;
VAR65=1'b1;
VAR123={VAR124[12:11],1'b0,VAR124[15:14],1'b1,VAR78,5'b00000};
end
if(VAR17[9:5]==VAR99)
begin
if(VAR21[12:11]==VAR29)
VAR15=1'b0;
end
else
VAR15=1'b1;
VAR86=1'b1;
VAR25=VAR74;
VAR52=VAR58;
VAR61=1'b1;
VAR65=1'b1;
VAR123={VAR124[12:11],1'b0,VAR124[15:14],1'b1,VAR59,5'b00000};
end
if(VAR17[9:5]==VAR76&&(VAR31[5:4]==2'b10))
begin
VAR119=1'b1;
VAR121=1'b1;
VAR52=VAR57;
VAR41=1'b1;
if(VAR31[3:0]!=VAR4)
begin
VAR33=1'b1;
case(VAR17[12:11])
2'b00:VAR45={2'b10,VAR31[3:1],1'b0};
2'b01:VAR45={2'b10,VAR31[3:2],1'b0,VAR31[0]};
2'b10:VAR45={2'b10,VAR31[3],1'b0,VAR31[1:0]};
2'b11:VAR45={2'b10,1'b0,VAR31[2:0]};
default:VAR45={2'b10,VAR31[3:1],1'b0};
endcase
end
else
begin
VAR45={2'b01,VAR105};
VAR33=1'b1;
end
case(VAR17[12:11])
2'b00:VAR4=4'b0001;
2'b01:VAR4=4'b0010;
2'b10:VAR4=4'b0100;
2'b11:VAR4=4'b1000;
default:VAR4=4'b0001;
endcase
case(VAR17[4:3])
2'b00:VAR105=4'b0001;
2'b01:VAR105=4'b0010;
2'b10:VAR105=4'b0100;
2'b11:VAR105=4'b1000;
default:VAR105=4'b0001;
endcase
end
if(VAR17[9:5]==VAR90&&(VAR31[5:4]==2'b00))
begin
VAR119=1'b1;
VAR121=1'b1;
VAR52=VAR57;
VAR41=1'b1;
VAR33=1'b1;
case(VAR17[12:11])
2'b00:VAR45={2'b00,VAR31[3:1],1'b0};
2'b01:VAR45={2'b00,VAR31[3:2],1'b0,VAR31[0]};
2'b10:VAR45={2'b00,VAR31[3],1'b0,VAR31[1:0]};
2'b11:VAR45={2'b00,1'b0,VAR31[2:0]};
default:VAR45={2'b00,VAR31[3:1],1'b0};
endcase
end
if(VAR17[9:5]==VAR46&&(VAR31[5:4]==2'b11))
begin
VAR119=1'b1;
VAR121=1'b1;
VAR52=VAR57;
VAR41=1'b1;
VAR33=1'b1;
VAR73=1'b1;
case(VAR17[12:11])
2'b00:VAR45={2'b00,VAR31[3:1],1'b1};
2'b01:VAR45={2'b00,VAR31[3:2],1'b1,VAR31[0]};
2'b10:VAR45={2'b00,VAR31[3],1'b1,VAR31[1:0]};
2'b11:VAR45={2'b00,1'b1,VAR31[2:0]};
default:VAR45={2'b00,VAR31[3:1],1'b1};
endcase
end
if(VAR17[9:5]==VAR95&&(VAR31[5:4]==2'b01))
begin
VAR119=1'b1;
VAR121=1'b1;
VAR52=VAR57;
VAR41=1'b1;
VAR33=1'b1;
VAR73=1'b1;
VAR45=6'b000000;
end
if(VAR17[9:5]==VAR26&&(VAR31[5:4]==2'b11))
begin
VAR119=1'b1;
VAR121=1'b1;
VAR52=VAR57;
VAR41=1'b1;
VAR33=1'b1;
case(VAR17[4:3])
2'b00:VAR45=6'b010001;
2'b01:VAR45=6'b010010;
2'b10:VAR45=6'b010100;
2'b11:VAR45=6'b011000;
default:VAR45=6'b010001;
endcase
end
end
VAR58:
begin
VAR54=1'b1;
if(VAR44==VAR38&&~VAR15&&VAR49==VAR50)
begin
VAR118=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR38&&VAR15&&VAR96==VAR2)
begin
VAR53=1'b1;
VAR8=4'b1000;
VAR24=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR19&&~VAR15&&VAR49==VAR50)
begin
VAR118=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR19&&VAR15&&VAR96==VAR2)
begin
VAR53=1'b1;
VAR8=4'b0000;
VAR24=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR115==VAR42&&~VAR93&&VAR49==VAR50)
begin
VAR126=1'b1;
VAR37={VAR124,VAR21,128'h0000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR115==VAR42&&VAR93&&VAR112==VAR68)
begin
VAR108=1'b1;
VAR12=4'b0010;
VAR109=1'b1;
VAR37={VAR71,VAR21,128'h0000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR74&&~VAR15&&VAR111==VAR107)
begin
VAR11=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR74&&VAR15&&VAR96==VAR2)
begin
VAR53=1'b1;
VAR8=4'b1000;
VAR24=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
end
VAR56:
begin
if(VAR101==1'b0)
begin
if(VAR44==VAR100&&~VAR15&&VAR49==VAR50)
begin
VAR118=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR100&&VAR15&&VAR96==VAR2)
begin
VAR53=1'b1;
VAR8=4'b1000;
VAR24=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR19&&~VAR15&&VAR49==VAR50)
begin
VAR118=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR19&&VAR15&&VAR96==VAR2)
begin
VAR53=1'b1;
VAR8=4'b0000;
VAR24=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR115==VAR39&&~VAR93&&VAR49==VAR50)
begin
VAR126=1'b1;
VAR37={VAR71,VAR21,128'h0000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR115==VAR39&&VAR93&&VAR112==VAR68)
begin
VAR108=1'b1;
VAR12=4'b0010;
VAR109=1'b1;
VAR37={VAR71,VAR21,128'h0000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR7&&~VAR15&&VAR49==VAR50)
begin
VAR118=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
if(VAR44==VAR7&&VAR15&&VAR96==VAR2)
begin
VAR53=1'b1;
VAR8=4'b0000;
VAR24=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
end
if(VAR101==1'b1)
begin
if(VAR44==VAR127&&~VAR15&&VAR49==VAR50)
begin
VAR118=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR47=1'b1;
end
if(VAR44==VAR127&&VAR15&&VAR96==VAR2)
begin
VAR53=1'b1;
VAR8=4'b1000;
VAR24=1'b1;
VAR37={VAR124,VAR85,32'h00000000};
VAR47=1'b1;
end
if((VAR115==VAR1||VAR115==VAR79)&&~VAR93&&VAR49==VAR50)
begin
VAR126=1'b1;
VAR37={VAR71,VAR21,128'h0000};
VAR125=1'b1;
end
if((VAR115==VAR1||VAR115==VAR79)&&VAR93&&VAR112==VAR68)
begin
VAR12=4'b0010;
VAR109=1'b1;
VAR108=1'b1;
VAR37={VAR71,VAR21,128'h0000};
VAR125=1'b1;
end
if(VAR35&&VAR64||VAR47&&VAR64||VAR35&&VAR125)
begin
VAR52=VAR57;
VAR41=1'b1;
VAR119=1'b1;
VAR121=1'b1;
end
end
end
endcase
end
always@(posedge clk)
begin
if(rst)
VAR14<=VAR57;
end
else
VAR14<=VAR52;
end
always@(posedge clk)
begin
if(rst)
VAR64<=1'b0;
end
else if(VAR125)
VAR64<=1'b1;
end
always@(posedge clk)
begin
if(rst)
VAR35<=1'b0;
end
else if(VAR47)
VAR35<=1'b1;
end
always@(posedge clk)
begin
if(rst||VAR119)
VAR44<=4'b0000;
end
else if(VAR86)
VAR44<=VAR25;
end
always@(posedge clk)
begin
if(rst||VAR121)
VAR115<=4'b0000;
end
else if(VAR20)
VAR115<=VAR110;
end
assign VAR34=VAR32?VAR104:VAR17;
assign VAR89=VAR61?VAR123:VAR17;
always@(posedge clk)
begin
if(rst)
VAR71<=16'h0000;
end
else if(VAR3)
VAR71<=VAR34;
end
always@(posedge clk)
begin
if(rst)
VAR124<=16'h0000;
end
else if(VAR65)
VAR124<=VAR89;
end
assign VAR103=VAR28?VAR92:VAR51;
wire [127:0] VAR81;
wire [5:0] VAR69;
assign VAR16=VAR33;
assign VAR66=VAR73;
assign VAR69=VAR45;
assign VAR81=VAR103;
assign {VAR40,VAR113,VAR83}=VAR37;
assign VAR94=VAR37[159:32];
assign {VAR18,VAR27,VAR122}=VAR37;
assign {VAR117,VAR116}=VAR37[175:128]; endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dfbbn/sky130_fd_sc_hs__dfbbn.pp.blackbox.v | 1,416 | module MODULE1 (
VAR4 ,
VAR1 ,
VAR3 ,
VAR6 ,
VAR5 ,
VAR2,
VAR7 ,
VAR8
);
output VAR4 ;
output VAR1 ;
input VAR3 ;
input VAR6 ;
input VAR5 ;
input VAR2;
input VAR7 ;
input VAR8 ;
endmodule | apache-2.0 |
ffu/DSA-3.2.2 | usrp/fpga/sdr_lib/cordic.v | 4,017 | module MODULE1(VAR1, reset, enable, VAR7, VAR34, VAR4, VAR67, VAR36, VAR29 );
parameter VAR65 = 16;
parameter VAR51 = 16;
input VAR1;
input reset;
input enable;
input [VAR65-1:0] VAR7, VAR34;
output [VAR65-1:0] VAR67, VAR36;
input [VAR51-1:0] VAR4;
output [VAR51-1:0] VAR29;
reg [VAR65+1:0] VAR30,VAR31;
reg [VAR51-2:0] VAR38;
wire [VAR65+1:0] VAR22,VAR70,VAR32,VAR9,VAR20,VAR42,VAR33,VAR69,VAR28,VAR64,VAR55,VAR73;
wire [VAR65+1:0] VAR8,VAR53,VAR62,VAR37,VAR47,VAR71,VAR60,VAR17,VAR46,VAR74,VAR50,VAR2;
wire [VAR51-2:0] VAR18,VAR75,VAR21,VAR39,VAR35,VAR44,VAR3,VAR23,VAR25,VAR52,VAR41,VAR59;
wire [VAR65+1:0] VAR15 = {{2{VAR7[VAR65-1]}},VAR7};
wire [VAR65+1:0] VAR26 = {{2{VAR34[VAR65-1]}},VAR34};
always @(posedge VAR1)
if(reset)
begin
VAR30 <= 0; VAR31 <= 0; VAR38 <= 0;
end
else if(enable)
begin
VAR38 <= VAR4[VAR51-2:0];
case (VAR4[VAR51-1:VAR51-2])
2'b00, 2'b11 :
begin
VAR30 <= VAR15;
VAR31 <= VAR26;
end
2'b01, 2'b10 :
begin
VAR30 <= -VAR15;
VAR31 <= -VAR26;
end
endcase end
VAR66 #(VAR65+2,VAR51-1,0) VAR57 (VAR1,reset,enable,VAR30,VAR31,VAR38,VAR11,VAR22,VAR8,VAR18);
VAR66 #(VAR65+2,VAR51-1,1) VAR61 (VAR1,reset,enable,VAR22,VAR8,VAR18,VAR54,VAR70,VAR53,VAR75);
VAR66 #(VAR65+2,VAR51-1,2) VAR72 (VAR1,reset,enable,VAR70,VAR53,VAR75,VAR58,VAR32,VAR62,VAR21);
VAR66 #(VAR65+2,VAR51-1,3) VAR12 (VAR1,reset,enable,VAR32,VAR62,VAR21,VAR45,VAR9,VAR37,VAR39);
VAR66 #(VAR65+2,VAR51-1,4) VAR27 (VAR1,reset,enable,VAR9,VAR37,VAR39,VAR13,VAR20,VAR47,VAR35);
VAR66 #(VAR65+2,VAR51-1,5) VAR14 (VAR1,reset,enable,VAR20,VAR47,VAR35,VAR56,VAR42,VAR71,VAR44);
VAR66 #(VAR65+2,VAR51-1,6) VAR40 (VAR1,reset,enable,VAR42,VAR71,VAR44,VAR24,VAR33,VAR60,VAR3);
VAR66 #(VAR65+2,VAR51-1,7) VAR5 (VAR1,reset,enable,VAR33,VAR60,VAR3,VAR43,VAR69,VAR17,VAR23);
VAR66 #(VAR65+2,VAR51-1,8) VAR19 (VAR1,reset,enable,VAR69,VAR17,VAR23,VAR48,VAR28,VAR46,VAR25);
VAR66 #(VAR65+2,VAR51-1,9) VAR6 (VAR1,reset,enable,VAR28,VAR46,VAR25,VAR16,VAR64,VAR74,VAR52);
VAR66 #(VAR65+2,VAR51-1,10) VAR63 (VAR1,reset,enable,VAR64,VAR74,VAR52,VAR10,VAR55,VAR50,VAR41);
VAR66 #(VAR65+2,VAR51-1,11) VAR68 (VAR1,reset,enable,VAR55,VAR50,VAR41,VAR49,VAR73,VAR2,VAR59);
assign VAR67 = VAR73[VAR65:1];
assign VAR36 = VAR2[VAR65:1];
assign VAR29 = VAR59;
endmodule | gpl-3.0 |
mistryalok/Zedboard | learning/opencv_hls/xapp1167_vivado/sw/acme/prj/solution1/syn/verilog/image_filter_mul_8ns_6ns_13_3.v | 1,405 | module MODULE2(clk, VAR10, VAR2, VAR13, VAR15);
input clk;
input VAR10;
input[8 - 1 : 0] VAR2; input[6 - 1 : 0] VAR13; output[13 - 1 : 0] VAR15;
reg [8 - 1 : 0] VAR4;
reg [6 - 1 : 0] VAR9;
wire [13 - 1 : 0] VAR11;
reg [13 - 1 : 0] VAR3;
assign VAR15 = VAR3;
assign VAR11 = VAR4 * VAR9;
always @ (posedge clk) begin
if (VAR10) begin
VAR4 <= VAR2;
VAR9 <= VAR13;
VAR3 <= VAR11;
end
end
endmodule
module MODULE1(
clk,
reset,
VAR10,
VAR5,
VAR1,
dout);
parameter VAR6 = 32'd1;
parameter VAR12 = 32'd1;
parameter VAR8 = 32'd1;
parameter VAR16 = 32'd1;
parameter VAR14 = 32'd1;
input clk;
input reset;
input VAR10;
input[VAR8 - 1:0] VAR5;
input[VAR16 - 1:0] VAR1;
output[VAR14 - 1:0] dout;
MODULE2 VAR7(
.clk( clk ),
.VAR10( VAR10 ),
.VAR2( VAR5 ),
.VAR13( VAR1 ),
.VAR15( dout ));
endmodule | gpl-3.0 |
UCR-CS179-SUMMER2014/NES_FPGA | source/NES_FPGA/vga_text.v | 1,582 | module MODULE1(
VAR11,
hc,
VAR8,
VAR21,
VAR3,
VAR13,
VAR6,
VAR2,
VAR19
);
input VAR11;
input [9:0] hc;
input [9:0] VAR8;
input [15:0] VAR21;
input [7:0] VAR3;
output [3:0] VAR13;
output [7:0] VAR6;
output [7:0] VAR2;
output [7:0] VAR19;
localparam VAR17 = 144 , VAR20 = 31 , VAR15 = 16, VAR14 = 16;
reg [10:0] VAR10, VAR7; reg [10:0] VAR18, VAR9; reg VAR16;
reg [7:0] VAR22, VAR4, VAR1;
assign VAR13 = VAR18[3:0];
assign VAR6 = VAR22;
assign VAR2 = VAR4;
assign VAR19 = VAR1;
wire [10:0] VAR12 = VAR9;
always @(VAR3)
begin
VAR10 <= {2'b00, VAR3[3:0], 5'b00001}; VAR7 <= {2'b00, VAR3[7:4], 5'b00001}; VAR18 <= VAR8 - VAR20 - VAR7; VAR9 <= hc - VAR17 - VAR10; end
always @ *
begin
VAR16 <= (((hc > VAR10 + VAR17) && (hc < VAR10 + VAR17 + VAR15) && (VAR8 > VAR7 + VAR20) && (VAR8 < VAR7 + VAR20 + VAR14)) ? 1'b1 : 1'b0);
end
always @ (VAR16, VAR11, VAR9, VAR21)
begin
VAR22 <= 9'VAR5;
VAR4 <= 9'VAR5;
VAR1 <= 9'VAR5;
if(VAR16 == 1'b1 && VAR11 == 1'b1)
begin
VAR22 <= {VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12]};
VAR4 <= {VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12]};
VAR1 <= {VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12],VAR21[VAR12]};
end
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dlygate4s18/sky130_fd_sc_lp__dlygate4s18.blackbox.v | 1,288 | module MODULE1 (
VAR2,
VAR1
);
output VAR2;
input VAR1;
supply1 VAR4;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR6 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/o31a/sky130_fd_sc_hs__o31a_1.v | 2,195 | module MODULE2 (
VAR5 ,
VAR1 ,
VAR2 ,
VAR9 ,
VAR3 ,
VAR7,
VAR8
);
output VAR5 ;
input VAR1 ;
input VAR2 ;
input VAR9 ;
input VAR3 ;
input VAR7;
input VAR8;
VAR6 VAR4 (
.VAR5(VAR5),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR5 ,
VAR1,
VAR2,
VAR9,
VAR3
);
output VAR5 ;
input VAR1;
input VAR2;
input VAR9;
input VAR3;
supply1 VAR7;
supply0 VAR8;
VAR6 VAR4 (
.VAR5(VAR5),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
bluespec/Flute | builds/RV32ACDFIMSU_Flute_verilator/Verilog_RTL/mkTLB.v | 25,752 | module MODULE1(VAR68,
VAR65,
VAR84,
VAR81,
VAR140,
VAR64,
VAR100,
VAR18,
VAR72,
VAR9,
VAR54,
VAR19,
VAR143,
VAR168,
VAR165);
parameter [0 : 0] VAR149 = 1'b0;
input VAR68;
input VAR65;
input VAR84;
output VAR81;
input [8 : 0] VAR140;
input [19 : 0] VAR64;
output [68 : 0] VAR100;
output VAR18;
input [8 : 0] VAR72;
input [19 : 0] VAR9;
input [31 : 0] VAR54;
input [1 : 0] VAR19;
input [33 : 0] VAR143;
input VAR168;
output VAR165;
wire [68 : 0] VAR100;
wire VAR81, VAR165, VAR18;
reg VAR132;
wire VAR62, VAR173;
reg VAR102;
wire VAR43, VAR152;
reg VAR71;
wire VAR31, VAR138;
reg VAR60;
wire VAR33, VAR153;
reg VAR13;
wire VAR135, VAR147;
reg VAR151;
wire VAR6, VAR105;
reg VAR74;
wire VAR130, VAR108;
reg VAR146;
wire VAR122, VAR35;
reg VAR110;
wire VAR79, VAR24;
reg VAR158;
wire VAR32, VAR23;
reg VAR145;
wire VAR14, VAR2;
reg VAR42;
wire VAR69, VAR93;
reg VAR73;
wire VAR96, VAR160;
reg VAR164;
wire VAR58, VAR123;
reg VAR170;
wire VAR89, VAR144;
reg VAR114;
wire VAR134, VAR113;
reg VAR85;
wire VAR83, VAR136;
reg VAR4;
wire VAR106, VAR125;
reg VAR127;
wire VAR163, VAR137;
reg VAR15;
wire VAR166, VAR1;
reg VAR133;
wire VAR48, VAR124;
reg VAR57;
wire VAR159, VAR29;
reg VAR86;
wire VAR53, VAR61;
reg VAR141;
wire VAR98, VAR30;
reg VAR117;
wire VAR119, VAR90;
wire [100 : 0] VAR56, VAR52;
wire [3 : 0] VAR67,
VAR12,
VAR107,
VAR38,
VAR16,
VAR157;
wire VAR10;
wire [91 : 0] VAR154, VAR128;
wire [2 : 0] VAR112,
VAR55,
VAR44,
VAR39,
VAR11,
VAR99;
wire VAR172;
wire VAR77,
VAR161,
VAR104,
VAR116,
VAR142,
VAR34;
wire VAR87,
VAR167,
VAR103,
VAR82,
VAR80,
VAR139,
VAR40,
VAR155,
VAR27,
VAR76,
VAR47,
VAR49,
VAR148,
VAR97,
VAR59,
VAR25,
VAR150,
VAR156,
VAR8,
VAR66,
VAR21,
VAR111,
VAR95,
VAR17;
reg VAR129,
VAR169;
wire [67 : 0] VAR131;
wire [33 : 0] VAR46;
wire [31 : 0] VAR75;
wire [25 : 0] VAR36, VAR94;
wire [16 : 0] VAR121, VAR101;
wire VAR91,
VAR63,
VAR78;
assign VAR81 = 1'd1 ;
assign VAR161 = 1'd1 ;
assign VAR142 = VAR84 ;
assign VAR100 =
{ VAR63,
{ VAR75,
2'd0,
VAR46 } |
VAR131 } ;
assign VAR18 = !VAR132 ;
assign VAR165 = !VAR132 ;
assign VAR104 = !VAR132 ;
assign VAR34 = VAR168 ;
VAR22 #(.VAR37(32'd4),
.VAR126(32'd101),
.VAR92(4'h0),
.VAR7(4'd15)) VAR41(.VAR68(VAR68),
.VAR3(VAR67),
.VAR5(VAR12),
.VAR70(VAR107),
.VAR120(VAR38),
.VAR162(VAR16),
.VAR51(VAR157),
.VAR88(VAR56),
.VAR28(VAR10),
.VAR115(VAR52),
.VAR50(),
.VAR171(),
.VAR109(),
.VAR118());
VAR22 #(.VAR37(32'd3),
.VAR126(32'd92),
.VAR92(3'h0),
.VAR7(3'd7)) VAR26(.VAR68(VAR68),
.VAR3(VAR112),
.VAR5(VAR55),
.VAR70(VAR44),
.VAR120(VAR39),
.VAR162(VAR11),
.VAR51(VAR99),
.VAR88(VAR154),
.VAR28(VAR172),
.VAR115(VAR128),
.VAR50(),
.VAR171(),
.VAR109(),
.VAR118());
assign VAR77 = VAR132 ;
assign VAR116 = VAR132 ;
assign VAR87 =
VAR168 && VAR9[3:0] == 4'd0 && VAR19 == 2'd0 ;
assign VAR167 =
VAR168 && VAR9[3:0] == 4'd1 && VAR19 == 2'd0 ;
assign VAR103 =
VAR168 && VAR9[3:0] == 4'd10 && VAR19 == 2'd0 ;
assign VAR82 =
VAR168 && VAR9[3:0] == 4'd11 && VAR19 == 2'd0 ;
assign VAR80 =
VAR168 && VAR9[3:0] == 4'd12 && VAR19 == 2'd0 ;
assign VAR139 =
VAR168 && VAR9[3:0] == 4'd13 && VAR19 == 2'd0 ;
assign VAR40 =
VAR168 && VAR9[3:0] == 4'd14 && VAR19 == 2'd0 ;
assign VAR155 =
VAR168 && VAR9[3:0] == 4'd15 && VAR19 == 2'd0 ;
assign VAR27 =
VAR168 && VAR9[3:0] == 4'd2 && VAR19 == 2'd0 ;
assign VAR76 =
VAR168 && VAR9[3:0] == 4'd3 && VAR19 == 2'd0 ;
assign VAR47 =
VAR168 && VAR9[3:0] == 4'd4 && VAR19 == 2'd0 ;
assign VAR49 =
VAR168 && VAR9[3:0] == 4'd5 && VAR19 == 2'd0 ;
assign VAR148 =
VAR168 && VAR9[3:0] == 4'd6 && VAR19 == 2'd0 ;
assign VAR97 =
VAR168 && VAR9[3:0] == 4'd7 && VAR19 == 2'd0 ;
assign VAR59 =
VAR168 && VAR9[3:0] == 4'd8 && VAR19 == 2'd0 ;
assign VAR25 =
VAR168 && VAR9[3:0] == 4'd9 && VAR19 == 2'd0 ;
assign VAR150 =
VAR168 && VAR9[12:10] == 3'd0 && VAR19 == 2'd1 ;
assign VAR156 =
VAR168 && VAR9[12:10] == 3'd1 && VAR19 == 2'd1 ;
assign VAR8 =
VAR168 && VAR9[12:10] == 3'd2 && VAR19 == 2'd1 ;
assign VAR66 =
VAR168 && VAR9[12:10] == 3'd3 && VAR19 == 2'd1 ;
assign VAR21 =
VAR168 && VAR9[12:10] == 3'd4 && VAR19 == 2'd1 ;
assign VAR111 =
VAR168 && VAR9[12:10] == 3'd5 && VAR19 == 2'd1 ;
assign VAR95 =
VAR168 && VAR9[12:10] == 3'd6 && VAR19 == 2'd1 ;
assign VAR17 =
VAR168 && VAR9[12:10] == 3'd7 && VAR19 == 2'd1 ;
assign VAR62 = VAR84 ;
assign VAR173 = VAR132 || VAR84 ;
assign VAR43 = VAR87 ;
assign VAR152 =
VAR168 && VAR9[3:0] == 4'd0 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR31 = VAR167 ;
assign VAR138 =
VAR168 && VAR9[3:0] == 4'd1 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR33 = VAR103 ;
assign VAR153 =
VAR168 && VAR9[3:0] == 4'd10 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR135 = VAR82 ;
assign VAR147 =
VAR168 && VAR9[3:0] == 4'd11 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR6 = VAR80 ;
assign VAR105 =
VAR168 && VAR9[3:0] == 4'd12 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR130 = VAR139 ;
assign VAR108 =
VAR168 && VAR9[3:0] == 4'd13 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR122 = VAR40 ;
assign VAR35 =
VAR168 && VAR9[3:0] == 4'd14 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR79 = VAR155 ;
assign VAR24 =
VAR168 && VAR9[3:0] == 4'd15 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR32 = VAR27 ;
assign VAR23 =
VAR168 && VAR9[3:0] == 4'd2 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR14 = VAR76 ;
assign VAR2 =
VAR168 && VAR9[3:0] == 4'd3 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR69 = VAR47 ;
assign VAR93 =
VAR168 && VAR9[3:0] == 4'd4 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR96 = VAR49 ;
assign VAR160 =
VAR168 && VAR9[3:0] == 4'd5 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR58 = VAR148 ;
assign VAR123 =
VAR168 && VAR9[3:0] == 4'd6 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR89 = VAR97 ;
assign VAR144 =
VAR168 && VAR9[3:0] == 4'd7 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR134 = VAR59 ;
assign VAR113 =
VAR168 && VAR9[3:0] == 4'd8 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR83 = VAR25 ;
assign VAR136 =
VAR168 && VAR9[3:0] == 4'd9 && VAR19 == 2'd0 ||
VAR132 ;
assign VAR106 = VAR150 ;
assign VAR125 =
VAR168 && VAR9[12:10] == 3'd0 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR163 = VAR156 ;
assign VAR137 =
VAR168 && VAR9[12:10] == 3'd1 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR166 = VAR8 ;
assign VAR1 =
VAR168 && VAR9[12:10] == 3'd2 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR48 = VAR66 ;
assign VAR124 =
VAR168 && VAR9[12:10] == 3'd3 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR159 = VAR21 ;
assign VAR29 =
VAR168 && VAR9[12:10] == 3'd4 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR53 = VAR111 ;
assign VAR61 =
VAR168 && VAR9[12:10] == 3'd5 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR98 = VAR95 ;
assign VAR30 =
VAR168 && VAR9[12:10] == 3'd6 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR119 = VAR17 ;
assign VAR90 =
VAR168 && VAR9[12:10] == 3'd7 && VAR19 == 2'd1 ||
VAR132 ;
assign VAR67 = VAR64[3:0] ;
assign VAR12 = 4'h0 ;
assign VAR107 = 4'h0 ;
assign VAR38 = 4'h0 ;
assign VAR16 = 4'h0 ;
assign VAR157 = VAR9[3:0] ;
assign VAR56 =
{ VAR72, VAR94, VAR54, VAR143 } ;
assign VAR10 = VAR168 && VAR19 == 2'd0 ;
assign VAR112 = VAR64[12:10] ;
assign VAR55 = 3'h0 ;
assign VAR44 = 3'h0 ;
assign VAR39 = 3'h0 ;
assign VAR11 = 3'h0 ;
assign VAR99 = VAR9[12:10] ;
assign VAR154 =
{ VAR72, VAR101, VAR54, VAR143 } ;
assign VAR172 = VAR168 && VAR19 == 2'd1 ;
assign VAR75 =
(VAR91 &&
VAR52[91:66] == VAR36) ?
VAR52[65:34] :
32'd0 ;
assign VAR46 =
(VAR91 &&
VAR52[91:66] == VAR36) ?
VAR52[33:0] :
34'd0 ;
assign VAR131 =
(VAR78 &&
VAR128[82:66] == VAR121) ?
{ VAR128[65:34],
2'd1,
VAR128[33:0] } :
68'd0 ;
assign VAR91 =
VAR129 &&
(VAR52[100:92] == VAR140 ||
VAR52[39]) ;
assign VAR63 =
(VAR91 &&
VAR52[91:66] == VAR36) |
(VAR78 &&
VAR128[82:66] == VAR121) ;
assign VAR78 =
VAR169 &&
(VAR128[91:83] == VAR140 ||
VAR128[39]) ;
assign VAR36 = { 10'd0, VAR64[19:4] } ;
assign VAR121 = { 10'd0, VAR64[19:13] } ;
assign VAR94 = { 10'd0, VAR9[19:4] } ;
assign VAR101 = { 10'd0, VAR9[19:13] } ;
always@(VAR64 or
VAR102 or
VAR71 or
VAR158 or
VAR145 or
VAR42 or
VAR73 or
VAR164 or
VAR170 or
VAR114 or
VAR85 or
VAR60 or
VAR13 or
VAR151 or
VAR74 or VAR146 or VAR110)
begin
case (VAR64[3:0])
4'd0:
VAR129 =
VAR102;
4'd1:
VAR129 =
VAR71;
4'd2:
VAR129 =
VAR158;
4'd3:
VAR129 =
VAR145;
4'd4:
VAR129 =
VAR42;
4'd5:
VAR129 =
VAR73;
4'd6:
VAR129 =
VAR164;
4'd7:
VAR129 =
VAR170;
4'd8:
VAR129 =
VAR114;
4'd9:
VAR129 =
VAR85;
4'd10:
VAR129 =
VAR60;
4'd11:
VAR129 =
VAR13;
4'd12:
VAR129 =
VAR151;
4'd13:
VAR129 =
VAR74;
4'd14:
VAR129 =
VAR146;
4'd15:
VAR129 =
VAR110;
endcase
end
always@(VAR64 or
VAR4 or
VAR127 or
VAR15 or
VAR133 or
VAR57 or VAR86 or VAR141 or VAR117)
begin
case (VAR64[12:10])
3'd0:
VAR169 =
VAR4;
3'd1:
VAR169 =
VAR127;
3'd2:
VAR169 =
VAR15;
3'd3:
VAR169 =
VAR133;
3'd4:
VAR169 =
VAR57;
3'd5:
VAR169 =
VAR86;
3'd6:
VAR169 =
VAR141;
3'd7:
VAR169 =
VAR117;
endcase
end
always@(posedge VAR68)
begin
if (VAR65 == VAR20)
begin
VAR132 <= VAR45 1'd1;
end
else
begin
if (VAR173)
VAR132 <= VAR45 VAR62;
end
if (VAR152)
VAR102 <= VAR45 VAR43;
if (VAR138)
VAR71 <= VAR45 VAR31;
if (VAR153)
VAR60 <= VAR45 VAR33;
if (VAR147)
VAR13 <= VAR45 VAR135;
if (VAR105)
VAR151 <= VAR45 VAR6;
if (VAR108)
VAR74 <= VAR45 VAR130;
if (VAR35)
VAR146 <= VAR45 VAR122;
if (VAR24)
VAR110 <= VAR45 VAR79;
if (VAR23)
VAR158 <= VAR45 VAR32;
if (VAR2)
VAR145 <= VAR45 VAR14;
if (VAR93)
VAR42 <= VAR45 VAR69;
if (VAR160)
VAR73 <= VAR45 VAR96;
if (VAR123)
VAR164 <= VAR45 VAR58;
if (VAR144)
VAR170 <= VAR45 VAR89;
if (VAR113)
VAR114 <= VAR45 VAR134;
if (VAR136)
VAR85 <= VAR45 VAR83;
if (VAR125)
VAR4 <= VAR45 VAR106;
if (VAR137)
VAR127 <= VAR45 VAR163;
if (VAR1)
VAR15 <= VAR45 VAR166;
if (VAR124)
VAR133 <= VAR45 VAR48;
if (VAR29)
VAR57 <= VAR45 VAR159;
if (VAR61)
VAR86 <= VAR45 VAR53;
if (VAR30)
VAR141 <= VAR45 VAR98;
if (VAR90)
VAR117 <= VAR45 VAR119;
end
begin
VAR132 = 1'h0;
VAR102 = 1'h0;
VAR71 = 1'h0;
VAR60 = 1'h0;
VAR13 = 1'h0;
VAR151 = 1'h0;
VAR74 = 1'h0;
VAR146 = 1'h0;
VAR110 = 1'h0;
VAR158 = 1'h0;
VAR145 = 1'h0;
VAR42 = 1'h0;
VAR73 = 1'h0;
VAR164 = 1'h0;
VAR170 = 1'h0;
VAR114 = 1'h0;
VAR85 = 1'h0;
VAR4 = 1'h0;
VAR127 = 1'h0;
VAR15 = 1'h0;
VAR133 = 1'h0;
VAR57 = 1'h0;
VAR86 = 1'h0;
VAR141 = 1'h0;
VAR117 = 1'h0;
end | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/sdfbbp/sky130_fd_sc_hdll__sdfbbp_1.v | 2,837 | module MODULE2 (
VAR1 ,
VAR7 ,
VAR14 ,
VAR8 ,
VAR6 ,
VAR12 ,
VAR13 ,
VAR9,
VAR10 ,
VAR2 ,
VAR11 ,
VAR3
);
output VAR1 ;
output VAR7 ;
input VAR14 ;
input VAR8 ;
input VAR6 ;
input VAR12 ;
input VAR13 ;
input VAR9;
input VAR10 ;
input VAR2 ;
input VAR11 ;
input VAR3 ;
VAR4 VAR5 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR14(VAR14),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR12(VAR12),
.VAR13(VAR13),
.VAR9(VAR9),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR1 ,
VAR7 ,
VAR14 ,
VAR8 ,
VAR6 ,
VAR12 ,
VAR13 ,
VAR9
);
output VAR1 ;
output VAR7 ;
input VAR14 ;
input VAR8 ;
input VAR6 ;
input VAR12 ;
input VAR13 ;
input VAR9;
supply1 VAR10;
supply0 VAR2;
supply1 VAR11 ;
supply0 VAR3 ;
VAR4 VAR5 (
.VAR1(VAR1),
.VAR7(VAR7),
.VAR14(VAR14),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR12(VAR12),
.VAR13(VAR13),
.VAR9(VAR9)
);
endmodule | apache-2.0 |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | bin_Gray_Processing/ip/Gray_Processing/board/SGDMA_dispatcher/csr_block.v | 14,484 | module MODULE1 (
clk,
reset,
VAR4,
VAR18,
VAR12,
VAR32,
VAR37,
VAR28,
VAR17,
VAR8,
VAR19,
VAR36,
VAR20,
VAR16,
VAR45,
VAR46,
VAR2,
VAR22,
VAR3,
VAR48,
VAR47,
VAR44,
VAR33,
VAR7,
VAR14,
VAR41,
VAR35,
VAR15,
VAR34,
VAR31,
VAR29,
VAR5
);
parameter VAR10 = 3;
localparam VAR38 = 3'b001;
input clk;
input reset;
input [31:0] VAR4;
input VAR18;
input [3:0] VAR12;
output wire [31:0] VAR32;
input VAR37;
input [VAR10-1:0] VAR28;
output wire VAR17;
input VAR8;
input VAR19;
input VAR36;
input VAR20;
input VAR16; input VAR2; output wire VAR22;
output reg VAR45;
output reg VAR46;
output reg VAR3;
output wire VAR48;
output wire VAR47;
output wire VAR44;
input [31:0] VAR33;
input [31:0] VAR7;
input [15:0] VAR14;
input VAR41;
input VAR35;
input VAR15;
input [7:0] VAR34;
input VAR31;
input [7:0] VAR29;
input VAR5;
wire [31:0] VAR24;
reg [31:0] VAR49;
reg [31:0] VAR26;
reg [31:0] VAR9;
reg irq; wire VAR30;
wire VAR23;
reg [15:0] VAR1; wire VAR42;
wire VAR40;
wire VAR39;
wire VAR11;
wire VAR43;
wire VAR21;
wire VAR27;
wire VAR25; wire VAR6;
wire VAR13;
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR9 <= 0;
end
else if (VAR37 == 1)
begin
VAR9 <= VAR26;
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR49[31:1] <= 0;
end
else
begin
if (VAR25 == 1) begin
VAR49[31:1] <= 0;
end
else
begin
if ((VAR28 == VAR38) & (VAR18 == 1) & (VAR12[0] == 1))
begin
VAR49[7:1] <= VAR4[7:1]; end
if ((VAR28 == VAR38) & (VAR18 == 1) & (VAR12[1] == 1))
begin
VAR49[15:8] <= VAR4[15:8];
end
if ((VAR28 == VAR38) & (VAR18 == 1) & (VAR12[2] == 1))
begin
VAR49[23:16] <= VAR4[23:16];
end
if ((VAR28 == VAR38) & (VAR18 == 1) & (VAR12[3] == 1))
begin
VAR49[31:24] <= VAR4[31:24];
end
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR49[0] <= 0;
end
else
begin
if (VAR25 == 1)
begin
VAR49[0] <= 0;
end
else
begin
case ({VAR43, VAR21})
2'b00: VAR49[0] <= VAR49[0];
2'b01: VAR49[0] <= 1'b0;
2'b10: VAR49[0] <= 1'b1;
2'b11: VAR49[0] <= 1'b1; endcase
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR3 <= 0;
end
else
begin
if (VAR6 == 1)
begin
VAR3 <= 1;
end
else if (VAR13 == 1)
begin
VAR3 <= 0;
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR45 <= 0;
end
else
begin
case ({VAR39, VAR21})
2'b00: VAR45 <= VAR45;
2'b01: VAR45 <= 1'b0;
2'b10: VAR45 <= 1'b1;
2'b11: VAR45 <= 1'b0;
endcase
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR46 <= 0;
end
else
begin
case ({VAR11, VAR21})
2'b00: VAR46 <= VAR46;
2'b01: VAR46 <= 1'b0;
2'b10: VAR46 <= 1'b1;
2'b11: VAR46 <= 1'b0;
endcase
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
irq <= 0;
end
else
begin
if (VAR25 == 1)
begin
irq <= 0;
end
else
begin
case ({VAR23, VAR30})
2'b00: irq <= irq;
2'b01: irq <= 1'b1;
2'b10: irq <= 1'b0;
2'b11: irq <= 1'b1; endcase
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR1 <= {16{1'b0}};
end
else
begin
if (VAR25 == 1)
begin
VAR1 <= {16{1'b0}};
end
else
begin
case ({VAR42, VAR40})
2'b00: VAR1 <= VAR1;
2'b01: VAR1 <= VAR1 + 1;
2'b10: VAR1 <= {16{1'b0}};
2'b11: VAR1 <= {{15{1'b0}}, 1'b1};
endcase
end
end
end
generate
if (VAR10 == 3)
begin
always @ (VAR28 or VAR24 or VAR49 or VAR7 or VAR14 or VAR33)
begin
case (VAR28)
3'b000: VAR26 = VAR24;
3'b001: VAR26 = VAR49;
3'b010: VAR26 = VAR7;
3'b011: VAR26 = VAR14;
default: VAR26 = VAR33; endcase
end
end
else
begin
always @ (VAR28 or VAR24 or VAR49 or VAR7 or VAR14)
begin
case (VAR28)
3'b000: VAR26 = VAR24;
3'b001: VAR26 = VAR49;
3'b010: VAR26 = VAR7;
default: VAR26 = VAR14; endcase
end
end
endgenerate
assign VAR23 = (VAR28 == 0) & (VAR18 == 1) & (VAR12[1] == 1) & (VAR4[9] == 1); assign VAR30 = (VAR27 == 1) & (VAR8 == 1) & ((VAR15 == 1) | ((VAR29 & VAR34) != 0) | ((VAR5 & VAR31) == 1)); assign VAR17 = irq;
assign VAR40 = VAR30; assign VAR42 = (VAR28 == 0) & (VAR18 == 1) & (VAR12[2] == 1) & (VAR4[16] == 1);
assign VAR21 = (VAR28 == VAR38) & (VAR18 == 1) & (VAR12[0] == 1) & (VAR4[0] == 0);
assign VAR39 = (VAR8 == 1) & (VAR48 == 1) & (VAR29 != 0); assign VAR11 = (VAR8 == 1) & (VAR47 == 1) & (VAR5 == 1); assign VAR43 = ((VAR28 == VAR38) & (VAR18 == 1) & (VAR12[0] == 1) & (VAR4[0] == 1)) | (VAR39 == 1) | (VAR11 == 1) ; assign VAR22 = VAR49[0];
assign VAR6 = (VAR28 == VAR38) & (VAR18 == 1) & (VAR12[0] == 1) & (VAR4[1] == 1);
assign VAR13 = (VAR3 == 1) & (VAR2 == 0);
assign VAR25 = VAR49[1];
assign VAR48 = VAR49[2];
assign VAR47 = VAR49[3];
assign VAR27 = VAR49[4];
assign VAR44 = VAR49[5];
assign VAR32 = VAR9;
assign VAR24 = {VAR1, {6{1'b0}}, irq, VAR46, VAR45, VAR3, VAR16, VAR35, VAR41, VAR20, VAR36, VAR19};
endmodule | mit |
mammenx/synesthesia_moksha | wxp/dgn/syn/limbus/synthesis/submodules/limbus_sram.v | 29,034 | module MODULE1 #(
parameter VAR70 = 19,
parameter VAR24 = 16,
parameter VAR1 = 2,
parameter VAR37 = 10,
parameter VAR11 = 10,
parameter VAR64 = 10,
parameter VAR13 = 10,
parameter VAR56 = 2,
parameter VAR9 = 0,
parameter VAR52 = 2,
parameter VAR22 = 2,
parameter VAR53 = 1,
parameter VAR59 = 1,
parameter VAR65 = 0,
parameter VAR47 = 1,
parameter VAR36 = 1,
parameter VAR48 = 1,
parameter VAR63 = 0,
parameter VAR46 = 1,
parameter VAR12 = 0,
parameter VAR20 = 0,
parameter VAR58 = 1,
parameter VAR45 = 0,
parameter VAR21 = 0,
parameter VAR77 = 0,
parameter VAR31 = 0,
parameter VAR4 = 0,
parameter VAR75 = 1,
parameter VAR39 = 1,
parameter VAR26 = 1,
parameter VAR60 = 1,
parameter VAR34 = 0,
parameter VAR27 = 0,
parameter VAR28 = 0,
parameter VAR76 = 0
) (
input wire VAR35, input wire VAR30, input wire [18:0] VAR10, input wire [1:0] VAR17, input wire VAR29, input wire VAR38, output wire VAR2, output wire VAR67, input wire [1:0] VAR16, output wire [15:0] VAR55, input wire [15:0] VAR71, input wire VAR72, input wire VAR66, output wire VAR57, output wire VAR41, output wire VAR44, output wire VAR40, input wire VAR61, output wire [18:0] VAR5, output wire [1:0] VAR54, output wire [15:0] VAR18, output wire VAR62, input wire [15:0] VAR43 );
wire VAR69; wire VAR73; wire VAR7; wire VAR50; wire [15:0] VAR23; wire VAR49; wire [18:0] VAR74; wire VAR8; wire [1:0] VAR68; wire VAR42; wire VAR78; wire VAR3; wire [15:0] VAR15; wire [1:0] VAR33; wire VAR79; wire [15:0] VAR14; wire VAR51; wire [18:0] VAR25; wire [1:0] VAR32; wire VAR19; wire [15:0] VAR6;
generate
if (VAR70 != 19)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/srdlxtp/sky130_fd_sc_lp__srdlxtp.functional.v | 1,749 | module MODULE1 (
VAR4 ,
VAR11 ,
VAR7 ,
VAR3
);
output VAR4 ;
input VAR11 ;
input VAR7 ;
input VAR3;
wire VAR10 ;
wire VAR9;
wire VAR6 ;
wire VAR5 ;
wire VAR1 ;
wire VAR13 ;
VAR8 VAR2 VAR14 (VAR10 , VAR11, VAR7, VAR3, VAR5, VAR1, VAR13);
bufif1 VAR12 (VAR4 , VAR10, VAR13 );
endmodule | apache-2.0 |
unihd-cag/openhmc | rtl/hmc_controller/tx/tx_run_length_limiter.v | 6,767 | module MODULE1 #(
parameter VAR4 =64,
parameter VAR12 =4,
parameter VAR16 =85
)
(
input wire clk,
input wire VAR26,
input wire enable,
input wire [VAR4-1:0] VAR21,
output reg [VAR4-1:0] VAR20,
output reg VAR25
);
localparam VAR19 = (VAR4 + VAR12-1)/(VAR12);
localparam VAR18 = VAR4 - (VAR12 * (VAR4/VAR12));
localparam VAR27 = 8;
wire [VAR19-1:0] VAR29;
wire [VAR19-1:0] VAR24;
wire [VAR19-1:0] VAR11;
wire [VAR27-1:0] VAR23;
wire [VAR27-1:0] VAR6 [VAR19-1:0];
wire [VAR27-1:0] VAR9;
wire [VAR27-1:0] VAR5 [VAR19-1:0];
wire VAR8;
reg [VAR27-1:0] VAR3;
reg VAR17;
reg VAR1;
genvar VAR14;
genvar VAR7;
genvar VAR15;
generate
assign VAR29[0] = &( {VAR21[VAR12-1:0],VAR1}) ||
&(~{VAR21[VAR12-1:0],VAR1});
for(VAR14=1; VAR14<VAR19-1; VAR14=VAR14+1) begin : VAR28
assign VAR29[VAR14] = &( VAR21[(VAR14+1)*(VAR12)-1:VAR14*(VAR12)-1]) ||
&(~VAR21[(VAR14+1)*(VAR12)-1:VAR14*(VAR12)-1]);
end
assign VAR29[VAR19-1] = &( VAR21[VAR4-1:(VAR19-1)*(VAR12)-1]) ||
&(~VAR21[VAR4-1:(VAR19-1)*(VAR12)-1]);
assign VAR24[0] = VAR29[0];
assign VAR6[0] = (VAR29[0] ? VAR12 : 0);
for(VAR7=1; VAR7<VAR19; VAR7=VAR7+1) begin : VAR13
assign VAR24[VAR7] = VAR24[VAR7-1] && VAR29[VAR7];
assign VAR6[VAR7] = (VAR24[VAR7] ? VAR12 : 0) + VAR6[VAR7-1];
end
assign VAR23 = (VAR24[VAR19-1] ? VAR4 : VAR6[VAR19-2]) + (VAR29[0] ? (VAR3 == 0 ? 1 : VAR3) : 0);
assign VAR11[0] = VAR29[VAR19-1];
assign VAR5[0] = 0;
for(VAR15=1; VAR15<VAR19; VAR15=VAR15+1) begin : VAR22
assign VAR11[VAR15] = VAR11[VAR15-1] && VAR29[VAR19-1-VAR15];
assign VAR5[VAR15] = (VAR11[VAR15] ? VAR12 : 0) + VAR5[VAR15-1];
end
assign VAR9 = VAR11[VAR19-1] ? VAR4 + (VAR3 == 0 ? 1 : VAR3) : VAR5[VAR19-2] + (VAR29[VAR19-1] ? (VAR18 ? VAR18 : VAR12) + 1 : 0);
endgenerate
assign VAR8 = VAR23 > (VAR16 - (VAR12-1) - (VAR18 ? VAR18-1 : VAR12-1));
always @(posedge clk or negedge VAR26) begin else
always @(posedge clk) begin VAR2
if(!VAR26) begin
VAR20 <= {VAR10 {1'b0}};
end else
begin
if (enable && VAR8) begin
VAR20 <= {VAR21[VAR4-1:1], ~VAR21[0]};
end else begin
VAR20 <= VAR21;
end
end
if (!VAR26) begin
VAR3 <= { VAR27 {1'b0}};
VAR17 <= 1'b0;
VAR1 <= 1'b0;
VAR25 <= 1'b0;
end else begin
VAR3 <= VAR9;
VAR17 <= VAR29[VAR19-1];
VAR1 <= VAR21[VAR4-1];
if (enable && VAR8) begin
VAR25 <= VAR8;
end
end
end
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/or4/sky130_fd_sc_hs__or4.functional.pp.v | 1,726 | module MODULE1 (
VAR6,
VAR4,
VAR5 ,
VAR2 ,
VAR12 ,
VAR8 ,
VAR1
);
input VAR6;
input VAR4;
output VAR5 ;
input VAR2 ;
input VAR12 ;
input VAR8 ;
input VAR1 ;
wire VAR11 ;
wire VAR7;
or VAR3 (VAR11 , VAR1, VAR8, VAR12, VAR2 );
VAR10 VAR9 (VAR7, VAR11, VAR6, VAR4);
buf VAR13 (VAR5 , VAR7 );
endmodule | apache-2.0 |
Franderg/CE-4301-Arqui1 | Processor/ControlUnit.v | 4,086 | module MODULE1 (clk,VAR8,VAR2,VAR17,VAR10,VAR25,VAR31,VAR30,VAR1,VAR24,VAR6,VAR14,VAR7,VAR27);
input wire clk;
input [4:0] VAR8;
input [0:0] VAR17;
input [4:0] VAR2;
output reg[0:0] VAR10;
output reg[0:0] VAR25;
output reg[0:0] VAR31;
output reg[0:0] VAR30;
output reg[1:0] VAR1;
output reg[0:0] VAR24;
output reg[0:0] VAR6;
output reg[0:0] VAR14;
output reg[0:0] VAR7;
output reg[0:0] VAR27;
parameter VAR26 = 5'd0;
parameter VAR3 = 5'd1;
parameter VAR15 = 5'd2;
parameter VAR19 = 5'd3;
parameter VAR5 = 5'd4;
parameter VAR28 = 5'd5;
parameter VAR29 = 5'd6;
parameter VAR11 = 5'd7;
parameter VAR18 = 5'd8;
parameter VAR9 = 5'd9;
parameter VAR13 = 5'd10;
parameter VAR23 = 5'd11;
parameter VAR21 = 5'd12;
parameter VAR4 = 5'd13;
parameter VAR22 = 5'd14;
parameter VAR32 = 5'd15;
parameter VAR12 = 5'd16;
parameter VAR16 = 5'd17;
parameter VAR20 = 5'b11111;
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/dlclkp/sky130_fd_sc_hvl__dlclkp.functional.v | 1,547 | module MODULE1 (
VAR8,
VAR7,
VAR2
);
output VAR8;
input VAR7;
input VAR2 ;
wire VAR6 ;
wire VAR9;
not VAR4 (VAR9 , VAR2 );
VAR1 VAR3 (VAR6 , VAR7, VAR9 );
and VAR5 (VAR8 , VAR6, VAR2 );
endmodule | apache-2.0 |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/bd/system/ip/system_auto_pc_0/synth/system_auto_pc_0.v | 13,487 | module MODULE1 (
VAR109,
VAR19,
VAR107,
VAR30,
VAR5,
VAR101,
VAR10,
VAR108,
VAR59,
VAR20,
VAR77,
VAR23,
VAR69,
VAR95,
VAR105,
VAR21,
VAR51,
VAR71,
VAR114,
VAR104,
VAR67,
VAR34,
VAR106,
VAR102,
VAR17,
VAR2,
VAR31,
VAR36,
VAR78,
VAR98,
VAR75,
VAR89,
VAR14,
VAR56,
VAR61,
VAR85,
VAR43,
VAR38,
VAR8,
VAR81,
VAR96,
VAR62,
VAR1,
VAR66,
VAR32,
VAR9,
VAR86,
VAR76,
VAR29,
VAR83,
VAR64,
VAR100,
VAR12,
VAR6,
VAR55,
VAR72,
VAR99,
VAR11,
VAR13
);
input wire VAR109;
input wire VAR19;
input wire [11 : 0] VAR107;
input wire [31 : 0] VAR30;
input wire [3 : 0] VAR5;
input wire [2 : 0] VAR101;
input wire [1 : 0] VAR10;
input wire [1 : 0] VAR108;
input wire [3 : 0] VAR59;
input wire [2 : 0] VAR20;
input wire [3 : 0] VAR77;
input wire VAR23;
output wire VAR69;
input wire [11 : 0] VAR95;
input wire [31 : 0] VAR105;
input wire [3 : 0] VAR21;
input wire VAR51;
input wire VAR71;
output wire VAR114;
output wire [11 : 0] VAR104;
output wire [1 : 0] VAR67;
output wire VAR34;
input wire VAR106;
input wire [11 : 0] VAR102;
input wire [31 : 0] VAR17;
input wire [3 : 0] VAR2;
input wire [2 : 0] VAR31;
input wire [1 : 0] VAR36;
input wire [1 : 0] VAR78;
input wire [3 : 0] VAR98;
input wire [2 : 0] VAR75;
input wire [3 : 0] VAR89;
input wire VAR14;
output wire VAR56;
output wire [11 : 0] VAR61;
output wire [31 : 0] VAR85;
output wire [1 : 0] VAR43;
output wire VAR38;
output wire VAR8;
input wire VAR81;
output wire [31 : 0] VAR96;
output wire [2 : 0] VAR62;
output wire VAR1;
input wire VAR66;
output wire [31 : 0] VAR32;
output wire [3 : 0] VAR9;
output wire VAR86;
input wire VAR76;
input wire [1 : 0] VAR29;
input wire VAR83;
output wire VAR64;
output wire [31 : 0] VAR100;
output wire [2 : 0] VAR12;
output wire VAR6;
input wire VAR55;
input wire [31 : 0] VAR72;
input wire [1 : 0] VAR99;
input wire VAR11;
output wire VAR13;
VAR24 #(
.VAR65("VAR113"),
.VAR45(2),
.VAR50(1),
.VAR44(0),
.VAR18(12),
.VAR87(32),
.VAR26(32),
.VAR70(1),
.VAR33(1),
.VAR103(0),
.VAR74(1),
.VAR79(1),
.VAR48(1),
.VAR93(1),
.VAR91(1),
.VAR68(2)
) VAR52 (
.VAR109(VAR109),
.VAR19(VAR19),
.VAR107(VAR107),
.VAR30(VAR30),
.VAR5(VAR5),
.VAR101(VAR101),
.VAR10(VAR10),
.VAR108(VAR108),
.VAR59(VAR59),
.VAR20(VAR20),
.VAR46(4'VAR53),
.VAR77(VAR77),
.VAR88(1'VAR53),
.VAR23(VAR23),
.VAR69(VAR69),
.VAR95(VAR95),
.VAR105(VAR105),
.VAR21(VAR21),
.VAR51(VAR51),
.VAR54(1'VAR53),
.VAR71(VAR71),
.VAR114(VAR114),
.VAR104(VAR104),
.VAR67(VAR67),
.VAR97(),
.VAR34(VAR34),
.VAR106(VAR106),
.VAR102(VAR102),
.VAR17(VAR17),
.VAR2(VAR2),
.VAR31(VAR31),
.VAR36(VAR36),
.VAR78(VAR78),
.VAR98(VAR98),
.VAR75(VAR75),
.VAR4(4'VAR53),
.VAR89(VAR89),
.VAR41(1'VAR53),
.VAR14(VAR14),
.VAR56(VAR56),
.VAR61(VAR61),
.VAR85(VAR85),
.VAR43(VAR43),
.VAR38(VAR38),
.VAR82(),
.VAR8(VAR8),
.VAR81(VAR81),
.VAR94(),
.VAR96(VAR96),
.VAR16(),
.VAR28(),
.VAR92(),
.VAR25(),
.VAR15(),
.VAR62(VAR62),
.VAR27(),
.VAR112(),
.VAR39(),
.VAR1(VAR1),
.VAR66(VAR66),
.VAR90(),
.VAR32(VAR32),
.VAR9(VAR9),
.VAR58(),
.VAR49(),
.VAR86(VAR86),
.VAR76(VAR76),
.VAR80(12'VAR42),
.VAR29(VAR29),
.VAR60(1'VAR53),
.VAR83(VAR83),
.VAR64(VAR64),
.VAR37(),
.VAR100(VAR100),
.VAR84(),
.VAR35(),
.VAR57(),
.VAR22(),
.VAR3(),
.VAR12(VAR12),
.VAR110(),
.VAR40(),
.VAR111(),
.VAR6(VAR6),
.VAR55(VAR55),
.VAR73(12'VAR42),
.VAR72(VAR72),
.VAR99(VAR99),
.VAR47(1'VAR63),
.VAR7(1'VAR53),
.VAR11(VAR11),
.VAR13(VAR13)
);
endmodule | mit |
zeldin/logic16_bitstream | src/normal_clock_domain.v | 4,076 | module MODULE1(
input clk,
input rst,
output VAR7,
input VAR10,
input VAR26,
input VAR13,
output VAR22,
output VAR17,
output VAR35,
output VAR43,
output [7:0] VAR40,
output [15:0] VAR39,
input VAR38,
input VAR24
);
wire [6:0] VAR30;
wire VAR31;
reg [7:0] VAR49;
wire [7:0] VAR46;
VAR29 VAR21
(
.clk(clk),
.rst(rst),
.VAR26(VAR26),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR42(VAR13),
.VAR30(VAR30),
.VAR49(VAR49),
.VAR46(VAR46),
.read(),
.write(VAR31)
);
localparam VAR34 = 8'h10;
localparam VAR18 = 'h00;
localparam VAR33 = 'h01;
localparam VAR37 = 'h02;
localparam VAR27 = 'h03;
localparam VAR32 = 'h04;
localparam VAR5 = 'h05;
localparam VAR45 = 'h06;
localparam VAR12 = 'h07;
localparam VAR14 = 'h0a;
localparam VAR48 = 'h0c;
reg [7:0] VAR20, VAR15;
reg VAR8, VAR50;
reg VAR47, VAR23;
reg VAR11, VAR25;
reg VAR4, VAR1;
reg [7:0] VAR9, VAR44;
reg [7:0] VAR36, VAR41;
reg [7:0] VAR6, VAR16;
always @(*) begin
VAR20 = VAR15;
VAR8 = VAR50;
VAR47 = VAR23;
VAR11 = VAR25;
VAR4 = VAR1;
VAR9 = VAR44;
VAR36 = VAR41;
VAR6 = VAR16;
case (VAR30)
VAR18: VAR49 = VAR34;
VAR33: begin
VAR49 = {1'b0, VAR50, VAR38,
1'b0, VAR24, 1'b0, VAR25, VAR23 };
if (VAR31) begin
VAR8 = VAR46[6];
VAR47 = VAR46[0];
VAR11 = VAR46[1];
end
end
VAR37: begin
VAR49 = VAR41;
if (VAR31) VAR36 = VAR46;
end
VAR27: begin
VAR49 = VAR16;
if (VAR31) VAR6 = VAR46;
end
VAR32: begin
VAR49 = VAR44;
if (VAR31) VAR9 = VAR46;
end
VAR5: begin
VAR49 = VAR15;
if (VAR31) VAR20 = VAR46;
end
VAR14: begin
VAR49 = { 7'b0000000, VAR1 };
if (VAR31) begin
VAR4 = VAR46[0];
end
end
default: VAR49 = 8'b00000000;
endcase
end
always @(posedge clk) begin
if (rst) begin
VAR15 <= 8'h00;
VAR50 <= 1'b0;
VAR23 <= 1'b0;
VAR25 <= 1'b0;
VAR1 <= 1'b0;
VAR44 <= 8'h00;
VAR41 <= 8'h00;
VAR16 <= 8'h00;
end else begin
VAR15 <= VAR20;
VAR50 <= VAR8;
VAR23 <= VAR47;
VAR25 <= VAR11;
VAR1 <= VAR4;
VAR44 <= VAR9;
VAR41 <= VAR36;
VAR16 <= VAR6;
end
end
wire VAR19;
VAR2 #(18, 8) VAR28(.clk(clk), .rst(rst), .VAR3(VAR15),
.out(VAR19));
assign VAR22 = ~VAR19;
assign VAR17 = VAR23;
assign VAR35 = VAR25 | rst;
assign VAR43 = VAR1;
assign VAR40 = VAR44;
assign VAR39 = { VAR16, VAR41 };
endmodule | gpl-3.0 |
cr88192/bgbtech_bjx1core | bwjx1c64a/DcTile3.v | 13,227 | module MODULE1(
VAR2, reset,
VAR62, VAR59,
VAR54, VAR12,
VAR31, VAR35,
VAR16,
VAR22, VAR1,
VAR64, VAR25,
VAR11, VAR57,
VAR26
);
input VAR2;
input reset;
input[63:0] VAR54; input[63:0] VAR62; input VAR31; input VAR35; input[4:0] VAR16;
output[63:0] VAR59; output[1:0] VAR12;
input[127:0] VAR22; output[127:0] VAR1; output[63:0] VAR64; output VAR11; output VAR57; input[1:0] VAR25; output[4:0] VAR26;
reg[31:0] VAR33[255:0]; reg[31:0] VAR42[255:0]; reg[31:0] VAR44[255:0]; reg[31:0] VAR60[255:0]; reg[31:0] VAR7[255:0]; reg[27:0] VAR58[255:0]; reg[3:0] VAR21[255:0];
reg[31:0] VAR52;
reg[31:0] VAR47;
reg[27:0] VAR10;
reg[27:0] VAR48;
reg[27:0] VAR15;
reg[27:0] VAR8;
reg[31:0] VAR32;
reg[31:0] VAR46;
reg[63:0] VAR6;
reg[63:0] VAR18;
reg[63:0] VAR23;
reg[63:0] VAR61;
reg[63:0] VAR3;
reg[63:0] VAR30;
reg[1:0] VAR24;
reg VAR56;
reg[63:0] VAR41;
reg[63:0] VAR28; reg VAR45; reg VAR51; reg[127:0] VAR29; reg[4:0] VAR49;
assign VAR64 = VAR28;
assign VAR1 = VAR29;
assign VAR11 = VAR45;
assign VAR57 = VAR51;
assign VAR26 = VAR49;
reg[27:0] VAR67;
reg[27:0] VAR34;
assign VAR59 = VAR30;
assign VAR12 = VAR24;
assign VAR64 = VAR28;
assign VAR11 = VAR45;
reg[63:0] VAR17;
reg[3:0] VAR5;
reg[27:0] VAR14;
reg[3:0] VAR55;
reg[27:0] VAR37;
reg VAR39;
reg[27:0] VAR66;
reg[27:0] VAR40;
reg[3:0] VAR68;
reg[159:0] VAR63;
reg[159:0] VAR19;
reg[159:0] VAR50;
reg VAR9;
reg VAR13;
reg VAR65;
reg VAR43;
reg VAR53;
always @*
begin
VAR52=VAR54[31:0];
VAR47=VAR54[31:0]+4;
VAR10=VAR52[31:4];
VAR48=VAR47[31:4];
VAR30=0;
VAR24=0;
VAR34=0;
VAR15=VAR10;
VAR8=VAR48;
VAR19=VAR63;
VAR68=0;
VAR18=VAR20;
VAR66=28'VAR27;
VAR40=28'VAR27;
VAR53=0;
VAR37=0;
VAR28=0;
VAR29=128'VAR38;
VAR45=0;
VAR51=0;
VAR49=0;
VAR65=0;
VAR13=0;
if(VAR54[31:29]==3'b101)
VAR13=1;
if(VAR54[31:29]==3'b110)
VAR13=1;
if(VAR54[31:29]==3'b111)
VAR13=1;
if((VAR31 || VAR35) &&
(VAR5==0))
begin
if(VAR13)
begin
VAR65=0;
VAR28[29:2]=VAR54[29:2];
VAR29={96'h0, VAR62[31:0]};
VAR45=VAR31;
VAR51=VAR35;
VAR49=1;
VAR24=VAR25;
end
else
begin
if((VAR10==VAR58[VAR10[7:0]]) &&
(VAR48==VAR58[VAR48[7:0]]))
begin
VAR65=1;
end
else if(VAR10==VAR58[VAR10[7:0]])
begin
VAR34=VAR48;
VAR15=VAR48;
end
else
begin
VAR34=VAR10;
VAR15=VAR10;
end
end
if(VAR65)
begin
case(VAR54[3:2])
2'b00: VAR18=VAR50[ 63: 0];
2'b01: VAR18=VAR50[ 95: 32];
2'b10: VAR18=VAR50[127: 64];
2'b11: VAR18=VAR50[159: 96];
endcase
VAR56 = (VAR16[4:2]==3'b011);
case(VAR16[1:0])
2'b00: begin
case(VAR54[1:0])
2'b00: VAR30={
(VAR18[ 7] && !VAR56) ? 56'hF : 56'h0,
VAR18[ 7: 0] };
2'b01: VAR30={
(VAR18[15] && !VAR56) ? 56'hF : 56'h0,
VAR18[15: 8]};
2'b10: VAR30={
(VAR18[23] && !VAR56) ? 56'hF : 56'h0,
VAR18[23:16]};
2'b11: VAR30={
(VAR18[31] && !VAR56) ? 56'hF : 56'h0,
VAR18[31:24]};
endcase
end
2'b01: begin
case(VAR54[1:0])
2'b00: VAR30={
(VAR18[15] && !VAR56) ? 48'hF : 48'h0,
VAR18[ 15: 0] };
2'b01: VAR30={
(VAR18[23] && !VAR56) ? 48'hF : 48'h0,
VAR18[23: 8]};
2'b10: VAR30={
(VAR18[31] && !VAR56) ? 48'hF : 48'h0,
VAR18[31:16]};
2'b11: VAR30={
(VAR18[39] && !VAR56) ? 48'hF : 48'h0,
VAR18[39:24]};
endcase
end
2'b10: begin
case(VAR54[1:0])
2'b00: VAR30={
(VAR18[31] && !VAR56) ? 32'hF : 32'h0,
VAR18[ 31: 0] };
2'b01: VAR30={
(VAR18[39] && !VAR56) ? 32'hF : 32'h0,
VAR18[39: 8]};
2'b10: VAR30={
(VAR18[47] && !VAR56) ? 32'hF : 32'h0,
VAR18[47:16]};
2'b11: VAR30={
(VAR18[55] && !VAR56) ? 32'hF : 32'h0,
VAR18[55:24]};
endcase
end
2'b11: VAR30= VAR18[63: 0] ;
endcase
VAR41 = VAR62;
case(VAR16[4:2])
3'b000: VAR41 = VAR62;
3'b001: VAR41 = VAR17 + VAR62;
3'b010: VAR41 = VAR17 - VAR62;
3'b011: VAR41 = VAR62;
3'b100: VAR41 = VAR17 & VAR62;
3'b101: VAR41 = VAR17 | VAR62;
3'b110: VAR41 = VAR17 ^ VAR62;
3'b111: VAR41 = VAR62;
endcase
case(VAR16[1:0])
2'b00: begin
case(VAR54[1:0])
2'b00: begin
VAR61[ 7:0]=VAR41[ 7:0];
VAR61[63:8]=VAR23[63:8];
end
2'b01: begin
VAR61[ 7: 0]=VAR23[ 7: 0];
VAR61[15: 8]=VAR41[ 7: 0];
VAR61[63:16]=VAR23[63:16];
end
2'b10: begin
VAR61[15: 0]=VAR23[15: 0];
VAR61[23:16]=VAR41[ 7: 0];
VAR61[63:24]=VAR23[63:24];
end
2'b11: begin
VAR61[23: 0]=VAR23[23: 0];
VAR61[31:24]=VAR41[ 7: 0];
VAR61[63:32]=VAR23[63:32];
end
endcase
end
2'b01: begin
case(VAR54[1:0])
2'b00: begin
VAR61[15: 0]=VAR41[15: 0];
VAR61[63:16]=VAR23[63:16];
end
2'b01: begin
VAR61[ 7: 0]=VAR23[ 7: 0];
VAR61[23: 8]=VAR41[15: 0];
VAR61[63:24]=VAR23[63:24];
end
2'b10: begin
VAR61[15: 0]=VAR23[15: 0];
VAR61[31:16]=VAR41[15: 0];
VAR61[63:32]=VAR23[63:32];
end
2'b11: begin
VAR61[23: 0]=VAR23[23: 0];
VAR61[39:24]=VAR41[15: 0];
VAR61[63:40]=VAR23[63:40];
end
endcase
end
2'b10: begin
case(VAR54[1:0])
2'b00: begin
VAR61[31: 0]=VAR41[31: 0];
VAR61[63:32]=VAR23[63:32];
end
2'b01: begin
VAR61[ 7: 0]=VAR23[ 7: 0];
VAR61[39: 8]=VAR41[31: 0];
VAR61[63:40]=VAR23[63:40];
end
2'b10: begin
VAR61[15: 0]=VAR23[15: 0];
VAR61[47:16]=VAR41[31: 0];
VAR61[63:48]=VAR23[63:48];
end
2'b11: begin
VAR61[23: 0]=VAR23[23: 0];
VAR61[55:24]=VAR41[31: 0];
VAR61[63:56]=VAR23[63:56];
end
endcase
end
2'b11: begin
VAR61[63: 0]=VAR41[63: 0];
end
endcase
VAR19=VAR50;
case(VAR54[3:2])
2'b00: VAR19[ 63: 0]=VAR61;
2'b01: VAR19[ 95: 32]=VAR61;
2'b10: VAR19[127: 64]=VAR61;
2'b11: VAR19[159: 96]=VAR61;
endcase
VAR39=VAR35 && VAR9;
VAR66=VAR15;
VAR40=VAR8;
VAR68=1;
VAR53 = VAR35 && VAR9;
VAR24=((VAR9 && !VAR35) || VAR43) ?
VAR4 : VAR69;
end
end
VAR55=VAR5;
VAR39=0;
case(VAR5)
4'h0: begin
if(VAR67!=0)
begin
VAR37=VAR67;
VAR55=4;
if(VAR21[VAR67[7:0]][0])
begin
VAR19=VAR50;
VAR55=8;
end
end
end
4'h1: begin
VAR55=0;
end
4'h2: begin
VAR19[127: 0]=VAR22[127:0];
VAR19[159:128]=VAR22[ 31:0];
VAR28[31:4]=VAR14;
VAR28[3:2]=0;
VAR45=1;
VAR51=0;
VAR49=1;
VAR55=4'h1;
VAR39=1;
VAR66=VAR14;
VAR40=VAR14;
VAR68=0;
end
4'h3: begin
VAR28[31:4]=VAR58[VAR67[7:0]];
VAR28[3:2]=0;
VAR29[127:0] = VAR19[127: 0];
VAR45=0;
VAR51=1;
VAR49=1;
VAR55=4'h1;
end
4'h4: begin
VAR28[31:4]=VAR14;
VAR28[3:2]=VAR5[1:0];
VAR45=1;
VAR49=2;
VAR55=5;
VAR19[ 31: 0]=VAR22[31:0];
VAR19[159:128]=VAR22[31:0];
end
4'h5: begin
VAR28[31:4]=VAR14;
VAR28[3:2]=VAR5[1:0];
VAR45=1;
VAR49=2;
VAR55=6;
VAR19[63:32]=VAR22[31:0];
end
4'h6: begin
VAR28[31:4]=VAR14;
VAR28[3:2]=VAR5[1:0];
VAR45=1;
VAR49=2;
VAR55=7;
VAR19[95:64]=VAR22[31:0];
end
4'h7: begin
VAR28[31:4]=VAR14;
VAR28[3:2]=VAR5[1:0];
VAR45=1;
VAR49=2;
VAR55=1;
VAR19[127:96]=VAR22[31:0];
VAR39=1;
VAR66=VAR14;
VAR40=VAR14;
VAR68=0;
end
4'h8: begin
VAR28[31:4]=VAR58[VAR67[7:0]];
VAR28[3:2]=VAR5[1:0];
VAR29[31:0] = VAR19[ 31: 0];
VAR51=1;
VAR49=2;
VAR55=4'h9;
end
4'h9: begin
VAR28[31:4]=VAR58[VAR67[7:0]];
VAR28[3:2]=VAR5[1:0];
VAR29[31:0] = VAR19[ 63: 32];
VAR51=1;
VAR49=2;
VAR55=4'hA;
end
4'hA: begin
VAR28[31:4]=VAR58[VAR67[7:0]];
VAR28[3:2]=VAR5[1:0];
VAR29[31:0] = VAR19[ 95: 64];
VAR51=1;
VAR49=2;
VAR55=4'hB;
end
4'hB: begin
VAR28[31:4]=VAR58[VAR67[7:0]];
VAR28[3:2]=VAR5[1:0];
VAR29[31:0] = VAR19[127: 96];
VAR51=1;
VAR49=2;
VAR55=4'h1;
end
default: begin end
endcase
end
always @ (posedge VAR2)
begin
VAR67 <= VAR34;
VAR14 <= VAR37;
VAR63 <= VAR19;
VAR50[ 31: 0] <= VAR33[VAR15[7:0]];
VAR50[ 63: 32] <= VAR42[VAR15[7:0]];
VAR50[ 95: 64] <= VAR44[VAR15[7:0]];
VAR50[127: 96] <= VAR60[VAR15[7:0]];
VAR50[159:128] <= VAR7[VAR8[7:0]];
VAR9 <= VAR65;
VAR43 <= VAR53;
VAR23 <= VAR18;
VAR17 <= VAR30;
if(VAR39)
begin
VAR33[VAR66[7:0]] <= VAR19[ 31: 0];
VAR42[VAR66[7:0]] <= VAR19[ 63: 32];
VAR44[VAR66[7:0]] <= VAR19[ 95: 64];
VAR60[VAR66[7:0]] <= VAR19[127: 96];
VAR7[VAR40[7:0]] <= VAR19[159:128];
VAR58[VAR66[7:0]] <= VAR66;
VAR21[VAR66[7:0]] <= VAR68;
end
if(VAR25==VAR4)
begin
VAR5 <= VAR55;
end
else if(VAR25==VAR36)
begin
case(VAR5)
4'h0: begin
VAR5 <= VAR55;
end
4'h1: begin
VAR5 <= VAR55;
end
default: begin end
endcase
end
end
endmodule | mit |
disaderp/automatic-chainsaw | GPU/VGA.v | 2,628 | module MODULE1 (
input clk,
output reg VAR5, output reg VAR6,
output reg[9:0] VAR8, output reg[9:0] VAR1 );
localparam VAR2 = 1'b0; localparam VAR4 = 1'b1;
reg[9:0] VAR7 = 0; reg[9:0] VAR3 = 0;
always @( posedge clk)
begin
VAR3 <= VAR3 + 1;
case (VAR3)
0: VAR5 <= VAR4;
16: VAR5 <= VAR2;
112: VAR5 <= VAR4;
800: begin
VAR7 <= VAR7 + 1;
VAR3 <= 0;
end
endcase
case (VAR7)
0: VAR6 <= VAR4;
10: VAR6 <= VAR2;
12: VAR6 <= VAR4;
525: begin
VAR7 <= 0;
end
endcase
VAR8 <= 0;
VAR1 <= 0;
if (VAR7>=35 && VAR7<515)
begin
if (VAR3>=160 && VAR3<800)
begin
VAR8 <= VAR3 - 10'd160;
VAR1 <= VAR7 - 10'd35;
end
end
end
endmodule | gpl-3.0 |
anderson1008/NOCulator | hring/hw/buffered/src/vcr_ovc_ctrl.v | 20,888 | module MODULE1
(clk, reset, VAR56, VAR72, VAR86, VAR33, VAR24, VAR51,
VAR41, VAR102, VAR115, VAR35, VAR3, VAR77);
parameter VAR113 = 8;
localparam VAR111 = VAR20(VAR113);
parameter VAR91 = 4;
parameter VAR100 = 2;
parameter VAR69 = 2;
localparam VAR112 = VAR100 * VAR69;
parameter VAR83 = 1;
localparam VAR59 = VAR112 * VAR83;
localparam VAR43 = VAR20(VAR59);
parameter VAR98 = 4;
parameter VAR16 = 2;
parameter VAR96 = 1;
parameter VAR63 = VAR11;
localparam VAR13
= ((VAR63 == VAR11) ||
(VAR63 == VAR66)) ?
2 :
(VAR63 == VAR36) ?
(VAR98 - 1) :
-1;
localparam VAR14
= VAR16 * VAR13 + VAR96;
parameter VAR9 = VAR7;
localparam VAR84
= (VAR9 == VAR6) ?
(1 + VAR43 + 1 + 1) :
(VAR9 == VAR7) ?
(1 + VAR43 + 1) :
-1;
localparam VAR90 = 1 + VAR43;
parameter VAR117 = 0;
parameter VAR4 = 0;
parameter VAR103 = 0;
parameter VAR94 = VAR87;
parameter VAR88 = 2;
localparam VAR107 = 1 + VAR43 + 1 + 1;
localparam VAR21
= (VAR94 == VAR28) ?
VAR88 :
(VAR94 == VAR87) ?
1 :
-1;
localparam VAR75 = VAR20(VAR113 + 1);
localparam VAR2 = VAR4 ?
VAR20(VAR113) :
VAR75;
localparam [0:VAR2-1] VAR76
= VAR4 ? (VAR113 - 1) : VAR113;
localparam [0:VAR88-1] VAR48
= (VAR75 > VAR88) ?
((1 << VAR88) - 1) :
VAR113;
parameter VAR79 = VAR65;
input clk;
input reset;
input [0:VAR90-1] VAR56;
input VAR72;
input [0:VAR14-1] VAR86;
input [0:VAR59-1] VAR33;
input VAR24;
input [0:VAR14-1] VAR51;
input [0:VAR107-1] VAR41;
output VAR102;
wire VAR102;
output VAR115;
wire VAR115;
output VAR35;
wire VAR35;
output [0:VAR21-1] VAR3;
wire [0:VAR21-1] VAR3;
output [0:3] VAR77;
wire [0:3] VAR77;
wire VAR85;
assign VAR85 = VAR41[0] & VAR24;
wire VAR46;
assign VAR46 = VAR41[1+VAR43+1];
wire VAR81;
assign VAR81 = VAR56[0];
wire VAR12;
generate
if(VAR59 > 1)
begin
wire [0:VAR43-1] VAR68;
assign VAR68 = VAR56[1:1+VAR43-1];
assign VAR12 = VAR81 && (VAR68 == VAR117);
end
else
assign VAR12 = VAR81;
endgenerate
wire VAR50;
assign VAR50 = VAR85 & VAR102;
wire VAR93;
assign VAR93 = VAR50;
wire VAR67;
wire VAR15;
assign VAR15 = VAR72 | VAR67;
wire VAR57;
assign VAR57 = VAR15 & ~(VAR50 & VAR46);
VAR25
.VAR79(VAR79))
VAR67
(.clk(clk),
.reset(reset),
.VAR54(VAR57),
.VAR40(VAR67));
generate
if(VAR59 > 1)
begin
wire [0:VAR43-1] VAR60;
assign VAR60 = VAR41[1:1+VAR43-1];
if(VAR103)
begin
wire [0:VAR14-1] VAR106, VAR26;
assign VAR106 = VAR72 ? VAR86 : VAR26;
VAR25
.VAR79(VAR79))
VAR26
(.clk(clk),
.reset(reset),
.VAR54(VAR106),
.VAR40(VAR26));
wire [0:VAR43-1] VAR116;
VAR19
VAR58
(.VAR109(VAR33),
.VAR38(VAR116));
wire [0:VAR43-1] VAR101, VAR89;
assign VAR101 = VAR72 ? VAR116 : VAR89;
VAR25
.VAR79(VAR79))
VAR89
(.clk(clk),
.reset(reset),
.VAR54(VAR101),
.VAR40(VAR89));
assign VAR102 = VAR67 &&
|(VAR26 & VAR51) &&
(VAR89 == VAR60);
end
else
assign VAR102 = (VAR60 == VAR117);
end
else
assign VAR102 = 1'b1;
endgenerate
wire VAR64;
assign VAR64 = VAR24 | VAR81;
wire [0:VAR75-1] VAR61;
wire VAR73;
wire VAR27;
wire VAR1;
generate
if(VAR91 == VAR113)
begin
assign VAR73 = 1'b1;
assign VAR27 = 1'b0;
assign VAR1 = 1'b0;
end
else
begin
wire VAR45;
assign VAR45 = VAR72;
wire VAR42;
if(VAR91 == 1)
begin
wire VAR52;
assign VAR52
= (VAR61 == (VAR113 - 1)) && VAR12;
wire VAR23;
assign VAR42 = VAR23 & VAR52;
wire VAR10;
assign VAR10
= VAR64 ?
((VAR23 & ~VAR52) |
(VAR50 & VAR46)) :
VAR23;
VAR25
.VAR79(VAR79))
VAR23
(.clk(clk),
.reset(reset),
.VAR54(VAR10),
.VAR40(VAR23));
wire VAR31, VAR39;
assign VAR31 = (VAR39 & ~VAR45) | VAR42;
VAR25
.VAR79(VAR79),
.VAR104(1'b1))
VAR39
(.clk(clk),
.reset(reset),
.VAR54(VAR31),
.VAR40(VAR39));
assign VAR73 = VAR39;
assign VAR27 = VAR45 & ~VAR39;
assign VAR1 = VAR42 & VAR39;
end
else
begin
wire [0:VAR111-1] VAR44, VAR18;
VAR55
.VAR82(0),
.VAR37(VAR113-1))
VAR34
(.VAR109(VAR18),
.VAR38(VAR44));
wire [0:VAR111-1] VAR47;
assign VAR47
= VAR64 ?
(VAR50 ? VAR44 : VAR18) :
VAR18;
VAR25
.VAR79(VAR79))
VAR18
(.clk(clk),
.reset(reset),
.VAR54(VAR47),
.VAR40(VAR18));
wire [0:VAR111-1] VAR17, VAR97;
VAR55
.VAR82(0),
.VAR37(VAR113-1))
VAR70
(.VAR109(VAR97),
.VAR38(VAR17));
wire [0:VAR111-1] VAR5;
assign VAR5
= VAR64 ?
(VAR12 ? VAR17 : VAR97) :
VAR97;
VAR25
.VAR79(VAR79))
VAR97
(.clk(clk),
.reset(reset),
.VAR54(VAR5),
.VAR40(VAR97));
reg [0:VAR113-1] VAR99;
always @(posedge clk)
if(VAR64)
if(VAR50)
VAR99[VAR18] <= VAR46;
wire VAR80;
assign VAR80 = VAR99[VAR97];
assign VAR42 = VAR12 & VAR80;
wire [0:1] VAR53;
VAR29
.VAR79(VAR79))
VAR105
(.clk(clk),
.reset(reset),
.VAR12(VAR42),
.VAR93(VAR45),
.VAR95(VAR73),
.VAR77(VAR53));
assign VAR27 = VAR53[0];
assign VAR1 = VAR53[1];
end
end
endgenerate
wire [0:VAR75-1] VAR74;
assign VAR74 = VAR61 + VAR12;
wire [0:VAR75-1] VAR114;
assign VAR114 = VAR74 - VAR93;
wire [0:VAR75-1] VAR108;
assign VAR108 = VAR64 ? VAR114 : VAR61;
VAR25
.VAR79(VAR79),
.VAR104(VAR113))
VAR61
(.clk(clk),
.reset(reset),
.VAR54(VAR108),
.VAR40(VAR61));
wire VAR8;
assign VAR8 = ~|VAR61;
wire VAR49;
assign VAR49 = VAR8 & VAR93;
wire VAR71;
assign VAR71 = (VAR61 == VAR113);
wire VAR30;
assign VAR30 = VAR71 & VAR12;
assign VAR35 = VAR71;
generate
case(VAR94)
begin
if(VAR75 > VAR88)
begin
wire VAR62;
assign VAR62
= |VAR74[0:
VAR75-
VAR88-1];
assign VAR3
= {VAR88{VAR62}} |
VAR74[VAR75-VAR88:
VAR75-1];
end
else if(VAR75 == VAR88)
assign VAR3
= VAR74[0:VAR75-1];
end
else
begin
assign VAR3[0:VAR88-VAR75-1]
= {(VAR88-VAR75){1'b0}};
assign VAR3[VAR88-VAR75:
VAR88-1]
= VAR74;
end
end
begin
wire [0:VAR2-1] VAR92;
if(VAR4)
assign VAR92
= VAR114[(VAR75-VAR2):
VAR75-1] -
|VAR114;
end
else
assign VAR92 = VAR114;
if(VAR2 >= 2)
begin
wire [0:VAR2-1] VAR22, VAR32;
assign VAR22
= VAR15 ?
(VAR32 - (|VAR32 & ~VAR12)) :
VAR92;
VAR25
.VAR79(VAR79),
.VAR104(VAR76))
VAR32
(.clk(clk),
.reset(reset),
.VAR54(VAR22),
.VAR40(VAR32));
assign VAR3
= VAR15 ?
(|VAR32[0:VAR2-2] | VAR12) :
|VAR92;
end
else
assign VAR3 = VAR15 ? VAR12 : |VAR92;
end
endcase
endgenerate
generate
if(VAR4)
begin
wire VAR110, VAR78;
assign VAR110 = VAR12 |
|VAR61[0:VAR75-2] |
(VAR61[VAR75-1] & ~VAR93);
VAR25
.VAR79(VAR79))
VAR78
(.clk(clk),
.reset(reset),
.VAR54(VAR110),
.VAR40(VAR78));
assign VAR115 = ~VAR67 & VAR73 & VAR78;
end
else
assign VAR115 = ~VAR67 & VAR73;
endgenerate
assign VAR77 = {VAR49,
VAR30,
VAR27,
VAR1};
endmodule | mit |
asicguy/gplgpu | hdl/altera_project/dpram_64_32x32/dpram_64_32x32.v | 8,708 | module MODULE1 (
VAR46,
VAR21,
VAR50,
VAR30,
VAR41,
VAR18,
VAR19);
input [31:0] VAR46;
input VAR21;
input [4:0] VAR50;
input [3:0] VAR30;
input VAR41;
input VAR18;
output [63:0] VAR19;
wire [63:0] VAR35;
wire [63:0] VAR19 = VAR35[63:0];
VAR32 VAR44 (
.VAR27 (VAR21),
.VAR4 (VAR41),
.VAR8 (VAR18),
.VAR54 (VAR50),
.VAR51 (VAR30),
.VAR40 (VAR46),
.VAR49 (VAR35)
,
.VAR26 (),
.VAR17 (),
.VAR13 (),
.VAR22 (),
.VAR43 (),
.VAR42 (),
.VAR10 (),
.VAR52 (),
.VAR53 (),
.VAR12 (),
.VAR29 (),
.VAR6 ()
);
VAR44.VAR39 = "VAR25 VAR47",
VAR44.VAR37 = "VAR16",
VAR44.VAR20 = 32,
VAR44.VAR23 = 5,
VAR44.VAR2 = 32,
VAR44.VAR33 = 64,
VAR44.VAR34 = 4,
VAR44.VAR15 = 16,
VAR44.VAR45 = "VAR32",
VAR44.VAR24 = 1,
VAR44.VAR31 = "VAR36",
VAR44.VAR3 = "VAR5",
VAR44.VAR7 = "VAR48",
VAR44.VAR1 = "VAR9",
VAR44.VAR38 = "VAR9",
VAR44.VAR28 = "VAR9",
VAR44.VAR14 = "VAR11";
endmodule | gpl-3.0 |
gr0bi42/BTCMiner | fpga/miner253.v | 2,141 | module MODULE1 (clk, reset, VAR13, VAR8, VAR17, VAR10, VAR11);
parameter VAR16 = 32'd0;
parameter VAR19 = 32'd1;
parameter VAR4 = 32'd0;
input clk, reset;
input [255:0] VAR13;
input [95:0] VAR8;
output reg [31:0] VAR17, VAR11, VAR10;
reg [31:0] VAR15;
wire [255:0] VAR21;
wire [31:0] VAR9;
reg VAR3, VAR5, VAR14, VAR20;
VAR12 VAR7 (
.clk(clk),
.state(VAR13),
.VAR2(VAR13),
.VAR8({384'h000002800000000000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR15, VAR8}),
.VAR21(VAR21)
);
VAR6 VAR22 (
.clk(clk),
.VAR8({256'h0000010000000000000000000000000000000000000000000000000080000000, VAR21}),
.VAR21(VAR9)
);
always @ (posedge clk)
begin
if ( VAR3 )
begin
VAR15 <= 32'd254 + VAR16;
end else begin
VAR15 <= VAR15 + VAR19;
end
if ( VAR5 )
begin
VAR10 <= VAR16 + VAR4;
end else begin
VAR10 <= VAR10 + VAR19;
end
if ( VAR14 )
begin
VAR18 <= 32'd0;
VAR1 <= 32'd0;
end
else if ( VAR20 )
begin
VAR18 <= VAR10;
VAR1 <= VAR18;
end
VAR3 <= reset;
VAR5 <= reset;
VAR14 <= reset;
VAR11 <= VAR9;
VAR20 <= VAR9 == 32'ha41f32e7;
end
endmodule | gpl-3.0 |
r2apu/Labo_Digitales | L2/codigo/IMUL.v | 1,117 | module MODULE2
(
input wire [3:0] VAR9,
input wire [3:0] VAR4,
output reg [7:0] out
);
reg VAR11, VAR5, VAR1; reg [2:0] VAR7, VAR6;
always @ (*) begin
out[0] =VAR9[0] & VAR4[0];
{VAR11, out[1]} = (VAR9[0] & VAR4[1]) + (VAR9[1] & VAR4[0]);
{VAR11, VAR7[0]} = (VAR9[2] & VAR4[0]) + (VAR9[1] & VAR4[1]) + VAR11;
{VAR5, out[2]} = (VAR9[0] & VAR4[2]) + VAR7[0];
{VAR11, VAR7[1]} = (VAR9[3] & VAR4[0]) + (VAR9[2] & VAR4[1]) + VAR11;
{VAR5, VAR6[0]} = (VAR9[1] & VAR4[2]) + VAR7[1] + VAR5;
{VAR1, out[3]} = (VAR9[0] & VAR4[3]) + VAR6[0];
{VAR11, VAR7[2]} = (VAR9[3] & VAR4[1]) + VAR11;
{VAR5, VAR6[1]} = (VAR9[2] & VAR4[2]) + VAR7[2] + VAR5;
{VAR1, out[4]} = (VAR9[1] & VAR4[3]) + VAR6[1] + VAR1;
{VAR5, VAR6[2]} = (VAR9[3] & VAR4[2]) + VAR5 + VAR11;
{VAR1, out[5]} = (VAR9[2] & VAR4[3]) + VAR6[2] + VAR1;
{out[7], out[6]} = (VAR9[3] & VAR4[3]) + VAR5 + VAR1;
end
endmodule
module MODULE1;
wire [7:0] VAR8;
reg [3:0] VAR3,VAR10;
MODULE2 MODULE1(VAR3,VAR10,VAR8); | gpl-3.0 |
jairov4/accel-oil | solution_virtex5_plb/syn/verilog/sample_iterator_get_offset.v | 5,579 | module MODULE1 (
VAR12,
VAR32,
VAR43,
VAR3,
VAR45,
VAR27,
VAR17,
VAR16,
VAR22,
VAR30,
VAR44,
VAR7,
VAR5,
VAR1,
VAR35,
VAR21,
VAR2,
VAR33,
VAR42,
VAR37
);
parameter VAR19 = 1'b1;
parameter VAR38 = 1'b0;
parameter VAR41 = 2'b00;
parameter VAR9 = 2'b1;
parameter VAR24 = 2'b10;
parameter VAR36 = 2'b11;
parameter VAR29 = 32'b1;
parameter VAR18 = 32'b110000;
parameter VAR20 = 32'b110111;
parameter VAR25 = 56'b00000000000000000000000000000000000000000000000000000000;
parameter VAR6 = 1'b1;
input VAR12;
input VAR32;
input VAR43;
output VAR3;
output VAR45;
output VAR27;
input [15:0] VAR17;
input [15:0] VAR16;
output VAR22;
input VAR30;
output VAR44;
input VAR7;
output VAR5;
output [31:0] VAR1;
input [55:0] VAR35;
output [55:0] VAR21;
output [31:0] VAR2;
input [31:0] VAR33;
input [15:0] VAR42;
output [31:0] VAR37;
reg VAR3;
reg VAR45;
reg VAR27;
reg VAR44;
reg VAR5;
reg [1:0] VAR10 = 2'b00;
wire [31:0] VAR13;
reg [31:0] VAR8;
reg [7:0] VAR34;
wire [63:0] VAR15;
wire [15:0] VAR11;
wire [7:0] VAR4;
wire [23:0] VAR40;
wire [31:0] VAR23;
reg [1:0] VAR26;
wire [23:0] VAR14;
wire [23:0] VAR39;
always @ (posedge VAR12)
begin : VAR31
if (VAR32 == 1'b1) begin
VAR10 <= VAR41;
end else begin
VAR10 <= VAR26;
end
end
always @(posedge VAR12)
begin
if (((VAR24 == VAR10) & ~(VAR7 == VAR38))) begin
VAR34 <= {{VAR35[VAR20 : VAR18]}};
VAR8 <= VAR13;
end
end
always @ (VAR43 or VAR10)
begin
if (((~(VAR19 == VAR43) & (VAR41 == VAR10)) | (VAR36 == VAR10))) begin
VAR3 = VAR19;
end else begin
VAR3 = VAR38;
end
end
always @ (VAR43 or VAR10)
begin
if ((~(VAR19 == VAR43) & (VAR41 == VAR10))) begin
VAR45 = VAR19;
end else begin
VAR45 = VAR38;
end
end
always @ (VAR10)
begin
if ((VAR36 == VAR10)) begin
VAR27 = VAR19;
end else begin
VAR27 = VAR38;
end
end
always @ (VAR43 or VAR10)
begin
if (((VAR41 == VAR10) & ~(VAR43 == VAR38))) begin
VAR44 = VAR19;
end else begin
VAR44 = VAR38;
end
end
always @ (VAR10 or VAR7)
begin
if (((VAR24 == VAR10) & ~(VAR7 == VAR38))) begin
VAR5 = VAR19;
end else begin
VAR5 = VAR38;
end
end
always @ (VAR43 or VAR10 or VAR7)
begin
case (VAR10)
VAR41 :
if (~(VAR43 == VAR38)) begin
VAR26 = VAR9;
end else begin
VAR26 = VAR41;
end
VAR9 :
VAR26 = VAR24;
VAR24 :
if (~(VAR7 == VAR38)) begin
VAR26 = VAR36;
end else begin
VAR26 = VAR24;
end
VAR36 :
VAR26 = VAR41;
default :
VAR26 = 'VAR28;
endcase
end
assign VAR37 = (VAR23 + VAR8);
assign VAR1 = VAR15;
assign VAR21 = VAR25;
assign VAR22 = VAR38;
assign VAR2 = VAR29;
assign VAR23 = (VAR40);
assign VAR13 = VAR35[31:0];
assign VAR15 = (VAR17);
assign VAR11 = VAR14;
assign VAR14 = (VAR16);
assign VAR4 = VAR39;
assign VAR39 = (VAR34);
assign VAR40 = (({{1'b0}, {VAR11}}) * ({{1'b0}, {VAR4}}));
endmodule | lgpl-3.0 |
cpulabs/mist1032isa | src/core/decode/decode_function.v | 189,467 | module MODULE1(
input wire [31:0] VAR2,
output wire VAR5,
output wire VAR8,
output wire VAR24,
output wire VAR33,
output wire VAR9,
output wire VAR23,
output wire VAR13,
output wire VAR34,
output wire VAR16,
output wire VAR21,
output wire VAR32,
output wire VAR35,
output wire VAR28,
output wire [4:0] VAR17,
output wire [3:0] VAR19,
output wire [4:0] VAR6,
output wire [31:0] VAR3,
output wire [5:0] VAR31,
output wire VAR27,
output wire VAR26,
output wire [4:0] VAR4,
output wire VAR20,
output wire VAR30,
output wire VAR15,
output wire VAR12,
output wire VAR18,
output wire VAR29,
output wire VAR11,
output wire VAR1,
output wire VAR25,
output wire VAR22
);
function [81:0] VAR14;
input [31:0] VAR7;
begin
case(VAR7[30 : 21])
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0, 1'b0, VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b0,
1'b1,
1'b0,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b0,
1'b1,
1'b0,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[4:0],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[4:0],
32'h1,
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[4:0],
32'h1,
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin
VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[4:0],
32'h1,
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{VAR7[15]}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[4:0],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b1,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b0,
1'b1,
1'b0,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{16{1'b0}}, VAR7[20:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{16{1'b0}}, VAR7[20:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{32{1'b1}},
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[4:0],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[4:0],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
4'h0,
VAR7[9:5],
{{16{1'b0}}, VAR7[20:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
4'h0,
VAR7[9:5],
{VAR7[20:10], VAR7[4:0], {16{1'b0}}},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
4'h0,
VAR7[9:5],
{{16{1'b0}}, VAR7[20:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]}, 1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{20{1'b0}}, VAR7[15:10], VAR7[4:0], 1'b0}, 1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{19{1'b0}}, VAR7[15:10], VAR7[4:0], 2'b00}, 1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{21{1'b0}}, VAR7[15:10], VAR7[4:0]}, 1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{20{1'b0}}, VAR7[15:10], VAR7[4:0], 1'b0}, 1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{19{1'b0}}, VAR7[15:10], VAR7[4:0], 2'b00}, 1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR10}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
1'b0,
1'b1,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b1,
1'b0,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]}, 1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{20{1'b0}}, VAR7[15:10], VAR7[4:0], 1'b0}, 1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{19{1'b0}}, VAR7[15:10], VAR7[4:0], 2'b00}, 1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{21{1'b0}}, VAR7[15:10], VAR7[4:0]}, 1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{20{1'b0}}, VAR7[15:10], VAR7[4:0], 1'b0}, 1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{19{1'b0}}, VAR7[15:10], VAR7[4:0], 2'b00}, 1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
5'h00,
{{27{1'b0}}, VAR10}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
VAR7[15:10],
1'b1,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
VAR7[15:10],
1'b1,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}},
{{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
VAR7[15:10],
1'b1,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
VAR7[15:10],
1'b1,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
VAR7[15:10],
1'b1,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[4:0]}, 1'b0,
1'b0,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
VAR7[15:10],
1'b1,
5'h00, 1'b0,
1'b0,
1'b0,
1'b0,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[9:5]}, 1'b1,
1'b0,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{14{1'b0}}, VAR7[15:0], 2'h0}, 1'b1,
1'b1,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[9:5]}, 1'b1,
1'b0,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{14{VAR7[15]}}, VAR7[15:0], 2'h0}, 1'b1,
1'b1,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}}, {{27{1'b0}}, VAR7[9:5]}, 1'b1,
1'b0,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}}, {{14{1'b0}}, VAR7[15:0], 2'b0}, 1'b1,
1'b1,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}}, {{27{1'b0}}, VAR7[9:5]}, 1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}}, {{14{1'b0}}, VAR7[15:0], 2'h0}, 1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[9:5]}, 1'b1,
1'b0,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{14{1'b0}}, VAR7[15:0], 2'h0}, 1'b1,
1'b1,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{27{1'b0}}, VAR7[9:5]}, 1'b1,
1'b0,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5], {{14{VAR7[15]}}, VAR7[15:0], 2'h0}, 1'b1,
1'b1,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}}, {{27{1'b0}}, VAR7[9:5]}, 1'b1,
1'b0,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}}, {{14{1'b0}}, VAR7[15:0], 2'b0}, 1'b1,
1'b1,
1'b1, 1'b1,
1'b1, 1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
5'h0,
{32{1'b0}},
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{{27{1'b0}}, VAR7[9:5]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{{27{1'b0}}, VAR7[9:5]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
else begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
{{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
5'h0,
{32{1'b0}},
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b1,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{{14{VAR7[15]}}, VAR7[15:0], 2'h0}, 1'b0,
1'b1,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
{5{1'b0}},
1'b0,
1'b0,
1'b0,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
{5{1'b0}},
1'b0,
1'b0,
1'b0,
1'b0,
};
end
begin
VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
begin
if(!VAR7[20])begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{{27{1'b0}}, VAR7[4:0]},
1'b0,
1'b0,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
else begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{{19{VAR7[15]}}, VAR7[15:10], VAR7[4:0], 2'b0},
1'b0,
1'b1,
1'b1,
1'b1,
1'b1,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
VAR7[9:5],
1'b1,
1'b0,
1'b0,
1'b1,
};
end
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
{5{1'b0}}, {{21{1'b0}}, VAR7[15:10], VAR7[4:0]},
1'b0,
1'b1,
1'b0,
1'b1,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
1'b0,
1'b0,
1'b1,
1'b0,
};
end
begin VAR14 = {
1'b0,
1'b0,
VAR7[19:16],
VAR7[9:5],
{32{1'b0}},
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
1'b0,
6'h0,
1'b0,
{5{1'b0}},
1'b0,
1'b0,
1'b0,
1'b0,
};
end
default :
begin
VAR14 = {1'b1, {81{1'b0}}};
end
endcase
end
endfunction
assign {
VAR5,
VAR28,
VAR19,
VAR6,
VAR3,
VAR27,
VAR26,
VAR8,
VAR24,
VAR33,
VAR9,
VAR23,
VAR13,
VAR31,
VAR34,
VAR4,
VAR32,
VAR35,
VAR16,
VAR21,
VAR17,
VAR20,
VAR30,
VAR15,
VAR12,
VAR18,
VAR11,
VAR1,
VAR29,
VAR25,
VAR22
} = VAR14(VAR2);
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sdlclkp/sky130_fd_sc_lp__sdlclkp.pp.symbol.v | 1,332 | module MODULE1 (
input VAR6 ,
input VAR5 ,
input VAR3,
output VAR1,
input VAR7 ,
input VAR4,
input VAR8,
input VAR2
);
endmodule | apache-2.0 |
superibk/orp | hardware/mselSoC/src/systems/geophyte/rtl/verilog/crypto_sha256/rtl/verilog/sha256_K.v | 2,775 | module MODULE1 (
input [5:0] VAR1,
output reg [31:0] VAR2
);
always @*
begin
case(VAR1)
0: VAR2 = 32'h428a2f98;
1: VAR2 = 32'h71374491;
2: VAR2 = 32'hb5c0fbcf;
3: VAR2 = 32'he9b5dba5;
4: VAR2 = 32'h3956c25b;
5: VAR2 = 32'h59f111f1;
6: VAR2 = 32'h923f82a4;
7: VAR2 = 32'hab1c5ed5;
8: VAR2 = 32'hd807aa98;
9: VAR2 = 32'h12835b01;
10: VAR2 = 32'h243185be;
11: VAR2 = 32'h550c7dc3;
12: VAR2 = 32'h72be5d74;
13: VAR2 = 32'h80deb1fe;
14: VAR2 = 32'h9bdc06a7;
15: VAR2 = 32'hc19bf174;
16: VAR2 = 32'he49b69c1;
17: VAR2 = 32'hefbe4786;
18: VAR2 = 32'h0fc19dc6;
19: VAR2 = 32'h240ca1cc;
20: VAR2 = 32'h2de92c6f;
21: VAR2 = 32'h4a7484aa;
22: VAR2 = 32'h5cb0a9dc;
23: VAR2 = 32'h76f988da;
24: VAR2 = 32'h983e5152;
25: VAR2 = 32'ha831c66d;
26: VAR2 = 32'hb00327c8;
27: VAR2 = 32'hbf597fc7;
28: VAR2 = 32'hc6e00bf3;
29: VAR2 = 32'hd5a79147;
30: VAR2 = 32'h06ca6351;
31: VAR2 = 32'h14292967;
32: VAR2 = 32'h27b70a85;
33: VAR2 = 32'h2e1b2138;
34: VAR2 = 32'h4d2c6dfc;
35: VAR2 = 32'h53380d13;
36: VAR2 = 32'h650a7354;
37: VAR2 = 32'h766a0abb;
38: VAR2 = 32'h81c2c92e;
39: VAR2 = 32'h92722c85;
40: VAR2 = 32'ha2bfe8a1;
41: VAR2 = 32'ha81a664b;
42: VAR2 = 32'hc24b8b70;
43: VAR2 = 32'hc76c51a3;
44: VAR2 = 32'hd192e819;
45: VAR2 = 32'hd6990624;
46: VAR2 = 32'hf40e3585;
47: VAR2 = 32'h106aa070;
48: VAR2 = 32'h19a4c116;
49: VAR2 = 32'h1e376c08;
50: VAR2 = 32'h2748774c;
51: VAR2 = 32'h34b0bcb5;
52: VAR2 = 32'h391c0cb3;
53: VAR2 = 32'h4ed8aa4a;
54: VAR2 = 32'h5b9cca4f;
55: VAR2 = 32'h682e6ff3;
56: VAR2 = 32'h748f82ee;
57: VAR2 = 32'h78a5636f;
58: VAR2 = 32'h84c87814;
59: VAR2 = 32'h8cc70208;
60: VAR2 = 32'h90befffa;
61: VAR2 = 32'ha4506ceb;
62: VAR2 = 32'hbef9a3f7;
63: VAR2 = 32'hc67178f2;
endcase
end
endmodule | apache-2.0 |
Ribeiro/sd2snes | verilog/sd2snes/rtc.v | 10,743 | module MODULE1 (
input VAR15,
input VAR14,
input [55:0] VAR41,
input VAR18,
input [59:0] VAR24,
output [59:0] VAR35
);
reg [59:0] VAR10;
reg [59:0] VAR43;
reg [1:0] VAR36;
always @(posedge VAR15) VAR36 <= {VAR36[0], VAR14};
wire VAR12 = (VAR36[1:0] == 2'b01);
reg [2:0] VAR29;
always @(posedge VAR15) VAR29 <= {VAR29[1:0], VAR18};
wire VAR42 = (VAR29[2:1] == 2'b01);
reg [31:0] VAR11;
always @(posedge VAR15) begin
VAR11 <= VAR11 + 1;
if((VAR11 == 22000000) || VAR12) VAR11 <= 0;
end
assign VAR35 = VAR43;
reg [21:0] VAR22;
reg VAR6;
reg [3:0] VAR21[11:0];
reg [3:0] VAR13[11:0];
reg [3:0] VAR27;
reg [1:0] VAR28;
reg [4:0] VAR31;
reg [3:0] VAR4;
reg [13:0] VAR44;
reg [6:0] VAR47;
reg [6:0] VAR1;
reg [15:0] VAR17;
parameter [21:0]
VAR20 = 22'b0000000000000000000001,
VAR5 = 22'b0000000000000000000010,
VAR30 = 22'b0000000000000000000100,
VAR2 = 22'b0000000000000000001000,
VAR40 = 22'b0000000000000000010000,
VAR38 = 22'b0000000000000000100000,
VAR46 = 22'b0000000000000001000000,
VAR33 = 22'b0000000000000010000000,
VAR16 = 22'b0000000000000100000000,
VAR37 = 22'b0000000000001000000000,
VAR23 = 22'b0000000000010000000000,
VAR26 = 22'b0000000000100000000000,
VAR39 = 22'b0000000001000000000000,
VAR25 = 22'b0000000010000000000000,
VAR34 = 22'b0000000100000000000000,
VAR9 = 22'b0000001000000000000000,
VAR7 = 22'b0000010000000000000000,
VAR19 = 22'b0000100000000000000000,
VAR3 = 22'b0001000000000000000000,
VAR32 = 22'b0010000000000000000000,
VAR8 = 22'b0100000000000000000000,
VAR45 = 22'b1000000000000000000000; | gpl-2.0 |
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC | Sobel/ip/Sobel/acl_fp_convert_to_internal_double.v | 2,459 | module MODULE1( VAR13, VAR17, VAR20,
VAR21, VAR8, VAR19,
VAR7, VAR12, VAR10, VAR5,
enable);
parameter VAR18 = 1;
parameter VAR4 = 1;
parameter VAR22 = 0;
parameter VAR6 = 1;
input VAR13, VAR17;
input [63:0] VAR20;
output [55:0] VAR21;
output [11:0] VAR8;
output VAR19;
input enable, VAR7, VAR10;
output VAR12, VAR5;
reg VAR9;
wire VAR1;
wire VAR2;
assign VAR1 = (VAR18 ? (~VAR2 | ~VAR9) : enable);
assign VAR5 = VAR2 & VAR9;
reg [55:0] VAR3;
reg [11:0] VAR16;
reg VAR14;
generate
if (VAR4 == 1)
begin
always @(posedge VAR13 or negedge VAR17)
begin
if (~VAR17)
begin
VAR3 <= 56'VAR11;
VAR16 <= 12'VAR11;
VAR14 <= 1'VAR15;
VAR9 <= 1'b0;
end
else if (VAR1)
begin
VAR9 <= VAR7;
if ((VAR22) && (VAR20[62:52] == 11'd0))
VAR3 <= 27'd0;
end
else
VAR3 <= {|VAR20[62:52],VAR20[51:0],3'd0};
if (VAR6 == 0)
VAR16 <= {&VAR20[62:52], VAR20[62:52]};
end
else
VAR16 <= {1'b0, VAR20[62:52]};
VAR14 <= VAR20[63];
end
end
end
else
begin
always @(*)
begin
VAR9 <= VAR7;
if ((VAR22) && (VAR20[62:52] == 11'd0))
VAR3 <= 27'd0;
end
else
VAR3 <= {|VAR20[62:52],VAR20[51:0],3'd0};
if (VAR6 == 0)
VAR16 <= {&VAR20[62:52], VAR20[62:52]};
end
else
VAR16 <= {1'b0, VAR20[62:52]};
VAR14 <= VAR20[63];
end
end
endgenerate
assign VAR2 = VAR10;
assign VAR12 = VAR9;
assign VAR21 = VAR3;
assign VAR8 = VAR16;
assign VAR19 = VAR14;
endmodule | mit |
jairov4/accel-oil | solution_spartan3/impl/verilog/nfa_accept_samples_generic_hw_add_32ns_32ns_32_8.v | 11,362 | module MODULE2(clk, reset, VAR74, VAR53, VAR27, VAR81);
input clk;
input reset;
input VAR74;
input [32 - 1 : 0] VAR53;
input [32 - 1 : 0] VAR27;
output [32 - 1 : 0] VAR81;
wire [32 - 1 : 0] VAR32;
wire [32 - 1 : 0] VAR52;
wire [4 - 1 : 0] VAR6;
wire [4 - 1 : 0] VAR51;
wire [8 - 1 : 4] VAR61;
wire [8 - 1 : 4] VAR34;
wire [12 - 1 : 8] VAR78;
wire [12 - 1 : 8] VAR71;
wire [16 - 1 : 12] VAR1;
wire [16 - 1 : 12] VAR8;
wire [20 - 1 : 16] VAR36;
wire [20 - 1 : 16] VAR24;
wire [24 - 1 : 20] VAR33;
wire [24 - 1 : 20] VAR40;
wire [28 - 1 : 24] VAR13;
wire [28 - 1 : 24] VAR38;
wire [32 - 1 : 28] VAR62;
wire [32 - 1 : 28] VAR15;
reg [4 - 1 : 0] VAR30[1 - 1 : 0];
reg [4 - 1 : 0] VAR9[1 - 1 : 0];
reg [4 - 1 : 0] VAR44[2 - 1 : 0];
reg [4 - 1 : 0] VAR77[2 - 1 : 0];
reg [4 - 1 : 0] VAR45[3 - 1 : 0];
reg [4 - 1 : 0] VAR49[3 - 1 : 0];
reg [4 - 1 : 0] VAR25[4 - 1 : 0];
reg [4 - 1 : 0] VAR43[4 - 1 : 0];
reg [4 - 1 : 0] VAR75[5 - 1 : 0];
reg [4 - 1 : 0] VAR2[5 - 1 : 0];
reg [4 - 1 : 0] VAR21[6 - 1 : 0];
reg [4 - 1 : 0] VAR17[6 - 1 : 0];
reg [4 - 1 : 0] VAR50[7 - 1 : 0];
reg [4 - 1 : 0] VAR58[7 - 1 : 0];
wire [32 - 1 : 0] VAR22;
wire VAR73;
wire VAR29;
wire VAR76;
wire VAR70;
wire VAR66;
wire VAR68;
wire VAR37;
wire VAR5;
wire VAR47;
reg VAR69;
reg VAR3;
reg VAR46;
reg VAR20;
reg VAR60;
reg VAR64;
reg VAR56;
reg [4 - 1 : 0] VAR23[6 - 0 : 0];
reg [4 - 1 : 0] VAR14[6 - 1 : 0];
reg [4 - 1 : 0] VAR79[6 - 2 : 0];
reg [4 - 1 : 0] VAR35[6 - 3 : 0];
reg [4 - 1 : 0] VAR82[6 - 4 : 0];
reg [4 - 1 : 0] VAR16[6 - 5 : 0];
reg [4 - 1 : 0] VAR26[6 - 6 : 0];
wire [32 - 1 : 0] VAR31;
assign VAR32 = VAR53;
assign VAR52 = VAR27;
assign VAR6 = VAR32[4 - 1 : 0];
assign VAR51 = VAR52[4 - 1 : 0];
assign VAR61 = VAR32[8 - 1 : 4];
assign VAR34 = VAR52[8 - 1 : 4];
assign VAR78 = VAR32[12 - 1 : 8];
assign VAR71 = VAR52[12 - 1 : 8];
assign VAR1 = VAR32[16 - 1 : 12];
assign VAR8 = VAR52[16 - 1 : 12];
assign VAR36 = VAR32[20 - 1 : 16];
assign VAR24 = VAR52[20 - 1 : 16];
assign VAR33 = VAR32[24 - 1 : 20];
assign VAR40 = VAR52[24 - 1 : 20];
assign VAR13 = VAR32[28 - 1 : 24];
assign VAR38 = VAR52[28 - 1 : 24];
assign VAR62 = VAR32[32 - 1 : 28];
assign VAR15 = VAR52[32 - 1 : 28];
always @ (posedge clk) begin
if (VAR74) begin
VAR30 [0] <= VAR61;
VAR9 [0] <= VAR34;
VAR44 [0] <= VAR78;
VAR77 [0] <= VAR71;
VAR45 [0] <= VAR1;
VAR49 [0] <= VAR8;
VAR25 [0] <= VAR36;
VAR43 [0] <= VAR24;
VAR75 [0] <= VAR33;
VAR2 [0] <= VAR40;
VAR21 [0] <= VAR13;
VAR17 [0] <= VAR38;
VAR50 [0] <= VAR62;
VAR58 [0] <= VAR15;
VAR44 [1] <= VAR44 [0];
VAR77 [1] <= VAR77 [0];
VAR45 [1] <= VAR45 [0];
VAR49 [1] <= VAR49 [0];
VAR25 [1] <= VAR25 [0];
VAR43 [1] <= VAR43 [0];
VAR75 [1] <= VAR75 [0];
VAR2 [1] <= VAR2 [0];
VAR21 [1] <= VAR21 [0];
VAR17 [1] <= VAR17 [0];
VAR50 [1] <= VAR50 [0];
VAR58 [1] <= VAR58 [0];
VAR45 [2] <= VAR45 [1];
VAR49 [2] <= VAR49 [1];
VAR25 [2] <= VAR25 [1];
VAR43 [2] <= VAR43 [1];
VAR75 [2] <= VAR75 [1];
VAR2 [2] <= VAR2 [1];
VAR21 [2] <= VAR21 [1];
VAR17 [2] <= VAR17 [1];
VAR50 [2] <= VAR50 [1];
VAR58 [2] <= VAR58 [1];
VAR25 [3] <= VAR25 [2];
VAR43 [3] <= VAR43 [2];
VAR75 [3] <= VAR75 [2];
VAR2 [3] <= VAR2 [2];
VAR21 [3] <= VAR21 [2];
VAR17 [3] <= VAR17 [2];
VAR50 [3] <= VAR50 [2];
VAR58 [3] <= VAR58 [2];
VAR75 [4] <= VAR75 [3];
VAR2 [4] <= VAR2 [3];
VAR21 [4] <= VAR21 [3];
VAR17 [4] <= VAR17 [3];
VAR50 [4] <= VAR50 [3];
VAR58 [4] <= VAR58 [3];
VAR21 [5] <= VAR21 [4];
VAR17 [5] <= VAR17 [4];
VAR50 [5] <= VAR50 [4];
VAR58 [5] <= VAR58 [4];
VAR50 [6] <= VAR50 [5];
VAR58 [6] <= VAR58 [5];
end
end
always @ (posedge clk) begin
if (VAR74) begin
VAR69 <= VAR29;
VAR3 <= VAR76;
VAR46 <= VAR70;
VAR20 <= VAR66;
VAR60 <= VAR68;
VAR64 <= VAR37;
VAR56 <= VAR5;
end
end
MODULE3 MODULE10 (
.VAR10 ( VAR6 ),
.VAR12 ( VAR51 ),
.VAR67 ( VAR73 ),
.VAR22 ( VAR22[3:0] ),
.VAR41 ( VAR29 )
);
MODULE3 MODULE6 (
.VAR10 ( VAR30[0] ),
.VAR12 ( VAR9[0] ),
.VAR67 ( VAR69),
.VAR22 ( VAR22[7:4] ),
.VAR41 ( VAR76 )
);
MODULE3 MODULE2 (
.VAR10 ( VAR44[1] ),
.VAR12 ( VAR77[1] ),
.VAR67 ( VAR3),
.VAR22 ( VAR22[11:8] ),
.VAR41 ( VAR70 )
);
MODULE3 MODULE7 (
.VAR10 ( VAR45[2] ),
.VAR12 ( VAR49[2] ),
.VAR67 ( VAR46),
.VAR22 ( VAR22[15:12] ),
.VAR41 ( VAR66 )
);
MODULE3 MODULE8 (
.VAR10 ( VAR25[3] ),
.VAR12 ( VAR43[3] ),
.VAR67 ( VAR20),
.VAR22 ( VAR22[19:16] ),
.VAR41 ( VAR68 )
);
MODULE3 MODULE1 (
.VAR10 ( VAR75[4] ),
.VAR12 ( VAR2[4] ),
.VAR67 ( VAR60),
.VAR22 ( VAR22[23:20] ),
.VAR41 ( VAR37 )
);
MODULE3 MODULE3 (
.VAR10 ( VAR21[5] ),
.VAR12 ( VAR17[5] ),
.VAR67 ( VAR64),
.VAR22 ( VAR22[27:24] ),
.VAR41 ( VAR5 )
);
MODULE1 MODULE4 (
.VAR10 ( VAR50[6] ),
.VAR12 ( VAR58[6] ),
.VAR67 ( VAR56 ),
.VAR22 ( VAR22[31 :28] ),
.VAR41 ( VAR47 )
);
assign VAR73 = 1'b0;
always @ (posedge clk) begin
if (VAR74) begin
VAR23 [0] <= VAR22[4-1 : 0];
VAR14 [0] <= VAR22[8-1 : 4];
VAR79 [0] <= VAR22[12-1 : 8];
VAR35 [0] <= VAR22[16-1 : 12];
VAR82 [0] <= VAR22[20-1 : 16];
VAR16 [0] <= VAR22[24-1 : 20];
VAR26 [0] <= VAR22[28-1 : 24];
VAR23 [1] <= VAR23 [0];
VAR23 [2] <= VAR23 [1];
VAR23 [3] <= VAR23 [2];
VAR23 [4] <= VAR23 [3];
VAR23 [5] <= VAR23 [4];
VAR23 [6] <= VAR23 [5];
VAR14 [1] <= VAR14 [0];
VAR14 [2] <= VAR14 [1];
VAR14 [3] <= VAR14 [2];
VAR14 [4] <= VAR14 [3];
VAR14 [5] <= VAR14 [4];
VAR79 [1] <= VAR79 [0];
VAR79 [2] <= VAR79 [1];
VAR79 [3] <= VAR79 [2];
VAR79 [4] <= VAR79 [3];
VAR35 [1] <= VAR35 [0];
VAR35 [2] <= VAR35 [1];
VAR35 [3] <= VAR35 [2];
VAR82 [1] <= VAR82 [0];
VAR82 [2] <= VAR82 [1];
VAR16 [1] <= VAR16 [0];
end
end
assign VAR31[4-1 : 0] = VAR23[6];
assign VAR31[8-1 : 4] = VAR14[5];
assign VAR31[12-1 : 8] = VAR79[4];
assign VAR31[16-1 : 12] = VAR35[3];
assign VAR31[20-1 : 16] = VAR82[2];
assign VAR31[24-1 : 20] = VAR16[1];
assign VAR31[28-1 : 24] = VAR26[0];
assign VAR31[32 - 1 : 28] = VAR22[31 :28];
assign VAR81 = VAR31;
endmodule
module MODULE3
VAR11 = 4
)(
input [VAR11-1 : 0] VAR10,
input [VAR11-1 : 0] VAR12,
input wire VAR67,
output [VAR11-1 : 0] VAR22,
output wire VAR41
);
assign {VAR41, VAR22} = VAR10 + VAR12 + VAR67;
endmodule
module MODULE1
VAR11 = 4
)(
input [VAR11-1 : 0] VAR10,
input [VAR11-1 : 0] VAR12,
input wire VAR67,
output [VAR11-1 : 0] VAR22,
output wire VAR41
);
assign {VAR41, VAR22} = VAR10 + VAR12 + VAR67;
endmodule
module MODULE4(
clk,
reset,
VAR74,
VAR59,
VAR4,
dout);
parameter VAR39 = 32'd1;
parameter VAR48 = 32'd1;
parameter VAR55 = 32'd1;
parameter VAR57 = 32'd1;
parameter VAR18 = 32'd1;
input clk;
input reset;
input VAR74;
input[VAR55 - 1:0] VAR59;
input[VAR57 - 1:0] VAR4;
output[VAR18 - 1:0] dout;
MODULE2 VAR80(
.clk( clk ),
.reset( reset ),
.VAR74( VAR74 ),
.VAR53( VAR59 ),
.VAR27( VAR4 ),
.VAR81( dout ));
endmodule | lgpl-3.0 |
rqou/openfpga | hdl/common/JtagMaster.v | 7,755 | module MODULE1(
clk,
VAR15,
VAR12, VAR31, VAR7, VAR16,
VAR22, VAR19,
VAR8, VAR20, VAR13, din, dout,
VAR5
);
input wire clk;
input wire[7:0] VAR15;
output reg VAR12 = 0;
output reg VAR31 = 0;
output reg VAR7 = 0;
input wire VAR16;
input wire VAR22;
input wire[2:0] VAR19;
input wire[5:0] VAR8;
input wire VAR20;
input wire VAR13;
input wire[31:0] din;
output reg[31:0] dout = 0;
output reg VAR5 = 0;
localparam VAR30 = 2'h0;
localparam VAR32 = 2'h1;
localparam VAR6 = 2'h2;
localparam VAR27 = 2'h3;
reg[1:0] state = VAR30;
reg[7:0] VAR9 = 0;
reg VAR29 = 0; reg VAR18 = 0; reg VAR28 = 0;
reg VAR3 = 0;
reg[1:0] VAR17 = 0;
always @(posedge clk) begin
VAR29 <= 0;
VAR18 <= 0;
VAR28 <= 0;
VAR3 <= 0;
if(VAR28)
VAR12 <= 1;
if(VAR3)
VAR12 <= 0;
if(state == VAR30) begin
VAR9 <= 0;
VAR12 <= 0;
VAR17 <= 0;
end
else
VAR9 <= VAR9 + 8'h1;
if(VAR9 == VAR15) begin
VAR9 <= 0;
VAR17 <= VAR17 + 2'h1;
case(VAR17)
0: VAR18 <= 1;
1: VAR28 <= 1;
2: VAR29 <= 1;
3: VAR3 <= 1;
endcase
end
end
reg[6:0] VAR4 = 0;
reg[2:0] VAR14 = 0;
reg[31:0] VAR25 = 0;
reg[5:0] VAR24 = 0;
reg[31:0] VAR10 = 0;
always @(posedge clk) begin
VAR5 <= 0;
if(VAR3) begin
case(state)
VAR6: begin
if(VAR24 == 0)
state <= VAR27;
end
VAR32: begin
if(VAR14 == 0)
state <= VAR27;
end
endcase
end
if(VAR18 && (state == VAR27)) begin
VAR7 <= 0;
state <= VAR30;
VAR5 <= 1;
dout <= VAR10;
end
if(VAR22) begin
state <= VAR32;
VAR31 <= 0;
case(VAR19)
VAR21: begin
VAR4 <= 7'h3f;
VAR14 <= 6;
end
VAR23: begin
VAR4 <= 7'h3f;
VAR14 <= 7;
end
VAR2: begin
VAR4 <= 7'h03;
VAR14 <= 4;
end
VAR11: begin
VAR4 <= 7'h01;
VAR14 <= 2;
end
VAR26: begin
VAR4 <= 7'h01;
VAR14 <= 3;
end
VAR1: begin
VAR4 <= 7'h01;
VAR14 <= 2;
end
default: begin
VAR4 <= 0;
VAR14 <= 0;
state <= VAR30;
end
endcase
end
if(VAR20) begin
dout <= 0;
VAR25 <= din;
VAR24 <= VAR8;
VAR10 <= 0;
state <= VAR6;
end
if(VAR28)
VAR10 <= {VAR16, VAR10[31:1]};
if(VAR18) begin
case(state)
VAR32: begin
VAR14 <= VAR14 - 3'h1;
VAR4 <= {1'b0, VAR4[6:1]};
VAR7 <= VAR4[0];
end
VAR6: begin
VAR24 <= VAR24 - 6'h1;
VAR25 <= {1'b0, VAR25[31:1]};
VAR31 <= VAR25[0];
if( (VAR24 == 1) )
VAR7 <= VAR13;
end
else
VAR7 <= 0;
end
endcase
end
end
endmodule | lgpl-2.1 |
olgirard/openmsp430 | fpga/actel_m1a3pl_dev_kit/rtl/verilog/openmsp430/periph/template_periph_8b.v | 7,697 | module MODULE1 (
VAR38,
VAR17, VAR26, VAR12, VAR5, VAR31, VAR11 );
output [15:0] VAR38;
input VAR17; input [13:0] VAR26; input [15:0] VAR12; input VAR5; input [1:0] VAR31; input VAR11;
parameter [14:0] VAR27 = 15'h0090;
parameter VAR32 = 2;
parameter [VAR32-1:0] VAR44 = 'h0,
VAR35 = 'h1,
VAR29 = 'h2,
VAR8 = 'h3;
parameter VAR1 = (1 << VAR32);
parameter [VAR1-1:0] VAR40 = {{VAR1-1{1'b0}}, 1'b1};
parameter [VAR1-1:0] VAR25 = (VAR40 << VAR44),
VAR28 = (VAR40 << VAR35),
VAR19 = (VAR40 << VAR29),
VAR33 = (VAR40 << VAR8);
wire VAR41 = VAR5 & (VAR26[13:VAR32-1]==VAR27[14:VAR32]);
wire [VAR32-1:0] VAR3 = {1'b0, VAR26[VAR32-2:0]};
wire [VAR1-1:0] VAR30 = (VAR25 & {VAR1{(VAR3==(VAR44 >>1))}}) |
(VAR28 & {VAR1{(VAR3==(VAR35 >>1))}}) |
(VAR19 & {VAR1{(VAR3==(VAR29 >>1))}}) |
(VAR33 & {VAR1{(VAR3==(VAR8 >>1))}});
wire VAR14 = VAR31[0] & VAR41;
wire VAR13 = VAR31[1] & VAR41;
wire VAR15 = ~|VAR31 & VAR41;
wire [VAR1-1:0] VAR9 = VAR30 & {VAR1{VAR13}};
wire [VAR1-1:0] VAR42 = VAR30 & {VAR1{VAR14}};
wire [VAR1-1:0] VAR7 = VAR30 & {VAR1{VAR15}};
reg [7:0] VAR20;
wire VAR6 = VAR44[0] ? VAR9[VAR44] : VAR42[VAR44];
wire [7:0] VAR23 = VAR44[0] ? VAR12[15:8] : VAR12[7:0];
always @ (posedge VAR17 or posedge VAR11)
if (VAR11) VAR20 <= 8'h00;
else if (VAR6) VAR20 <= VAR23;
reg [7:0] VAR39;
wire VAR43 = VAR35[0] ? VAR9[VAR35] : VAR42[VAR35];
wire [7:0] VAR21 = VAR35[0] ? VAR12[15:8] : VAR12[7:0];
always @ (posedge VAR17 or posedge VAR11)
if (VAR11) VAR39 <= 8'h00;
else if (VAR43) VAR39 <= VAR21;
reg [7:0] VAR24;
wire VAR18 = VAR29[0] ? VAR9[VAR29] : VAR42[VAR29];
wire [7:0] VAR22 = VAR29[0] ? VAR12[15:8] : VAR12[7:0];
always @ (posedge VAR17 or posedge VAR11)
if (VAR11) VAR24 <= 8'h00;
else if (VAR18) VAR24 <= VAR22;
reg [7:0] VAR10;
wire VAR4 = VAR8[0] ? VAR9[VAR8] : VAR42[VAR8];
wire [7:0] VAR16 = VAR8[0] ? VAR12[15:8] : VAR12[7:0];
always @ (posedge VAR17 or posedge VAR11)
if (VAR11) VAR10 <= 8'h00;
else if (VAR4) VAR10 <= VAR16;
wire [15:0] VAR34 = {8'h00, (VAR20 & {8{VAR7[VAR44]}})} << (8 & {4{VAR44[0]}});
wire [15:0] VAR36 = {8'h00, (VAR39 & {8{VAR7[VAR35]}})} << (8 & {4{VAR35[0]}});
wire [15:0] VAR37 = {8'h00, (VAR24 & {8{VAR7[VAR29]}})} << (8 & {4{VAR29[0]}});
wire [15:0] VAR2 = {8'h00, (VAR10 & {8{VAR7[VAR8]}})} << (8 & {4{VAR8[0]}});
wire [15:0] VAR38 = VAR34 |
VAR36 |
VAR37 |
VAR2;
endmodule | bsd-3-clause |
anderson1008/NOCulator | hring/hw/bless_mc/router.v | 24,477 | module MODULE1(
clk,
VAR69,
VAR43,
VAR115,
VAR159,
VAR99,
VAR75,
VAR74,
VAR89,
VAR187,
VAR54,
VAR94
);
input clk, VAR69;
input [VAR79-1:0] VAR43, VAR115, VAR159, VAR99, VAR75;
output [VAR79-1:0] VAR74, VAR89, VAR187, VAR54, VAR94;
wire [VAR79-1:0] VAR47 [0:VAR103-1];
VAR171 #(VAR79) VAR5(VAR43, clk, VAR69, VAR47[0]);
VAR171 #(VAR79) VAR117(VAR115, clk, VAR69, VAR47[1]);
VAR171 #(VAR79) VAR65(VAR159, clk, VAR69, VAR47[2]);
VAR171 #(VAR79) VAR122(VAR99, clk, VAR69, VAR47[3]);
VAR171 #(VAR79) VAR184(VAR75, clk, VAR69, VAR47[4]);
wire [VAR200-1:0] VAR44 [0:VAR103-1];
wire [VAR146-1:0] VAR46 [0:VAR103-1];
wire [VAR30-1:0] VAR148;
genvar VAR124;
generate
for (VAR124=0; VAR124<VAR103; VAR124=VAR124+1) begin : VAR32
assign VAR44 [VAR124] = VAR47 [VAR124][VAR105];
assign VAR46[VAR124] = VAR47 [VAR124][VAR17];
end
assign VAR148 = VAR47[0][VAR38] + VAR47[1][VAR38] + VAR47[2][VAR38] + VAR47[3][VAR38];
endgenerate
genvar VAR166;
wire [VAR103-1:0] VAR147 [3:0];
generate
for (VAR166=0; VAR166<4; VAR166=VAR166+1) begin : VAR85
VAR77 VAR77(
.VAR44 (VAR44 [VAR166]),
.VAR167 (VAR147[VAR166])
);
end
endgenerate
wire [VAR79-1:0] VAR174 [0:VAR103-1];
wire [VAR30-1:0] VAR67;
wire [3:0] VAR36;
wire [VAR103-2:0] VAR118 [0:3];
local VAR126(
.VAR48 (VAR47[0]),
.VAR37 (VAR47[1]),
.VAR144 (VAR47[2]),
.VAR195 (VAR47[3]),
.VAR16 (VAR47[4]),
.VAR169 (VAR147[0]),
.VAR31 (VAR147[1]),
.VAR176 (VAR147[2]),
.VAR123 (VAR147[3]),
.VAR23 (VAR148),
.VAR112 (VAR174[0]),
.VAR153 (VAR174[1]),
.VAR1 (VAR174[2]),
.VAR177 (VAR174[3]),
.VAR132 (VAR94), .VAR66 (VAR118[0]),
.VAR56 (VAR118[1]),
.VAR116 (VAR118[2]),
.VAR62 (VAR118[3]),
.VAR2 (VAR67)
);
wire [1:0] VAR35 [0:3];
wire [VAR103-2:0] VAR193 [0:3];
VAR12 VAR70(
.VAR164 (VAR174 [0][VAR155]),
.VAR137 (VAR174 [1][VAR155]),
.VAR22 (VAR174 [2][VAR155]),
.VAR98 (VAR174 [3][VAR155]),
.VAR169 (VAR118[0]),
.VAR31 (VAR118[1]),
.VAR176 (VAR118[2]),
.VAR123 (VAR118[3]),
.VAR34 (VAR35[0]),
.VAR194 (VAR35[1]),
.VAR21 (VAR35[2]),
.VAR7 (VAR35[3]),
.VAR108 (VAR193[0]),
.VAR113 (VAR193[1]),
.VAR185 (VAR193[2]),
.VAR151 (VAR193[3])
);
wire [VAR79-1:0] VAR135 [3:0];
wire [VAR103-2:0] VAR52 [3:0];
wire [1:0] VAR156 [3:0];
wire [VAR30-1:0] VAR15;
genvar VAR162;
generate
for (VAR162=0; VAR162<4; VAR162=VAR162+1) begin: VAR19
VAR171 #(VAR79) VAR76 (VAR174[VAR162], clk, VAR69, VAR135[VAR162]);
VAR171 #(VAR103-1) VAR87 (VAR193[VAR162], clk, VAR69, VAR52[VAR162]);
VAR171 #(2) VAR172 (VAR35[VAR162], clk, VAR69, VAR156[VAR162]);
end
endgenerate
VAR171 #(VAR30) VAR165 (VAR67, clk, VAR69, VAR15);
wire [VAR103-2:0] VAR18 [3:0];
VAR4 VAR4(
.VAR202 (VAR15),
.VAR169 (VAR52[0]),
.VAR31 (VAR52[1]),
.VAR176 (VAR52[2]),
.VAR123 (VAR52[3]),
.VAR129 (VAR18[0]),
.VAR28 (VAR18[1]),
.VAR14 (VAR18[2]),
.VAR161 (VAR18[3])
);
wire [VAR197-1:0] VAR119 [0:3];
wire [VAR103-1:0] VAR68 [0:3];
VAR59 VAR59(
.VAR48 (VAR135[0]),
.VAR37 (VAR135[1]),
.VAR144 (VAR135[2]),
.VAR195 (VAR135[3]),
.VAR72 (VAR156[0]),
.VAR57 (VAR156[1]),
.VAR158 (VAR156[2]),
.VAR143 (VAR156[3]),
.VAR129 (VAR18[0]),
.VAR28 (VAR18[1]),
.VAR14 (VAR18[2]),
.VAR161 (VAR18[3]),
.VAR112 (VAR119[0]),
.VAR153 (VAR119[1]),
.VAR1 (VAR119[2]),
.VAR177 (VAR119[3])
);
VAR171 #(VAR79) VAR40 (VAR119[0], clk, VAR69, VAR74);
VAR171 #(VAR79) VAR64 (VAR119[1], clk, VAR69, VAR89);
VAR171 #(VAR79) VAR111 (VAR119[2], clk, VAR69, VAR187);
VAR171 #(VAR79) VAR90 (VAR119[3], clk, VAR69, VAR54);
endmodule
module MODULE1(
clk,
VAR69,
VAR43,
VAR115,
VAR159,
VAR99,
VAR75,
VAR74,
VAR89,
VAR187,
VAR54,
VAR94
);
input clk, VAR69;
input [VAR79-1:0] VAR43, VAR115, VAR159, VAR99, VAR75;
output [VAR79-1:0] VAR74, VAR89, VAR187, VAR54, VAR94;
wire [VAR79-1:0] VAR47 [0:VAR103-1];
VAR171 #(VAR79) VAR5(VAR43, clk, VAR69, VAR47[0]);
VAR171 #(VAR79) VAR117(VAR115, clk, VAR69, VAR47[1]);
VAR171 #(VAR79) VAR65(VAR159, clk, VAR69, VAR47[2]);
VAR171 #(VAR79) VAR122(VAR99, clk, VAR69, VAR47[3]);
VAR171 #(VAR79) VAR184(VAR75, clk, VAR69, VAR47[4]);
wire [VAR60-1:0] VAR10 [0:VAR103-1];
wire [VAR200-1:0] VAR44 [0:VAR103-1];
wire VAR120 [0:VAR103-1];
wire VAR86 [0:VAR103-1];
wire [VAR146-1:0] VAR46 [0:VAR103-1];
wire [VAR30-1:0] VAR148;
genvar VAR124;
generate
for (VAR124=0; VAR124<VAR103; VAR124=VAR124+1) begin : VAR32
assign VAR10 [VAR124] = VAR47 [VAR124][VAR58];
assign VAR44 [VAR124] = VAR47 [VAR124][VAR105];
assign VAR120 [VAR124] = VAR47 [VAR124][VAR109];
assign VAR86 [VAR124] = VAR47 [VAR124][VAR145];
assign VAR46[VAR124] = VAR47 [VAR124][VAR17];
end
assign VAR148 = VAR47[0][VAR38] + VAR47[1][VAR38] + VAR47[2][VAR38] + VAR47[3][VAR38];
endgenerate
wire [VAR103-1:0] VAR152;
wire [VAR60-1:0] VAR80 [0:4];
VAR42 VAR42(
.VAR82 (VAR86[0]),
.VAR170 (VAR10[0]),
.VAR3 (VAR47 [0][VAR141]), .VAR191 (VAR44[0]),
.VAR121 (VAR46[0]),
.VAR9 (VAR86[1]),
.VAR26 (VAR10[1]),
.VAR55 (VAR47 [1][VAR141]), .VAR95 (VAR44[1]),
.VAR97 (VAR46[1]),
.VAR91 (VAR86[2]),
.VAR107 (VAR10[2]),
.VAR81 (VAR47[2][VAR141]), .VAR110 (VAR44[2]),
.VAR198 (VAR46[2]),
.VAR96 (VAR86[3]),
.VAR51 (VAR10[3]),
.VAR71 (VAR47[3][VAR141]), .VAR138 (VAR44[3]),
.VAR154 (VAR46[3]),
.VAR179 (VAR86[4]),
.VAR92 (VAR10[4]),
.VAR192 (VAR47[4][VAR141]), .VAR25 (VAR44[4]),
.VAR175 (VAR46[4]),
.VAR152 (VAR152),
.VAR104 (VAR80[0]),
.VAR139 (VAR80[1]),
.VAR181 (VAR80[2]),
.VAR160 (VAR80[3]),
.VAR6 (VAR80[4])
);
genvar VAR166;
wire [VAR103-1:0] VAR147 [3:0];
generate
for (VAR166=0; VAR166<4; VAR166=VAR166+1) begin : VAR85
VAR77 VAR77(
.VAR44 (VAR44 [VAR166]),
.VAR157 (VAR10 [VAR166]),
.VAR120 (VAR120 [VAR166]),
.VAR167 (VAR147[VAR166])
);
end
endgenerate
wire [VAR79-1:0] VAR174 [0:VAR103-1];
wire [VAR30-1:0] VAR67;
wire [3:0] VAR36;
wire [VAR103-2:0] VAR118 [0:3];
local VAR126(
.VAR48 ({VAR47[0][VAR79-1:128], VAR80[0], VAR47[0][63:0]}),
.VAR37 ({VAR47[1][VAR79-1:128], VAR80[1], VAR47[1][63:0]}),
.VAR144 ({VAR47[2][VAR79-1:128], VAR80[2], VAR47[2][63:0]}),
.VAR195 ({VAR47[3][VAR79-1:128], VAR80[3], VAR47[3][63:0]}),
.VAR16 ({VAR47[4][VAR79-1:128], VAR80[4], VAR47[4][63:0]}),
.VAR169 (VAR147[0]),
.VAR31 (VAR147[1]),
.VAR176 (VAR147[2]),
.VAR123 (VAR147[3]),
.VAR42 (VAR152),
.VAR23 (VAR148),
.VAR112 (VAR174[0]),
.VAR153 (VAR174[1]),
.VAR1 (VAR174[2]),
.VAR177 (VAR174[3]),
.VAR132 (VAR94), .VAR66 (VAR118[0]),
.VAR56 (VAR118[1]),
.VAR116 (VAR118[2]),
.VAR62 (VAR118[3]),
.VAR2 (VAR67),
.VAR36 (VAR36)
);
wire [1:0] VAR35 [0:3];
wire [VAR103-2:0] VAR193 [0:3];
wire [VAR103-2:0] VAR173, VAR45;
VAR12 VAR70(
.VAR164 (VAR174 [0][VAR155]),
.VAR137 (VAR174 [1][VAR155]),
.VAR22 (VAR174 [2][VAR155]),
.VAR98 (VAR174 [3][VAR155]),
.VAR169 (VAR118[0]),
.VAR31 (VAR118[1]),
.VAR176 (VAR118[2]),
.VAR123 (VAR118[3]),
.VAR36 (VAR36),
.VAR100 ({VAR174 [3][VAR109], VAR174 [2][VAR109], VAR174 [1][VAR109], VAR174 [0][VAR109]}),
.VAR34 (VAR35[0]),
.VAR194 (VAR35[1]),
.VAR21 (VAR35[2]),
.VAR7 (VAR35[3]),
.VAR108 (VAR193[0]),
.VAR113 (VAR193[1]),
.VAR185 (VAR193[2]),
.VAR151 (VAR193[3]),
.VAR173(VAR173),
.VAR45 (VAR45)
);
wire [VAR79-1:0] VAR135 [3:0];
wire [VAR103-2:0] VAR52 [3:0];
wire [1:0] VAR156 [3:0];
wire [VAR30-1:0] VAR15;
wire [VAR103-2:0] VAR196, VAR182;
genvar VAR162;
generate
for (VAR162=0; VAR162<4; VAR162=VAR162+1) begin: VAR19
VAR171 #(VAR79) VAR76 (VAR174[VAR162], clk, VAR69, VAR135[VAR162]);
VAR171 #(VAR103-1) VAR87 (VAR193[VAR162], clk, VAR69, VAR52[VAR162]);
VAR171 #(2) VAR172 (VAR35[VAR162], clk, VAR69, VAR156[VAR162]);
end
endgenerate
VAR171 #(VAR30) VAR165 (VAR67, clk, VAR69, VAR15);
VAR171 #(VAR103-1) VAR84 (VAR173, clk, VAR69, VAR196);
VAR171 #(VAR103-1) VAR189 (VAR45, clk, VAR69, VAR182);
wire [VAR103-2:0] VAR18 [3:0];
VAR4 VAR4(
.VAR134 (VAR182[0]),
.VAR83 (VAR182[1]),
.VAR24 (VAR182[2]),
.VAR101 (VAR182[3]),
.VAR202 (VAR15),
.VAR169 (VAR52[0]),
.VAR31 (VAR52[1]),
.VAR176 (VAR52[2]),
.VAR123 (VAR52[3]),
.VAR129 (VAR18[0]),
.VAR28 (VAR18[1]),
.VAR14 (VAR18[2]),
.VAR161 (VAR18[3])
);
wire [VAR197-1:0] VAR119 [0:3];
wire [VAR103-1:0] VAR68 [0:3];
VAR59 VAR59(
.VAR48 (VAR135[0]),
.VAR37 (VAR135[1]),
.VAR144 (VAR135[2]),
.VAR195 (VAR135[3]),
.VAR72 (VAR156[0]),
.VAR57 (VAR156[1]),
.VAR158 (VAR156[2]),
.VAR143 (VAR156[3]),
.VAR129 ({VAR196[0],VAR18[0]}),
.VAR28 ({VAR196[1],VAR18[1]}),
.VAR14 ({VAR196[2],VAR18[2]}),
.VAR161 ({VAR196[3],VAR18[3]}),
.VAR112 (VAR119[0]),
.VAR153 (VAR119[1]),
.VAR1 (VAR119[2]),
.VAR177 (VAR119[3]),
.VAR53 (VAR68[0]),
.VAR29 (VAR68[1]),
.VAR142 (VAR68[2]),
.VAR102 (VAR68[3])
);
genvar VAR11;
wire [VAR63-1:0] VAR133 [0:3];
wire [VAR79-1:0] VAR93 [0:3];
generate
for (VAR11=0; VAR11<4; VAR11=VAR11+1) begin: VAR13
VAR150 # (VAR11) VAR150(
.VAR18 ({VAR68[VAR11]}),
.VAR149 (VAR119[VAR11][VAR186]),
.VAR61 (VAR133[VAR11])
);
assign VAR93 [VAR11] = VAR119[VAR11][VAR109] ?
{VAR119[VAR11][VAR79-1:VAR163+1], VAR133[VAR11], VAR119[VAR11][VAR33]} :
VAR119[VAR11];
end
endgenerate
VAR171 #(VAR79) VAR40 (VAR93[0], clk, VAR69, VAR74);
VAR171 #(VAR79) VAR64 (VAR93[1], clk, VAR69, VAR89);
VAR171 #(VAR79) VAR111 (VAR93[2], clk, VAR69, VAR187);
VAR171 #(VAR79) VAR90 (VAR93[3], clk, VAR69, VAR54);
endmodule
module MODULE1(
clk,
VAR69,
VAR43,
VAR115,
VAR159,
VAR99,
VAR75,
VAR74,
VAR89,
VAR187,
VAR54,
VAR94
);
input clk, VAR69;
input [VAR79-1:0] VAR43, VAR115, VAR159, VAR99, VAR75;
output [VAR79-1:0] VAR74, VAR89, VAR187, VAR54, VAR94;
reg [VAR79-1:0] VAR47 [0:VAR103-1];
integer VAR136;
always @ (posedge clk) begin
if (~VAR69) begin
for (VAR136=0; VAR136<VAR103; VAR136=VAR136+1)
VAR47 [VAR136] <= 'h0;
end
else begin
VAR47 [0] <= VAR43;
VAR47 [1] <= VAR115;
VAR47 [2] <= VAR159;
VAR47 [3] <= VAR99;
VAR47 [4] <= VAR75;
end
end
wire [VAR60-1:0] VAR10 [0:VAR103-1];
wire [VAR200-1:0] VAR44 [0:VAR103-1];
wire VAR120 [0:VAR103-1];
wire VAR86 [0:VAR103-1];
wire [VAR103-1:0] VAR147 [0:VAR103-1];
wire [VAR49-1:0] VAR131 [0 : VAR103-1];
wire [VAR146-1:0] VAR46 [0:VAR103-1];
wire [VAR30-1:0] VAR148;
genvar VAR124;
generate
for (VAR124=0; VAR124<VAR103; VAR124=VAR124+1) begin : VAR32
assign VAR10 [VAR124] = VAR47 [VAR124][VAR58];
assign VAR44 [VAR124] = VAR47 [VAR124][VAR105];
assign VAR120 [VAR124] = VAR47 [VAR124][VAR109];
assign VAR86 [VAR124] = VAR47 [VAR124][VAR145];
assign VAR147 [VAR124] = VAR47 [VAR124][VAR8];
assign VAR46[VAR124] = VAR47 [VAR124][VAR17];
end
assign VAR131 [0] = VAR43[VAR155];
assign VAR131 [1] = VAR115[VAR155];
assign VAR131 [2] = VAR159[VAR155];
assign VAR131 [3] = VAR99[VAR155];
assign VAR131 [4] = VAR75[VAR155];
assign VAR148 = VAR47[0][VAR38] + VAR47[1][VAR38] + VAR47[2][VAR38] + VAR47[3][VAR38];
endgenerate
wire [VAR103-1:0] VAR152;
wire [VAR60-1:0] VAR80 [0:4];
VAR42 VAR42(
.VAR82 (VAR86[0]),
.VAR170 (VAR10[0]),
.VAR3 (VAR47 [0][VAR141]), .VAR191 (VAR44[0]),
.VAR121 (VAR46[0]),
.VAR9 (VAR86[1]),
.VAR26 (VAR10[1]),
.VAR55 (VAR47 [1][VAR141]), .VAR95 (VAR44[1]),
.VAR97 (VAR46[1]),
.VAR91 (VAR86[2]),
.VAR107 (VAR10[2]),
.VAR81 (VAR47[2][VAR141]), .VAR110 (VAR44[2]),
.VAR198 (VAR46[2]),
.VAR96 (VAR86[3]),
.VAR51 (VAR10[3]),
.VAR71 (VAR47[3][VAR141]), .VAR138 (VAR44[3]),
.VAR154 (VAR46[3]),
.VAR179 (VAR86[4]),
.VAR92 (VAR10[4]),
.VAR192 (VAR47[4][VAR141]), .VAR25 (VAR44[4]),
.VAR175 (VAR46[4]),
.VAR152 (VAR152),
.VAR104 (VAR80[0]),
.VAR139 (VAR80[1]),
.VAR181 (VAR80[2]),
.VAR160 (VAR80[3]),
.VAR6 (VAR80[4])
);
wire [VAR79-1:0] VAR174 [0:VAR103-1];
wire [VAR30-1:0] VAR67;
local VAR126(
.VAR48 ({VAR47[0][VAR79-1:128], VAR80[0], VAR47[0][63:0]}),
.VAR37 ({VAR47[1][VAR79-1:128], VAR80[1], VAR47[1][63:0]}),
.VAR144 ({VAR47[2][VAR79-1:128], VAR80[2], VAR47[2][63:0]}),
.VAR195 ({VAR47[3][VAR79-1:128], VAR80[3], VAR47[3][63:0]}),
.VAR16 ({VAR47[4][VAR79-1:128], VAR80[4], VAR47[4][63:0]}),
.VAR169 (VAR147[0]),
.VAR31 (VAR147[1]),
.VAR176 (VAR147[2]),
.VAR123 (VAR147[3]),
.VAR42 (VAR152),
.VAR23 (VAR148),
.VAR112 (VAR174[0]),
.VAR153 (VAR174[1]),
.VAR1 (VAR174[2]),
.VAR177 (VAR174[3]),
.VAR132 (VAR94), .VAR2 (VAR67)
);
wire [1:0] VAR35 [0:3];
VAR12 VAR70(
.VAR164 (VAR131 [0]),
.VAR137 (VAR131 [1]) ,
.VAR22 (VAR131 [2]),
.VAR98 (VAR131 [3]),
.VAR125 (VAR35[0]),
.VAR106 (VAR35[1]),
.VAR27 (VAR35[2]),
.VAR73 (VAR35[3])
);
wire [VAR103-2:0] VAR18 [3:0];
wire [VAR103-2:0] VAR20 [3:0];
VAR4 VAR4(
.VAR134 (VAR174[0][VAR109]),
.VAR83 (VAR174[1][VAR109]),
.VAR24 (VAR174[2][VAR109]),
.VAR101 (VAR174[3][VAR109]),
.VAR202 (VAR67),
.VAR169 (VAR174[0][VAR88]),
.VAR31 (VAR174[1][VAR88]),
.VAR176 (VAR174[2][VAR88]),
.VAR123 (VAR174[3][VAR88]),
.VAR129 (VAR18[0][3:0]),
.VAR28 (VAR18[1][3:0]),
.VAR14 (VAR18[2][3:0]),
.VAR161 (VAR18[3][3:0])
);
genvar VAR166;
wire [VAR103 * 4 -1:0] VAR199 [3:0];
generate
for (VAR166=0; VAR166<4; VAR166=VAR166+1) begin : VAR85
VAR190 VAR127(
.VAR44 (VAR174[VAR166][VAR105]),
.VAR157 (VAR174[VAR166][VAR186]),
.VAR120 (VAR174[VAR166][VAR109]),
.VAR39 (VAR166),
.VAR78 (VAR199[VAR166])
);
end
endgenerate
reg [VAR79-1:0] VAR140 [0:3];
reg [VAR103*4-1:0] VAR178 [0:3];
reg [1:0] VAR188 [0:3];
integer VAR183;
always @ (posedge clk)
for (VAR183=0; VAR183<4; VAR183=VAR183+1) begin
VAR140[VAR183] <= {VAR174[VAR183][VAR79-1:VAR50], VAR18[VAR183][3:0], VAR174[VAR183][VAR41-1:0]}; VAR178[VAR183] <= VAR199[VAR183];
VAR188 [VAR183] <= VAR35[VAR183];
end
wire [VAR197-1:0] VAR119 [0:3];
VAR59 VAR59(
.VAR48 ({VAR178[0], VAR140[0]}),
.VAR37 ({VAR178[1], VAR140[1]}),
.VAR144 ({VAR178[2], VAR140[2]}),
.VAR195 ({VAR178[3], VAR140[3]}),
.VAR112 (VAR119[0]),
.VAR153 (VAR119[1]),
.VAR1 (VAR119[2]),
.VAR177 (VAR119[3]),
.VAR72 (VAR188[0]),
.VAR57 (VAR188[1]),
.VAR158 (VAR188[2]),
.VAR143 (VAR188[3])
);
genvar VAR162;
wire [VAR103-1:0] VAR180 [0:3];
wire [VAR63-1:0] VAR133 [0:3];
wire [VAR79-1:0] VAR93 [0:3];
generate
for (VAR162=0; VAR162<4; VAR162=VAR162+1) begin: VAR128
VAR168 VAR114(
.VAR130 (VAR119[VAR162][VAR197-1: VAR197-20]),
.VAR201 (VAR162),
.VAR199 (VAR180 [VAR162])
);
VAR150 # (VAR162) VAR150(
.VAR18 (VAR119[VAR162][VAR8]),
.VAR149 (VAR119[VAR162][VAR186]),
.VAR61 (VAR133[VAR162])
);
assign VAR93 [VAR162] = VAR119[VAR162][VAR109] ?
{VAR119[VAR162][VAR79-1:VAR50+1], VAR180[VAR162], VAR119[VAR162][VAR41-1:128], VAR133[VAR162], VAR119[VAR162][VAR33]} :
{VAR119[VAR162][VAR79-1:VAR50+1], VAR180[VAR162], VAR119[VAR162][VAR41-1:0]};
end
endgenerate
assign VAR74 = VAR93 [0];
assign VAR89 = VAR93 [1];
assign VAR187 = VAR93 [2];
assign VAR54 = VAR93 [3];
endmodule | mit |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/sdffq/gf180mcu_fd_sc_mcu7t5v0__sdffq_4.functional.v | 1,664 | module MODULE1( VAR22, VAR15, VAR10, VAR8, VAR6, VAR2 );
input VAR8, VAR10, VAR22, VAR15, VAR2;
output VAR6;
wire VAR14;
not VAR16( VAR14, VAR10 );
wire VAR17;
not VAR21( VAR17, VAR22 );
wire VAR3;
and VAR18( VAR3, VAR14, VAR17 );
wire VAR5;
not VAR12( VAR5, VAR15 );
wire VAR7;
and VAR1( VAR7, VAR14, VAR5 );
wire VAR4;
and VAR9( VAR4, VAR5, VAR22 );
or VAR20( VAR19, VAR3, VAR7, VAR4 );
VAR11( VAR13, 1'b0, 1'b0, VAR8, VAR19, VAR2 );
not VAR23( VAR6, VAR13 );
endmodule | apache-2.0 |
anguslin/RISC | decoder.v | 1,280 | module MODULE1(VAR9, VAR2, VAR14, VAR10, VAR15, VAR8, VAR5, VAR12, VAR1, VAR4);
input[15:0] VAR9;
input [1:0] VAR2;
output [2:0] VAR14, VAR10, VAR15;
output [1:0] VAR8, VAR5, VAR12;
output [15:0] VAR1, VAR4;
reg [2:0] VAR11;
wire [2:0] VAR6, VAR13, VAR7;
assign VAR14 = VAR9[15:13];
assign VAR5 = VAR9[12:11];
assign VAR8 = VAR9[12:11];
assign VAR1 = VAR9[4]? {10'b1111111111,VAR9[4:0]} : {10'b0000000000, VAR9[4:0]};
assign VAR4 = VAR9[7]? {7'b1111111,VAR9[7:0]} : {7'b0000000, VAR9[7:0]};
assign VAR12 = VAR9[4:3];
assign VAR13 = VAR9[10:8];
assign VAR6 = VAR9[7:5];
assign VAR7 = VAR9[2:0];
always@(*) begin
case(VAR2)
2'b00: VAR11 = VAR13; 2'b01: VAR11 = VAR6; 2'b10: VAR11 = VAR7; default: VAR11 = 3'VAR3;
endcase
end
assign VAR10 = VAR11;
assign VAR15 = VAR11;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/inputiso0n/sky130_fd_sc_lp__inputiso0n_lp.v | 2,313 | module MODULE2 (
VAR1 ,
VAR6 ,
VAR3,
VAR5 ,
VAR2 ,
VAR4 ,
VAR8
);
output VAR1 ;
input VAR6 ;
input VAR3;
input VAR5 ;
input VAR2 ;
input VAR4 ;
input VAR8 ;
VAR7 VAR9 (
.VAR1(VAR1),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR1 ,
VAR6 ,
VAR3
);
output VAR1 ;
input VAR6 ;
input VAR3;
supply1 VAR5;
supply0 VAR2;
supply1 VAR4 ;
supply0 VAR8 ;
VAR7 VAR9 (
.VAR1(VAR1),
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
titorgalaxy/Titor | rtl/verilog/chardev/block_Character_Screen.v | 4,616 | module MODULE1 (
dout,
din,
address,
VAR39,
VAR59,
enable,
VAR67,
VAR57,
VAR8,
clk,
reset
);
output wire [VAR24-1:0] dout;
input wire [VAR24-1:0] din;
input wire [VAR24-1:0] address;
input wire [VAR10-1:0] VAR39;
input wire VAR59;
input wire enable;
output reg VAR67;
output reg VAR57;
output reg VAR8;
input wire clk;
input wire reset;
reg [VAR24-1:0] VAR25 [0:0];
reg [VAR24-1:0] VAR32 [0:0];
reg [0:0] VAR21 [1:0];
reg [0:0] VAR65 [1:0];
reg [0:0] VAR9 [1:0];
wire VAR31;
wire VAR27;
wire VAR48;
wire [VAR24-1:0] VAR66;
wire [VAR24-1:0] VAR15;
wire [VAR24-1:0] VAR19;
wire [VAR24-1:0] VAR23;
wire [VAR24-1:0] VAR30;
wire [VAR24-1:0] VAR16;
wire [VAR24-1:0] VAR22;
wire VAR26;
wire [VAR24-1:0] VAR40;
wire VAR60;
reg VAR36;
wire [VAR24-1:0] VAR1;
assign VAR1 = VAR22 + VAR23;
always @(posedge clk) begin
if(reset) begin
VAR25[0] <= 0;
VAR32[0] <= 0;
VAR21[0] <= 0;
VAR65[0] <= 0;
VAR9[0] <= 0;
VAR21[1] <= 0;
VAR65[1] <= 0;
VAR9[1] <= 0;
VAR67 <= 0;
VAR57 <= 0;
VAR8 <= 0;
VAR36 <= 0;
end else begin
VAR25[0] <= VAR15;
VAR32[0] <= VAR66;
VAR21[0] <= VAR31;
VAR65[0] <= VAR27;
VAR9[0] <= VAR48 || VAR26;
VAR21[1] <= VAR21[0];
VAR65[1] <= VAR65[0];
VAR9[1] <= VAR9[0];
VAR67 <= VAR21[1];
VAR57 <= VAR65[1];
VAR8 <= VAR9[1] ? 0 : (VAR36^^VAR60);
VAR36 <= VAR40[VAR56];
end
end
VAR53 VAR63(
.VAR67 (VAR31 ),
.VAR57 (VAR27 ),
.VAR50 (VAR48 ),
.VAR51 (VAR30 ),
.VAR54 (VAR16 ),
.reset (reset ),
.clk (clk )
);
VAR20 VAR46(
.VAR34 (VAR66 ), .VAR33 (VAR15 ),
.VAR12 (VAR19 ), .VAR29 (VAR23 ),
.VAR51 (VAR30 ), .VAR54 (VAR16 ),
.VAR52 (VAR22 ),
.VAR49 (VAR26 ),
.reset (reset ),
.clk (clk )
);
VAR44 #(
.VAR61 (VAR18 ),
.VAR38 (VAR58 )
)
VAR55 (
.VAR64 (dout ),
.VAR45 (din ),
.VAR13 (address ),
.VAR3 (VAR39 ),
.VAR28 (VAR59 ),
.VAR2 (enable ),
.VAR4 (VAR40 ),
.VAR35 (0 ),
.VAR11 (VAR1 ),
.VAR14 (0 ), .VAR6 (VAR7 ),
.VAR43 (VAR62[0:0] ),
.reset(reset),
.clk(clk)
);
VAR17
VAR42 (
.VAR47 ({1'b0,VAR40[VAR56-1:0]} ),
.VAR5 (VAR32[0] ),
.VAR37 (VAR25[0] ),
.VAR41 (VAR60 ),
.reset (reset ),
.clk (clk )
);
endmodule | gpl-3.0 |
jeffkub/n64-cart-reader | old/hdl/ftdi_wb_bridge/ftdi_sync_fifo.v | 2,829 | module MODULE1 (VAR18, VAR33, VAR14, VAR8, VAR53, VAR40,
VAR72, VAR11, VAR3, VAR35, VAR66, VAR54);
input wire [7:0] VAR18;
input wire VAR33;
input wire VAR14;
input wire VAR8;
input wire VAR53;
input wire VAR40;
input wire VAR72;
output wire [7:0] VAR11;
output wire VAR3;
output wire VAR35;
output wire VAR66;
output wire VAR54;
wire VAR49;
wire VAR13;
wire VAR51;
wire VAR88;
VAR41 VAR27 (.VAR4(VAR51));
VAR15 VAR47 (.VAR4(VAR88));
VAR73 VAR70 (.VAR65(VAR18[0]), .VAR77(VAR18[1]), .VAR56(VAR18[2]),
.VAR48(VAR18[3]), .VAR7(VAR18[4]), .VAR9(VAR18[5]), .VAR76(VAR18[6]), .VAR43(VAR18[7]),
.VAR37(VAR88), .VAR25(VAR88), .VAR82(VAR88), .VAR45(VAR88),
.VAR10(VAR88), .VAR30(VAR88), .VAR63(VAR88), .VAR12(VAR88),
.VAR58(VAR88), .VAR68(VAR88), .VAR5(VAR51), .VAR79(VAR51),
.VAR84(VAR53), .VAR93(VAR51), .VAR91(VAR13), .VAR85(VAR49),
.VAR59(VAR8), .VAR71(VAR51), .VAR21(VAR51), .VAR24(VAR33), .VAR16(VAR14),
.VAR34(VAR40), .VAR46(VAR72), .VAR57(VAR11[0]), .VAR81(VAR11[1]), .VAR44(VAR11[2]),
.VAR2(VAR11[3]), .VAR17(VAR11[4]), .VAR32(VAR11[5]), .VAR55(VAR11[6]), .VAR62(VAR11[7]), .VAR23(),
.VAR31(), .VAR36(), .VAR89(), .VAR64(), .VAR22(), .VAR86(), .VAR92(), .VAR75(),
.VAR20(), .VAR74(VAR49), .VAR83(VAR66), .VAR42(VAR54), .VAR50(VAR13));
assign VAR3 = VAR49;
assign VAR35 = VAR13;
endmodule | mit |
chcbaram/Altera_DE0_nano_Exam | prj_niosii_pll/niosii/synthesis/submodules/altera_avalon_st_pipeline_base.v | 4,579 | module MODULE1 (
clk,
reset,
VAR7,
VAR4,
VAR14,
VAR10,
VAR15,
VAR13
);
parameter VAR8 = 1;
parameter VAR9 = 8;
parameter VAR3 = 1;
localparam VAR16 = VAR8 * VAR9;
input clk;
input reset;
output VAR7;
input VAR4;
input [VAR16-1:0] VAR14;
input VAR10;
output VAR15;
output [VAR16-1:0] VAR13;
reg VAR12;
reg VAR11;
reg [VAR16-1:0] VAR2;
reg [VAR16-1:0] VAR6;
assign VAR15 = VAR11;
assign VAR13 = VAR6;
generate if (VAR3 == 1)
begin : VAR5
assign VAR7 = !VAR12;
always @(posedge clk, posedge reset) begin
if (reset) begin
VAR2 <= {VAR16{1'b0}};
VAR6 <= {VAR16{1'b0}};
end else begin
if (~VAR12)
VAR2 <= VAR14;
if (~VAR11 || (VAR10 && VAR15)) begin
if (VAR12)
VAR6 <= VAR2;
end
else
VAR6 <= VAR14;
end
end
end
always @(posedge clk or posedge reset) begin
if (reset) begin
VAR12 <= 1'b0;
VAR11 <= 1'b0;
end else begin
if (~VAR12 & ~VAR11) begin
if (VAR4) begin
VAR11 <= 1'b1;
end
end
if (VAR11 & ~VAR12) begin
if (VAR4 & ~VAR10) begin
VAR12 <= 1'b1;
end
if (~VAR4 & VAR10) begin
VAR11 <= 1'b0;
end
end
if (VAR11 & VAR12) begin
if (VAR10) begin
VAR12 <= 1'b0;
end
end end
end
end
else
begin : VAR1
assign VAR7 = (~VAR11) | VAR10;
always @(posedge clk or posedge reset) begin
if (reset) begin
VAR6 <= 'b0;
VAR11 <= 1'b0;
end
else begin
if (VAR7) begin
VAR6 <= VAR14;
VAR11 <= VAR4;
end
end
end
end
endgenerate
endmodule | mit |
bluespec/Flute | builds/AWSteria_Core_Flute_RV64_Linux/Verilog_RTL_PLATFORM_VCU118/mkMMIO_AXI4_Adapter_2.v | 75,496 | module MODULE1(VAR263,
VAR340,
VAR295,
VAR268,
VAR5,
VAR12,
VAR177,
VAR274,
VAR214,
VAR218,
VAR152,
VAR211,
VAR76,
VAR155,
VAR261,
VAR292,
VAR354,
VAR53,
VAR265,
VAR22,
VAR294,
VAR137,
VAR293,
VAR333,
VAR125,
VAR193,
VAR10,
VAR3,
VAR123,
VAR54,
VAR112,
VAR162,
VAR59,
VAR4,
VAR206,
VAR270,
VAR341,
VAR124,
VAR74,
VAR242,
VAR56,
VAR110,
VAR359,
VAR210,
VAR176,
VAR330,
VAR52,
VAR141,
VAR130,
VAR172,
VAR15,
VAR16,
VAR24,
VAR92,
VAR79,
VAR191,
VAR93,
VAR201,
VAR328,
VAR6);
parameter [2 : 0] VAR186 = 3'b0;
input VAR263;
input VAR340;
input [130 : 0] VAR295;
input VAR268;
output VAR5;
input VAR12;
output [64 : 0] VAR177;
output VAR274;
input [130 : 0] VAR214;
input VAR218;
output VAR152;
input VAR211;
output [64 : 0] VAR76;
output VAR155;
input [130 : 0] VAR261;
input VAR292;
output VAR354;
input VAR53;
output [64 : 0] VAR265;
output VAR22;
output VAR294;
output [15 : 0] VAR137;
output [63 : 0] VAR293;
output [7 : 0] VAR333;
output [2 : 0] VAR125;
output [1 : 0] VAR193;
output VAR10;
output [3 : 0] VAR3;
output [2 : 0] VAR123;
output [3 : 0] VAR54;
output [3 : 0] VAR112;
input VAR162;
output VAR59;
output [63 : 0] VAR4;
output [7 : 0] VAR206;
output VAR270;
input VAR341;
input VAR124;
input [15 : 0] VAR74;
input [1 : 0] VAR242;
output VAR56;
output VAR110;
output [15 : 0] VAR359;
output [63 : 0] VAR210;
output [7 : 0] VAR176;
output [2 : 0] VAR330;
output [1 : 0] VAR52;
output VAR141;
output [3 : 0] VAR130;
output [2 : 0] VAR172;
output [3 : 0] VAR15;
output [3 : 0] VAR16;
input VAR24;
input VAR92;
input [15 : 0] VAR79;
input [63 : 0] VAR191;
input [1 : 0] VAR93;
input VAR201;
output VAR328;
output VAR6;
wire [64 : 0] VAR177,
VAR76,
VAR265;
wire [63 : 0] VAR210, VAR293, VAR4;
wire [15 : 0] VAR359, VAR137;
wire [7 : 0] VAR176, VAR333, VAR206;
wire [3 : 0] VAR130,
VAR15,
VAR16,
VAR3,
VAR54,
VAR112;
wire [2 : 0] VAR172,
VAR330,
VAR123,
VAR125;
wire [1 : 0] VAR52, VAR193;
wire VAR5,
VAR274,
VAR152,
VAR155,
VAR354,
VAR22,
VAR141,
VAR110,
VAR10,
VAR294,
VAR56,
VAR328,
VAR270,
VAR59,
VAR6;
reg [7 : 0] VAR243;
wire [7 : 0] VAR345;
wire VAR251;
reg [7 : 0] VAR317;
wire [7 : 0] VAR284;
wire VAR257;
reg [63 : 0] VAR104;
wire [63 : 0] VAR271;
wire VAR309;
reg [3 : 0] VAR215;
wire [3 : 0] VAR221;
wire VAR2;
reg [7 : 0] VAR127;
wire [7 : 0] VAR252;
wire VAR126;
reg [1 : 0] VAR304;
reg [1 : 0] VAR254;
wire VAR164;
reg [63 : 0] VAR220;
reg [63 : 0] VAR70;
wire VAR99;
reg VAR180;
wire VAR111, VAR281;
reg [3 : 0] VAR325;
wire [3 : 0] VAR29;
wire VAR179;
reg [7 : 0] VAR234;
reg [7 : 0] VAR138;
wire VAR197;
reg [76 : 0] VAR116;
wire [76 : 0] VAR238;
wire VAR147,
VAR182,
VAR122,
VAR167,
VAR348;
reg [108 : 0] VAR279;
wire [108 : 0] VAR310;
wire VAR223,
VAR102,
VAR50,
VAR355,
VAR88;
wire [82 : 0] VAR119,
VAR42;
wire VAR267,
VAR26,
VAR65,
VAR196,
VAR224;
reg [108 : 0] VAR144;
wire [108 : 0] VAR331;
wire VAR161,
VAR255,
VAR142,
VAR117,
VAR109;
wire [72 : 0] VAR77,
VAR82;
wire VAR352,
VAR338,
VAR158,
VAR250,
VAR72;
wire [17 : 0] VAR175,
VAR64;
wire VAR314,
VAR62,
VAR244,
VAR55,
VAR239;
wire [130 : 0] VAR9, VAR134;
wire VAR140,
VAR353,
VAR245,
VAR302,
VAR27;
wire [130 : 0] VAR351, VAR350;
wire VAR269,
VAR113,
VAR336,
VAR146,
VAR219;
wire [130 : 0] VAR290, VAR106;
wire VAR194,
VAR1,
VAR307,
VAR275,
VAR226;
wire [64 : 0] VAR231, VAR335;
wire VAR83,
VAR166,
VAR135,
VAR337,
VAR128;
wire [64 : 0] VAR87, VAR46;
wire VAR213,
VAR35,
VAR81,
VAR159,
VAR17;
wire [64 : 0] VAR51, VAR157;
wire VAR208,
VAR357,
VAR45,
VAR189,
VAR149;
wire VAR344,
VAR316,
VAR241,
VAR44,
VAR30,
VAR48,
VAR58,
VAR19,
VAR133,
VAR153,
VAR114,
VAR233,
VAR132,
VAR108,
VAR38,
VAR203,
VAR300,
VAR139,
VAR276,
VAR120,
VAR277,
VAR225,
VAR198,
VAR67,
VAR326,
VAR202,
VAR61,
VAR260,
VAR327,
VAR358,
VAR36,
VAR154,
VAR282,
VAR32,
VAR160,
VAR249,
VAR305,
VAR170,
VAR322,
VAR205;
wire [108 : 0] VAR199,
VAR185,
VAR258;
wire [76 : 0] VAR236,
VAR165,
VAR66;
wire [63 : 0] VAR80,
VAR356,
VAR259;
wire [7 : 0] VAR320,
VAR156,
VAR339,
VAR321,
VAR121;
wire [3 : 0] VAR90,
VAR178,
VAR296,
VAR94;
wire VAR312,
VAR13,
VAR235;
reg [31 : 0] VAR204;
reg [31 : 0] VAR151;
reg [31 : 0] VAR7;
reg [31 : 0] VAR212;
reg [31 : 0] VAR303;
reg [31 : 0] VAR98;
reg [31 : 0] VAR308;
reg [31 : 0] VAR23;
reg [31 : 0] VAR246;
reg [31 : 0] VAR207;
reg [31 : 0] VAR297;
reg [31 : 0] VAR195;
reg [31 : 0] VAR84;
reg [31 : 0] VAR97;
reg [31 : 0] VAR181;
reg [31 : 0] VAR73;
reg [31 : 0] VAR8;
reg [31 : 0] VAR349;
reg [31 : 0] VAR247;
reg [31 : 0] VAR75;
reg [31 : 0] VAR209;
reg [31 : 0] VAR69;
reg [31 : 0] VAR334;
reg [31 : 0] VAR332;
reg [31 : 0] VAR18;
reg [31 : 0] VAR313;
reg [63 : 0] VAR96;
reg [7 : 0] VAR342, VAR41, VAR105;
reg VAR230,
VAR229;
wire [63 : 0] VAR280,
VAR129,
VAR174,
VAR148,
VAR100,
VAR232,
VAR86;
wire [7 : 0] VAR25,
VAR240,
VAR43,
VAR318;
wire [5 : 0] VAR306, VAR301, VAR299, VAR343;
wire [2 : 0] VAR200, VAR37, VAR163;
wire VAR266,
VAR118,
VAR169,
VAR256,
VAR33;
assign VAR5 = VAR27 ;
assign VAR38 = VAR27 ;
assign VAR160 =
VAR268 ;
assign VAR177 = VAR335 ;
assign VAR274 = VAR135 ;
assign VAR203 = VAR135 ;
assign VAR249 =
VAR12 ;
assign VAR152 = VAR219 ;
assign VAR300 = VAR219 ;
assign VAR305 =
VAR218 ;
assign VAR76 = VAR46 ;
assign VAR155 = VAR81 ;
assign VAR139 = VAR81 ;
assign VAR170 =
VAR211 ;
assign VAR354 = VAR226 ;
assign VAR276 = VAR226 ;
assign VAR322 =
VAR292 ;
assign VAR265 = VAR157 ;
assign VAR22 = VAR45 ;
assign VAR120 = VAR45 ;
assign VAR205 =
VAR53 ;
assign VAR294 = VAR142 ;
assign VAR137 = VAR331[108:93] ;
assign VAR293 = VAR331[92:29] ;
assign VAR333 = VAR331[28:21] ;
assign VAR125 = VAR331[20:18] ;
assign VAR193 = VAR331[17:16] ;
assign VAR10 = VAR331[15] ;
assign VAR3 = VAR331[14:11] ;
assign VAR123 = VAR331[10:8] ;
assign VAR54 = VAR331[7:4] ;
assign VAR112 = VAR331[3:0] ;
assign VAR114 = 1'd1 ;
assign VAR36 = 1'd1 ;
assign VAR59 = VAR158 ;
assign VAR4 = VAR82[72:9] ;
assign VAR206 = VAR82[8:1] ;
assign VAR270 = VAR82[0] ;
assign VAR108 = 1'd1 ;
assign VAR32 = 1'd1 ;
assign VAR233 = 1'd1 ;
assign VAR154 = 1'd1 ;
assign VAR56 = VAR239 ;
assign VAR110 = VAR50 ;
assign VAR359 = VAR310[108:93] ;
assign VAR210 = VAR310[92:29] ;
assign VAR176 = VAR310[28:21] ;
assign VAR330 = VAR310[20:18] ;
assign VAR52 = VAR310[17:16] ;
assign VAR141 = VAR310[15] ;
assign VAR130 = VAR310[14:11] ;
assign VAR172 = VAR310[10:8] ;
assign VAR15 = VAR310[7:4] ;
assign VAR16 = VAR310[3:0] ;
assign VAR153 = 1'd1 ;
assign VAR358 = 1'd1 ;
assign VAR132 = 1'd1 ;
assign VAR282 = 1'd1 ;
assign VAR328 = VAR224 ;
assign VAR6 = VAR180 ;
VAR347 #(.VAR286(32'd77), .VAR289(1'd1)) VAR173(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR116),
.VAR237(VAR167),
.VAR315(VAR182),
.VAR150(VAR147),
.VAR47(VAR238),
.VAR107(VAR348),
.VAR63(VAR122));
VAR347 #(.VAR286(32'd109),
.VAR289(1'd1)) VAR298(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR279),
.VAR237(VAR355),
.VAR315(VAR102),
.VAR150(VAR223),
.VAR47(VAR310),
.VAR107(VAR88),
.VAR63(VAR50));
VAR347 #(.VAR286(32'd83),
.VAR289(1'd1)) VAR103(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR119),
.VAR237(VAR196),
.VAR315(VAR26),
.VAR150(VAR267),
.VAR47(VAR42),
.VAR107(VAR224),
.VAR63(VAR65));
VAR347 #(.VAR286(32'd109),
.VAR289(1'd1)) VAR262(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR144),
.VAR237(VAR117),
.VAR315(VAR255),
.VAR150(VAR161),
.VAR47(VAR331),
.VAR107(VAR109),
.VAR63(VAR142));
VAR347 #(.VAR286(32'd73),
.VAR289(1'd1)) VAR188(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR77),
.VAR237(VAR250),
.VAR315(VAR338),
.VAR150(VAR352),
.VAR47(VAR82),
.VAR107(VAR72),
.VAR63(VAR158));
VAR347 #(.VAR286(32'd18),
.VAR289(1'd1)) VAR11(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR175),
.VAR237(VAR55),
.VAR315(VAR62),
.VAR150(VAR314),
.VAR47(VAR64),
.VAR107(VAR239),
.VAR63(VAR244));
VAR347 #(.VAR286(32'd131), .VAR289(1'd1)) VAR115(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR9),
.VAR237(VAR302),
.VAR315(VAR353),
.VAR150(VAR140),
.VAR47(VAR134),
.VAR107(VAR27),
.VAR63(VAR245));
VAR347 #(.VAR286(32'd131), .VAR289(1'd1)) VAR136(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR351),
.VAR237(VAR146),
.VAR315(VAR113),
.VAR150(VAR269),
.VAR47(VAR350),
.VAR107(VAR219),
.VAR63(VAR336));
VAR347 #(.VAR286(32'd131), .VAR289(1'd1)) VAR183(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR290),
.VAR237(VAR275),
.VAR315(VAR1),
.VAR150(VAR194),
.VAR47(VAR106),
.VAR107(VAR226),
.VAR63(VAR307));
VAR347 #(.VAR286(32'd65), .VAR289(1'd1)) VAR143(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR231),
.VAR237(VAR337),
.VAR315(VAR166),
.VAR150(VAR83),
.VAR47(VAR335),
.VAR107(VAR128),
.VAR63(VAR135));
VAR347 #(.VAR286(32'd65), .VAR289(1'd1)) VAR187(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR87),
.VAR237(VAR159),
.VAR315(VAR35),
.VAR150(VAR213),
.VAR47(VAR46),
.VAR107(VAR17),
.VAR63(VAR81));
VAR347 #(.VAR286(32'd65), .VAR289(1'd1)) VAR228(.VAR190(VAR340),
.VAR263(VAR263),
.VAR346(VAR51),
.VAR237(VAR189),
.VAR315(VAR357),
.VAR150(VAR208),
.VAR47(VAR157),
.VAR107(VAR149),
.VAR63(VAR45));
assign VAR316 =
VAR245 && VAR88 &&
VAR348 &&
VAR134[130] &&
VAR215 != 4'd15 &&
VAR325 == 4'd0 ;
assign VAR225 = VAR316 ;
assign VAR241 =
VAR88 &&
VAR348 &&
VAR336 &&
VAR350[130] &&
VAR215 != 4'd15 &&
VAR325 == 4'd0 ;
assign VAR198 =
VAR241 && !VAR225 ;
assign VAR44 =
VAR88 &&
VAR348 &&
VAR307 &&
VAR106[130] &&
VAR215 != 4'd15 &&
VAR325 == 4'd0 ;
assign VAR67 =
VAR44 && !VAR198 &&
!VAR225 ;
assign VAR48 =
VAR245 && VAR109 &&
!VAR134[130] &&
!VAR33 &&
VAR215 == 4'd0 &&
VAR325 != 4'd15 ;
assign VAR202 =
VAR48 && !VAR67 &&
!VAR198 ;
assign VAR58 =
VAR336 && VAR109 &&
!VAR350[130] &&
!VAR33 &&
VAR215 == 4'd0 &&
VAR325 != 4'd15 ;
assign VAR61 =
VAR58 && !VAR202 &&
!VAR67 &&
!VAR225 ;
assign VAR19 =
VAR307 && VAR109 &&
!VAR106[130] &&
!VAR33 &&
VAR215 == 4'd0 &&
VAR325 != 4'd15 ;
assign VAR260 =
VAR19 && !VAR61 &&
!VAR202 &&
!VAR198 &&
!VAR225 ;
assign VAR344 =
VAR122 &&
VAR65 &&
VAR266 &&
VAR317 <= VAR238[7:0] ;
assign VAR277 =
VAR344 && !VAR67 &&
!VAR198 &&
!VAR225 ;
assign VAR30 =
VAR72 &&
VAR118 &&
VAR33 ;
assign VAR326 =
VAR30 && !VAR67 &&
!VAR198 &&
!VAR225 ;
assign VAR133 = VAR244 ;
assign VAR327 =
VAR244 &&
!VAR260 &&
!VAR61 &&
!VAR202 ;
assign VAR312 =
VAR277 &&
VAR169 ;
assign VAR13 =
VAR260 || VAR61 ||
VAR202 ;
assign VAR235 =
VAR327 && VAR325 != 4'd0 ;
assign VAR236 =
{ 2'd0, VAR134[129:66], VAR200, 8'd0 } ;
assign VAR165 =
{ 2'd1, VAR350[129:66], VAR37, 8'd0 } ;
assign VAR66 =
{ 2'd2, VAR106[129:66], VAR163, 8'd0 } ;
assign VAR199 =
{ 16'd0,
VAR134[129:66],
8'd0,
VAR200,
18'd65536 } ;
assign VAR185 =
{ 16'd0,
VAR350[129:66],
8'd0,
VAR37,
18'd65536 } ;
assign VAR258 =
{ 16'd0,
VAR106[129:66],
8'd0,
VAR163,
18'd65536 } ;
assign VAR320 =
VAR169 ?
8'd0 :
VAR25 ;
assign VAR90 =
VAR215 - 4'd1 ;
assign VAR178 =
VAR215 + 4'd1 ;
assign VAR156 = VAR127 + 8'd1 ;
assign VAR80 =
(VAR134[65:64] == 2'd3) ?
VAR134[63:0] :
VAR100 ;
assign VAR356 =
(VAR350[65:64] == 2'd3) ?
VAR350[63:0] :
VAR232 ;
assign VAR259 =
(VAR106[65:64] == 2'd3) ?
VAR106[63:0] :
VAR86 ;
assign VAR296 =
VAR325 - 4'd1 ;
assign VAR94 =
VAR325 + 4'd1 ;
assign VAR339 =
(VAR134[65:64] == 2'd3) ?
VAR342 :
VAR240 ;
assign VAR321 =
(VAR350[65:64] == 2'd3) ?
VAR41 :
VAR43 ;
assign VAR121 =
(VAR106[65:64] == 2'd3) ?
VAR105 :
VAR318 ;
assign VAR345 = 8'd0 ;
assign VAR251 = VAR13 ;
assign VAR284 =
VAR277 ?
VAR320 :
8'd0 ;
assign VAR257 =
VAR277 || VAR67 ||
VAR198 ||
VAR225 ;
assign VAR271 = VAR96 ;
assign VAR309 = VAR277 ;
assign VAR221 =
VAR312 ?
VAR90 :
VAR178 ;
assign VAR2 =
VAR277 &&
VAR169 ||
VAR67 ||
VAR198 ||
VAR225 ;
assign VAR252 =
VAR326 ?
VAR156 :
8'd0 ;
assign VAR126 =
VAR326 || VAR260 ||
VAR61 ||
VAR202 ;
always@(VAR202 or
VAR61 or VAR260)
begin
case (1'b1) VAR202: VAR254 = 2'd0;
VAR61: VAR254 = 2'd1;
VAR260: VAR254 = 2'd2;
default: VAR254 = 2'b10 ;
endcase
end
assign VAR164 =
VAR202 || VAR61 ||
VAR260 ;
always@(VAR202 or
VAR80 or
VAR61 or
VAR356 or
VAR260 or
VAR259 or
VAR326)
begin
case (1'b1) VAR202:
VAR70 = VAR80;
VAR61:
VAR70 = VAR356;
VAR260:
VAR70 = VAR259;
VAR326: VAR70 = 64'd0;
default: VAR70 =
64'hAAAAAAAAAAAAAAAA ;
endcase
end
assign VAR99 =
VAR202 || VAR61 ||
VAR260 ||
VAR326 ;
assign VAR111 = 1'd1 ;
assign VAR281 =
VAR327 &&
(VAR325 == 4'd0 ||
VAR64[1:0] != 2'b0) ;
assign VAR29 =
VAR235 ?
VAR296 :
VAR94 ;
assign VAR179 =
VAR327 && VAR325 != 4'd0 ||
VAR260 ||
VAR61 ||
VAR202 ;
always@(VAR202 or
VAR339 or
VAR61 or
VAR321 or
VAR260 or
VAR121 or
VAR326)
begin
case (1'b1) VAR202:
VAR138 = VAR339;
VAR61:
VAR138 = VAR321;
VAR260:
VAR138 = VAR121;
VAR326: VAR138 = 8'd0;
default: VAR138 = 8'b10101010 ;
endcase
end
assign VAR197 =
VAR202 || VAR61 ||
VAR260 ||
VAR326 ;
always@(VAR225 or
VAR236 or
VAR198 or
VAR165 or
VAR67 or
VAR66)
begin
case (1'b1) VAR225:
VAR116 = VAR236;
VAR198:
VAR116 = VAR165;
VAR67:
VAR116 = VAR66;
default: VAR116 =
77'h0AAAAAAAAAAAAAAAAAAA ;
endcase
end
assign VAR167 =
VAR225 || VAR198 ||
VAR67 ;
assign VAR182 =
VAR312 ;
assign VAR147 = 1'b0 ;
always@(VAR225 or
VAR199 or
VAR198 or
VAR185 or
VAR67 or
VAR258)
begin
case (1'b1) VAR225:
VAR279 =
VAR199;
VAR198:
VAR279 =
VAR185;
VAR67:
VAR279 =
VAR258;
default: VAR279 =
109'h0AAAAAAAAAAAAAAAAAAAAAAAAAAA ;
endcase
end
assign VAR355 =
VAR225 || VAR198 ||
VAR67 ;
assign VAR102 =
VAR50 && VAR24 ;
assign VAR223 = 1'b0 ;
assign VAR119 =
{ VAR79,
VAR191,
VAR93,
VAR201 } ;
assign VAR196 =
VAR92 && VAR224 ;
assign VAR26 = VAR277 ;
assign VAR267 = 1'b0 ;
always@(VAR202 or
VAR199 or
VAR61 or
VAR185 or
VAR260 or
VAR258)
begin
case (1'b1) VAR202:
VAR144 =
VAR199;
VAR61:
VAR144 =
VAR185;
VAR260:
VAR144 =
VAR258;
default: VAR144 =
109'h0AAAAAAAAAAAAAAAAAAAAAAAAAAA ;
endcase
end
assign VAR117 =
VAR202 || VAR61 ||
VAR260 ;
assign VAR255 =
VAR142 && VAR162 ;
assign VAR161 = 1'b0 ;
assign VAR77 =
{ VAR220,
VAR234,
VAR256 } ;
assign VAR250 = VAR326 ;
assign VAR338 =
VAR158 && VAR341 ;
assign VAR352 = 1'b0 ;
assign VAR175 =
{ VAR74, VAR242 } ;
assign VAR55 =
VAR124 && VAR239 ;
assign VAR62 = VAR327 ;
assign VAR314 = 1'b0 ;
assign VAR9 = VAR295 ;
assign VAR302 = VAR268 ;
assign VAR353 =
VAR326 && VAR304 == 2'd0 &&
VAR256 ||
VAR225 ;
assign VAR140 = 1'b0 ;
assign VAR351 = VAR214 ;
assign VAR146 = VAR218 ;
assign VAR113 =
VAR326 && VAR304 == 2'd1 &&
VAR256 ||
VAR198 ;
assign VAR269 = 1'b0 ;
assign VAR290 = VAR261 ;
assign VAR275 = VAR292 ;
assign VAR1 =
VAR326 && VAR304 == 2'd2 &&
VAR256 ||
VAR67 ;
assign VAR194 = 1'b0 ;
assign VAR231 =
{ VAR42[2:1] == 2'b0, VAR129 } ;
assign VAR337 =
VAR277 &&
VAR238[76:75] == 2'd0 &&
VAR169 ;
assign VAR166 = VAR12 ;
assign VAR83 = 1'b0 ;
assign VAR87 = VAR231 ;
assign VAR159 =
VAR277 &&
VAR238[76:75] == 2'd1 &&
VAR169 ;
assign VAR35 = VAR211 ;
assign VAR213 = 1'b0 ;
assign VAR51 = VAR231 ;
assign VAR189 =
VAR277 &&
VAR238[76:75] == 2'd2 &&
VAR169 ;
assign VAR357 = VAR53 ;
assign VAR208 = 1'b0 ;
assign VAR266 =
!VAR169 ||
VAR230 ;
assign VAR118 =
!VAR256 ||
VAR229 ;
assign VAR169 =
VAR317 == VAR238[7:0] ;
assign VAR256 =
VAR127 == VAR243 ;
assign VAR33 =
VAR127 <= VAR243 ;
assign VAR280 =
VAR169 ?
VAR129 :
VAR96 ;
assign VAR129 =
(VAR238[10:8] == 3'b011) ?
VAR96 :
VAR174 ;
assign VAR174 = VAR96 >> VAR306 ;
assign VAR306 = { VAR238[13:11], 3'b0 } ;
assign VAR148 =
{ VAR42[34:3],
VAR104[31:0] } ;
assign VAR200 = { 1'b0, VAR134[65:64] } ;
assign VAR37 = { 1'b0, VAR350[65:64] } ;
assign VAR163 = { 1'b0, VAR106[65:64] } ;
assign VAR25 = VAR317 + 8'd1 ;
assign VAR301 = { VAR134[68:66], 3'b0 } ;
assign VAR299 = { VAR350[68:66], 3'b0 } ;
assign VAR343 = { VAR106[68:66], 3'b0 } ;
assign VAR100 =
VAR134[63:0] << VAR301 ;
assign VAR232 =
VAR350[63:0] << VAR299 ;
assign VAR86 =
VAR106[63:0] << VAR343 ;
assign VAR240 =
VAR342 << VAR134[68:66] ;
assign VAR43 =
VAR41 << VAR350[68:66] ;
assign VAR318 =
VAR105 << VAR106[68:66] ;
always@(VAR134)
begin
case (VAR134[65:64])
2'd0: VAR342 = 8'h01;
2'd1: VAR342 = 8'h03;
2'd2: VAR342 = 8'h0F;
2'd3: VAR342 = 8'hFF;
endcase
end
always@(VAR350)
begin
case (VAR350[65:64])
2'd0: VAR41 = 8'h01;
2'd1: VAR41 = 8'h03;
2'd2: VAR41 = 8'h0F;
2'd3: VAR41 = 8'hFF;
endcase
end
always@(VAR106)
begin
case (VAR106[65:64])
2'd0: VAR105 = 8'h01;
2'd1: VAR105 = 8'h03;
2'd2: VAR105 = 8'h0F;
2'd3: VAR105 = 8'hFF;
endcase
end
always@(VAR317 or
VAR104 or
VAR42 or VAR148)
begin
case (VAR317)
8'd0: VAR96 = VAR42[66:3];
8'd1: VAR96 = VAR148;
default: VAR96 = VAR104;
endcase
end
always@(VAR238 or
VAR128 or
VAR17 or VAR149)
begin
case (VAR238[76:75])
2'd0:
VAR230 =
VAR128;
2'd1:
VAR230 =
VAR17;
2'd2:
VAR230 =
VAR149;
2'd3: VAR230 = 1'd1;
endcase
end
always@(VAR304 or
VAR245 or
VAR336 or VAR307)
begin
case (VAR304)
2'd0:
VAR229 =
VAR245;
2'd1:
VAR229 =
VAR336;
2'd2:
VAR229 =
VAR307;
2'd3: VAR229 = 1'd1;
endcase
end
always@(posedge VAR263)
begin
if (VAR340 == VAR311)
begin
VAR243 <= VAR89 8'd0;
VAR317 <= VAR89 8'd0;
VAR215 <= VAR89 4'd0;
VAR127 <= VAR89 8'd1;
VAR180 <= VAR89 1'd0;
VAR325 <= VAR89 4'd0;
end
else
begin
if (VAR251)
VAR243 <= VAR89 VAR345;
if (VAR257)
VAR317 <= VAR89 VAR284;
if (VAR2)
VAR215 <= VAR89
VAR221;
if (VAR126)
VAR127 <= VAR89 VAR252;
if (VAR281)
VAR180 <= VAR89 VAR111;
if (VAR179)
VAR325 <= VAR89
VAR29;
end
if (VAR309)
VAR104 <= VAR89 VAR271;
if (VAR164)
VAR304 <= VAR89 VAR254;
if (VAR99)
VAR220 <= VAR89 VAR70;
if (VAR197)
VAR234 <= VAR89 VAR138;
end
begin
VAR243 = 8'hAA;
VAR317 = 8'hAA;
VAR104 = 64'hAAAAAAAAAAAAAAAA;
VAR215 = 4'hA;
VAR127 = 8'hAA;
VAR304 = 2'h2;
VAR220 = 64'hAAAAAAAAAAAAAAAA;
VAR180 = 1'h0;
VAR325 = 4'hA;
VAR234 = 8'hAA;
end
always@(negedge VAR263)
begin
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0)
begin
VAR204 = VAR101;
end
VAR97 = VAR204 / 32'd10;
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0)
(" VAR329 {VAR285 %0h VAR57 %VAR272 ",
VAR134[129:66],
8'd0);
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0 &&
VAR134[65:64] == 2'd0)
("VAR95");
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0 &&
VAR134[65:64] == 2'd1)
("VAR60");
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0 &&
VAR134[65:64] == 2'd2)
("VAR323");
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0 &&
VAR134[65:64] != 2'd0 &&
VAR134[65:64] != 2'd1 &&
VAR134[65:64] != 2'd2)
("VAR248");
if (VAR340 != VAR311)
if (VAR225 && VAR186 != 3'd0) ("}", "\VAR168");
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0)
begin
VAR151 = VAR101;
end
VAR181 = VAR151 / 32'd10;
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0)
(" VAR329 {VAR285 %0h VAR57 %VAR272 ",
VAR350[129:66],
8'd0);
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0 &&
VAR350[65:64] == 2'd0)
("VAR95");
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0 &&
VAR350[65:64] == 2'd1)
("VAR60");
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0 &&
VAR350[65:64] == 2'd2)
("VAR323");
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0 &&
VAR350[65:64] != 2'd0 &&
VAR350[65:64] != 2'd1 &&
VAR350[65:64] != 2'd2)
("VAR248");
if (VAR340 != VAR311)
if (VAR198 && VAR186 != 3'd0)
("}", "\VAR168");
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0)
begin
VAR7 = VAR101;
end
VAR73 = VAR7 / 32'd10;
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0)
(" VAR329 {VAR285 %0h VAR57 %VAR272 ",
VAR106[129:66],
8'd0);
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0 &&
VAR106[65:64] == 2'd0)
("VAR95");
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0 &&
VAR106[65:64] == 2'd1)
("VAR60");
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0 &&
VAR106[65:64] == 2'd2)
("VAR323");
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0 &&
VAR106[65:64] != 2'd0 &&
VAR106[65:64] != 2'd1 &&
VAR106[65:64] != 2'd2)
("VAR248");
if (VAR340 != VAR311)
if (VAR67 && VAR186 != 3'd0)
("}", "\VAR168");
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0)
begin
VAR212 = VAR101;
end
VAR75 = VAR212 / 32'd10;
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0)
(" VAR291{VAR21 %0h VAR273 %0d ",
VAR134[129:66],
8'd0);
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0 &&
VAR134[65:64] == 2'd0)
("VAR95");
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0 &&
VAR134[65:64] == 2'd1)
("VAR60");
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0 &&
VAR134[65:64] == 2'd2)
("VAR323");
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0 &&
VAR134[65:64] != 2'd0 &&
VAR134[65:64] != 2'd1 &&
VAR134[65:64] != 2'd2)
("VAR248");
if (VAR340 != VAR311)
if (VAR202 && VAR186 != 3'd0)
(" VAR283}", "\VAR168");
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0)
begin
VAR303 = VAR101;
end
VAR209 = VAR303 / 32'd10;
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0)
(" VAR291{VAR21 %0h VAR273 %0d ",
VAR350[129:66],
8'd0);
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0 &&
VAR350[65:64] == 2'd0)
("VAR95");
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0 &&
VAR350[65:64] == 2'd1)
("VAR60");
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0 &&
VAR350[65:64] == 2'd2)
("VAR323");
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0 &&
VAR350[65:64] != 2'd0 &&
VAR350[65:64] != 2'd1 &&
VAR350[65:64] != 2'd2)
("VAR248");
if (VAR340 != VAR311)
if (VAR61 && VAR186 != 3'd0)
(" VAR283}", "\VAR168");
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0)
begin
VAR98 = VAR101;
end
VAR69 = VAR98 / 32'd10;
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0)
(" VAR291{VAR21 %0h VAR273 %0d ",
VAR106[129:66],
8'd0);
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0 &&
VAR106[65:64] == 2'd0)
("VAR95");
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0 &&
VAR106[65:64] == 2'd1)
("VAR60");
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0 &&
VAR106[65:64] == 2'd2)
("VAR323");
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0 &&
VAR106[65:64] != 2'd0 &&
VAR106[65:64] != 2'd1 &&
VAR106[65:64] != 2'd2)
("VAR248");
if (VAR340 != VAR311)
if (VAR260 && VAR186 != 3'd0)
(" VAR283}", "\VAR168");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
begin
VAR308 = VAR101;
end
VAR8 = VAR308 / 32'd10;
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
VAR171(" VAR222 VAR131 VAR288 for VAR319 %0d addr %0h VAR20 %0h VAR57 %0h VAR28 %0d",
VAR238[76:75],
VAR238[74:11],
VAR238[10:8],
VAR238[7:0],
VAR317);
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
(" ");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
("VAR91 { ", "VAR85: ");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
("'VAR78%VAR78", VAR42[82:67]);
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
(", ", "VAR34: ");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
("'VAR78%VAR78", VAR42[66:3]);
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
(", ", "VAR217: ");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
("'VAR78%VAR78", VAR42[2:1]);
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
(", ", "VAR227: ");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0 &&
VAR42[0])
("VAR287");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0 &&
!VAR42[0])
("VAR253");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
(", ", "VAR278: ");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
("'VAR78%VAR78", 1'd0, " }");
if (VAR340 != VAR311)
if (VAR277 &&
VAR42[2:1] != 2'b0 &&
VAR186 != 3'd0)
("\VAR168");
if (VAR340 != VAR311)
if (VAR277 && VAR317 != 8'd0 &&
VAR317 != 8'd1)
begin
VAR23 = VAR101;
end
VAR349 = VAR23 / 32'd10;
if (VAR340 != VAR311)
if (VAR277 && VAR317 != 8'd0 &&
VAR317 != 8'd1)
if (VAR340 != VAR311)
if (VAR277 && VAR317 != 8'd0 &&
VAR317 != 8'd1)
",
VAR317);
if (VAR340 != VAR311)
if (VAR277 && VAR317 != 8'd0 &&
VAR317 != 8'd1)
if (VAR340 != VAR311)
if (VAR277 && VAR186 != 3'd0)
begin
VAR246 = VAR101;
end
VAR247 = VAR246 / 32'd10;
if (VAR340 != VAR311)
if (VAR277 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR277 && VAR186 != 3'd0)
VAR171(" [%0d] VAR28 %0d VAR40 %0h",
VAR238[76:75],
VAR317,
VAR280);
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
begin
VAR207 = VAR101;
end
VAR334 = VAR207 / 32'd10;
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0) (" ");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
("VAR49 { ", "VAR192: ");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
("'VAR78%VAR78", VAR220);
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
(", ", "VAR39: ");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
("'VAR78%VAR78", VAR234);
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
(", ", "VAR14: ");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0 &&
VAR256)
("VAR287");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0 &&
!VAR256)
("VAR253");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
(", ", "VAR68: ");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0)
("'VAR78%VAR78", 1'h0, " }");
if (VAR340 != VAR311)
if (VAR326 && VAR186 != 3'd0) ("\VAR168");
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
begin
VAR297 = VAR101;
end
VAR332 = VAR297 / 32'd10;
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
(" ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
("VAR324 { ", "VAR145: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
("'VAR78%VAR78", VAR64[17:2]);
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
(", ", "VAR71: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
("'VAR78%VAR78", VAR64[1:0]);
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
(", ", "VAR184: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
("'VAR78%VAR78", 1'd0, " }");
if (VAR340 != VAR311)
if (VAR327 && VAR325 == 4'd0)
("\VAR168");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
begin
VAR195 = VAR101;
end
VAR18 = VAR195 / 32'd10;
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
("%0d: %VAR31.VAR264: VAR216=%0d, ",
VAR18,
VAR325);
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
("VAR324 { ", "VAR145: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
("'VAR78%VAR78", VAR64[17:2]);
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
(", ", "VAR71: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
("'VAR78%VAR78", VAR64[1:0]);
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
(", ", "VAR184: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
("'VAR78%VAR78", 1'd0, " }");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] == 2'b0 &&
VAR186 != 3'd0)
("\VAR168");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
begin
VAR84 = VAR101;
end
VAR313 = VAR84 / 32'd10;
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
(" ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
("VAR324 { ", "VAR145: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
("'VAR78%VAR78", VAR64[17:2]);
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
(", ", "VAR71: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
("'VAR78%VAR78", VAR64[1:0]);
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
(", ", "VAR184: ");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
("'VAR78%VAR78", 1'd0, " }");
if (VAR340 != VAR311)
if (VAR327 && VAR325 != 4'd0 &&
VAR64[1:0] != 2'b0)
("\VAR168");
end
endmodule | apache-2.0 |
cafe-alpha/wascafe | v13/r07c_de10_20201014_abus4/wasca/synthesis/submodules/wasca_leds.v | 2,094 | module MODULE1 (
address,
VAR3,
clk,
VAR2,
VAR8,
VAR5,
VAR1,
VAR9
)
;
output [ 3: 0] VAR1;
output [ 31: 0] VAR9;
input [ 1: 0] address;
input VAR3;
input clk;
input VAR2;
input VAR8;
input [ 31: 0] VAR5;
wire VAR4;
reg [ 3: 0] VAR6;
wire [ 3: 0] VAR1;
wire [ 3: 0] VAR7;
wire [ 31: 0] VAR9;
assign VAR4 = 1;
assign VAR7 = {4 {(address == 0)}} & VAR6;
always @(posedge clk or negedge VAR2)
begin
if (VAR2 == 0)
VAR6 <= 0;
end
else if (VAR3 && ~VAR8 && (address == 0))
VAR6 <= VAR5[3 : 0];
end
assign VAR9 = {32'b0 | VAR7};
assign VAR1 = VAR6;
endmodule | gpl-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/sctag/rtl/sctag_tagdp.v | 10,955 | module MODULE1(
VAR49, VAR11, VAR27,
VAR68, VAR73, VAR86,
VAR58, VAR55, VAR89, VAR8,
VAR52, VAR78,
VAR28, VAR30, VAR77,
VAR88, VAR42, VAR38,
VAR3,
VAR45, VAR7, VAR66, VAR14,
VAR31, VAR69, VAR64, VAR1,
VAR20, VAR12, VAR67,
VAR54, VAR90, VAR84,
VAR23, VAR22, VAR70,
VAR65, VAR4, VAR62, VAR18, VAR50, VAR19
);
input [39:8] VAR45; input [9:0] VAR7; input [VAR2-1:6] VAR66 ;
input [VAR2-1:0] VAR14;
input [VAR2-1:0] VAR31;
input [VAR2-1:0] VAR69;
input [VAR2-1:0] VAR64;
input [3:0] VAR1 ;
output [VAR2-1:0] VAR49; output [VAR2-1:0] VAR11;
output [39:10] VAR27; output [2:0] VAR68, VAR73;
output VAR86;
output [5:0] VAR58; output VAR55;
output [VAR2-1:1] VAR89;
input [9:0] VAR20;
input [9:0] VAR12;
input [11:0] VAR67;
input [11:0] VAR54;
input VAR90;
input VAR84;
input VAR23;
input VAR22;
input [27:0] VAR70;
input [7:0] VAR65;
output [9:0] VAR8;
output [9:0] VAR52;
output [11:0] VAR78;
output [11:0] VAR28;
output VAR30;
output VAR77;
output VAR88;
output VAR42;
output [27:0] VAR38;
output [7:0] VAR3;
input VAR4;
input VAR62;
input VAR18,VAR50;
input VAR19;
wire [29:6] VAR61 ;
wire [5:0] VAR75;
wire [5:0] VAR83, VAR81, VAR74;
wire [5:0] VAR36, VAR5, VAR33;
wire [5:0] VAR6;
wire [VAR2-1:0] VAR32, VAR51; wire [39:8] VAR92;
wire [39:8] VAR34;
wire [39:10] VAR57;
wire [VAR2-1:6] VAR15;
wire VAR59;
VAR60 VAR29 (.clk(VAR59), .VAR62(VAR62), .VAR93(VAR19), .VAR46(~VAR50));
VAR47 #(VAR2-6) VAR21
(.din(VAR66[VAR2-1:6]), .clk(VAR59),
.VAR76(VAR15[VAR2-1:6]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR85 VAR63 (.din({2'b0,VAR15[VAR2-1:6]}),
.dout(VAR61[29:6]),
.VAR80(VAR75[5:0]));
assign VAR83 = { VAR75[4:0], VAR75[5] } ;
assign VAR89[VAR2-1:6] = VAR15[VAR2-1:6] ;
assign VAR89[5:1] = VAR83[5:1] ;
assign VAR92[39:8] = { VAR32[VAR2-1:6],
VAR7[9:0] } ;
VAR9 #(32) VAR24 ( .dout (VAR34[39:8]),
.VAR71(VAR45[39:8]), .VAR43(VAR92[39:8]),
.VAR17(~VAR4), .VAR48(VAR4));
assign VAR57[39:10] = VAR34[39:10] ;
VAR47 #(30) VAR16 (.din(VAR57[39:10]), .clk(VAR62),
.VAR76(VAR27[39:10]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR40 #(VAR2) VAR37 (.dout (VAR32[VAR2-1:0]),
.VAR71(VAR14[VAR2-1:0]),
.VAR43(VAR31[VAR2-1:0]),
.VAR82(VAR69[VAR2-1:0]),
.VAR41(VAR64[VAR2-1:0]),
.VAR17(VAR1[0]),
.VAR48(VAR1[1]),
.VAR35(VAR1[2]),
.VAR13(VAR1[3]));
VAR47 #(VAR2) VAR39 (.din(VAR32[VAR2-1:0]), .clk(VAR62),
.VAR76(VAR49[VAR2-1:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(VAR2) VAR72 (.din(VAR49[VAR2-1:0]), .clk(VAR62),
.VAR76(VAR51[VAR2-1:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(VAR2) VAR26 (.din(VAR51[VAR2-1:0]), .clk(VAR62),
.VAR76(VAR11[VAR2-1:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(6) VAR44 (.din(VAR83[5:0]), .clk(VAR62),
.VAR76(VAR81[5:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(6) VAR87 (.din(VAR81[5:0]), .clk(VAR62),
.VAR76(VAR74[5:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(6) VAR25 (.din(VAR74[5:0]), .clk(VAR62),
.VAR76(VAR36[5:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(6) VAR79 (.din(VAR36[5:0]), .clk(VAR62),
.VAR76(VAR5[5:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(6) VAR91 (.din(VAR5[5:0]), .clk(VAR62),
.VAR76(VAR33[5:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(6) VAR56 (.din(VAR33[5:0]), .clk(VAR62),
.VAR76(VAR6[5:0]), .VAR50(VAR50), .VAR18(), .VAR55());
VAR47 #(6) VAR53 (.din(VAR6[5:0]), .clk(VAR62),
.VAR76(VAR58[5:0]), .VAR50(VAR50), .VAR18(), .VAR55());
assign VAR68[2:0] = VAR34[10:8];
assign VAR73[2:0] = VAR34[10:8];
VAR47 #(1) VAR10 (.din(VAR34[11]), .clk(VAR62),
.VAR76(VAR86), .VAR50(VAR50), .VAR18(), .VAR55());
assign VAR8[9:0] = VAR20[9:0] ;
assign VAR78[11:0] = VAR67[11:0] ;
assign VAR30 = VAR90;
assign VAR88 = VAR23;
assign VAR52[9:0] = VAR12[9:0] ;
assign VAR28[11:0] = VAR54[11:0] ;
assign VAR77 = VAR84;
assign VAR42 = VAR22;
assign VAR38 = VAR70 ;
assign VAR3 = VAR65;
endmodule | gpl-2.0 |
alexforencich/xfcp | rtl/xfcp_mod_wb.v | 25,726 | module MODULE1 #
(
parameter VAR17 = 16'h0001,
parameter VAR34 = "VAR28 VAR20",
parameter VAR2 = 0,
parameter VAR23 = "",
parameter VAR35 = 16, parameter VAR30 = 32, parameter VAR5 = 32, parameter VAR19 = (VAR30/8) )
(
input wire clk,
input wire rst,
input wire [7:0] VAR31,
input wire VAR8,
output wire VAR18,
input wire VAR37,
input wire VAR22,
output wire [7:0] VAR14,
output wire VAR24,
input wire VAR6,
output wire VAR26,
output wire VAR21,
output wire [VAR5-1:0] VAR3, input wire [VAR30-1:0] VAR4, output wire [VAR30-1:0] VAR15, output wire VAR7, output wire [VAR19-1:0] VAR32, output wire VAR10, input wire VAR13, input wire VAR12, output wire VAR25 );
parameter VAR9 = VAR5 - VAR33(VAR19);
parameter VAR16 = VAR19;
parameter VAR11 = VAR30/VAR16;
parameter VAR27 = VAR33(VAR11/8);
parameter VAR36 = VAR5+VAR27;
parameter VAR29 = (VAR35+8-1)/8;
parameter VAR1 = (VAR36+8-1)/8; | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/fill/sky130_fd_sc_hs__fill.functional.pp.v | 1,147 | module MODULE1 (
VAR1,
VAR3,
VAR4 ,
VAR2
);
input VAR1;
input VAR3;
input VAR4 ;
input VAR2 ;
endmodule | apache-2.0 |
ehab93/MIPS-Processor | control/control.v | 1,035 | module MODULE1 (
input [5:0] VAR9,
output [1:0] VAR14,
output VAR8, VAR2, VAR3, VAR7,
output VAR1, VAR11, VAR16
);
wire VAR13, VAR6, VAR4, VAR15, VAR12, VAR5, VAR10;
not (VAR6, VAR9[0]);
not (VAR4, VAR9[1]);
not (VAR15, VAR9[2]);
not (VAR12, VAR9[3]);
not (VAR5, VAR9[4]);
not (VAR10, VAR9[5]);
and (VAR14[0], VAR10, VAR5, VAR12, VAR9[2] , VAR4, VAR6);
and (VAR14[1], VAR10, VAR5, VAR12, VAR15, VAR4, VAR6);
and (VAR8 , VAR10, VAR5, VAR12, VAR15, VAR4, VAR6);
and (VAR3 , VAR9[5] , VAR5, VAR12, VAR15, VAR9[1] , VAR9[0] );
and (VAR1 , VAR9[5] , VAR5, VAR12, VAR15, VAR9[1] , VAR9[0] );
and (VAR11 , VAR9[5] , VAR5, VAR9[3] , VAR15, VAR9[1] , VAR9[0] );
and (VAR16 , VAR10, VAR5, VAR12, VAR9[2] , VAR4, VAR6);
and (VAR13 , VAR9[5] , VAR5, VAR12, VAR15, VAR9[1] , VAR9[0] );
and (VAR2 , VAR9[5] , VAR5, VAR15, VAR9[1] , VAR9[0] );
or (VAR7 , VAR13 , VAR14[1]);
endmodule | mit |
olofk/oh | emailbox/hdl/emailbox.v | 5,363 | module MODULE1 (
VAR25, VAR14, VAR5,
reset, VAR6, VAR12, VAR23, VAR4, VAR2, VAR29,
VAR31, VAR34
);
parameter VAR1 = 32; parameter VAR15 = 32; parameter VAR35 = 104; parameter VAR27 = 6; parameter VAR33 = 12'h000;
parameter VAR17 = 104;
parameter VAR11 = 16;
input reset; input VAR6; input VAR12;
input VAR23;
input [VAR35-1:0] VAR4;
input VAR2;
input VAR29;
input [VAR27+1:0] VAR31;
input [63:0] VAR34; output [63:0] VAR25;
output VAR14;
output VAR5;
reg [63:0] VAR25;
wire VAR22;
wire VAR16;
wire [VAR17-1:0] VAR19;
wire VAR13;
wire VAR37;
wire [31:0] VAR18;
wire [63:0] VAR36;
wire VAR3;
assign VAR18[31:0] = VAR4[39:8];
assign VAR36[63:0] = VAR4[103:40];
assign VAR3 = VAR23 &
VAR4[1] &
(VAR18[31:20]==VAR33) &
(VAR18[10:8]==3'h3) &
(VAR18[VAR27+1:2]==VAR21);
assign VAR16 = VAR2 & ~VAR29;
assign VAR37 = VAR16 & (VAR31[VAR27+1:2]==VAR10);
always @ (posedge VAR12)
if(VAR16)
case(VAR31[VAR27+1:2])
VAR19[2*VAR1-1:VAR1]};
default: VAR25[63:0] <= 64'd0;
endcase else
VAR25[63:0] <= 64'd0;
assign VAR5 = ~VAR13;
VAR32 VAR7( .dout (VAR19[VAR17-1:0]),
.VAR26 (VAR13),
.VAR8 (VAR14),
.VAR30 (),
.valid(),
.VAR9 (VAR37),
.VAR12 (VAR12),
.din ({40'b0,VAR36[63:0]}),
.VAR24 (VAR3),
.VAR6 (VAR6),
.VAR20 (reset),
.VAR28 (reset)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dfsbp/sky130_fd_sc_hs__dfsbp.blackbox.v | 1,320 | module MODULE1 (
VAR1 ,
VAR2 ,
VAR6 ,
VAR7 ,
VAR5
);
input VAR1 ;
input VAR2 ;
output VAR6 ;
output VAR7 ;
input VAR5;
supply1 VAR4;
supply0 VAR3;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/sdfbbn/sky130_fd_sc_ls__sdfbbn_1.v | 2,825 | module MODULE1 (
VAR11 ,
VAR5 ,
VAR13 ,
VAR4 ,
VAR9 ,
VAR2 ,
VAR3 ,
VAR8,
VAR12 ,
VAR1 ,
VAR14 ,
VAR7
);
output VAR11 ;
output VAR5 ;
input VAR13 ;
input VAR4 ;
input VAR9 ;
input VAR2 ;
input VAR3 ;
input VAR8;
input VAR12 ;
input VAR1 ;
input VAR14 ;
input VAR7 ;
VAR6 VAR10 (
.VAR11(VAR11),
.VAR5(VAR5),
.VAR13(VAR13),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR12(VAR12),
.VAR1(VAR1),
.VAR14(VAR14),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR11 ,
VAR5 ,
VAR13 ,
VAR4 ,
VAR9 ,
VAR2 ,
VAR3 ,
VAR8
);
output VAR11 ;
output VAR5 ;
input VAR13 ;
input VAR4 ;
input VAR9 ;
input VAR2 ;
input VAR3 ;
input VAR8;
supply1 VAR12;
supply0 VAR1;
supply1 VAR14 ;
supply0 VAR7 ;
VAR6 VAR10 (
.VAR11(VAR11),
.VAR5(VAR5),
.VAR13(VAR13),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR8(VAR8)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o21bai/sky130_fd_sc_ls__o21bai.pp.symbol.v | 1,391 | module MODULE1 (
input VAR5 ,
input VAR3 ,
input VAR4,
output VAR1 ,
input VAR8 ,
input VAR2,
input VAR6,
input VAR7
);
endmodule | apache-2.0 |
strigeus/fpganes | src/NES_Nexys4.v | 12,277 | module MODULE3(
input clk,
input VAR52, input VAR42, input write, input [23:0] addr, input [7:0] din, output reg [7:0] VAR6, output reg [7:0] VAR41, output reg VAR51,
output reg VAR33, output reg VAR57, output VAR32, output VAR63, output reg VAR74, output VAR28, output reg VAR54, output reg VAR34, output reg [22:0] VAR22,
inout [15:0] VAR43);
assign VAR32 = 0;
assign VAR63 = 0;
assign VAR28 = 0;
reg [7:0] VAR26;
assign VAR43 = VAR33 ? {VAR26, VAR26} : 16'VAR3; reg [1:0] VAR59;
reg VAR37;
always @(posedge clk) begin
if (!VAR51) begin
if (VAR52 || VAR42 || write) begin
VAR22 <= addr[23:1];
VAR54 <= !(addr[0] != 0); VAR34 <= !(addr[0] == 0);
VAR74 <= 0; VAR57 <= !(write != 0); VAR33 <= !(write == 0);
VAR51 <= 1;
VAR26 <= din;
VAR59 <= 0;
VAR37 <= VAR52;
end else begin
VAR33 <= 1;
VAR57 <= 1;
VAR74 <= 1;
VAR54 <= 1;
VAR34 <= 1;
VAR51 <= 0;
VAR59 <= 0;
end
end else begin
if (VAR59 == 2) begin
if (!VAR33) begin
if (VAR37) VAR6 <= VAR54 ? VAR43[7:0] : VAR43[15:8];
end
else VAR41 <= VAR54 ? VAR43[7:0] : VAR43[15:8];
end
VAR33 <= 1; VAR57 <= 1; VAR74 <= 1; VAR54 <= 1; VAR34 <= 1;
VAR51 <= 0;
VAR59 <= 0;
end else begin
VAR59 <= VAR59 + 1;
end
end
end
endmodule
module MODULE2(input clk, input reset,
input [7:0] VAR60, input VAR23,
output reg [21:0] VAR62, output [7:0] VAR66, output VAR61,
output [31:0] VAR72,
output reg VAR67,
output VAR48);
reg [1:0] state = 0;
reg [7:0] VAR17;
reg [3:0] VAR7;
reg [7:0] VAR8[0:15]; reg [21:0] VAR30;
assign VAR48 = (state == 3);
wire [7:0] VAR11 = VAR8[4];
wire [7:0] VAR5 = VAR8[5];
assign VAR66 = VAR60;
assign VAR61 = (VAR30 != 0) && (state == 1 || state == 2) && VAR23;
wire [2:0] VAR17 = VAR11 <= 1 ? 0 :
VAR11 <= 2 ? 1 :
VAR11 <= 4 ? 2 :
VAR11 <= 8 ? 3 :
VAR11 <= 16 ? 4 :
VAR11 <= 32 ? 5 :
VAR11 <= 64 ? 6 : 7;
wire [2:0] VAR69 = VAR5 <= 1 ? 0 :
VAR5 <= 2 ? 1 :
VAR5 <= 4 ? 2 :
VAR5 <= 8 ? 3 :
VAR5 <= 16 ? 4 :
VAR5 <= 32 ? 5 :
VAR5 <= 64 ? 6 : 7;
wire [7:0] VAR13 = {VAR8[7][7:4], VAR8[6][7:4]};
wire VAR14 = (VAR5 == 0);
assign VAR72 = {16'b0, VAR14, VAR8[6][0], VAR69, VAR17, VAR13};
always @(posedge clk) begin
if (reset) begin
state <= 0;
VAR67 <= 0;
VAR7 <= 0;
VAR62 <= 0; end else begin
case(state)
0: if (VAR23) begin
VAR7 <= VAR7 + 1;
VAR8[VAR7] <= VAR60;
VAR30 <= {VAR11, 14'b0};
if (VAR7 == 4'b1111)
state <= (VAR8[0] == 8'h4E) && (VAR8[1] == 8'h45) && (VAR8[2] == 8'h53) && (VAR8[3] == 8'h1A) && !VAR8[6][2] && !VAR8[6][3] ? 1 : 3;
end
1, 2: begin if (VAR30 != 0) begin
if (VAR23) begin
VAR30 <= VAR30 - 1;
VAR62 <= VAR62 + 1;
end
end else if (state == 1) begin
state <= 2;
VAR62 <= 22'b1000000000000000000000; VAR30 <= {1'b0, VAR5, 13'b0};
end else if (state == 2) begin
VAR67 <= 1;
end
end
endcase
end
end
endmodule
module MODULE1(input VAR24,
input VAR46,
input [4:0] VAR65,
input [15:0] VAR50,
output [15:0] VAR19,
output [7:0] VAR64,
output [7:0] VAR49,
input VAR9,
output VAR36,
output VAR53, output VAR40, output [3:0] VAR27, output [3:0] VAR56, output [3:0] VAR25,
output VAR33, output VAR57, output VAR32, input VAR38, output VAR63, output VAR74, output VAR28, output VAR54, output VAR34, output [22:0] VAR22,
inout [15:0] VAR43,
output VAR55,
output VAR4,
output VAR45,
output VAR71
);
wire VAR29;
wire clk;
VAR21 VAR68(.VAR12(VAR24), .VAR15(clk), .VAR39(1'b0), .VAR1(VAR29));
wire [7:0] VAR58;
wire [7:0] VAR20;
wire VAR16;
wire VAR70;
VAR31 VAR47(clk, 1'b0, VAR9, VAR58, VAR20, VAR16, VAR70);
assign VAR36 = 1;
wire [7:0] VAR18 = VAR58;
wire VAR35 = (VAR20 == 8'h37) && VAR16;
reg [7:0] VAR73;
reg [7:0] VAR10, VAR44;
always @(posedge clk) begin
if (VAR20 == 8'h35 && VAR16)
VAR73 <= VAR58;
if (VAR20 == 8'h40 && VAR16)
VAR10 <= VAR58;
if (VAR20 == 8'h41 && VAR16)
VAR44 <= VAR58;
end
reg [14:0] VAR2[0:63];
end | gpl-3.0 |
parallella/oh | common/hdl/oh_mux12.v | 1,659 | module MODULE1 #(parameter VAR8 = 1 ) (
input VAR6,
input VAR20,
input VAR2,
input VAR10,
input VAR13,
input VAR16,
input VAR7,
input VAR4,
input VAR24,
input VAR1,
input VAR12,
input VAR19,
input [VAR8-1:0] VAR5,
input [VAR8-1:0] VAR22,
input [VAR8-1:0] VAR17,
input [VAR8-1:0] VAR23,
input [VAR8-1:0] VAR21,
input [VAR8-1:0] VAR15,
input [VAR8-1:0] VAR11,
input [VAR8-1:0] VAR18,
input [VAR8-1:0] VAR25,
input [VAR8-1:0] VAR14,
input [VAR8-1:0] VAR9,
input [VAR8-1:0] VAR3,
output [VAR8-1:0] out );
assign out[VAR8-1:0] = ({(VAR8){VAR19}} & VAR3[VAR8-1:0] |
{(VAR8){VAR12}} & VAR9[VAR8-1:0] |
{(VAR8){VAR1}} & VAR14[VAR8-1:0] |
{(VAR8){VAR24}} & VAR25[VAR8-1:0] |
{(VAR8){VAR4}} & VAR18[VAR8-1:0] |
{(VAR8){VAR7}} & VAR11[VAR8-1:0] |
{(VAR8){VAR16}} & VAR15[VAR8-1:0] |
{(VAR8){VAR13}} & VAR21[VAR8-1:0] |
{(VAR8){VAR10}} & VAR23[VAR8-1:0] |
{(VAR8){VAR2}} & VAR17[VAR8-1:0] |
{(VAR8){VAR20}} & VAR22[VAR8-1:0] |
{(VAR8){VAR6}} & VAR5[VAR8-1:0]);
endmodule | mit |
zKarp/Karpentium-Processor | src/verilog/alu_with_acc.v | 1,319 | module MODULE1(clk,in,out,select,enable);
parameter VAR2 = 16; parameter VAR3 = 3;
input clk, enable;
input [VAR2-1:0] in;
input [VAR3-1:0] select;
output [VAR2-1:0] out;
reg [VAR2-1:0] VAR4;
reg VAR1;
begin | gpl-2.0 |
TheMadSocrates/vercpu-project | rtl/core/clock_divider.v | 1,547 | module MODULE1(
input wire clk,
input wire VAR2,
input wire [ 1 : 0] VAR1,
output wire VAR5
);
reg VAR4;
reg [ 2**16 - 1 : 0 ] counter;
reg [ 2**16 - 1 : 0 ] VAR3;
assign VAR5 = VAR4;
always @(VAR1 or clk or counter or VAR3) begin
case(VAR1)
2'b00: VAR4 = clk;
2'b01: VAR4 = counter[0];
2'b10: VAR4 = counter[2**16 - 1];
2'b11: VAR4 = VAR3[2**8 - 1];
endcase
end
always @(posedge clk) begin
if(VAR2) begin
counter <= {(2**22 - 1){1'b0}};
VAR3 <= {(2**22 - 1){1'b0}};
end
else counter <= counter + 1'b1;
end
always @(counter[2**16 - 1])
VAR3 <= VAR3 + 1'b1;
endmodule | gpl-3.0 |
parallella/oh | spi/dv/dut_spi.v | 3,663 | module MODULE1(
VAR37, VAR24, VAR31, VAR30, VAR9,
VAR7, VAR35, VAR41, VAR18, VAR11, VAR36, VAR17, VAR22, VAR26
);
parameter VAR38 = 13;
parameter VAR5 = 32;
parameter VAR25 = 32;
parameter VAR14 = 2;
parameter VAR16 = 12;
parameter VAR33 = 6;
parameter VAR29 = 12;
parameter VAR4 = 104;
parameter VAR12 = 1;
input VAR35;
input VAR41;
input VAR18;
input [VAR12*VAR12-1:0] VAR11;
input VAR36;
output VAR37;
output VAR24;
input [VAR12-1:0] VAR17;
input [VAR12*VAR4-1:0] VAR22;
output [VAR12-1:0] VAR31;
output [VAR12-1:0] VAR30;
output [VAR12*VAR4-1:0] VAR9;
input [VAR12-1:0] VAR26;
wire clk;
wire [VAR4-1:0] VAR39;
wire [VAR4-1:0] VAR34;
input VAR7;
wire VAR1; wire VAR32; wire VAR19; wire VAR28; wire VAR23;
assign VAR24 = VAR35;
assign clk = VAR35;
assign VAR37 = 1'b1;
assign VAR7 = 1'b1;
VAR20 #(.VAR5(VAR5),
.VAR38(VAR38))
VAR27 (.VAR40 (VAR28),
.VAR28 (),
.VAR13 (VAR32),
.VAR2 (1'b0),
.VAR42 (1'b1),
.VAR26 (1'b0),
.VAR30 (VAR30),
.VAR9 (VAR9),
.VAR23 (VAR23),
.VAR31 (VAR31),
.VAR32 (VAR32),
.VAR1 (VAR1),
.VAR19 (VAR19),
.VAR18 (VAR18),
.clk (clk),
.VAR7 (VAR7),
.VAR17 (VAR17),
.VAR22 (VAR22[VAR4-1:0]));
VAR20 #(.VAR5(VAR5),
.VAR38(VAR38)
)
VAR8 ( .VAR13 (VAR32),
.VAR2 (VAR1),
.VAR42 (VAR19),
.VAR40 (),
.VAR32 (),
.VAR1 (),
.VAR19 (),
.VAR31 (),
.VAR30 (VAR3),
.VAR9 (VAR34[VAR4-1:0]),
.VAR17 (VAR10),
.VAR22 (VAR39[VAR4-1:0]),
.VAR26 (VAR15),
.VAR23 (VAR23),
.VAR28 (VAR28),
.VAR18 (VAR18),
.clk (clk),
.VAR7 (VAR7));
VAR21 VAR21 ( .VAR31 (VAR15),
.VAR30 (VAR10),
.VAR9 (VAR39[VAR4-1:0]),
.clk (clk),
.VAR18 (VAR18),
.VAR6 (12'b0),
.VAR17 (VAR3),
.VAR22 (VAR34[VAR4-1:0]),
.VAR26 (1'b0)
);
endmodule | mit |
zhaishaomin/ring_network-based-multicore- | communication_assist/ic_req_upload.v | 2,689 | module MODULE1( clk,
rst,
VAR8,
VAR7,
VAR9,
VAR14,
VAR5,
VAR16,
VAR6
);
input clk;
input rst;
input [47:0] VAR8;
input VAR7;
input VAR9;
output [15:0] VAR14;
output VAR5;
output [1:0] VAR16;
output VAR6;
parameter VAR12=1'b0;
parameter VAR13=1'b1;
reg VAR2;
reg [47:0] VAR1;
reg [1:0] VAR3;
reg VAR5;
reg VAR10;
reg VAR4;
reg VAR15;
reg VAR11;
assign VAR6=VAR2;
always@
begin
case(VAR3)
2'b00:
begin
VAR14=VAR1[47:32];
VAR16=2'b01;
end
2'b01:
begin
VAR14=VAR1[31:16];
VAR16=2'b10;
end
2'b10:
begin
VAR14=VAR1[15:0];
VAR16=2'b11;
end
default:
begin
VAR14=VAR1[47:32];
VAR16=2'b00;
end
endcase
end
always@(posedge clk)
begin
if(rst||VAR10)
VAR3<=2'b00;
end
else if(VAR11)
VAR3<=VAR3+2'b01;
end
endmodule | apache-2.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | source/hardware/nfc-substrate/tiger4_nfc_substrate-1.0.0/DataDriver.v | 4,421 | module MODULE1
(
parameter VAR6 = 32 ,
parameter VAR4 = 16
)
(
VAR9 ,
VAR2 ,
VAR1 ,
VAR20 ,
VAR12 ,
VAR13 ,
VAR8 ,
VAR14 ,
VAR17 ,
VAR18 ,
VAR16 ,
VAR3
);
input VAR9 ;
input VAR2 ;
input [VAR4 - 1:0] VAR1 ;
input VAR20 ;
output VAR12 ;
input [VAR6 - 1:0] VAR13 ;
input VAR8 ;
output VAR14 ;
output [VAR6 - 1:0] VAR17 ;
output VAR18 ;
input VAR16 ;
output VAR3 ;
localparam VAR15 = 1'b0 ;
localparam VAR5 = 1'b1 ;
reg VAR10 ;
reg VAR7 ;
reg [VAR4 - 1:0] VAR19 ;
reg [VAR4 - 1:0] VAR11 ;
always @ (posedge VAR9)
if (VAR2)
VAR10 <= VAR15;
else
VAR10 <= VAR7;
always @ (*)
case (VAR10)
VAR15:
if (VAR20 && (VAR1 != 0))
VAR7 <= VAR5;
else
VAR7 <= VAR15;
VAR5:
VAR7 <= (VAR11 == VAR19 && VAR8 && VAR16)?VAR15:VAR5;
endcase
assign VAR12 = (VAR10 == VAR15);
always @ (posedge VAR9)
if (VAR2)
VAR19 <= {(VAR4){1'b0}};
else
case (VAR10)
VAR15:
if (VAR20)
VAR19 <= VAR1 - 1'b1;
endcase
always @ (posedge VAR9)
if (VAR2)
VAR11 <= {(VAR4){1'b0}};
else
case (VAR10)
VAR15:
if (VAR20)
VAR11 <= {(VAR4){1'b0}};
VAR5:
if (VAR8 && VAR16)
VAR11 <= VAR11 + 1'b1;
endcase
assign VAR17 = VAR13;
assign VAR18 = (VAR10 == VAR5) && VAR8 ;
assign VAR14 = (VAR10 == VAR5) && VAR16 ;
assign VAR3 = (VAR11 == VAR19);
endmodule | gpl-3.0 |
elegabriel/myzju | junior1/CA/LAB/lab6/lab6_gxl_3120102146/code/pc0.v | 1,890 | module MODULE1(
clk,rst,VAR4,VAR8,VAR2,VAR9,VAR3,VAR5,VAR1,VAR7
);
input clk,rst;
input [1:0] VAR4;
input [1:0] VAR8; input [4:0] VAR2; input [31:0] VAR9,VAR3; output VAR5; output [31:0] VAR1; output [31:0] VAR7;
reg [31:0] VAR10 [31:0];
wire VAR6;
assign VAR6=|VAR4;
always @(negedge clk or posedge rst or posedge VAR6)
begin
if(rst)
begin
VAR10[0] <=32'b0;VAR10[1] <=32'b0;VAR10[2] <=32'b0;VAR10[3] <=32'b0;
VAR10[4] <=32'b0;VAR10[5] <=32'b0;VAR10[6] <=32'b0;VAR10[7] <=32'b0;
VAR10[8] <=32'b0;VAR10[9] <=32'b0;VAR10[10]<=32'b0;VAR10[11]<=32'b0;
VAR10[12]<=32'b0;VAR10[13]<=32'b0;VAR10[14]<=32'b0;VAR10[15]<=32'b0;
VAR10[16]<=32'b0;VAR10[17]<=32'b0;VAR10[18]<=32'b0;VAR10[19]<=32'b0;
VAR10[20]<=32'b0;VAR10[21]<=32'b0;VAR10[22]<=32'b0;VAR10[23]<=32'b0;
VAR10[24]<=32'b0;VAR10[25]<=32'b0;VAR10[26]<=32'b0;VAR10[27]<=32'b0;
VAR10[28]<=32'b0;VAR10[29]<=32'b0;VAR10[30]<=32'b0;VAR10[31]<=32'b0;
end
else if(VAR6)
begin
VAR10[13] <= {30'b0,VAR4};
VAR10[14] <= VAR9;
end
else if(VAR8[0])
VAR10[VAR2]<=VAR3;
end
assign VAR1 = VAR10[VAR2];
assign VAR5 = VAR6 | VAR8[1];
assign VAR7 = (VAR6)?VAR10[1]:VAR10[14];
endmodule | gpl-2.0 |
MiddleMan5/233 | Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_ControlUnit_0_0/RAT_ControlUnit_0_0_stub.v | 2,674 | module MODULE1(VAR10, VAR8, VAR32, VAR5, VAR21, VAR16, VAR18, VAR25,
VAR23, VAR17, VAR37, VAR13, VAR15, VAR30, VAR1, VAR11, VAR31, VAR14,
VAR26, VAR19, VAR3, VAR28, VAR24, VAR4, VAR6, VAR22,
VAR29, VAR36, VAR2, VAR9, VAR33, VAR27, VAR7, VAR34,
VAR12, VAR35, VAR20)
;
input VAR10;
input VAR8;
input VAR32;
input VAR5;
input VAR21;
input [4:0]VAR16;
input [1:0]VAR18;
output VAR25;
output VAR23;
output VAR17;
output VAR37;
output [1:0]VAR13;
output VAR15;
output [1:0]VAR30;
output VAR1;
output VAR11;
output [1:0]VAR31;
output VAR14;
output VAR26;
output [3:0]VAR19;
output VAR3;
output VAR28;
output VAR24;
output [1:0]VAR4;
output VAR6;
output VAR22;
output VAR29;
output VAR36;
output VAR2;
output VAR9;
output VAR33;
output VAR27;
output VAR7;
output VAR34;
output VAR12;
output VAR35;
output VAR20;
endmodule | mit |
ShepardSiegel/ocpi | coregen/ddr3_s4_uniphy/ddr3_s4_uniphy/ddr3_s4_uniphy_p0_fr_cycle_shifter.v | 3,689 | module MODULE1(
clk,
VAR11,
VAR10,
VAR2,
VAR7
);
parameter VAR9 = "";
parameter VAR1 = "false";
localparam VAR12 = 2;
localparam VAR3 = VAR9*VAR12;
input clk;
input VAR11;
input [1:0] VAR10;
input [VAR3-1:0] VAR2;
output [VAR3-1:0] VAR7;
reg [VAR3-1:0] VAR8;
always @(posedge clk or negedge VAR11)
begin
if (~VAR11) begin
if (VAR1 == "true")
VAR8 <= {VAR3{1'b1}};
end
else
VAR8 <= {VAR3{1'b0}};
end else begin
VAR8 <= VAR2;
end
end
wire [VAR9-1:0] VAR5 = VAR2[(VAR9*1)-1:(VAR9*0)];
wire [VAR9-1:0] VAR4 = VAR2[(VAR9*2)-1:(VAR9*1)];
wire [VAR9-1:0] VAR6 = VAR8[(VAR9*2)-1:(VAR9*1)];
assign VAR7 = (VAR10[0] == 1'b1) ? {VAR5, VAR6} : {VAR4, VAR5};
endmodule | lgpl-3.0 |
qeedquan/fpga | de2-115/nios_lights/lights/synthesis/lights.v | 26,189 | module MODULE1 (
input wire VAR110, output wire [7:0] VAR23, input wire VAR60, input wire [7:0] VAR67 );
wire [31:0] VAR8; wire VAR70; wire VAR102; wire [13:0] VAR61; wire [3:0] VAR137; wire VAR65; wire VAR49; wire [31:0] VAR123; wire [31:0] VAR58; wire VAR103; wire [12:0] VAR168; wire VAR75; wire VAR117; wire [31:0] VAR109; wire VAR171; wire [0:0] VAR56; wire VAR31; wire VAR77; wire [31:0] VAR13; wire [31:0] VAR138; wire VAR159; wire VAR7; wire [8:0] VAR197; wire VAR85; wire [3:0] VAR10; wire VAR190; wire [31:0] VAR68; wire VAR143; wire [31:0] VAR186; wire [9:0] VAR91; wire [3:0] VAR2; wire VAR52; wire [31:0] VAR46; wire VAR136; wire [31:0] VAR96; wire [1:0] VAR38; wire VAR72; wire [31:0] VAR135; wire [1:0] VAR185; wire VAR42; wire [31:0] VAR116; wire VAR173; wire [31:0] VAR64; wire VAR128; wire VAR1; wire VAR183;
VAR192 VAR113 (
.clk (VAR110), .VAR95 (~VAR128), .address (VAR185), .VAR152 (~VAR42), .VAR158 (VAR116), .VAR122 (VAR72), .VAR194 (VAR135), .VAR145 (VAR23) );
VAR180 VAR133 (
.clk (VAR110), .VAR47 (~VAR128), .VAR104 (VAR117), .VAR166 (VAR56), .VAR50 (~VAR31), .VAR184 (VAR109), .VAR39 (~VAR77), .VAR178 (VAR13), .VAR19 (VAR171), .VAR198 (VAR173) );
VAR87 VAR174 (
.clk (VAR110), .VAR95 (~VAR128), .VAR132 (VAR1), .VAR30 (VAR61), .VAR187 (VAR137), .VAR162 (VAR65), .VAR44 (VAR8), .VAR9 (VAR70), .VAR115 (VAR49), .VAR108 (VAR123), .VAR121 (VAR102), .VAR83 (VAR168), .VAR35 (VAR75), .VAR199 (VAR58), .VAR149 (VAR103), .VAR154 (VAR64), .VAR12 (VAR183), .VAR82 (VAR197), .VAR14 (VAR10), .VAR40 (VAR7), .VAR26 (VAR85), .VAR176 (VAR138), .VAR20 (VAR159), .VAR88 (VAR190), .VAR181 (VAR68), .VAR170 () );
VAR17 VAR36 (
.clk (VAR110), .address (VAR91), .VAR99 (VAR136), .VAR122 (VAR143), .write (VAR52), .VAR194 (VAR186), .VAR158 (VAR46), .VAR28 (VAR2), .reset (VAR128), .VAR132 (VAR1), .VAR151 (1'b0) );
VAR62 VAR81 (
.clk (VAR110), .VAR95 (~VAR128), .address (VAR38), .VAR194 (VAR96), .VAR167 (VAR67) );
VAR73 VAR141 (
.VAR101 (VAR110), .VAR25 (VAR128), .VAR61 (VAR61), .VAR70 (VAR70), .VAR137 (VAR137), .VAR65 (VAR65), .VAR8 (VAR8), .VAR49 (VAR49), .VAR123 (VAR123), .VAR102 (VAR102), .VAR168 (VAR168), .VAR103 (VAR103), .VAR75 (VAR75), .VAR58 (VAR58), .VAR124 (VAR56), .VAR24 (VAR77), .VAR53 (VAR31), .VAR153 (VAR109), .VAR63 (VAR13), .VAR48 (VAR171), .VAR34 (VAR117), .VAR169 (VAR185), .VAR79 (VAR42), .VAR189 (VAR135), .VAR146 (VAR116), .VAR127 (VAR72), .VAR140 (VAR197), .VAR51 (VAR190), .VAR22 (VAR85), .VAR114 (VAR138), .VAR193 (VAR68), .VAR179 (VAR10), .VAR78 (VAR159), .VAR164 (VAR7), .VAR97 (VAR91), .VAR157 (VAR52), .VAR59 (VAR186), .VAR71 (VAR46), .VAR105 (VAR2), .VAR139 (VAR143), .VAR188 (VAR136), .VAR182 (VAR38), .VAR150 (VAR96) );
VAR45 VAR200 (
.clk (VAR110), .reset (VAR128), .VAR134 (VAR173), .VAR54 (VAR64) );
VAR191 #(
.VAR92 (2),
.VAR130 ("VAR195"),
.VAR41 (2),
.VAR27 (1),
.VAR4 (1),
.VAR29 (3),
.VAR156 (1),
.VAR172 (0),
.VAR175 (0),
.VAR177 (0),
.VAR163 (0),
.VAR21 (0),
.VAR94 (0),
.VAR125 (0),
.VAR3 (0),
.VAR155 (0),
.VAR161 (0),
.VAR148 (0),
.VAR120 (0),
.VAR119 (0),
.VAR89 (0),
.VAR93 (0),
.VAR160 (0),
.VAR111 (0)
) VAR43 (
.VAR86 (~VAR60), .VAR84 (VAR183), .clk (VAR110), .VAR144 (VAR128), .VAR132 (VAR1), .VAR37 (1'b0), .VAR98 (1'b0), .VAR55 (1'b0), .VAR165 (1'b0), .VAR118 (1'b0), .VAR15 (1'b0), .VAR5 (1'b0), .VAR100 (1'b0), .VAR69 (1'b0), .VAR76 (1'b0), .VAR147 (1'b0), .VAR106 (1'b0), .VAR142 (1'b0), .VAR80 (1'b0), .VAR32 (1'b0), .VAR33 (1'b0), .VAR107 (1'b0), .VAR196 (1'b0), .VAR6 (1'b0), .VAR112 (1'b0), .VAR126 (1'b0), .VAR66 (1'b0), .VAR90 (1'b0), .VAR129 (1'b0), .VAR57 (1'b0), .VAR16 (1'b0), .VAR18 (1'b0), .VAR131 (1'b0), .VAR74 (1'b0), .VAR11 (1'b0) );
endmodule | mit |
pwwu/FPGA | VGAbased/vga_game_top_final.v | 6,151 | module MODULE1
(
input wire clk, reset,
input wire [1:0] VAR1,
input wire [1:0] VAR27,
output wire VAR3, VAR11,
output wire [2:0] VAR15
);
localparam [1:0]
VAR46 = 2'b00,
VAR34 = 2'b01,
VAR23 = 2'b10,
VAR48 = 2'b11;
reg [1:0] VAR29, VAR40;
wire [9:0] VAR16, VAR42;
wire VAR8, VAR21, VAR13, VAR18, VAR10, VAR50;
wire [3:0] VAR9;
wire [2:0] VAR36, VAR43;
reg [2:0] VAR28, VAR33;
wire [3:0] VAR12, VAR20;
reg VAR14, VAR17, VAR39, VAR49, VAR2;
wire VAR44, VAR4;
reg VAR22;
reg [1:0] VAR32, VAR31;
VAR19 VAR41
(.clk(clk), .reset(reset), .VAR3(VAR3), .VAR11(VAR11),
.VAR8(VAR8), .VAR37(VAR21),
.VAR16(VAR16), .VAR42(VAR42));
VAR25 VAR24
(.clk(clk),
.VAR38(VAR16), .VAR35(VAR42),
.VAR12(VAR12), .VAR20(VAR20), .VAR30(VAR32),
.VAR9(VAR9), .VAR43(VAR43));
VAR47 VAR26
(.clk(clk), .reset(reset), .VAR1(VAR1), .VAR27(VAR27), .VAR8(VAR8),
.VAR38(VAR16), .VAR35(VAR42),
.VAR14(VAR14), .VAR18(VAR18), .VAR10(VAR10), .VAR50(VAR50),
.VAR13(VAR13), .VAR36(VAR36));
assign VAR44 = (VAR16==0) && (VAR42==0);
VAR5 VAR7
(.clk(clk), .reset(reset), .VAR44(VAR44),
.VAR2(VAR2), .VAR4(VAR4));
VAR6 VAR45
(.clk(clk), .reset(reset), .VAR17(VAR17), .VAR39(VAR39), .VAR49(VAR49),
.VAR12(VAR12), .VAR20(VAR20));
always @(posedge clk, posedge reset)
if (reset)
begin
VAR29 <= VAR46;
VAR32 <= 0;
VAR28 <= 0;
end
else
begin
VAR29 <= VAR40;
VAR32 <= VAR31;
if (VAR21)
VAR28 <= VAR33;
end
always @*
begin
VAR14 = 1'b1;
VAR2 = 1'b0;
VAR17 = 1'b0;
VAR39 = 1'b0;
VAR49 = 1'b0;
VAR40 = VAR29;
VAR31 = VAR32;
case (VAR29)
VAR46:
begin
VAR22 = 1'b1;
VAR31 = 2'b11; VAR49 = 1'b1; if (VAR1 != 2'b00) begin
VAR40 = VAR34;
VAR31 = VAR32 - 1;
end
end
VAR34:
begin
VAR14 = 1'b0; VAR22 = 1'b1; if (VAR18)
end
VAR17 = 1'b1; else if (VAR50)
end
VAR39 = 1'b1; else if (VAR10)
begin
if (VAR32==0)
VAR40 = VAR48;
end
else
VAR40 = VAR23;
VAR2 = 1'b1; VAR31 = VAR32 - 1;
end
end
VAR23:
begin
VAR22 = 1'b1;
if (VAR4 && (VAR1 != 2'b00))
VAR40 = VAR34;
end
VAR48:
begin
VAR22 = 1'b0;
if (VAR4)
VAR40 = VAR46;
end
endcase
end
always @*
if (~VAR8)
VAR33 = "000"; else
if (VAR9[3] ||
((VAR29==VAR46) && VAR9[1]) || ((VAR29==VAR48) && VAR9[0]))
VAR33 = VAR43;
else if (VAR13) VAR33 = VAR36;
else if (VAR9[2]) VAR33 = VAR43;
else
VAR33 = 3'b110; assign VAR15 = VAR28;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/sdfrbp/sky130_fd_sc_ls__sdfrbp.functional.pp.v | 2,360 | module MODULE1 (
VAR22 ,
VAR12 ,
VAR10 ,
VAR18 ,
VAR15 ,
VAR14 ,
VAR20,
VAR9 ,
VAR7 ,
VAR8 ,
VAR11
);
output VAR22 ;
output VAR12 ;
input VAR10 ;
input VAR18 ;
input VAR15 ;
input VAR14 ;
input VAR20;
input VAR9 ;
input VAR7 ;
input VAR8 ;
input VAR11 ;
wire VAR13 ;
wire VAR21 ;
wire VAR16;
not VAR6 (VAR21 , VAR20 );
VAR4 VAR1 (VAR16, VAR18, VAR15, VAR14 );
VAR19 VAR2 VAR3 (VAR13 , VAR16, VAR10, VAR21, , VAR9, VAR7);
buf VAR17 (VAR22 , VAR13 );
not VAR5 (VAR12 , VAR13 );
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/alt_mem_ddrx_burst_gen.v | 62,666 | module MODULE1 #
( parameter
VAR127 = 4,
VAR144 = "VAR102",
VAR148 = 0,
VAR67 = 1,
VAR89 = 1,
VAR75 = 3,
VAR96 = 13,
VAR64 = 10,
VAR29 = 10,
VAR119 = 10,
VAR16 = 4,
VAR43 = 2,
VAR123 = 0,
VAR54 = 0,
VAR86 = 3,
VAR58 = 5,
VAR88 = 4,
VAR36 = 0
)
(
VAR105,
VAR147,
VAR92,
VAR106,
VAR130,
VAR122,
VAR6,
VAR118,
VAR28,
VAR98,
VAR34,
VAR40,
VAR46,
VAR10,
VAR131,
VAR41,
VAR117,
VAR17,
VAR70,
VAR134,
VAR129,
VAR38,
VAR133,
VAR85,
VAR61,
VAR112,
VAR31,
VAR26,
VAR136,
VAR23,
VAR62,
VAR56,
VAR91,
VAR84,
VAR81,
VAR59,
VAR76,
VAR74,
VAR71,
VAR12,
VAR77,
VAR138,
VAR20,
VAR149,
VAR4,
VAR19,
VAR146,
VAR103,
VAR140,
VAR113,
VAR48,
VAR51,
VAR141,
VAR65,
VAR116,
VAR66,
VAR2,
VAR94,
VAR42,
VAR14,
VAR97,
VAR124,
VAR72,
VAR87,
VAR52,
VAR100,
VAR63
);
localparam VAR39 = 0;
localparam VAR35 = 1;
input VAR105;
input VAR147;
input [VAR86 - 1 : 0] VAR92;
input [VAR58 - 1 : 0] VAR106;
input [VAR88 - 1 : 0] VAR130;
input [VAR43 - 1 : 0] VAR122;
input [VAR43 - 1 : 0] VAR6;
input [VAR43 - 1 : 0] VAR118;
input [VAR43 - 1 : 0] VAR28;
input [VAR43 - 1 : 0] VAR98;
input [VAR43 - 1 : 0] VAR34;
input [VAR43 - 1 : 0] VAR40;
input [VAR43 - 1 : 0] VAR46;
input [VAR43 - 1 : 0] VAR10;
input [(VAR43 * VAR67) - 1 : 0] VAR131;
input [(VAR43 * VAR67) - 1 : 0] VAR41;
input [(VAR43 * VAR67) - 1 : 0] VAR117;
input [(VAR43 * VAR67) - 1 : 0] VAR17;
input [(VAR43 * VAR67) - 1 : 0] VAR70;
input [(VAR43 * VAR67) - 1 : 0] VAR134;
input [VAR43 - 1 : 0] VAR129;
input [(VAR43 * VAR89) - 1 : 0] VAR38;
input [(VAR43 * VAR67) - 1 : 0] VAR133;
input [(VAR43 * VAR75) - 1 : 0] VAR85;
input [(VAR43 * VAR96) - 1 : 0] VAR61;
input [(VAR43 * VAR64) - 1 : 0] VAR112;
input [VAR29 - 1 : 0] VAR31;
input [VAR119 - 1 : 0] VAR26;
input [VAR16 - 1 : 0] VAR136;
output [VAR43 - 1 : 0] VAR23;
output [VAR43 - 1 : 0] VAR62;
output [VAR43 - 1 : 0] VAR56;
output [VAR43 - 1 : 0] VAR91;
output [VAR43 - 1 : 0] VAR84;
output [VAR43 - 1 : 0] VAR81;
output [(VAR43 * VAR67) - 1 : 0] VAR59;
output [VAR16 - 1 : 0] VAR76;
output VAR74;
output [VAR43 - 1 : 0] VAR71;
output [VAR43 - 1 : 0] VAR12;
output [VAR43 - 1 : 0] VAR77;
output [VAR43 - 1 : 0] VAR138;
output [VAR43 - 1 : 0] VAR20;
output [VAR43 - 1 : 0] VAR149;
output [VAR43 - 1 : 0] VAR4;
output [VAR43 - 1 : 0] VAR19;
output [VAR43 - 1 : 0] VAR146;
output [(VAR43 * VAR67) - 1 : 0] VAR103;
output [(VAR43 * VAR67) - 1 : 0] VAR140;
output [(VAR43 * VAR67) - 1 : 0] VAR113;
output [(VAR43 * VAR67) - 1 : 0] VAR48;
output [(VAR43 * VAR67) - 1 : 0] VAR51;
output [(VAR43 * VAR67) - 1 : 0] VAR141;
output [VAR43 - 1 : 0] VAR65;
output [(VAR43 * VAR89) - 1 : 0] VAR116;
output [(VAR43 * VAR67) - 1 : 0] VAR66;
output [(VAR43 * VAR75) - 1 : 0] VAR2;
output [(VAR43 * VAR96) - 1 : 0] VAR94;
output [(VAR43 * VAR64) - 1 : 0] VAR42;
output VAR14;
output VAR97;
output VAR124;
output VAR72;
output [VAR29 - 1 : 0] VAR87;
output [VAR119 - 1 : 0] VAR52;
output [VAR16 - 1 : 0] VAR100;
output [VAR16 - 1 : 0] VAR63;
reg [VAR43 - 1 : 0] VAR71;
reg [VAR43 - 1 : 0] VAR12;
reg [VAR43 - 1 : 0] VAR77;
reg [VAR43 - 1 : 0] VAR138;
reg [VAR43 - 1 : 0] VAR20;
reg [VAR43 - 1 : 0] VAR149;
reg [VAR43 - 1 : 0] VAR4;
reg [VAR43 - 1 : 0] VAR19;
reg [VAR43 - 1 : 0] VAR146;
reg [(VAR43 * VAR67) - 1 : 0] VAR103;
reg [(VAR43 * VAR67) - 1 : 0] VAR140;
reg [(VAR43 * VAR67) - 1 : 0] VAR113;
reg [(VAR43 * VAR67) - 1 : 0] VAR48;
reg [(VAR43 * VAR67) - 1 : 0] VAR51;
reg [(VAR43 * VAR67) - 1 : 0] VAR141;
reg [VAR43 - 1 : 0] VAR65;
reg [(VAR43 * VAR89) - 1 : 0] VAR116;
reg [(VAR43 * VAR67) - 1 : 0] VAR66;
reg [(VAR43 * VAR75) - 1 : 0] VAR2;
reg [(VAR43 * VAR96) - 1 : 0] VAR94;
reg [(VAR43 * VAR64) - 1 : 0] VAR42;
reg VAR14;
reg VAR97;
reg VAR124;
reg VAR72;
reg [VAR29 - 1 : 0] VAR87;
reg [VAR119 - 1 : 0] VAR52;
reg [VAR16 - 1 : 0] VAR100;
reg [VAR16 - 1 : 0] VAR63;
reg [VAR16 - 1 : 0] VAR135;
reg [VAR119 - 1 : 0] VAR73;
reg [(VAR43 * VAR64) - 1 : 0] VAR32;
reg [2 : 0] VAR110;
reg [2 : 0] VAR57;
reg VAR7;
reg VAR145;
reg VAR53;
reg VAR21;
reg [VAR43 - 1 : 0] VAR9;
reg [VAR43 - 1 : 0] VAR11;
reg [VAR43 - 1 : 0] VAR120;
reg [VAR16 - 1 : 0] VAR108;
reg [VAR119 - 1 : 0] VAR143;
reg [(VAR43 * VAR64) - 1 : 0] VAR49;
reg [2 : 0] VAR45;
reg [2 : 0] VAR55;
reg VAR27;
reg VAR90;
reg VAR83;
reg VAR24;
reg [VAR43 - 1 : 0] VAR114;
reg [VAR43 - 1 : 0] VAR47;
reg [VAR43 - 1 : 0] VAR33;
reg [3 : 0] VAR99;
reg [3 : 0] VAR137;
reg [3 : 0] VAR37;
reg [3 : 0] VAR109;
reg [3 : 0] VAR13;
reg VAR132;
reg VAR22;
reg VAR150;
reg [3 : 0] VAR111;
reg VAR115;
reg VAR121;
reg VAR25;
reg [VAR88 - 2 : 0] VAR30;
reg VAR107;
reg VAR95;
reg VAR128;
reg VAR5;
reg VAR142;
reg [VAR16 - 1 : 0] VAR79;
reg VAR18;
reg VAR69;
reg VAR8;
reg [VAR43 - 1 : 0] VAR3;
reg [VAR43 - 1 : 0] VAR101;
reg [VAR43 - 1 : 0] VAR1;
reg [VAR43 - 1 : 0] VAR80;
reg [VAR119 - 1 : 0] VAR60;
reg [VAR119 - 1 : 0] VAR78;
reg [(VAR43 * VAR64) - 1 : 0] VAR15;
wire VAR139 = 1'b0;
reg [VAR43 - 1 : 0] VAR23;
reg [VAR43 - 1 : 0] VAR62;
reg [VAR43 - 1 : 0] VAR56;
reg [VAR43 - 1 : 0] VAR91;
reg [VAR43 - 1 : 0] VAR84;
reg [VAR43 - 1 : 0] VAR81;
reg [(VAR43 * VAR67) - 1 : 0] VAR59;
reg [VAR16 - 1 : 0] VAR76;
reg VAR74;
reg [VAR43 - 1 : 0] VAR68;
reg VAR44;
reg VAR93;
reg VAR104;
reg VAR50;
reg [VAR16 - 1 : 0] VAR125;
generate
if (VAR36 == 1)
begin
always @ (posedge VAR105 or negedge VAR147)
begin
if (! VAR147)
begin
VAR71 <= 0;
VAR12 <= 0;
VAR20 <= 0;
VAR149 <= 0;
VAR4 <= 0;
VAR19 <= 0;
VAR146 <= 0;
VAR103 <= 0;
VAR140 <= 0;
VAR113 <= 0;
VAR48 <= 0;
VAR51 <= 0;
VAR141 <= 0;
VAR65 <= 0;
VAR66 <= 0;
VAR116 <= 0;
VAR2 <= 0;
VAR94 <= 0;
VAR87 <= 0;
VAR100 <= 0;
VAR42 <= 0;
VAR52 <= 0;
VAR77 <= 0;
VAR138 <= 0;
VAR14 <= 0;
VAR97 <= 0;
VAR124 <= 0;
VAR72 <= 0;
VAR63 <= 0;
end
else
begin
VAR71 <= VAR122;
VAR12 <= VAR6;
VAR20 <= VAR98;
VAR149 <= VAR1;
VAR4 <= VAR80;
VAR19 <= VAR46;
VAR146 <= VAR10;
VAR103 <= VAR131;
VAR140 <= VAR41;
VAR113 <= VAR117;
VAR48 <= VAR17;
VAR51 <= VAR70;
VAR141 <= VAR134;
VAR65 <= VAR129;
VAR66 <= VAR133;
VAR116 <= VAR38;
VAR2 <= VAR85;
VAR94 <= VAR61;
VAR87 <= VAR31;
VAR100 <= VAR136;
VAR42 <= VAR15;
VAR52 <= VAR78;
VAR77 <= VAR114;
VAR138 <= VAR68;
VAR14 <= VAR44;
VAR97 <= VAR93;
VAR124 <= VAR104;
VAR72 <= VAR50;
VAR63 <= VAR125;
end
end
end
else
begin
always @
begin
VAR42 = VAR15;
end
always @
begin
VAR52 = VAR78;
end
end
endgenerate
always @
begin
VAR110 = 0;
if (VAR92 == VAR126 && VAR114) begin
if (VAR99 [2]) VAR110 [0 ] = VAR112 [(VAR127 / 2)];
end
else
VAR110 = 0;
end
else if (VAR99 [0]) VAR110 = 0;
else if (VAR99 [1]) VAR110 [0 ] = VAR112 [(VAR127 / 2)];
else if (VAR99 [2]) VAR110 [1 : 0] = VAR112 [(VAR127 / 2) + 1 : (VAR127 / 2)];
else if (VAR99 [3]) VAR110 [2 : 0] = VAR112 [(VAR127 / 2) + 2 : (VAR127 / 2)];
end
always @
begin
VAR32 = VAR112;
end
always @
begin
VAR145 = (|VAR122) | (|VAR6);
end
always @
begin
VAR9 = VAR118;
end
always @
begin
VAR135 = VAR136;
VAR73 = VAR26;
end
always @
begin
if (VAR90 && VAR45 == 0)
VAR132 = 1'b1;
end
else
VAR132 = 1'b0;
end
always @ (posedge VAR105 or negedge VAR147)
begin
if (!VAR147)
begin
VAR22 <= 0;
end
else
begin
if (VAR90 && ((VAR45 == 0 && VAR108 > 1) || VAR45 == 1'b1))
VAR22 <= 1'b1;
end
else if (VAR55 == 0 && VAR13 > 0)
VAR22 <= 1'b1;
end
else
VAR22 <= 1'b0;
end
end
always @
begin
VAR104 = VAR150;
end
always @ (posedge VAR105 or negedge VAR147)
begin
if (!VAR147)
begin
VAR111 <= 0;
end
else
begin
if (VAR90)
begin
if (VAR114)
begin
if (VAR137 <= 2)
VAR111 <= 0;
end
else
VAR111 <= VAR109;
end
else
begin
if (VAR99 <= 2)
VAR111 <= 0;
end
else
VAR111 <= VAR37;
end
end
else if (VAR111 != 0)
VAR111 <= VAR111 - 1'b1;
end
end
always @ (posedge VAR105 or negedge VAR147)
begin
if (!VAR147)
begin
VAR115 <= 0;
end
else
begin
if (VAR90)
begin
if (VAR99 <= 1'b1) VAR115 <= 1'b0;
end
else if (VAR114 && VAR99 <= 2'd2)
VAR115 <= 1'b0;
end
else
VAR115 <= 1'b1;
end
else if (VAR111 > 0)
VAR115 <= 1'b1;
else
VAR115 <= 1'b0;
end
end
always @ (posedge VAR105 or negedge VAR147)
begin
if (!VAR147)
begin
VAR121 <= 1'b0;
VAR25 <= 1'b0;
end
else
begin
if (VAR24)
begin
VAR121 <= 1'b1;
VAR25 <= 1'b0;
end
else if (VAR83)
begin
VAR121 <= 1'b0;
VAR25 <= 1'b1;
end
end
end
always @
begin
if (VAR24)
VAR93 = 1'b0;
end
else if (VAR83)
VAR93 = ~VAR8;
else if (VAR25)
VAR93 = VAR115 & ~VAR8;
else
VAR93 = 1'b0;
end
always @ (posedge VAR105 or negedge VAR147)
begin
if (!VAR147)
begin
VAR3 <= 0;
VAR101 <= 0;
end
else
begin
if (VAR90)
begin
VAR3 <= VAR47;
VAR101 <= VAR33;
end
end
end
always @
begin
if (VAR90)
VAR78 = VAR143;
end
else if (VAR115)
VAR78 = VAR60;
else
VAR78 = 0;
end
always @
begin
VAR95 = VAR107;
end
end
else
begin
always @ (posedge VAR105 or negedge VAR147)
begin
if (!VAR147)
begin
VAR95 <= 0;
end
else
begin
if (VAR90)
begin
if (VAR148)
begin
if (VAR99 <= 2'd2) VAR95 <= 1'b0;
end
else if (VAR114 && VAR99 <= 3'd4)
VAR95 <= 1'b0;
end
else
VAR95 <= 1'b1;
end
else
begin
if (VAR99 <= 1'b1) VAR95 <= 1'b0;
end
else if (VAR114 && VAR99 <= 2'd2)
VAR95 <= 1'b0;
end
else
VAR95 <= 1'b1;
end
end
else if (!VAR148 && VAR111 > 0)
VAR95 <= 1'b1;
else if ( VAR148 && VAR111 > 1'b1)
VAR95 <= 1'b1;
else
VAR95 <= 1'b0;
end
end
end
end
endgenerate
always @
begin
VAR142 = VAR139;
end
always @
begin
VAR8 = (|VAR68) | VAR69;
end
always @
begin
VAR68 = 0;
if (VAR18)
VAR68 [VAR35] = 0;
end
else
VAR68 [VAR35] = VAR142;
end
end
else if (VAR144 == "VAR82")
begin
always @
begin
VAR125 = VAR79;
end
endmodule | lgpl-3.0 |
htogarcia/Microcontrolador-Calculadora | VGA Mouse/num_2.v | 1,109 | module MODULE1(
input [2:0] VAR5,
output reg [4:0] VAR2
);
parameter [4:0] VAR6 = 5'b01110; parameter [4:0] VAR7 = 5'b10001; parameter [4:0] VAR3 = 5'b01000; parameter [4:0] VAR8 = 5'b00100; parameter [4:0] VAR1 = 5'b00010; parameter [4:0] VAR4 = 5'b11111;
always @ *
begin
case (VAR5)
3'b000:
VAR2 = VAR6;
3'b001:
VAR2 = VAR7;
3'b010:
VAR2 = VAR3;
3'b011:
VAR2 = VAR8;
3'b100:
VAR2 = VAR1;
3'b101:
VAR2 = VAR4;
default:
VAR2 = 5'b0;
endcase
end
endmodule | mit |
bluespec/Flute | builds/RV64ACIMU_Flute_verilator/Verilog_RTL/mkBoot_ROM.v | 58,304 | module MODULE1(VAR142,
VAR88,
VAR169,
VAR52,
VAR82,
VAR138,
VAR38,
VAR23,
VAR51,
VAR28,
VAR93,
VAR139,
VAR11,
VAR50,
VAR157,
VAR123,
VAR33,
VAR2,
VAR129,
VAR12,
VAR61,
VAR25,
VAR19,
VAR109,
VAR7,
VAR64,
VAR145,
VAR43,
VAR75,
VAR167,
VAR149,
VAR151,
VAR126,
VAR99,
VAR90,
VAR141,
VAR147,
VAR114,
VAR96,
VAR178,
VAR173,
VAR46,
VAR100,
VAR29,
VAR37);
input VAR142;
input VAR88;
input [63 : 0] VAR169;
input [63 : 0] VAR52;
input VAR82;
output VAR138;
input VAR38;
input [3 : 0] VAR23;
input [63 : 0] VAR51;
input [7 : 0] VAR28;
input [2 : 0] VAR93;
input [1 : 0] VAR139;
input VAR11;
input [3 : 0] VAR50;
input [2 : 0] VAR157;
input [3 : 0] VAR123;
input [3 : 0] VAR33;
output VAR2;
input VAR129;
input [63 : 0] VAR12;
input [7 : 0] VAR61;
input VAR25;
output VAR19;
output VAR109;
output [3 : 0] VAR7;
output [1 : 0] VAR64;
input VAR145;
input VAR43;
input [3 : 0] VAR75;
input [63 : 0] VAR167;
input [7 : 0] VAR149;
input [2 : 0] VAR151;
input [1 : 0] VAR126;
input VAR99;
input [3 : 0] VAR90;
input [2 : 0] VAR141;
input [3 : 0] VAR147;
input [3 : 0] VAR114;
output VAR96;
output VAR178;
output [3 : 0] VAR173;
output [63 : 0] VAR46;
output [1 : 0] VAR100;
output VAR29;
input VAR37;
wire [63 : 0] VAR46;
wire [3 : 0] VAR7, VAR173;
wire [1 : 0] VAR64, VAR100;
wire VAR138,
VAR96,
VAR2,
VAR109,
VAR29,
VAR178,
VAR19;
reg [63 : 0] VAR166;
wire [63 : 0] VAR111;
wire VAR143;
reg [63 : 0] VAR150;
wire [63 : 0] VAR112;
wire VAR176;
reg VAR179;
wire VAR24, VAR80;
wire [96 : 0] VAR136, VAR165;
wire VAR117,
VAR39,
VAR120,
VAR148,
VAR86;
wire [70 : 0] VAR70, VAR40;
wire VAR115,
VAR71,
VAR30,
VAR27,
VAR174;
wire [96 : 0] VAR133, VAR171;
wire VAR1,
VAR45,
VAR164,
VAR105,
VAR60;
wire [72 : 0] VAR35;
wire VAR65,
VAR163,
VAR73,
VAR81,
VAR16;
wire [5 : 0] VAR32, VAR5;
wire VAR58,
VAR55,
VAR22,
VAR91,
VAR128;
wire VAR125,
VAR54,
VAR21,
VAR3,
VAR77,
VAR161,
VAR170,
VAR44,
VAR168,
VAR122,
VAR56,
VAR108,
VAR34,
VAR102,
VAR78,
VAR13;
reg [31 : 0] VAR14;
reg [31 : 0] VAR130;
reg [31 : 0] VAR101;
reg [31 : 0] VAR134;
reg [31 : 0] VAR74;
reg [31 : 0] VAR6;
reg [31 : 0] VAR160;
reg [31 : 0] VAR116;
reg [63 : 0] VAR155;
reg [31 : 0] VAR83,
VAR119;
reg VAR113,
VAR47;
wire [63 : 0] VAR95,
VAR31;
wire [1 : 0] VAR57;
wire VAR62,
VAR15;
assign VAR138 = 1'd1 ;
assign VAR21 = 1'd1 ;
assign VAR56 = VAR82 ;
assign VAR77 = 1'd1 ;
assign VAR34 = 1'd1 ;
assign VAR2 = VAR60 ;
assign VAR44 = 1'd1 ;
assign VAR13 = 1'd1 ;
assign VAR19 = VAR16 ;
assign VAR109 = VAR22 ;
assign VAR7 = VAR5[5:2] ;
assign VAR64 = VAR5[1:0] ;
assign VAR161 = 1'd1 ;
assign VAR102 = 1'd1 ;
assign VAR3 = 1'd1 ;
assign VAR108 = 1'd1 ;
assign VAR96 = VAR86 ;
assign VAR178 = VAR30 ;
assign VAR173 = VAR40[70:67] ;
assign VAR46 = VAR40[66:3] ;
assign VAR100 = VAR40[2:1] ;
assign VAR29 = VAR40[0] ;
assign VAR170 = 1'd1 ;
assign VAR78 = 1'd1 ;
VAR79 #(.VAR76(32'd97), .VAR144(32'd1)) VAR118(.VAR8(VAR88),
.VAR142(VAR142),
.VAR85(VAR136),
.VAR17(VAR148),
.VAR137(VAR39),
.VAR72(VAR117),
.VAR97(VAR165),
.VAR121(VAR86),
.VAR162(VAR120));
VAR79 #(.VAR76(32'd71), .VAR144(32'd1)) VAR107(.VAR8(VAR88),
.VAR142(VAR142),
.VAR85(VAR70),
.VAR17(VAR27),
.VAR137(VAR71),
.VAR72(VAR115),
.VAR97(VAR40),
.VAR121(VAR174),
.VAR162(VAR30));
VAR79 #(.VAR76(32'd97), .VAR144(32'd1)) VAR49(.VAR8(VAR88),
.VAR142(VAR142),
.VAR85(VAR133),
.VAR17(VAR105),
.VAR137(VAR45),
.VAR72(VAR1),
.VAR97(VAR171),
.VAR121(VAR60),
.VAR162(VAR164));
VAR79 #(.VAR76(32'd73), .VAR144(32'd1)) VAR159(.VAR8(VAR88),
.VAR142(VAR142),
.VAR85(VAR35),
.VAR17(VAR81),
.VAR137(VAR163),
.VAR72(VAR65),
.VAR97(),
.VAR121(VAR16),
.VAR162(VAR73));
VAR79 #(.VAR76(32'd6), .VAR144(32'd1)) VAR92(.VAR8(VAR88),
.VAR142(VAR142),
.VAR85(VAR32),
.VAR17(VAR91),
.VAR137(VAR55),
.VAR72(VAR58),
.VAR97(VAR5),
.VAR121(VAR128),
.VAR162(VAR22));
assign VAR125 =
VAR120 &&
VAR174 &&
VAR179 ;
assign VAR168 = VAR125 ;
assign VAR54 =
VAR164 &&
VAR73 &&
VAR128 &&
VAR179 ;
assign VAR122 = VAR54 ;
assign VAR111 = VAR169 ;
assign VAR143 = VAR82 ;
assign VAR112 = VAR52 ;
assign VAR176 = VAR82 ;
assign VAR24 = 1'd1 ;
assign VAR80 = VAR82 ;
assign VAR136 =
{ VAR75,
VAR167,
VAR149,
VAR151,
VAR126,
VAR99,
VAR90,
VAR141,
VAR147,
VAR114 } ;
assign VAR148 =
VAR43 && VAR86 ;
assign VAR39 = VAR125 ;
assign VAR117 = 1'b0 ;
assign VAR70 =
{ VAR165[96:93],
VAR95,
VAR57,
1'd1 } ;
assign VAR27 = VAR125 ;
assign VAR71 =
VAR37 && VAR30 ;
assign VAR115 = 1'b0 ;
assign VAR133 =
{ VAR23,
VAR51,
VAR28,
VAR93,
VAR139,
VAR11,
VAR50,
VAR157,
VAR123,
VAR33 } ;
assign VAR105 =
VAR38 && VAR60 ;
assign VAR45 = VAR54 ;
assign VAR1 = 1'b0 ;
assign VAR35 =
{ VAR12, VAR61, VAR25 } ;
assign VAR81 =
VAR129 && VAR16 ;
assign VAR163 = VAR54 ;
assign VAR65 = 1'b0 ;
assign VAR32 =
{ VAR171[96:93],
VAR15 ?
2'b10 :
2'b0 } ;
assign VAR91 = VAR54 ;
assign VAR55 =
VAR145 && VAR22 ;
assign VAR58 = 1'b0 ;
assign VAR62 =
VAR165[20:18] != 3'b0 &&
VAR113 ||
VAR166 > VAR165[92:29] ||
VAR165[92:29] >= VAR150 ;
assign VAR15 =
VAR171[20:18] != 3'b0 &&
VAR47 ||
VAR166 > VAR171[92:29] ||
VAR171[92:29] >= VAR150 ;
assign VAR95 =
VAR62 ?
64'd0 :
VAR155 ;
assign VAR57 =
VAR62 ?
2'b10 :
2'b0 ;
assign VAR31 =
VAR165[92:29] - VAR166 ;
always@(VAR31)
begin
case (VAR31[63:3])
61'd2,
61'd3,
61'd7,
61'd9,
61'd10,
61'd11,
61'd25,
61'd29,
61'd39,
61'd53,
61'd56,
61'd75,
61'd91,
61'd142,
61'd143,
61'd144,
61'd145,
61'd146,
61'd147,
61'd148,
61'd149,
61'd150,
61'd151,
61'd152,
61'd153,
61'd154,
61'd155,
61'd156,
61'd157,
61'd158,
61'd159,
61'd160,
61'd161,
61'd162,
61'd163,
61'd164,
61'd165,
61'd166,
61'd167,
61'd168,
61'd169,
61'd170,
61'd171,
61'd172,
61'd173,
61'd174,
61'd175,
61'd176,
61'd177,
61'd178,
61'd179,
61'd180,
61'd181,
61'd182,
61'd183,
61'd184,
61'd185,
61'd186,
61'd187,
61'd188,
61'd189,
61'd190,
61'd191,
61'd192,
61'd193,
61'd194,
61'd195,
61'd196,
61'd197,
61'd198,
61'd199,
61'd200,
61'd201,
61'd202,
61'd203,
61'd204,
61'd205,
61'd206,
61'd207,
61'd208,
61'd209,
61'd210,
61'd211,
61'd212,
61'd213,
61'd214,
61'd215,
61'd216,
61'd217,
61'd218,
61'd219,
61'd220,
61'd221,
61'd222,
61'd223,
61'd224,
61'd225,
61'd226,
61'd227,
61'd228,
61'd229,
61'd230,
61'd231,
61'd232,
61'd233,
61'd234,
61'd235,
61'd236,
61'd237,
61'd238,
61'd239,
61'd240,
61'd241,
61'd242,
61'd243,
61'd244,
61'd245,
61'd246,
61'd247,
61'd248,
61'd249,
61'd250,
61'd251,
61'd252,
61'd253,
61'd254,
61'd255,
61'd256,
61'd257,
61'd258,
61'd259,
61'd260,
61'd261,
61'd262,
61'd263,
61'd264,
61'd265,
61'd266,
61'd267,
61'd268,
61'd269,
61'd270,
61'd271,
61'd272,
61'd273,
61'd274,
61'd275,
61'd276,
61'd277,
61'd278,
61'd279,
61'd280,
61'd281,
61'd282,
61'd283,
61'd284,
61'd285,
61'd286,
61'd287,
61'd288,
61'd289,
61'd290,
61'd291,
61'd292,
61'd293,
61'd294,
61'd295,
61'd296,
61'd297,
61'd298,
61'd299,
61'd300,
61'd301,
61'd302,
61'd303,
61'd304,
61'd305,
61'd306,
61'd307,
61'd308,
61'd309,
61'd310,
61'd311,
61'd312,
61'd313,
61'd314,
61'd315,
61'd316,
61'd317,
61'd318,
61'd319,
61'd320,
61'd321,
61'd322,
61'd323,
61'd324,
61'd325,
61'd326,
61'd327,
61'd328,
61'd329,
61'd330,
61'd331,
61'd332,
61'd333,
61'd334,
61'd335,
61'd336,
61'd337,
61'd338,
61'd339,
61'd340,
61'd341,
61'd342,
61'd343,
61'd344,
61'd345,
61'd346,
61'd347,
61'd348,
61'd349,
61'd350,
61'd351,
61'd352,
61'd353,
61'd354,
61'd355,
61'd356,
61'd357,
61'd358,
61'd359,
61'd360,
61'd361,
61'd362,
61'd363,
61'd364,
61'd365,
61'd366,
61'd367,
61'd368,
61'd369,
61'd370,
61'd371,
61'd372,
61'd373,
61'd374,
61'd375,
61'd376,
61'd377,
61'd378,
61'd379,
61'd380,
61'd381,
61'd382,
61'd383,
61'd384,
61'd385,
61'd386,
61'd387,
61'd388,
61'd389,
61'd390,
61'd391,
61'd392,
61'd393,
61'd394,
61'd395,
61'd396,
61'd397,
61'd398,
61'd399,
61'd400,
61'd401,
61'd402,
61'd403,
61'd404,
61'd405,
61'd406,
61'd407,
61'd408,
61'd409,
61'd410,
61'd411,
61'd412,
61'd413,
61'd414,
61'd415,
61'd416,
61'd417,
61'd418,
61'd419,
61'd420,
61'd421,
61'd422,
61'd423,
61'd424,
61'd425,
61'd426,
61'd427,
61'd428,
61'd429,
61'd430,
61'd431,
61'd432,
61'd433,
61'd434,
61'd435,
61'd436,
61'd437,
61'd438,
61'd439,
61'd440,
61'd441,
61'd442,
61'd443,
61'd444,
61'd445,
61'd446,
61'd447,
61'd448,
61'd449,
61'd450,
61'd451,
61'd452,
61'd453,
61'd454,
61'd455,
61'd456,
61'd457,
61'd458,
61'd459,
61'd460,
61'd461,
61'd462,
61'd463,
61'd464,
61'd465,
61'd466,
61'd467,
61'd468,
61'd469,
61'd470,
61'd471,
61'd472,
61'd473,
61'd474,
61'd475,
61'd476,
61'd477,
61'd478,
61'd479,
61'd480,
61'd481,
61'd482,
61'd483,
61'd484,
61'd485,
61'd486,
61'd487,
61'd488,
61'd489,
61'd490,
61'd491,
61'd492,
61'd493,
61'd494,
61'd495,
61'd496,
61'd497,
61'd498,
61'd499,
61'd500,
61'd501,
61'd502,
61'd503,
61'd504,
61'd505,
61'd506,
61'd507,
61'd508,
61'd509,
61'd510,
61'd511:
VAR83 = 32'h0;
61'd4:
VAR83 =
32'h54040000;
61'd5:
VAR83 =
32'h88030000;
61'd6:
VAR83 =
32'h11000000;
61'd8:
VAR83 =
32'h50030000;
61'd12,
61'd14,
61'd26,
61'd28,
61'd30,
61'd54,
61'd61,
61'd109,
61'd111:
VAR83 =
32'h04000000;
61'd13, 61'd15, 61'd63, 61'd99, 61'd115:
VAR83 =
32'h02000000;
61'd16:
VAR83 =
32'h16000000;
61'd17:
VAR83 =
32'h62626375;
61'd18:
VAR83 =
32'h656B6970;
61'd19:
VAR83 =
32'h65642D65;
61'd20,
61'd33,
61'd35,
61'd37,
61'd42,
61'd45,
61'd48,
61'd57,
61'd69,
61'd74,
61'd76,
61'd78,
61'd84,
61'd88,
61'd95,
61'd102,
61'd105,
61'd110:
VAR83 =
32'h03000000;
61'd21:
VAR83 =
32'h26000000;
61'd22, 61'd80:
VAR83 =
32'h732C7261;
61'd23, 61'd81:
VAR83 =
32'h7261622D;
61'd24,
61'd27,
61'd50,
61'd55,
61'd62,
61'd64,
61'd73,
61'd93,
61'd94,
61'd114:
VAR83 =
32'h01000000;
61'd31, 61'd112:
VAR83 =
32'h80969800;
61'd32:
VAR83 =
32'h40757063;
61'd34:
VAR83 =
32'h3F000000;
61'd36, 61'd70, 61'd96, 61'd106:
VAR83 =
32'h4B000000;
61'd38:
VAR83 =
32'h4F000000;
61'd40:
VAR83 =
32'h06000000;
61'd41:
VAR83 =
32'h63736972;
61'd43:
VAR83 =
32'h56000000;
61'd44:
VAR83 =
32'h75616D69;
61'd46:
VAR83 =
32'h60000000;
61'd47:
VAR83 =
32'h76732C76;
61'd49:
VAR83 =
32'h69000000;
61'd51:
VAR83 =
32'h70757272;
61'd52:
VAR83 =
32'h6F72746E;
61'd58, 61'd79, 61'd89, 61'd103:
VAR83 =
32'h1B000000;
61'd59:
VAR83 =
32'h70632C76;
61'd60:
VAR83 =
32'h00006374;
61'd65:
VAR83 =
32'h38407972;
61'd66:
VAR83 =
32'h00303030;
61'd67:
VAR83 =
32'h07000000;
61'd68:
VAR83 =
32'h6F6D656D;
61'd71:
VAR83 =
32'h00000080;
61'd72:
VAR83 =
32'h00000010;
61'd77:
VAR83 =
32'h0F000000;
61'd82:
VAR83 =
32'h69730063;
61'd83:
VAR83 =
32'h7375622D;
61'd85:
VAR83 =
32'hA7000000;
61'd86:
VAR83 =
32'h6E696C63;
61'd87, 61'd101:
VAR83 =
32'h30303030;
61'd90:
VAR83 =
32'h6C632C76;
61'd92:
VAR83 =
32'h10000000;
61'd97:
VAR83 =
32'h00000002;
61'd98:
VAR83 =
32'h00000C00;
61'd100:
VAR83 =
32'h74726175;
61'd104:
VAR83 =
32'h61303535;
61'd107:
VAR83 =
32'h000000C0;
61'd108:
VAR83 =
32'h40000000;
61'd113:
VAR83 =
32'h08000000;
61'd116:
VAR83 =
32'h09000000;
61'd117:
VAR83 =
32'h73736572;
61'd118:
VAR83 =
32'h2300736C;
61'd119:
VAR83 =
32'h6C65632D;
61'd120:
VAR83 =
32'h61706D6F;
61'd121:
VAR83 =
32'h6F6D0065;
61'd122:
VAR83 =
32'h656D6974;
61'd123:
VAR83 =
32'h6572662D;
61'd124:
VAR83 =
32'h64007963;
61'd125:
VAR83 =
32'h79745F65;
61'd126:
VAR83 =
32'h73006765;
61'd127:
VAR83 =
32'h69720073;
61'd128:
VAR83 =
32'h00617369;
61'd129:
VAR83 =
32'h65707974;
61'd130:
VAR83 =
32'h662D6B63;
61'd131:
VAR83 =
32'h79636E65;
61'd132, 61'd134:
VAR83 =
32'h72726574;
61'd133:
VAR83 =
32'h6C6C6563;
61'd135:
VAR83 =
32'h746E6F63;
61'd136:
VAR83 =
32'h70007265;
61'd137:
VAR83 =
32'h7200656C;
61'd138:
VAR83 =
32'h6E690073;
61'd139:
VAR83 =
32'h73747075;
61'd140:
VAR83 =
32'h65646E65;
61'd141:
VAR83 =
32'h68732D67;
default: VAR83 =
32'hAAAAAAAA;
endcase
end
always@(VAR31)
begin
case (VAR31[63:3])
61'd2:
VAR119 =
32'h00028067;
61'd3:
VAR119 =
32'h80000000;
61'd4:
VAR119 =
32'hEDFE0DD0;
61'd5:
VAR119 =
32'h38000000;
61'd6:
VAR119 =
32'h28000000;
61'd7, 61'd70, 61'd96, 61'd106:
VAR119 =
32'h10000000;
61'd8:
VAR119 =
32'hCC000000;
61'd9,
61'd10,
61'd13,
61'd27,
61'd37,
61'd71,
61'd72,
61'd84,
61'd85,
61'd97,
61'd98,
61'd105,
61'd107,
61'd108,
61'd143,
61'd144,
61'd145,
61'd146,
61'd147,
61'd148,
61'd149,
61'd150,
61'd151,
61'd152,
61'd153,
61'd154,
61'd155,
61'd156,
61'd157,
61'd158,
61'd159,
61'd160,
61'd161,
61'd162,
61'd163,
61'd164,
61'd165,
61'd166,
61'd167,
61'd168,
61'd169,
61'd170,
61'd171,
61'd172,
61'd173,
61'd174,
61'd175,
61'd176,
61'd177,
61'd178,
61'd179,
61'd180,
61'd181,
61'd182,
61'd183,
61'd184,
61'd185,
61'd186,
61'd187,
61'd188,
61'd189,
61'd190,
61'd191,
61'd192,
61'd193,
61'd194,
61'd195,
61'd196,
61'd197,
61'd198,
61'd199,
61'd200,
61'd201,
61'd202,
61'd203,
61'd204,
61'd205,
61'd206,
61'd207,
61'd208,
61'd209,
61'd210,
61'd211,
61'd212,
61'd213,
61'd214,
61'd215,
61'd216,
61'd217,
61'd218,
61'd219,
61'd220,
61'd221,
61'd222,
61'd223,
61'd224,
61'd225,
61'd226,
61'd227,
61'd228,
61'd229,
61'd230,
61'd231,
61'd232,
61'd233,
61'd234,
61'd235,
61'd236,
61'd237,
61'd238,
61'd239,
61'd240,
61'd241,
61'd242,
61'd243,
61'd244,
61'd245,
61'd246,
61'd247,
61'd248,
61'd249,
61'd250,
61'd251,
61'd252,
61'd253,
61'd254,
61'd255,
61'd256,
61'd257,
61'd258,
61'd259,
61'd260,
61'd261,
61'd262,
61'd263,
61'd264,
61'd265,
61'd266,
61'd267,
61'd268,
61'd269,
61'd270,
61'd271,
61'd272,
61'd273,
61'd274,
61'd275,
61'd276,
61'd277,
61'd278,
61'd279,
61'd280,
61'd281,
61'd282,
61'd283,
61'd284,
61'd285,
61'd286,
61'd287,
61'd288,
61'd289,
61'd290,
61'd291,
61'd292,
61'd293,
61'd294,
61'd295,
61'd296,
61'd297,
61'd298,
61'd299,
61'd300,
61'd301,
61'd302,
61'd303,
61'd304,
61'd305,
61'd306,
61'd307,
61'd308,
61'd309,
61'd310,
61'd311,
61'd312,
61'd313,
61'd314,
61'd315,
61'd316,
61'd317,
61'd318,
61'd319,
61'd320,
61'd321,
61'd322,
61'd323,
61'd324,
61'd325,
61'd326,
61'd327,
61'd328,
61'd329,
61'd330,
61'd331,
61'd332,
61'd333,
61'd334,
61'd335,
61'd336,
61'd337,
61'd338,
61'd339,
61'd340,
61'd341,
61'd342,
61'd343,
61'd344,
61'd345,
61'd346,
61'd347,
61'd348,
61'd349,
61'd350,
61'd351,
61'd352,
61'd353,
61'd354,
61'd355,
61'd356,
61'd357,
61'd358,
61'd359,
61'd360,
61'd361,
61'd362,
61'd363,
61'd364,
61'd365,
61'd366,
61'd367,
61'd368,
61'd369,
61'd370,
61'd371,
61'd372,
61'd373,
61'd374,
61'd375,
61'd376,
61'd377,
61'd378,
61'd379,
61'd380,
61'd381,
61'd382,
61'd383,
61'd384,
61'd385,
61'd386,
61'd387,
61'd388,
61'd389,
61'd390,
61'd391,
61'd392,
61'd393,
61'd394,
61'd395,
61'd396,
61'd397,
61'd398,
61'd399,
61'd400,
61'd401,
61'd402,
61'd403,
61'd404,
61'd405,
61'd406,
61'd407,
61'd408,
61'd409,
61'd410,
61'd411,
61'd412,
61'd413,
61'd414,
61'd415,
61'd416,
61'd417,
61'd418,
61'd419,
61'd420,
61'd421,
61'd422,
61'd423,
61'd424,
61'd425,
61'd426,
61'd427,
61'd428,
61'd429,
61'd430,
61'd431,
61'd432,
61'd433,
61'd434,
61'd435,
61'd436,
61'd437,
61'd438,
61'd439,
61'd440,
61'd441,
61'd442,
61'd443,
61'd444,
61'd445,
61'd446,
61'd447,
61'd448,
61'd449,
61'd450,
61'd451,
61'd452,
61'd453,
61'd454,
61'd455,
61'd456,
61'd457,
61'd458,
61'd459,
61'd460,
61'd461,
61'd462,
61'd463,
61'd464,
61'd465,
61'd466,
61'd467,
61'd468,
61'd469,
61'd470,
61'd471,
61'd472,
61'd473,
61'd474,
61'd475,
61'd476,
61'd477,
61'd478,
61'd479,
61'd480,
61'd481,
61'd482,
61'd483,
61'd484,
61'd485,
61'd486,
61'd487,
61'd488,
61'd489,
61'd490,
61'd491,
61'd492,
61'd493,
61'd494,
61'd495,
61'd496,
61'd497,
61'd498,
61'd499,
61'd500,
61'd501,
61'd502,
61'd503,
61'd504,
61'd505,
61'd506,
61'd507,
61'd508,
61'd509,
61'd510,
61'd511:
VAR119 = 32'h0;
61'd11, 61'd32, 61'd86, 61'd100:
VAR119 =
32'h01000000;
61'd12,
61'd14,
61'd16,
61'd26,
61'd28,
61'd30,
61'd40,
61'd54,
61'd56,
61'd61,
61'd67,
61'd92,
61'd94,
61'd109,
61'd111,
61'd113:
VAR119 =
32'h03000000;
61'd15, 61'd29, 61'd58:
VAR119 =
32'h0F000000;
61'd17, 61'd41:
VAR119 =
32'h1B000000;
61'd18:
VAR119 =
32'h732C7261;
61'd19:
VAR119 =
32'h7261622D;
61'd20, 61'd42:
VAR119 =
32'h00000076;
61'd21:
VAR119 =
32'h12000000;
61'd22, 61'd80:
VAR119 =
32'h62626375;
61'd23, 61'd81:
VAR119 =
32'h656B6970;
61'd24:
VAR119 =
32'h00000065;
61'd25:
VAR119 =
32'h73757063;
61'd31:
VAR119 =
32'h2C000000;
61'd33, 61'd88, 61'd102:
VAR119 =
32'h00000030;
61'd34, 61'd36, 61'd49, 61'd75, 61'd77:
VAR119 =
32'h04000000;
61'd35:
VAR119 =
32'h00757063;
61'd38:
VAR119 =
32'h05000000;
61'd39:
VAR119 =
32'h79616B6F;
61'd43:
VAR119 =
32'h0A000000;
61'd44:
VAR119 =
32'h34367672;
61'd45:
VAR119 =
32'h00000073;
61'd46, 61'd115:
VAR119 =
32'h0B000000;
61'd47, 61'd59, 61'd90:
VAR119 =
32'h63736972;
61'd48:
VAR119 =
32'h00003933;
61'd50:
VAR119 =
32'h80969800;
61'd51:
VAR119 =
32'h65746E69;
61'd52:
VAR119 =
32'h6F632D74;
61'd53:
VAR119 =
32'h72656C6C;
61'd55:
VAR119 =
32'h79000000;
61'd57:
VAR119 =
32'h8A000000;
61'd60:
VAR119 =
32'h6E692D75;
61'd62:
VAR119 =
32'h9F000000;
61'd63, 61'd64, 61'd73, 61'd76, 61'd78, 61'd99, 61'd116:
VAR119 =
32'h02000000;
61'd65:
VAR119 =
32'h6F6D656D;
61'd66:
VAR119 =
32'h30303030;
61'd68:
VAR119 =
32'h3F000000;
61'd69:
VAR119 =
32'h00007972;
61'd74:
VAR119 =
32'h00636F73;
61'd79:
VAR119 =
32'h21000000;
61'd82:
VAR119 =
32'h6F732D65;
61'd83:
VAR119 =
32'h656C706D;
61'd87:
VAR119 =
32'h30324074;
61'd89:
VAR119 =
32'h0D000000;
61'd91:
VAR119 =
32'h30746E69;
61'd93, 61'd114:
VAR119 =
32'hAE000000;
61'd95:
VAR119 =
32'h07000000;
61'd101:
VAR119 =
32'h30306340;
61'd103:
VAR119 =
32'h09000000;
61'd104:
VAR119 =
32'h3631736E;
61'd110:
VAR119 =
32'hC2000000;
61'd112:
VAR119 =
32'h69000000;
61'd117:
VAR119 =
32'h64646123;
61'd118:
VAR119 =
32'h6C65632D;
61'd119:
VAR119 =
32'h657A6973;
61'd120:
VAR119 =
32'h6300736C;
61'd121:
VAR119 =
32'h6C626974;
61'd122:
VAR119 =
32'h006C6564;
61'd123:
VAR119 =
32'h65736162;
61'd124:
VAR119 =
32'h6E657571;
61'd125:
VAR119 =
32'h63697665;
61'd126:
VAR119 =
32'h72006570;
61'd127:
VAR119 =
32'h75746174;
61'd128:
VAR119 =
32'h2C766373;
61'd129:
VAR119 =
32'h2D756D6D;
61'd130:
VAR119 =
32'h6F6C6300;
61'd131:
VAR119 =
32'h75716572;
61'd132:
VAR119 =
32'h6E692300;
61'd133, 61'd135:
VAR119 =
32'h2D747075;
61'd134:
VAR119 =
32'h6E690073;
61'd136:
VAR119 =
32'h6C6C6F72;
61'd137:
VAR119 =
32'h646E6168;
61'd138:
VAR119 =
32'h65676E61;
61'd139:
VAR119 =
32'h72726574;
61'd140:
VAR119 =
32'h7478652D;
61'd141:
VAR119 =
32'h65720064;
61'd142:
VAR119 =
32'h00746669;
default: VAR119 =
32'hAAAAAAAA;
endcase
end
always@(VAR31 or
VAR83 or
VAR119)
begin
case (VAR31[63:3])
61'd0: VAR155 = 64'h0202859300000297;
61'd1: VAR155 = 64'h0182B283F1402573;
default: VAR155 =
{ VAR83,
VAR119 };
endcase
end
always@(VAR165)
begin
case (VAR165[20:18])
3'b001:
VAR113 =
VAR165[29];
3'b010:
VAR113 =
VAR165[30:29] != 2'b0;
default: VAR113 =
VAR165[20:18] != 3'b011 ||
VAR165[31:29] != 3'b0;
endcase
end
always@(VAR171)
begin
case (VAR171[20:18])
3'b001:
VAR47 =
VAR171[29];
3'b010:
VAR47 =
VAR171[30:29] != 2'b0;
default: VAR47 =
VAR171[20:18] != 3'b011 ||
VAR171[31:29] != 3'b0;
endcase
end
always@(posedge VAR142)
begin
if (VAR88 == VAR10)
begin
VAR179 <= VAR84 1'd0;
end
else
begin
if (VAR80)
VAR179 <= VAR84 VAR24;
end
if (VAR143)
VAR166 <= VAR84 VAR111;
if (VAR176) VAR150 <= VAR84 VAR112;
end
begin
VAR166 = 64'hAAAAAAAAAAAAAAAA;
VAR150 = 64'hAAAAAAAAAAAAAAAA;
VAR179 = 1'h0;
end
always@(negedge VAR142)
begin
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
begin
VAR14 = VAR66;
end
VAR74 = VAR14 / 32'd10;
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
VAR181("%0d: VAR89: VAR59.VAR110: VAR53 or VAR18 addr",
VAR74);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(" ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("VAR106 { ", "VAR153: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[96:93]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR146: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[92:29]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR36: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[28:21]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR68: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[20:18]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR180: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[17:16]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR152: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[15]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR87: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[14:11]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR135: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[10:8]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR9: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[7:4]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR20: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", VAR165[3:0]);
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
(", ", "VAR42: ");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("'VAR177%VAR177", 1'd0, " }");
if (VAR88 != VAR10)
if (VAR168 &&
VAR62)
("\VAR63");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
begin
VAR130 = VAR66;
end
VAR6 = VAR130 / 32'd10;
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
VAR181("%0d: VAR89: VAR59.VAR26: VAR53 or VAR18 addr",
VAR6);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(" ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("VAR4 { ", "VAR41: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[96:93]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR67: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[92:29]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR154: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[28:21]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR48: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[20:18]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR156: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[17:16]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR132: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[15]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR124: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[14:11]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR175: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[10:8]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR94: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[7:4]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR131: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", VAR171[3:0]);
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
(", ", "VAR158: ");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("'VAR177%VAR177", 1'd0, " }");
if (VAR88 != VAR10)
if (VAR122 &&
VAR15)
("\VAR63");
if (VAR88 != VAR10)
if (VAR82 && VAR169[2:0] != 3'd0)
begin
VAR101 = VAR66;
end
VAR160 = VAR101 / 32'd10;
if (VAR88 != VAR10)
if (VAR82 && VAR169[2:0] != 3'd0)
VAR181("%0d: VAR69: VAR59.VAR98: VAR104 0x%0h VAR103 not 4-VAR127-VAR140",
VAR160,
VAR169);
if (VAR88 != VAR10)
if (VAR82 && VAR52[2:0] != 3'd0)
begin
VAR134 = VAR66;
end
VAR116 = VAR134 / 32'd10;
if (VAR88 != VAR10)
if (VAR82 && VAR52[2:0] != 3'd0)
VAR181("%0d: VAR69: VAR59.VAR98: VAR172 0x%0h VAR103 not 4-VAR127-VAR140",
VAR116,
VAR52);
end
endmodule | apache-2.0 |
omicronns/studies-sys-rek | de1-soc-proc/ip/progmem.v | 6,420 | module MODULE1 (
address,
VAR39,
VAR1);
input [7:0] address;
input VAR39;
output [31:0] VAR1;
tri1 VAR39;
wire [31:0] VAR25;
wire [31:0] VAR1 = VAR25[31:0];
VAR9 VAR50 (
.VAR35 (address),
.VAR33 (VAR39),
.VAR49 (VAR25),
.VAR46 (1'b0),
.VAR48 (1'b0),
.VAR29 (1'b1),
.VAR27 (1'b0),
.VAR52 (1'b0),
.VAR3 (1'b1),
.VAR20 (1'b1),
.VAR37 (1'b1),
.VAR8 (1'b1),
.VAR45 (1'b1),
.VAR22 (1'b1),
.VAR31 (1'b1),
.VAR12 ({32{1'b1}}),
.VAR13 (1'b1),
.VAR36 (),
.VAR51 (),
.VAR11 (1'b1),
.VAR41 (1'b1),
.VAR26 (1'b0),
.VAR19 (1'b0));
VAR50.VAR10 = "VAR47",
VAR50.VAR5 = "VAR38",
VAR50.VAR15 = "VAR38",
VAR50.VAR43 = "./VAR6/MODULE1.VAR14",
VAR50.VAR44 = "VAR42 VAR30",
VAR50.VAR17 = "VAR40=VAR34",
VAR50.VAR21 = "VAR9",
VAR50.VAR23 = 256,
VAR50.VAR7 = "VAR4",
VAR50.VAR24 = "VAR47",
VAR50.VAR16 = "VAR32",
VAR50.VAR2 = 8,
VAR50.VAR28 = 32,
VAR50.VAR18 = 1;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/or3/sky130_fd_sc_lp__or3.blackbox.v | 1,248 | module MODULE1 (
VAR5,
VAR4,
VAR1,
VAR7
);
output VAR5;
input VAR4;
input VAR1;
input VAR7;
supply1 VAR3;
supply0 VAR8;
supply1 VAR6 ;
supply0 VAR2 ;
endmodule | apache-2.0 |
ultraembedded/riscv | top_tcm_axi/src_v/riscv_tcm_top.v | 12,211 | module MODULE1
parameter VAR221 = 32'h00002000
,parameter VAR233 = 0
,parameter VAR154 = 0
,parameter VAR231 = 0
,parameter VAR212 = 32'hffffffff
)
(
input VAR134
,input VAR63
,input VAR155
,input VAR160
,input VAR204
,input VAR179
,input [ 1:0] VAR113
,input VAR192
,input VAR156
,input [ 31:0] VAR108
,input [ 1:0] VAR82
,input VAR27
,input [ 31:0] VAR39
,input [ 3:0] VAR60
,input [ 7:0] VAR94
,input [ 1:0] VAR245
,input VAR50
,input [ 31:0] VAR149
,input [ 3:0] VAR69
,input VAR135
,input VAR162
,input VAR8
,input [ 31:0] VAR195
,input [ 3:0] VAR126
,input [ 7:0] VAR96
,input [ 1:0] VAR196
,input VAR236
,input [ 31:0] VAR211
,output VAR66
,output [ 31:0] VAR104
,output VAR45
,output [ 31:0] VAR65
,output [ 3:0] VAR37
,output VAR161
,output VAR35
,output [ 31:0] VAR117
,output VAR142
,output VAR12
,output VAR244
,output VAR41
,output [ 1:0] VAR86
,output [ 3:0] VAR52
,output VAR151
,output VAR17
,output [ 31:0] VAR110
,output [ 1:0] VAR2
,output [ 3:0] VAR25
,output VAR97
);
wire [ 31:0] VAR206;
wire [ 31:0] VAR174;
wire VAR57;
wire VAR88;
wire [ 3:0] VAR183;
wire VAR143;
wire VAR186;
wire VAR132;
wire VAR215;
wire [ 10:0] VAR40;
wire [ 10:0] VAR22;
wire VAR16;
wire [ 31:0] VAR166;
wire VAR59;
wire VAR220;
wire [ 10:0] VAR31;
wire [ 31:0] VAR71;
wire VAR70;
wire [ 10:0] VAR107;
wire [ 31:0] VAR119;
wire VAR24;
wire VAR36;
wire VAR172;
wire [ 10:0] VAR64;
wire VAR177;
wire [ 31:0] VAR53 = VAR233;
wire VAR105;
wire VAR229;
wire VAR81;
wire [ 31:0] VAR120;
wire VAR76;
wire [ 31:0] VAR202 = VAR221;
wire [ 31:0] VAR11;
wire VAR10;
wire [ 31:0] VAR224;
wire VAR93;
wire [ 31:0] VAR128;
wire VAR74;
wire VAR99;
wire VAR98;
wire VAR127;
wire [ 3:0] VAR102;
wire VAR189;
wire [ 31:0] VAR1;
wire [ 10:0] VAR210;
wire [ 31:0] VAR118;
wire VAR80;
wire VAR103;
wire [ 3:0] VAR75;
wire VAR131;
wire VAR153;
wire VAR19;
VAR230
.VAR231(VAR231)
,.VAR212(VAR212)
)
VAR136
(
.VAR134(VAR134)
,.VAR63(VAR155)
,.VAR83(VAR166)
,.VAR56(VAR19)
,.VAR5(VAR220)
,.VAR201(VAR74)
,.VAR23(VAR40)
,.VAR92(VAR16)
,.VAR199(VAR189)
,.VAR240(VAR10)
,.VAR158(VAR118)
,.VAR211(VAR211[0:0])
,.VAR68(VAR202)
,.VAR42(VAR53)
,.VAR30(VAR11)
,.VAR6(VAR71)
,.VAR209(VAR105)
,.VAR150(VAR102)
,.VAR175(VAR132)
,.VAR123(VAR210)
,.VAR51(VAR70)
,.VAR228(VAR177)
,.VAR13(VAR88)
,.VAR26(VAR143)
,.VAR122(VAR93)
,.VAR223(VAR98)
,.VAR4(VAR206)
);
VAR184
.VAR154(VAR154)
)
VAR141
(
.VAR134(VAR134)
,.VAR63(VAR63)
,.VAR32(VAR11)
,.VAR146(VAR71)
,.VAR9(VAR105)
,.VAR20(VAR102)
,.VAR200(VAR132)
,.VAR222(VAR210)
,.VAR194(VAR70)
,.VAR21(VAR177)
,.VAR130(VAR88)
,.VAR241(VAR174)
,.VAR14(VAR99)
,.VAR48(VAR36)
,.VAR77(VAR153)
,.VAR137(VAR64)
,.VAR145(VAR120)
,.VAR78(VAR186)
,.VAR115(VAR229)
,.VAR164(VAR24)
,.VAR61(VAR22)
,.VAR180(VAR166)
,.VAR114(VAR19)
,.VAR207(VAR220)
,.VAR116(VAR74)
,.VAR106(VAR40)
,.VAR129(VAR119)
,.VAR170(VAR224)
,.VAR62(VAR172)
,.VAR72(VAR183)
,.VAR216(VAR57)
,.VAR235(VAR107)
,.VAR225(VAR59)
,.VAR197(VAR103)
,.VAR147(VAR215)
,.VAR144(VAR128)
,.VAR87(VAR1)
,.VAR73(VAR81)
,.VAR198(VAR75)
,.VAR205(VAR80)
,.VAR191(VAR31)
,.VAR34(VAR76)
,.VAR33(VAR127)
,.VAR15(VAR131)
);
VAR227
VAR237
(
.VAR134(VAR134)
,.VAR63(VAR63)
,.VAR43(VAR143)
,.VAR140(VAR93)
,.VAR101(VAR98)
,.VAR187(VAR206)
,.VAR190(VAR119)
,.VAR167(VAR224)
,.VAR152(VAR172)
,.VAR89(VAR183)
,.VAR90(VAR57)
,.VAR38(VAR107)
,.VAR243(VAR59)
,.VAR239(VAR103)
,.VAR178(VAR215)
,.VAR49(VAR27)
,.VAR7(VAR39)
,.VAR84(VAR60)
,.VAR218(VAR94)
,.VAR85(VAR245)
,.VAR95(VAR50)
,.VAR219(VAR149)
,.VAR55(VAR69)
,.VAR109(VAR135)
,.VAR181(VAR162)
,.VAR138(VAR8)
,.VAR168(VAR195)
,.VAR203(VAR126)
,.VAR159(VAR96)
,.VAR46(VAR196)
,.VAR112(VAR236)
,.VAR133(VAR16)
,.VAR176(VAR189)
,.VAR208(VAR10)
,.VAR185(VAR118)
,.VAR242(VAR174)
,.VAR234(VAR99)
,.VAR217(VAR36)
,.VAR44(VAR153)
,.VAR226(VAR64)
,.VAR100(VAR12)
,.VAR139(VAR244)
,.VAR171(VAR41)
,.VAR3(VAR86)
,.VAR213(VAR52)
,.VAR47(VAR151)
,.VAR148(VAR17)
,.VAR29(VAR110)
,.VAR125(VAR2)
,.VAR91(VAR25)
,.VAR18(VAR97)
);
VAR169
VAR54
(
.VAR134(VAR134)
,.VAR63(VAR63)
,.VAR32(VAR128)
,.VAR146(VAR1)
,.VAR9(VAR81)
,.VAR20(VAR75)
,.VAR200(VAR80)
,.VAR222(VAR31)
,.VAR194(VAR76)
,.VAR21(VAR127)
,.VAR130(VAR131)
,.VAR79(VAR160)
,.VAR121(VAR204)
,.VAR165(VAR179)
,.VAR111(VAR113)
,.VAR182(VAR192)
,.VAR232(VAR156)
,.VAR214(VAR108)
,.VAR28(VAR82)
,.VAR180(VAR120)
,.VAR114(VAR186)
,.VAR207(VAR229)
,.VAR116(VAR24)
,.VAR106(VAR22)
,.VAR124(VAR66)
,.VAR67(VAR104)
,.VAR163(VAR45)
,.VAR193(VAR65)
,.VAR173(VAR37)
,.VAR157(VAR161)
,.VAR58(VAR35)
,.VAR238(VAR117)
,.VAR188(VAR142)
);
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sdlclkp/sky130_fd_sc_lp__sdlclkp_2.v | 2,262 | module MODULE1 (
VAR6,
VAR9 ,
VAR5,
VAR10 ,
VAR1,
VAR3,
VAR7 ,
VAR4
);
output VAR6;
input VAR9 ;
input VAR5;
input VAR10 ;
input VAR1;
input VAR3;
input VAR7 ;
input VAR4 ;
VAR8 VAR2 (
.VAR6(VAR6),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR6,
VAR9 ,
VAR5,
VAR10
);
output VAR6;
input VAR9 ;
input VAR5;
input VAR10 ;
supply1 VAR1;
supply0 VAR3;
supply1 VAR7 ;
supply0 VAR4 ;
VAR8 VAR2 (
.VAR6(VAR6),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.