repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/aoi21/gf180mcu_fd_sc_mcu9t5v0__aoi21_1.behavioral.pp.v | 1,561 | module MODULE1( VAR8, VAR6, VAR4, VAR9, VAR5, VAR2 );
input VAR4, VAR8, VAR9;
inout VAR5, VAR2;
output VAR6;
VAR1 VAR3(.VAR8(VAR8),.VAR6(VAR6),.VAR4(VAR4),.VAR9(VAR9),.VAR5(VAR5),.VAR2(VAR2));
VAR1 VAR7(.VAR8(VAR8),.VAR6(VAR6),.VAR4(VAR4),.VAR9(VAR9),.VAR5(VAR5),.VAR2(VAR2)); | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/einvn/sky130_fd_sc_hs__einvn.pp.symbol.v | 1,296 | module MODULE1 (
input VAR1 ,
output VAR2 ,
input VAR3,
input VAR5,
input VAR4
);
endmodule | apache-2.0 |
schelleg/pynq_tutorial | Pynq-Z1/vivado/pynq_tutorial/ip/trace_cntrl_1_2/hdl/verilog/trace_cntrl_trace_cntrl_s_axi.v | 11,592 | module MODULE1
VAR32 = 6,
VAR24 = 32
)(
input wire VAR51,
input wire VAR19,
input wire VAR17,
input wire [VAR32-1:0] VAR44,
input wire VAR64,
output wire VAR36,
input wire [VAR24-1:0] VAR10,
input wire [VAR24/8-1:0] VAR14,
input wire VAR46,
output wire VAR41,
output wire [1:0] VAR42,
output wire VAR56,
input wire VAR37,
input wire [VAR32-1:0] VAR54,
input wire VAR31,
output wire VAR39,
output wire [VAR24-1:0] VAR34,
output wire [1:0] VAR8,
output wire VAR21,
input wire VAR26,
output wire interrupt,
output wire VAR3,
input wire VAR45,
input wire VAR6,
input wire VAR38,
output wire [63:0] VAR68,
output wire [31:0] VAR16,
output wire [31:0] VAR47
);
localparam
VAR25 = 6'h00,
VAR58 = 6'h04,
VAR18 = 6'h08,
VAR52 = 6'h0c,
VAR29 = 6'h10,
VAR50 = 6'h14,
VAR61 = 6'h18,
VAR53 = 6'h1c,
VAR20 = 6'h20,
VAR7 = 6'h24,
VAR2 = 6'h28,
VAR33 = 2'd0,
VAR1 = 2'd1,
VAR5 = 2'd2,
VAR4 = 2'd0,
VAR59 = 2'd1,
VAR35 = 6;
reg [1:0] VAR23;
reg [1:0] VAR60;
reg [VAR35-1:0] VAR65;
wire [31:0] VAR22;
wire VAR55;
wire VAR40;
reg [1:0] VAR12;
reg [1:0] VAR48;
reg [31:0] VAR13;
wire VAR30;
wire [VAR35-1:0] VAR66;
wire VAR15;
wire VAR63;
reg VAR57;
reg VAR67;
reg VAR49;
reg VAR28;
reg [1:0] VAR62;
reg [1:0] VAR27;
reg [63:0] VAR11;
reg [31:0] VAR43;
reg [31:0] VAR9;
assign VAR36 = (VAR23 == VAR33);
assign VAR41 = (VAR23 == VAR1);
assign VAR42 = 2'b00; assign VAR56 = (VAR23 == VAR5);
assign VAR22 = { {8{VAR14[3]}}, {8{VAR14[2]}}, {8{VAR14[1]}}, {8{VAR14[0]}} };
assign VAR55 = VAR64 & VAR36;
assign VAR40 = VAR46 & VAR41;
always @(posedge VAR51) begin
if (VAR19)
VAR23 <= VAR33;
end
else if (VAR17)
VAR23 <= VAR60;
end
always @ begin
case (VAR12)
VAR4:
if (VAR31)
VAR48 = VAR59;
end
else
VAR48 = VAR4;
VAR59:
if (VAR26 & VAR21)
VAR48 = VAR4;
else
VAR48 = VAR59;
default:
VAR48 = VAR4;
endcase
end
always @(posedge VAR51) begin
if (VAR17) begin
if (VAR30) begin
VAR13 <= 1'b0;
case (VAR66)
VAR25: begin
VAR13[0] <= VAR67;
VAR13[1] <= VAR57;
VAR13[2] <= VAR15;
VAR13[3] <= VAR63;
VAR13[7] <= VAR49;
end
VAR58: begin
VAR13 <= VAR28;
end
VAR18: begin
VAR13 <= VAR62;
end
VAR52: begin
VAR13 <= VAR27;
end
VAR29: begin
VAR13 <= VAR11[31:0];
end
VAR50: begin
VAR13 <= VAR11[63:32];
end
VAR53: begin
VAR13 <= VAR43[31:0];
end
VAR7: begin
VAR13 <= VAR9[31:0];
end
endcase
end
end
end
assign interrupt = VAR28 & (|VAR27);
assign VAR3 = VAR67;
assign VAR15 = VAR38;
assign VAR63 = VAR6;
assign VAR68 = VAR11;
assign VAR16 = VAR43;
assign VAR47 = VAR9;
always @(posedge VAR51) begin
if (VAR19)
VAR67 <= 1'b0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR25 && VAR14[0] && VAR10[0])
VAR67 <= 1'b1;
end
else if (VAR63)
VAR67 <= VAR49; end
end
always @(posedge VAR51) begin
if (VAR19)
VAR57 <= 1'b0;
end
else if (VAR17) begin
if (VAR45)
VAR57 <= 1'b1;
end
else if (VAR30 && VAR66 == VAR25)
VAR57 <= 1'b0; end
end
always @(posedge VAR51) begin
if (VAR19)
VAR49 <= 1'b0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR25 && VAR14[0])
VAR49 <= VAR10[7];
end
end
always @(posedge VAR51) begin
if (VAR19)
VAR28 <= 1'b0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR58 && VAR14[0])
VAR28 <= VAR10[0];
end
end
always @(posedge VAR51) begin
if (VAR19)
VAR62 <= 1'b0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR18 && VAR14[0])
VAR62 <= VAR10[1:0];
end
end
always @(posedge VAR51) begin
if (VAR19)
VAR27[0] <= 1'b0;
end
else if (VAR17) begin
if (VAR62[0] & VAR45)
VAR27[0] <= 1'b1;
end
else if (VAR40 && VAR65 == VAR52 && VAR14[0])
VAR27[0] <= VAR27[0] ^ VAR10[0]; end
end
always @(posedge VAR51) begin
if (VAR19)
VAR27[1] <= 1'b0;
end
else if (VAR17) begin
if (VAR62[1] & VAR6)
VAR27[1] <= 1'b1;
end
else if (VAR40 && VAR65 == VAR52 && VAR14[0])
VAR27[1] <= VAR27[1] ^ VAR10[1]; end
end
always @(posedge VAR51) begin
if (VAR19)
VAR11[31:0] <= 0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR29)
VAR11[31:0] <= (VAR10[31:0] & VAR22) | (VAR11[31:0] & ~VAR22);
end
end
always @(posedge VAR51) begin
if (VAR19)
VAR11[63:32] <= 0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR50)
VAR11[63:32] <= (VAR10[31:0] & VAR22) | (VAR11[63:32] & ~VAR22);
end
end
always @(posedge VAR51) begin
if (VAR19)
VAR43[31:0] <= 0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR53)
VAR43[31:0] <= (VAR10[31:0] & VAR22) | (VAR43[31:0] & ~VAR22);
end
end
always @(posedge VAR51) begin
if (VAR19)
VAR9[31:0] <= 0;
end
else if (VAR17) begin
if (VAR40 && VAR65 == VAR7)
VAR9[31:0] <= (VAR10[31:0] & VAR22) | (VAR9[31:0] & ~VAR22);
end
end
endmodule | bsd-3-clause |
MarkBlanco/FPGA_Sandbox | RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/213bdb6c5f83bd6a/zqynq_lab_1_design_processing_system7_0_0_stub.v | 5,396 | module MODULE1(VAR5, VAR60,
VAR28, VAR55, VAR64, VAR50,
VAR24, VAR1, VAR15, VAR54,
VAR44, VAR20, VAR58, VAR39, VAR21,
VAR49, VAR13, VAR65, VAR29,
VAR7, VAR26, VAR51, VAR37, VAR45,
VAR10, VAR6, VAR66, VAR42, VAR34,
VAR25, VAR16, VAR40, VAR35, VAR31,
VAR9, VAR68, VAR67, VAR33,
VAR41, VAR17, VAR46, VAR63, VAR23,
VAR32, VAR47, VAR30, VAR59, VAR52, VAR8, VAR56, VAR14,
VAR12, VAR69, VAR22, VAR36, VAR19, VAR27, VAR4, VAR62, VAR38,
VAR57, VAR53, VAR18, VAR48, VAR3, VAR43, VAR2, VAR61, VAR11)
;
output VAR5;
output VAR60;
output VAR28;
output [1:0]VAR55;
output VAR64;
input VAR50;
output VAR24;
output VAR1;
output VAR15;
output VAR54;
output VAR44;
output VAR20;
output [11:0]VAR58;
output [11:0]VAR39;
output [11:0]VAR21;
output [1:0]VAR49;
output [1:0]VAR13;
output [2:0]VAR65;
output [1:0]VAR29;
output [1:0]VAR7;
output [2:0]VAR26;
output [2:0]VAR51;
output [2:0]VAR37;
output [31:0]VAR45;
output [31:0]VAR10;
output [31:0]VAR6;
output [3:0]VAR66;
output [3:0]VAR42;
output [3:0]VAR34;
output [3:0]VAR25;
output [3:0]VAR16;
output [3:0]VAR40;
output [3:0]VAR35;
input VAR31;
input VAR9;
input VAR68;
input VAR67;
input VAR33;
input VAR41;
input VAR17;
input [11:0]VAR46;
input [11:0]VAR63;
input [1:0]VAR23;
input [1:0]VAR32;
input [31:0]VAR47;
input [1:0]VAR30;
output VAR59;
output VAR52;
inout [53:0]VAR8;
inout VAR56;
inout VAR14;
inout VAR12;
inout VAR69;
inout VAR22;
inout VAR36;
inout VAR19;
inout VAR27;
inout VAR4;
inout [2:0]VAR62;
inout [14:0]VAR38;
inout VAR57;
inout VAR53;
inout [3:0]VAR18;
inout [31:0]VAR48;
inout [3:0]VAR3;
inout [3:0]VAR43;
inout VAR2;
inout VAR61;
inout VAR11;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o2111a/sky130_fd_sc_lp__o2111a_m.v | 2,445 | module MODULE1 (
VAR9 ,
VAR12 ,
VAR2 ,
VAR8 ,
VAR3 ,
VAR1 ,
VAR4,
VAR6,
VAR5 ,
VAR10
);
output VAR9 ;
input VAR12 ;
input VAR2 ;
input VAR8 ;
input VAR3 ;
input VAR1 ;
input VAR4;
input VAR6;
input VAR5 ;
input VAR10 ;
VAR7 VAR11 (
.VAR9(VAR9),
.VAR12(VAR12),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule
module MODULE1 (
VAR9 ,
VAR12,
VAR2,
VAR8,
VAR3,
VAR1
);
output VAR9 ;
input VAR12;
input VAR2;
input VAR8;
input VAR3;
input VAR1;
supply1 VAR4;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR10 ;
VAR7 VAR11 (
.VAR9(VAR9),
.VAR12(VAR12),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/jbi/jbi_ncio/rtl/jbi_ncio_mrqq_ctl.v | 14,272 | module MODULE1(
VAR40, VAR42, VAR55,
VAR61, VAR100, VAR17, VAR97, VAR89,
VAR47, VAR107, VAR22, VAR21, VAR8,
VAR84, VAR76,
clk, VAR62, VAR24, VAR20,
VAR27, VAR95, VAR28,
VAR5, VAR37, VAR68, VAR104
);
input clk;
input VAR62;
input VAR24;
input [127:0] VAR20; input VAR27;
input VAR95;
input VAR28;
input VAR5;
input VAR37;
output VAR40;
output [VAR69-1:0] VAR42;
output VAR55;
output VAR61;
output VAR100;
output [VAR65-1:0] VAR17;
output VAR97;
input [VAR31-1:0] VAR68;
output VAR89;
output VAR47;
output [VAR98-1:0] VAR107;
output [VAR31-1:0] VAR22;
output [VAR98-1:0] VAR21;
input VAR104;
output VAR8;
output [3:0] VAR84;
output [3:0] VAR76;
wire VAR40;
wire [VAR69-1:0] VAR42;
wire VAR55;
wire VAR61;
wire VAR100;
wire [VAR65-1:0] VAR17;
wire VAR97;
wire VAR89;
wire VAR47;
wire [VAR98-1:0] VAR107;
wire [VAR31-1:0] VAR22;
wire [VAR98-1:0] VAR21;
wire VAR8;
wire [3:0] VAR84;
wire [3:0] VAR76;
parameter VAR82 = 6'b000001,
VAR48 = 6'b000010,
VAR93 = 6'b000100,
VAR105 = 6'b001000,
VAR4 = 6'b010000,
VAR3 = 6'b100000;
parameter VAR85 = 0,
VAR86 = 1,
VAR11 = 2,
VAR71 = 6;
wire [VAR58-1:0] VAR59;
wire [VAR58-1:0] VAR25;
wire [VAR98:0] VAR87;
wire [VAR98:0] VAR26;
wire [VAR71-1:0] VAR29;
wire [3:0] VAR51;
wire [VAR58-1:0] VAR12;
wire [VAR58-1:0] VAR50;
reg [VAR98:0] VAR67;
reg [VAR98:0] VAR101;
reg [VAR71-1:0] VAR99;
wire [3:0] VAR19;
wire VAR34;
wire VAR103;
wire VAR94;
wire [127:0] VAR45;
reg [VAR69-1:0] VAR44;
wire VAR74;
reg [VAR69-1:0] VAR92;wire [VAR98:0] VAR102;
wire [VAR98:0] VAR7;
assign VAR12 = VAR20[VAR96:VAR73];
assign VAR50 = VAR20[VAR88:VAR1];
assign VAR89 = VAR95;
assign VAR107 = VAR87[VAR98-1:0];
assign VAR22[VAR54:VAR10] = VAR20;
assign VAR22[VAR63:VAR80] = VAR59;
assign VAR22[VAR81:VAR16] = VAR25;
assign VAR22[VAR6] = VAR28;
always @ ( VAR95 or VAR87) begin
if (VAR95)
VAR67 = VAR87 + 1'b1;
end
else
VAR67 = VAR87;
end
assign VAR103 = ~(VAR26 == VAR7)
& ( VAR104
| ~VAR24);
always @ ( VAR51 or VAR55
or VAR61 or VAR103 or VAR68
or VAR29) begin
case (VAR29)
VAR82: begin
if (VAR103) begin
if (VAR68[VAR6])
VAR99 = VAR4;
end
else
VAR99 = VAR48;
end
else
VAR99 = VAR82;
end
VAR48: VAR99 = VAR93;
VAR93: begin
if (&VAR51)
VAR99 = VAR105;
end
else
VAR99 = VAR93;
end
VAR105: begin
if (VAR55 | VAR61)
VAR99 = VAR82;
end
else
VAR99 = VAR105;
end
VAR4: VAR99 = VAR3;
VAR3: VAR99 = VAR82;
default: begin
VAR99 = {VAR71{1'VAR38}};
VAR9 ("MODULE1", 49,"%VAR90 %VAR70: VAR29 = %VAR2", , VAR29);
end
endcase
end
assign VAR34 = VAR62 & VAR29[VAR11];
assign VAR19 = VAR51 + 1'b1;
assign VAR94 = (VAR29[VAR11] & (&VAR51))
| (VAR29[VAR85] & VAR103 & VAR68[VAR6]);
always @ ( VAR94 or VAR26) begin
if (VAR94)
VAR101 = VAR26 + 1'b1;
end
else
VAR101 = VAR26;
end
assign VAR21 = VAR101[VAR98-1:0];
assign VAR47 = VAR101 != VAR87;
assign VAR45 = VAR68[VAR54:VAR10];
always @ ( VAR51 or VAR45) begin
case (VAR51)
4'd0: VAR44 = VAR45[127:120];
4'd1: VAR44 = VAR45[119:112];
4'd2: VAR44 = VAR45[111:104];
4'd3: VAR44 = VAR45[103: 96];
4'd4: VAR44 = VAR45[ 95: 88];
4'd5: VAR44 = VAR45[ 87: 80];
4'd6: VAR44 = VAR45[ 79: 72];
4'd7: VAR44 = VAR45[ 71: 64];
4'd8: VAR44 = VAR45[ 63: 56];
4'd9: VAR44 = VAR45[ 55: 48];
4'd10: VAR44 = VAR45[ 47: 40];
4'd11: VAR44 = VAR45[ 39: 32];
4'd12: VAR44 = VAR45[ 31: 24];
4'd13: VAR44 = VAR45[ 23: 16];
4'd14: VAR44 = VAR45[ 15: 8];
4'd15: VAR44 = VAR45[ 7: 0];
default: VAR44 = {8{1'VAR38}};
endcase
end
assign VAR74 = (VAR29[VAR85] & VAR103 & ~VAR68[VAR6]) | VAR29[VAR86]
| VAR29[VAR11];
always @ ( VAR44 or VAR68 or VAR29) begin
if (VAR29[VAR85])
VAR92 = {{VAR83{1'b0}},
VAR68[VAR81:VAR16]};
end
else if (VAR29[VAR86])
VAR92 = {{VAR66{1'b0}},
VAR68[VAR63:VAR80]};
else
VAR92 = VAR44;
end
assign VAR100 = VAR29[VAR86]
| (VAR29[VAR85] & VAR103 & VAR68[VAR6]);
assign VAR17[VAR46:VAR43] = VAR68[VAR81:VAR16];
assign VAR17[VAR106:VAR14] = VAR68[VAR63:VAR80];
assign VAR97 = VAR68[VAR6];
assign VAR8 = ~VAR27;
assign VAR84 = VAR87[VAR98-1:0];
assign VAR76 = VAR26[VAR98-1:0];
VAR49 #(VAR58) VAR56
(.din(VAR12),
.clk(clk),
.VAR32(VAR59)
);
VAR49 #(VAR58) VAR18
(.din(VAR50),
.clk(clk),
.VAR32(VAR25)
);
VAR49 #(VAR69) VAR41
(.din(VAR92),
.clk(clk),
.VAR32(VAR42)
);
VAR39 #(VAR71-1) VAR60
(.din(VAR99[VAR71-1:1]),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR29[VAR71-1:1])
);
VAR30 #(1) VAR108
(.din(VAR99[VAR85]),
.clk(clk),
.VAR72(VAR62),
.VAR32(VAR29[VAR85])
);
VAR39 #(VAR98+1) VAR75
(.din(VAR67),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR87)
);
VAR39 #(VAR98+1) VAR91
(.din(VAR101),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR26)
);
VAR39 #(4) VAR79
(.din(VAR19),
.clk(clk),
.VAR62(VAR34),
.VAR32(VAR51)
);
VAR39 #(1) VAR64
(.din(VAR74),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR40)
);
VAR39 #(VAR98+1) VAR36
(.din(VAR87),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR102)
);
VAR39 #(VAR98+1) VAR13
(.din(VAR102),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR7)
);
VAR39 #(1) VAR53
(.din(VAR5),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR55)
);
VAR39 #(1) VAR23
(.din(VAR37),
.clk(clk),
.VAR62(VAR62),
.VAR32(VAR61)
);
wire VAR77 = VAR26 == VAR87;
wire VAR35 = VAR87[VAR98] != VAR26[VAR98]
& VAR87[VAR98-1:0] == VAR26[VAR98-1:0];
always @ ( VAR95 or VAR35) begin
@clk;
if (VAR35 && VAR95)
VAR9 ("MODULE1", 49,"%VAR90 %VAR70: VAR57 - VAR33 VAR52!", );
end
always @ ( VAR77 or VAR94) begin
@clk;
if (VAR77 && VAR94)
VAR9 ("MODULE1", 49,"%VAR90 %VAR70: VAR57 - VAR33 VAR78!", );
end
wire VAR15 = ~(VAR26 == VAR7)
& ~VAR104
& VAR24;
endmodule | gpl-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/nor4/gf180mcu_fd_sc_mcu7t5v0__nor4_1.functional.pp.v | 1,404 | module MODULE1( VAR12, VAR11, VAR2, VAR6, VAR14, VAR7, VAR9 );
input VAR14, VAR6, VAR2, VAR12;
inout VAR7, VAR9;
output VAR11;
wire VAR1;
not VAR16( VAR1, VAR14 );
wire VAR15;
not VAR4( VAR15, VAR6 );
wire VAR13;
not VAR5( VAR13, VAR2 );
wire VAR3;
not VAR10( VAR3, VAR12 );
and VAR8( VAR11, VAR1, VAR15, VAR13, VAR3 );
endmodule | apache-2.0 |
dk00/old-stuff | csie/09computer-architecture/CPU1/code/Control.v | 1,376 | module MODULE1(VAR1,VAR3,VAR15,VAR16,VAR4,VAR13,VAR2,VAR10,VAR7,VAR14);
parameter VAR12=6'b100011,VAR9=6'b101011,VAR8=6'b000100,VAR11=6'b000000,VAR5=6'b000010,VAR6=6'b001000;
input [5:0] VAR1;
output VAR3,VAR15,VAR16,VAR4,VAR13,VAR10,VAR7,VAR14;
output [1:0] VAR2;
assign VAR3=(VAR1==0 || (VAR1&6'b111110)==6'b000010 ||
(VAR1&6'b111100)==6'b010000)?1:0;
assign VAR15=(VAR1==VAR5)?1:0;
assign VAR16=(VAR1==VAR8)?1:0;
assign VAR4=(VAR1==VAR12)?1:0;
assign VAR13=(VAR1==VAR12)?1:0;
assign VAR2=(VAR1==VAR8)?2'b01:(VAR1==VAR12 || VAR1==VAR9 || VAR1==VAR6)?2'b00:2'b10;
assign VAR10=(VAR1==VAR9)?1:0;
assign VAR7=(VAR1==VAR8 || VAR1==0 || (VAR1&6'b111110)==6'b000010 ||
(VAR1&6'b111100)==6'b010000)?0:1;
assign VAR14=(VAR1==VAR12 || VAR1==VAR11 || VAR1==6'b001000)?1:0;
endmodule | unlicense |
HighlandersFRC/fpga | lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/verilog/processing_system7_v5_3_b_atc.v | 14,642 | module MODULE1 #
(
parameter VAR43 = "VAR21",
parameter integer VAR31 = 4,
parameter integer VAR41 = 1,
parameter integer VAR40 = 4
)
(
input wire VAR39,
input wire VAR20,
input wire VAR42,
input wire VAR30,
input wire [VAR31-1:0] VAR14,
output wire VAR22,
output wire [VAR40-1:0] VAR36,
output reg VAR6,
output wire [VAR31-1:0] VAR47,
output reg [2-1:0] VAR29,
output wire [VAR41-1:0] VAR12,
output wire VAR17,
input wire VAR4,
input wire [VAR31-1:0] VAR46,
input wire [2-1:0] VAR11,
input wire [VAR41-1:0] VAR24,
input wire VAR37,
output wire VAR28,
output reg VAR19,
output reg [VAR31-1:0] VAR49
);
localparam [2-1:0] VAR8 = 2'b00;
localparam [2-1:0] VAR50 = 2'b01;
localparam [2-1:0] VAR13 = 2'b10;
localparam [2-1:0] VAR7 = 2'b11;
localparam VAR27 = VAR31 + 1;
localparam VAR1 = 2 ** VAR40;
integer VAR25;
reg [VAR40-1:0] VAR9;
reg [VAR27-1:0] VAR38[VAR1-1:0];
reg VAR35;
wire VAR16;
wire VAR32;
wire [VAR31-1:0] VAR26;
wire VAR2;
wire VAR10;
wire VAR15;
wire VAR52;
reg [VAR1-2:0] VAR23;
reg [VAR1-2:0] VAR33;
reg [VAR1-2:0] VAR45;
reg [VAR40-1:0] VAR3;
reg [VAR40-1:0] VAR34;
reg [VAR31-1:0] VAR44;
reg [2-1:0] VAR5;
reg [VAR41-1:0] VAR18;
reg VAR51;
wire VAR48;
always @ (posedge VAR20) begin
if (VAR39) begin
VAR9 <= {VAR40{1'b1}};
end else begin
if ( VAR42 & ~VAR16 ) begin
VAR9 <= VAR9 + 1;
end else if ( VAR16 ) begin
VAR9 <= VAR34;
end
end
end
always @ (posedge VAR20) begin
if (VAR39) begin
VAR6 <= 1'b0;
VAR35 <= 1'b0;
end else begin
if ( VAR42 & ~VAR16 ) begin
VAR6 <= ( VAR9 == VAR1-3 );
VAR35 <= 1'b1;
end else if ( ~VAR42 & VAR16 ) begin
VAR6 <= 1'b0;
VAR35 <= ( VAR34 != VAR1-1 );
end
end
end
always @ (posedge VAR20) begin
if ( VAR42 ) begin
for (VAR25 = 0; VAR25 < VAR1-1 ; VAR25 = VAR25 + 1) begin
VAR38[VAR25+1] <= VAR38[VAR25];
end
VAR38[0] <= {VAR30, VAR14};
end
end
assign {VAR32, VAR26} = VAR38[VAR3];
assign VAR36 = VAR34;
always @ (posedge VAR20) begin
if (VAR39) begin
VAR3 <= {VAR40{1'b1}};
end else begin
if ( VAR16 ) begin
VAR3 <= VAR34;
end else if ( VAR51 & VAR35 & ~VAR2 & ~VAR42 ) begin
VAR3 <= VAR3 - 1;
end else if ( VAR42 ) begin
VAR3 <= VAR3 + 1;
end
end
end
assign VAR15 = ( VAR44 == VAR26 );
assign VAR2 = VAR23[VAR3] & VAR15 & VAR51;
assign VAR10 = VAR2 & VAR4;
assign VAR52 = VAR42 | VAR16;
always @ *
begin
VAR33 = VAR23;
VAR33[VAR3] = ~VAR10;
end
always @ *
begin
if ( VAR42 ) begin
VAR45 = {VAR33[VAR1-3:0], 1'b1};
end else begin
VAR45 = VAR33;
end
end
always @ (posedge VAR20) begin
if (VAR39) begin
VAR23 <= {VAR27{1'b0}};
end else if ( VAR52 ) begin
VAR23 <= VAR45;
end
end
always @ *
begin
VAR34 = {VAR40{1'b1}};
for (VAR25 = 0; VAR25 < VAR1-2 ; VAR25 = VAR25 + 1) begin
if ( VAR45[VAR25] ) begin
VAR34 = VAR25;
end
end
end
always @ (posedge VAR20) begin
if (VAR39) begin
VAR44 <= {VAR31{1'b0}};
VAR5 <= 2'b00;
VAR18 <= {VAR41{1'b0}};
VAR51 <= 1'b0;
end else begin
if ( VAR48 | ~VAR51 ) begin
VAR51 <= 1'b0;
end
if (VAR37 & ( VAR48 | ~VAR51) ) begin
VAR44 <= VAR46;
VAR5 <= VAR11;
VAR18 <= VAR24;
VAR51 <= 1'b1;
end
end
end
assign VAR28 = VAR48 | ~VAR51;
always @ *
begin
if ( VAR32 ) begin
VAR29 = VAR13;
end else begin
VAR29 = VAR5;
end
end
always @ (posedge VAR20) begin
if (VAR39) begin
VAR19 <= 1'b0;
VAR49 <= {VAR31{1'b0}};
end else begin
if ( VAR32 & VAR16 ) begin
VAR19 <= 1'b1;
VAR49 <= VAR44;
end else begin
VAR19 <= 1'b0;
end
end
end
assign VAR17 = VAR51 & VAR35 & VAR2;
assign VAR48 = VAR35 & VAR10;
assign VAR16 = VAR51 & VAR35 & VAR10;
assign VAR22 = VAR16;
assign VAR47 = VAR44;
assign VAR12 = VAR18;
endmodule | mit |
FAST-Switch/fast | lib/hardware/pipeline/IPE_IF_OPENFLOW/mac_core/altera_tse_rgmii_in4.v | 4,986 | module MODULE1 (
VAR7,
VAR16,
VAR5,
VAR1,
VAR10);
input VAR7;
input [3:0] VAR16;
input VAR5;
output [3:0] VAR1;
output [3:0] VAR10;
wire [3:0] VAR11;
wire [3:0] VAR4;
wire [3:0] VAR1 = VAR11[3:0];
wire [3:0] VAR10 = VAR4[3:0];
VAR17 VAR9 (
.VAR16 (VAR16),
.VAR5 (VAR5),
.VAR7 (VAR7),
.VAR1 (VAR11),
.VAR10 (VAR4),
.VAR12 (1'b0),
.VAR15 (1'b1));
VAR9.VAR13 = "VAR14 VAR6",
VAR9.VAR3 = "VAR8",
VAR9.VAR18 = "VAR17",
VAR9.VAR2 = 4;
endmodule | apache-2.0 |
juan199/Lab_Digitales | exp3/MiniAlu.v | 7,593 | module MODULE1
(
input wire VAR28,
input wire VAR72,
output wire [7:0] VAR37,
output wire [3:0] VAR52,
output wire VAR78,
output wire VAR58,
output wire VAR66,
output wire VAR31
);
reg VAR76; reg VAR35; reg [3:0] VAR12; wire VAR69;
wire [15:0] VAR41,VAR19,VAR10;
wire [7:0] VAR21;
reg VAR70;
reg VAR6;
wire [15:0] VAR54;
reg VAR3,VAR15;
wire [27:0] VAR55;
wire [3:0] VAR57;
reg signed [32:0] VAR39;
wire [7:0] VAR8,VAR62,VAR11;
wire signed [15:0] VAR9,VAR65,VAR30;
wire [15:0] VAR43;
wire [15:0] VAR16;
VAR42 VAR59(
.clk(VAR28),
.VAR12(VAR12),
.VAR49(VAR72),
.VAR60(VAR35),
.VAR34(VAR69),
.VAR52(VAR52),
.VAR78(VAR78),
.VAR58(VAR58),
.VAR66(VAR66),
.VAR31(VAR31)
);
VAR5 VAR7(
.VAR71(VAR9),
.VAR25(VAR65),
.VAR75(VAR16)
);
VAR17 VAR51
(
.VAR63( VAR41 ),
.VAR56( VAR55 )
);
VAR46 VAR13
(
.VAR28( VAR28 ),
.VAR44( VAR3 ),
.VAR74( VAR55[7:0] ),
.VAR50( VAR55[15:8] ),
.VAR24( VAR11 ),
.VAR47( VAR39 ),
.VAR4( VAR9 ),
.VAR20( VAR65 )
);
assign VAR43 = (VAR72) ? 8'b0 : (VAR6? VAR54:VAR11);
VAR61 VAR14
(
.VAR28( VAR28 ),
.VAR72( VAR72 | VAR15 ),
.VAR32( VAR43 + 1 ),
.VAR2( 1'b1 ),
.VAR18( VAR19 )
);
assign VAR41 = (VAR15) ? (VAR6? VAR54:VAR43): VAR19;
VAR40 # ( 4 ) VAR26
(
.VAR28(VAR28),
.VAR72(VAR72),
.VAR2(1'b1),
.VAR53(VAR55[27:24]),
.VAR18(VAR57)
);
VAR40 # ( 8 ) VAR36
(
.VAR28(VAR28),
.VAR72(VAR72),
.VAR2(1'b1),
.VAR53(VAR55[7:0]),
.VAR18(VAR8)
);
VAR40 # ( 8 ) VAR77
(
.VAR28(VAR28),
.VAR72(VAR72),
.VAR2(1'b1),
.VAR53(VAR55[15:8]),
.VAR18(VAR62)
);
VAR40 # ( 8 ) VAR22
(
.VAR28(VAR28),
.VAR72(VAR72),
.VAR2(1'b1),
.VAR53(VAR55[23:16]),
.VAR18(VAR11)
);
reg VAR27;
VAR40 # ( 8 ) VAR33
(
.VAR28(VAR28),
.VAR72(VAR72),
.VAR2( VAR27 ),
.VAR53( VAR65 ),
.VAR18( VAR37 )
);
VAR40 # ( 16 ) VAR68
(
.VAR28(VAR70),
.VAR72(VAR72),
.VAR2(1'b1),
.VAR53(VAR19),
.VAR18(VAR54)
);
VAR45 #(16) VAR29
(
.VAR38(VAR9),
.VAR23(VAR65),
.VAR75(VAR10)
);
VAR64 VAR67( .VAR1(VAR9), .VAR48(VAR65), .VAR73(VAR21));
assign VAR30 = {VAR62,VAR8};
always @ ( * )
begin
case (VAR57)
begin
VAR27 <= 1'b0;
VAR15 <= 1'b0;
VAR3 <= 1'b0;
VAR39 <= 1'b0;
VAR35 <= 1'b0;
VAR70 <=1'b0;
VAR6 <=1'b0;
end
begin
VAR27 <= 1'b0;
VAR15 <= 1'b0;
VAR3 <= 1'b1;
VAR35 <=1'b0;
VAR39 <= VAR65 + VAR9;
VAR70 <=1'b0;
VAR6 <=1'b0;
end
begin
VAR27 <= 1'b0;
VAR15 <= 1'b0;
VAR3 <= 1'b1;
VAR35 <= 1'b0;
VAR39 <= VAR65 - VAR9;
VAR70 <=1'b0;
VAR6 <=1'b0;
end
begin
VAR27 <= 1'b0;
VAR3 <= 1'b0;
VAR39 <= 0;
if (VAR69)
VAR15 <= 1'b1;
end
else
VAR15 <= 1'b0;
VAR35 <= 1'b1;
end
VAR3 <= 1'b0;
VAR27 <= 1'b0;
VAR15 <= 1'b0;
VAR12 <= VAR65[7:4]; VAR35 <= 1'b1;
VAR39 <= 1'b0;
end
VAR3 <= 1'b0;
VAR27 <= 1'b0;
VAR12 <= VAR65[3:0]; VAR35 <= 1'b1;
VAR15 <= 1'b0;
VAR39 <=0;
VAR70 <=1'b0;
VAR6 <=1'b0;
end
begin
VAR27 <= 1'b0;
VAR3 <= 1'b1;
VAR15 <= 1'b0;
VAR35 <= 1'b0;
VAR39 <= VAR30;
VAR70 <=1'b0;
VAR6 <=1'b0;
end
begin
VAR27 <= 1'b0;
VAR3 <= 1'b0;
VAR39 <= 1'b0;
VAR35 <= 1'b0;
VAR70 <=1'b0;
VAR6 <=1'b0;
if (VAR65 <= VAR9 )
VAR15 <= 1'b1;
end
else
VAR15 <= 1'b0;
end
begin
VAR27 <= 1'b0;
VAR3 <= 1'b0;
VAR39 <= 0;
VAR35 <= 1'b0;
VAR15 <= 1'b1;
VAR70 <=1'b0;
VAR6 <=1'b0;
end
begin
VAR27 <= 1'b1;
VAR3 <= 1'b0;
VAR39 <= 0;
VAR35 <= 1'b0;
VAR15 <= 1'b0;
VAR70 <= 1'b0;
VAR6 <= 1'b0;
end
begin
VAR27 <= 1'b0;
VAR15 <= 1'b1;
VAR3 <= 1'b0;
VAR70 <=1'b1;
VAR6 <=1'b0;
VAR39 <= 0;
VAR35 <= 1'b0;
end
begin
VAR27 <= 1'b0;
VAR15 <= 1'b1;
VAR3 <= 1'b0;
VAR70 <=1'b0;
VAR6 <=1'b1;
VAR39 <= 0;
VAR35 <= 1'b0;
end
begin
VAR27 <= 1'b0;
VAR15 <= 1'b0;
VAR3 <= 1'b1;
VAR39 <= VAR65 * VAR9;
VAR35 <= 1'b0;
end
default:
begin
VAR27 <= 1'b1;
VAR3 <= 1'b0;
VAR39 <= 0;
VAR35 <= 1'b0;
VAR15 <= 1'b0;
VAR70 <=1'b0;
VAR6 <=1'b0;
end
endcase
end
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/inputiso1n/sky130_fd_sc_hdll__inputiso1n.behavioral.pp.v | 1,875 | module MODULE1 (
VAR13 ,
VAR4 ,
VAR7,
VAR2 ,
VAR3 ,
VAR6 ,
VAR10
);
output VAR13 ;
input VAR4 ;
input VAR7;
input VAR2 ;
input VAR3 ;
input VAR6 ;
input VAR10 ;
wire VAR11 ;
wire VAR12;
not VAR9 (VAR11 , VAR7 );
or VAR1 (VAR12, VAR4, VAR11 );
VAR5 VAR8 (VAR13 , VAR12, VAR2, VAR3);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/tapvgnd/sky130_fd_sc_hdll__tapvgnd.blackbox.v | 1,257 | module MODULE1 ();
supply1 VAR2;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR4 ;
endmodule | apache-2.0 |
dailypips/miaow | src/verilog/rtl/vgpr/wfid_mux_9to1.v | 2,269 | module MODULE1 (
VAR14,
VAR11,
VAR19,
VAR3,
VAR9,
VAR16,
VAR5,
VAR2,
VAR7,
VAR10,
VAR18,
VAR15,
VAR17,
VAR8,
VAR20,
VAR13,
VAR4,
VAR12,
VAR6
);
output [5:0] VAR12;
output VAR6;
input [15:0] VAR14;
input VAR11;
input [5:0] VAR19;
input VAR3;
input [5:0] VAR9;
input VAR16;
input [5:0] VAR5;
input VAR2;
input [5:0] VAR7;
input VAR10;
input [5:0] VAR18;
input VAR15;
input [5:0] VAR17;
input VAR8;
input [5:0] VAR20;
input VAR13;
input [5:0] VAR4;
reg [5:0] VAR12;
reg VAR6;
always @ (
VAR14 or
VAR11 or
VAR19 or
VAR3 or
VAR9 or
VAR16 or
VAR5 or
VAR2 or
VAR7 or
VAR10 or
VAR18 or
VAR15 or
VAR17 or
VAR8 or
VAR20 or
VAR13 or
VAR4
) begin
casex(VAR14)
16'h0001:
begin
VAR6 <= VAR11;
VAR12 <= VAR19;
end
16'h0002:
begin
VAR6 <= VAR3;
VAR12 <= VAR9;
end
16'h0004:
begin
VAR6 <= VAR16;
VAR12 <= VAR5;
end
16'h0008:
begin
VAR6 <= VAR2;
VAR12 <= VAR7;
end
16'h0010:
begin
VAR6 <= VAR10;
VAR12 <= VAR18;
end
16'h0020:
begin
VAR6 <= VAR15;
VAR12 <= VAR17;
end
16'h0040:
begin
VAR6 <= VAR8;
VAR12 <= VAR20;
end
16'h0080:
begin
VAR6 <= VAR13;
VAR12 <= VAR4;
end
16'h0000:
begin
VAR6 <= 1'b0;
VAR12 <= {6{1'VAR1}};
end
default:
begin
VAR6 <= 1'VAR1;
VAR12 <= {6{1'VAR1}};
end
endcase
end
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a31oi/sky130_fd_sc_ls__a31oi_2.v | 2,350 | module MODULE1 (
VAR4 ,
VAR1 ,
VAR5 ,
VAR7 ,
VAR9 ,
VAR10,
VAR8,
VAR3 ,
VAR11
);
output VAR4 ;
input VAR1 ;
input VAR5 ;
input VAR7 ;
input VAR9 ;
input VAR10;
input VAR8;
input VAR3 ;
input VAR11 ;
VAR2 VAR6 (
.VAR4(VAR4),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR11(VAR11)
);
endmodule
module MODULE1 (
VAR4 ,
VAR1,
VAR5,
VAR7,
VAR9
);
output VAR4 ;
input VAR1;
input VAR5;
input VAR7;
input VAR9;
supply1 VAR10;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR11 ;
VAR2 VAR6 (
.VAR4(VAR4),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR9(VAR9)
);
endmodule | apache-2.0 |
gralco/FPGA-Elevator-Project | Mojo V3 - Xilinx Spartan 6 Project/Elevator IO Shield/work/planAhead/Elevator/Elevator.srcs/sources_1/imports/verilog/mojo_top.v | 1,947 | module MODULE1(
input clk,
input VAR21,
input VAR10,
output[7:0]VAR22,
output VAR29,
input VAR19,
input VAR3,
input VAR7,
output [3:0] VAR28,
input VAR16, output VAR18, input VAR23, output [23:0] VAR12, output [7:0] VAR6, output [3:0] VAR14, input [3:0] VAR8,
input en,
input [23:0] VAR24,
output [3:0] VAR9,
output [3:0] VAR13,
output VAR26,
output VAR2,
output VAR25,
output VAR11
);
wire rst = ~VAR21;
assign VAR29 = 1'VAR15;
assign VAR18 = 1'VAR15;
assign VAR28 = 4'VAR1;
reg [26:0] VAR5, VAR27;
always @(VAR27) begin
if (~VAR24[23] & ~VAR24[22]) begin
VAR5 = VAR27 + 2'b1;
end else if (VAR24[23] & ~VAR24[22]) begin
VAR5 = VAR27 + 2'b10;
end else if (~VAR24[23] & VAR24[22]) begin
VAR5 = VAR27 + 3'b100;
end else begin
VAR5 = VAR27 + 4'b1000;
end
end
always @(posedge clk, posedge rst) begin
if (rst == 1) begin
VAR27 <= 27'b0;
end
else begin
VAR27 <= VAR5;
end
end
assign VAR22[7:4] = {4{VAR27[26]}};
assign VAR12[23:0] = {24{VAR27[26]}};
assign VAR14[3:0] = 4'b0000;
VAR4 VAR20 (
.clk(VAR27[26]),
.reset(rst),
.en(~en),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR13(VAR13),
.VAR26(VAR26),
.VAR2(VAR2),
.VAR25(VAR25),
.VAR11(VAR11),
.VAR17(VAR22[3:0]),
.VAR6(VAR6)
);
endmodule | gpl-2.0 |
olgirard/openmsp430 | core/synthesis/altera/src/megawizard/cyclone4gx_dmem.v | 7,661 | module MODULE1 (
address,
VAR20,
VAR1,
VAR30,
VAR24,
VAR50,
VAR32);
input [9:0] address;
input [1:0] VAR20;
input VAR1;
input VAR30;
input [15:0] VAR24;
input VAR50;
output [15:0] VAR32;
tri1 [1:0] VAR20;
tri1 VAR1;
tri1 VAR30;
wire [15:0] VAR25;
wire [15:0] VAR32 = VAR25[15:0];
VAR9 VAR10 (
.VAR34 (VAR1),
.VAR31 (VAR50),
.VAR41 (VAR30),
.VAR45 (VAR20),
.VAR33 (address),
.VAR19 (VAR24),
.VAR5 (VAR25),
.VAR12 (1'b0),
.VAR4 (1'b0),
.VAR14 (1'b1),
.VAR51 (1'b0),
.VAR35 (1'b0),
.VAR6 (1'b1),
.VAR2 (1'b1),
.VAR21 (1'b1),
.VAR15 (1'b1),
.VAR26 (1'b1),
.VAR17 (1'b1),
.VAR40 (),
.VAR29 (),
.VAR59 (1'b1),
.VAR28 (1'b1),
.VAR16 (1'b0));
VAR10.VAR55 = 8,
VAR10.VAR36 = "VAR56",
VAR10.VAR11 = "VAR3",
VAR10.VAR38 = "VAR39 VAR46 VAR48",
VAR10.VAR42 = "VAR54=VAR13",
VAR10.VAR18 = "VAR9",
VAR10.VAR27 = 1024,
VAR10.VAR57 = "VAR7",
VAR10.VAR47 = "VAR49",
VAR10.VAR23 = "VAR58",
VAR10.VAR53 = "VAR43",
VAR10.VAR44 = "VAR22",
VAR10.VAR8 = 10,
VAR10.VAR37 = 16,
VAR10.VAR52 = 2;
endmodule | bsd-3-clause |
firedom/combin-for-FPGA | src/priorityEncoders83.v | 2,806 | module MODULE1(in, VAR32, out, VAR8, VAR16);
input [0:7]in;
input VAR32;
output [0:2]out;
output VAR8;
output VAR16;
wire VAR5, VAR26, VAR6, VAR23, VAR27, VAR7, VAR31, VAR2, VAR24, VAR28, VAR10, VAR21;
nand
VAR14(VAR16, in[0], in[1], in[2], in[3], in[4], in[5], in[6], in[7], ~VAR32), VAR4(VAR8, VAR16, ~VAR32);
and
VAR29(VAR5, ~in[1], in[2], in[4], in[6], ~VAR32),
VAR3(VAR26, ~in[3], in[4], in[6], ~VAR32),
VAR12(VAR6, ~in[5], in[6], ~VAR32),
VAR15(VAR23, ~in[7], ~VAR32),
VAR22(VAR27, ~in[2], in[4], in[5], ~VAR32),
VAR17(VAR7, ~in[3], in[4], in[5], ~VAR32),
VAR30(VAR31, ~in[6], ~VAR32),
VAR11(VAR2, ~in[7], ~VAR32),
VAR19(VAR24, ~in[4], ~VAR32),
VAR1(VAR28, ~in[5], ~VAR32),
VAR20(VAR10, ~in[6], ~VAR32),
VAR9(VAR21, ~in[7], ~VAR32);
nor
VAR25(out[0], VAR5, VAR26, VAR6, VAR23),
VAR13(out[1], VAR27, VAR7, VAR31, VAR2),
VAR18(out[2], VAR24, VAR28, VAR10, VAR21);
endmodule | gpl-3.0 |
mammenx/pegasus | wxp/dgn/rtl/common/gry_cntr.v | 3,786 | module MODULE1 #(VAR9 = 8)
(
clk,
VAR7,
VAR1,
en,
VAR2,
VAR5
);
input clk;
input VAR7;
input [VAR9-1:0] VAR1;
input en;
output [VAR9-1:0] VAR2;
output [VAR9-1:0] VAR5;
reg [VAR9-1:0] VAR2;
reg [VAR9-1:0] VAR5;
reg [VAR9-1:0] VAR8;
reg [VAR9-1:0] VAR4;
reg [VAR9-1:0] VAR6;
genvar VAR10;
generate
for(VAR10=VAR9-1; VAR10>=0; VAR10--)
begin : VAR3
assign VAR4[VAR10] = ^VAR1[VAR9-1:VAR10];
end
endgenerate
always@(posedge clk, negedge VAR7)
begin
if(~VAR7)
begin
VAR8 <= VAR4;
VAR2 <= VAR1;
end
else
begin
VAR8 <= VAR6;
VAR2 <= VAR5;
end
end
assign VAR6 = VAR8 + en;
assign VAR5 = VAR6 ^ {1'b0,VAR6[VAR9-1:1]};
endmodule | gpl-3.0 |
chriz2600/DreamcastHDMI | Core/source/video2ram.v | 4,781 | module MODULE1(
input VAR19,
input VAR7,
input [7:0] VAR45,
input [7:0] VAR29,
input [7:0] VAR48,
input [11:0] VAR12,
input [11:0] VAR54,
input VAR46,
input VAR44,
output [23:0] VAR13,
output [VAR37-1:0] VAR41,
output VAR9,
output VAR2,
input VAR8 VAR34,
input [7:0] VAR47
);
reg [9:0] VAR43;
reg [9:0] VAR32;
reg [9:0] VAR23;
reg [9:0] VAR4;
reg VAR53;
reg [23:0] VAR21;
reg [14:0] VAR39;
reg [14:0] VAR1;
reg VAR6;
reg [11:0] VAR51;
reg VAR33 = 0;
reg VAR30 = 0;
wire VAR31;
wire [14:0] VAR22;
wire [23:0] VAR20;
wire VAR11;
VAR42 VAR10(
.VAR19(VAR19),
.VAR36(VAR47[2:0]),
.VAR18(VAR53),
.VAR28(VAR39),
.VAR3(VAR21[23:16]),
.VAR5(VAR21[15:8]),
.VAR25(VAR21[7:0]),
.VAR40(VAR6),
.VAR9(VAR31),
.VAR41(VAR22),
.VAR13(VAR20),
.VAR2(VAR11)
);
VAR14 VAR52(
.VAR19(VAR19),
.VAR24(VAR47[7:3]),
.VAR18(VAR31),
.VAR28(VAR22),
.VAR3(VAR20[23:16]),
.VAR5(VAR20[15:8]),
.VAR25(VAR20[7:0]),
.VAR40(VAR11),
.VAR9(VAR9),
.VAR41(VAR41),
.VAR13(VAR13),
.VAR2(VAR2)
);
always @(*) begin
if (VAR33) begin
VAR43 = VAR34.VAR17;
VAR32 = VAR34.VAR38;
VAR23 = VAR34.VAR49;
VAR4 = VAR34.VAR26;
end else begin
VAR43 = VAR34.VAR27;
VAR32 = VAR34.VAR16;
VAR23 = VAR34.VAR50;
VAR4 = VAR34.VAR15;
end
end
VAR33 \
? (VAR30 \
? (VAR35 < 288 || (VAR35 > 312 && VAR35 < VAR4)) \
: (VAR35 < 240 || (VAR35 > 262 && VAR35 < VAR4))) \
: (VAR35 >= VAR23 && VAR35 < VAR4) \
)
) | mit |
zhangly/azpr_cpu | rtl/top/rtl/chip.v | 12,068 | module MODULE1 (
input wire clk, input wire clk, input wire reset
);
wire [VAR94] VAR39; wire VAR60; wire VAR74; wire [VAR10] VAR27; wire VAR92; wire VAR86; wire [VAR94] VAR5; wire VAR13; wire VAR23; wire [VAR10] VAR14; wire VAR25; wire VAR91; wire [VAR94] VAR96; wire VAR35; wire VAR12; wire [VAR10] VAR24; wire VAR78; wire VAR73; wire [VAR94] VAR64; wire VAR30; wire VAR87; wire [VAR10] VAR8; wire VAR42; wire VAR67; wire [VAR94] VAR38; wire VAR63;
wire [VAR10] VAR46; wire VAR4; wire VAR93; wire [VAR94] VAR84; wire [VAR94] VAR44; wire VAR77; wire VAR61; wire [VAR94] VAR56; wire VAR57; wire VAR85; wire [VAR94] VAR15; wire VAR47; wire VAR26; wire [VAR94] VAR31; wire VAR41; wire VAR90; wire [VAR94] VAR58; wire VAR81; wire VAR59; wire [VAR94] VAR62; wire VAR52; wire VAR3; wire [VAR94] VAR88; wire VAR7; wire VAR66; wire [VAR94] VAR40; wire VAR29; wire VAR70;
wire VAR6; wire VAR100; wire VAR54; wire [VAR1-1:0] VAR34;
assign VAR34 = {{VAR1-3{VAR53}},
VAR100, VAR54, VAR6};
VAR89 VAR89 (
.clk (clk), .clk (clk), .reset (reset),
.VAR36 (VAR39), .VAR21 (VAR60), .VAR45 (VAR13), .VAR48 (VAR74), .VAR17 (VAR27), .VAR49 (VAR92), .VAR11 (VAR86), .VAR55 (VAR5), .VAR68 (VAR39), .VAR2 (VAR60), .VAR82 (VAR35), .VAR71 (VAR23), .VAR19 (VAR14), .VAR9 (VAR25), .VAR69 (VAR91), .VAR32 (VAR96),
.VAR34 (VAR34) );
assign VAR24 = VAR72'h0;
assign VAR78 = VAR33;
assign VAR73 = VAR37;
assign VAR64 = VAR28'h0;
assign VAR12 = VAR33;
assign VAR8 = VAR72'h0;
assign VAR42 = VAR33;
assign VAR67 = VAR37;
assign VAR38 = VAR28'h0;
assign VAR87 = VAR33;
VAR20 VAR20 (
.clk (clk), .reset (reset),
.VAR99 (VAR61), .VAR97 (VAR4), .addr (VAR46[VAR98]), .VAR18 (VAR44), .VAR75 (VAR77) );
assign VAR56 = VAR28'h0;
assign VAR57 = VAR33;
.clk (clk), .reset (reset),
.VAR99 (VAR26), .VAR97 (VAR4), .addr (VAR46[VAR79]), .VAR83 (VAR93), .VAR43 (VAR84), .VAR18 (VAR15), .VAR75 (VAR47),
.irq (VAR6) );
assign VAR47 = VAR33;
assign VAR6 = VAR33;
.clk (clk), .reset (reset),
.VAR99 (VAR90), .VAR97 (VAR4), .VAR83 (VAR93), .addr (VAR46[VAR101]), .VAR43 (VAR84), .VAR18 (VAR31), .VAR75 (VAR41),
.VAR50 (VAR100), .VAR65 (VAR54),
.VAR95 (VAR16), .VAR80 (VAR76) );
assign VAR41 = VAR33;
assign VAR100 = VAR33;
assign VAR54 = VAR33;
.clk (clk), .reset (reset),
.VAR99 (VAR59), .VAR97 (VAR4), .VAR83 (VAR93), .addr (VAR46[VAR51]), .VAR43 (VAR84), .VAR18 (VAR58), .VAR75 (VAR81)
);
assign VAR81 = VAR33;
assign VAR62 = VAR28'h0;
assign VAR52 = VAR33;
assign VAR88 = VAR28'h0;
assign VAR7 = VAR33;
assign VAR40 = VAR28'h0;
assign VAR29 = VAR33;
VAR22 VAR22 (
.clk (clk), .reset (reset),
.VAR39 (VAR39), .VAR60 (VAR60), .VAR74 (VAR74), .VAR27 (VAR27), .VAR92 (VAR92), .VAR86 (VAR86), .VAR5 (VAR5), .VAR13 (VAR13), .VAR23 (VAR23), .VAR14 (VAR14), .VAR25 (VAR25), .VAR91 (VAR91), .VAR96 (VAR96), .VAR35 (VAR35), .VAR12 (VAR12), .VAR24 (VAR24), .VAR78 (VAR78), .VAR73 (VAR73), .VAR64 (VAR64), .VAR30 (VAR30), .VAR87 (VAR87), .VAR8 (VAR8), .VAR42 (VAR42), .VAR67 (VAR67), .VAR38 (VAR38), .VAR63 (VAR63),
.VAR46 (VAR46), .VAR4 (VAR4), .VAR93 (VAR93), .VAR84 (VAR84), .VAR44 (VAR44), .VAR77 (VAR77), .VAR61 (VAR61), .VAR56 (VAR56), .VAR57 (VAR57), .VAR85 (VAR85), .VAR15 (VAR15), .VAR47 (VAR47), .VAR26 (VAR26), .VAR31 (VAR31), .VAR41 (VAR41), .VAR90 (VAR90), .VAR58 (VAR58), .VAR81 (VAR81), .VAR59 (VAR59), .VAR62 (VAR62), .VAR52 (VAR52), .VAR3 (VAR3), .VAR88 (VAR88), .VAR7 (VAR7), .VAR66 (VAR66), .VAR40 (VAR40), .VAR29 (VAR29), .VAR70 (VAR70) );
endmodule | mit |
anguslin/RISC | controller.v | 14,549 | module MODULE1(clk, VAR16, VAR38, VAR2, VAR32, VAR47, VAR4, VAR49, VAR22, write, VAR30, VAR13, VAR37, VAR41, reset, VAR29, VAR1, VAR50, VAR3, VAR52, VAR31 );
input clk, reset;
input [1:0] VAR16, VAR38, VAR2;
input [2:0] VAR32, VAR47, VAR4;
output VAR49, VAR22, write, VAR30, VAR13, VAR37, VAR41, VAR29, VAR1, VAR50, VAR3;
output [1:0] VAR52, VAR31;
wire [4:0] VAR35, VAR21;
wire [2:0] VAR32;
wire [1:0] VAR51;
reg [4:0] VAR36;
reg [14:0] VAR33;
assign VAR21= reset? VAR28: VAR36;
VAR5 #(5) VAR20(clk, VAR21, VAR35);
assign VAR52= VAR33[14:13];
assign VAR31= VAR33[12:11];
assign VAR49= VAR33[10];
assign VAR22= VAR33[9];
assign write= VAR33[8];
assign VAR30= VAR33[7];
assign VAR13= VAR33[6];
assign VAR41= VAR33[5];
assign VAR37= VAR33[4];
assign VAR29= VAR33[3];
assign VAR1= VAR33[2];
assign VAR50= VAR33[1];
assign VAR3= VAR33[0];
always @ begin
casex({VAR35, VAR32, VAR38})
{VAR28, VAR14, VAR7}: VAR36= VAR48;
{VAR27, VAR14, VAR7}: VAR36= VAR48;
{VAR48, VAR14, VAR7}: VAR36= VAR17;
{VAR17, VAR14, VAR7}: VAR36= VAR39;
{VAR44, VAR14, VAR7}: VAR36= VAR27;
{VAR39, VAR34, VAR6}: VAR36= VAR27;
{VAR39, VAR34, VAR42}: VAR36= VAR45;
{VAR45, VAR34, VAR42}: VAR36= VAR10;
{VAR10, VAR34, VAR42}: VAR36= VAR44;
{VAR39, VAR46, VAR42}: VAR36= VAR45;
{VAR45, VAR46, VAR42}: VAR36= VAR9;
{VAR9, VAR46, VAR42}: VAR36= VAR8;
{VAR8, VAR46, VAR42}: VAR36= VAR12;
{VAR12, VAR46, VAR42}: VAR36= VAR44;
{VAR39, VAR46, VAR26}: VAR36= VAR45;
{VAR45, VAR46, VAR26}: VAR36= VAR9;
{VAR9, VAR46, VAR26}: VAR36= VAR8;
{VAR8, VAR46, VAR26}: VAR36= VAR44;
{VAR39, VAR46, VAR6}: VAR36= VAR45;
{VAR45, VAR46, VAR6}: VAR36= VAR9;
{VAR9, VAR46, VAR6}: VAR36= VAR8;
{VAR8, VAR46, VAR6}: VAR36= VAR25;
{VAR25, VAR46, VAR6}: VAR36= VAR44;
{VAR39, VAR46, VAR23}: VAR36= VAR45;
{VAR45, VAR46, VAR23}: VAR36= VAR11;
{VAR11, VAR46, VAR23}: VAR36= VAR44;
{VAR39, VAR15, VAR42}: VAR36= VAR8;
{VAR8, VAR15, VAR42}: VAR36= VAR19;
{VAR19, VAR15, VAR42}: VAR36= VAR40;
{VAR40, VAR15, VAR42}: VAR36= VAR44;
{VAR39, VAR18, VAR42}: VAR36= VAR8;
{VAR8, VAR18, VAR42}: VAR36= VAR24;
{VAR24, VAR18, VAR42}: VAR36= VAR43;
{VAR43, VAR18, VAR42}: VAR36= VAR45;
{VAR45, VAR18, VAR42}: VAR36= VAR44;
endcase
end
endmodule | mit |
SWORDfpga/ComputerOrganizationDesign | labs/lab05/lab05/ipcore_dir/ROM_D.v | 3,815 | module MODULE1(
VAR47,
VAR42
);
input [9 : 0] VAR47;
output [31 : 0] VAR42;
VAR52 #(
.VAR9(10),
.VAR44("0"),
.VAR26(1024),
.VAR30("VAR41"),
.VAR34(0),
.VAR29(0),
.VAR18(0),
.VAR22(0),
.VAR8(0),
.VAR3(0),
.VAR1(0),
.VAR40(0),
.VAR20(0),
.VAR25(0),
.VAR35(0),
.VAR27(0),
.VAR10(0),
.VAR43(0),
.VAR54(1),
.VAR6(0),
.VAR37(0),
.VAR19("MODULE1.VAR17"),
.VAR36(0),
.VAR28(1),
.VAR55(0),
.VAR32(0),
.VAR4(0),
.VAR31(1),
.VAR23(0),
.VAR50(0),
.VAR48(1),
.VAR46(32)
)
VAR14 (
.VAR11(VAR47),
.VAR24(VAR42),
.VAR21(),
.VAR49(),
.VAR12(),
.VAR56(),
.VAR51(),
.VAR45(),
.VAR15(),
.VAR13(),
.VAR53(),
.VAR16(),
.VAR2(),
.VAR7(),
.VAR5(),
.VAR38(),
.VAR33(),
.VAR39()
);
endmodule | gpl-3.0 |
TierraDelFuego/Open-Source-FPGA-Bitcoin-Miner | projects/X5000_ztexmerge/hdl/sha256_pipes2.v | 5,478 | module MODULE1 ( clk, VAR21, VAR14, out );
parameter VAR24 = 64;
input clk;
input [255:0] VAR21;
input [511:0] VAR14;
output [255:0] out;
localparam VAR10 = {
32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5,
32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5,
32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3,
32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174,
32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc,
32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da,
32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7,
32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967,
32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13,
32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85,
32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3,
32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070,
32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5,
32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3,
32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208,
32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2
};
genvar VAR6;
generate
for (VAR6 = 0; VAR6 <= VAR24; VAR6 = VAR6 + 1) begin : VAR12
reg [511:0] VAR26;
reg [223:0] state;
reg [31:0] VAR22;
if(VAR6 == 0)
begin
reg [223:0] VAR20;
always @ (posedge clk)
begin
VAR26 <= VAR14;
state <= VAR21[223:0];
VAR22 <= VAR21[VAR25(7)] + VAR14[VAR25(0)] + VAR10[VAR25(63)];
end
end else
begin
reg [511:0] VAR4;
reg [223:0] VAR18;
reg [31:0] VAR17, VAR23, VAR5, VAR3;
always @ (posedge clk)
begin
VAR4 <= VAR12[VAR6-1].VAR26;
VAR26[479:0] <= VAR4[511:32];
VAR17 <= VAR1( VAR12[VAR6-1].VAR26[VAR25(15)] ); VAR23 <= VAR17; VAR5 <= ( ( VAR6 == 1 ) ? VAR1( VAR12[VAR6-1].VAR26[VAR25(14)] ) : VAR12[VAR6-1].VAR23 ) + VAR12[VAR6-1].VAR26[VAR25(9)] + VAR12[VAR6-1].VAR26[VAR25(0)]; VAR26[VAR25(15)] <= VAR2( VAR4[VAR25(1)] ) + VAR5;
VAR18 <= VAR12[VAR6-1].state;
VAR3 <= VAR15( VAR12[VAR6-1].state[VAR25(4)], VAR12[VAR6-1].state[VAR25(5)], VAR12[VAR6-1].state[VAR25(6)] ) + VAR11( VAR12[VAR6-1].state[VAR25(4)] ) + VAR12[VAR6-1].VAR22;
state[VAR25(0)] <= VAR7( VAR18[VAR25(0)], VAR18[VAR25(1)], VAR18[VAR25(2)] ) + VAR16( VAR18[VAR25(0)] ) + VAR3; state[VAR25(1)] <= VAR18[VAR25(0)]; state[VAR25(2)] <= VAR18[VAR25(1)]; state[VAR25(3)] <= VAR18[VAR25(2)]; state[VAR25(4)] <= VAR18[VAR25(3)] + VAR3; state[VAR25(5)] <= VAR18[VAR25(4)]; state[VAR25(6)] <= VAR18[VAR25(5)];
VAR22 <= VAR18[VAR25(6)] + VAR4[VAR25(1)] + VAR10[VAR25((127-VAR6) & 63)]; end
end
end
endgenerate
reg [31:0] VAR9, VAR27;
always @ (posedge clk)
begin
VAR27 <= VAR12[VAR24-1].state[VAR25(6)];
VAR9 <= VAR27;
end
assign out[223:0] = VAR12[VAR24].state;
assign out[255:224] = VAR9;
endmodule
module MODULE2 ( clk, state, VAR19, VAR26, VAR13 );
input clk;
input [255:0] state, VAR19;
input [511:0] VAR26;
output reg [255:0] VAR13;
wire [255:0] out;
MODULE1 #( .VAR24(64) ) VAR8 (
.clk(clk),
.VAR21(state),
.VAR14(VAR26),
.out(out)
);
always @ (posedge clk)
begin
VAR13[VAR25(0)] <= VAR19[VAR25(0)] + out[VAR25(0)];
VAR13[VAR25(1)] <= VAR19[VAR25(1)] + out[VAR25(1)];
VAR13[VAR25(2)] <= VAR19[VAR25(2)] + out[VAR25(2)];
VAR13[VAR25(3)] <= VAR19[VAR25(3)] + out[VAR25(3)];
VAR13[VAR25(4)] <= VAR19[VAR25(4)] + out[VAR25(4)];
VAR13[VAR25(5)] <= VAR19[VAR25(5)] + out[VAR25(5)];
VAR13[VAR25(6)] <= VAR19[VAR25(6)] + out[VAR25(6)];
VAR13[VAR25(7)] <= VAR19[VAR25(7)] + out[VAR25(7)];
end
endmodule
module MODULE3 ( clk, VAR26, VAR13 );
parameter state = 256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667;
input clk;
input [511:0] VAR26;
output [31:0] VAR13;
wire [255:0] out;
MODULE1 #( .VAR24(61) ) VAR8 (
.clk(clk),
.VAR21(state),
.VAR14(VAR26),
.out(out)
);
assign VAR13 = out[VAR25(4)];
endmodule | gpl-3.0 |
James534/SubZero | SubZero/fpga/fpga_hw/top_level/DE0_Nano_SOPC/synthesis/submodules/DE0_Nano_SOPC_jtag_uart.v | 17,138 | module MODULE3 (
clk,
VAR35,
VAR30,
VAR14,
VAR49,
VAR2,
VAR5
)
;
output VAR14;
output [ 7: 0] VAR49;
output VAR2;
output [ 5: 0] VAR5;
input clk;
input [ 7: 0] VAR35;
input VAR30;
wire VAR14;
wire [ 7: 0] VAR49;
wire VAR2;
wire [ 5: 0] VAR5;
always @(posedge clk)
begin
if (VAR30)
("%VAR20", VAR35);
end
assign VAR5 = {6{1'b0}};
assign VAR49 = {8{1'b0}};
assign VAR14 = 1'b0;
assign VAR2 = 1'b1;
endmodule
module MODULE4 (
clk,
VAR27,
VAR35,
VAR30,
VAR13,
VAR14,
VAR49,
VAR2,
VAR5
)
;
output VAR14;
output [ 7: 0] VAR49;
output VAR2;
output [ 5: 0] VAR5;
input clk;
input VAR27;
input [ 7: 0] VAR35;
input VAR30;
input VAR13;
wire VAR14;
wire [ 7: 0] VAR49;
wire VAR2;
wire [ 5: 0] VAR5;
MODULE3 VAR40
(
.clk (clk),
.VAR14 (VAR14),
.VAR35 (VAR35),
.VAR30 (VAR30),
.VAR49 (VAR49),
.VAR2 (VAR2),
.VAR5 (VAR5)
);
endmodule
module MODULE1 (
clk,
VAR43,
VAR19,
VAR8,
VAR47,
VAR36,
VAR57
)
;
output VAR8;
output [ 7: 0] VAR47;
output VAR36;
output [ 5: 0] VAR57;
input clk;
input VAR43;
input VAR19;
reg [ 31: 0] VAR6;
wire VAR8;
reg VAR48;
wire [ 7: 0] VAR47;
wire VAR38;
wire [ 31: 0] VAR54;
wire [ 6: 0] VAR18;
wire VAR36;
wire [ 5: 0] VAR57;
always @(posedge clk or negedge VAR19)
begin
if (VAR19 == 0)
begin
VAR6 <= 32'h0;
VAR48 <= 1'b0;
end
else
begin
VAR48 <= VAR43;
if (VAR48)
VAR6 <= VAR6 - 1'b1;
if (VAR38)
VAR6 <= VAR54;
end
end
assign VAR8 = VAR6 == 32'b0;
assign VAR36 = VAR6 > 7'h40;
assign VAR18 = (VAR36) ? 7'h40 : VAR6;
assign VAR57 = VAR18[5 : 0];
assign VAR38 = 1'b0;
assign VAR54 = 32'b0;
assign VAR47 = 8'b0;
endmodule
module MODULE2 (
clk,
VAR27,
VAR43,
VAR19,
VAR32,
VAR51,
VAR8,
VAR47,
VAR36,
VAR57
)
;
output VAR8;
output [ 7: 0] VAR47;
output VAR36;
output [ 5: 0] VAR57;
input clk;
input VAR27;
input VAR43;
input VAR19;
input [ 7: 0] VAR32;
input VAR51;
wire VAR8;
wire [ 7: 0] VAR47;
wire VAR36;
wire [ 5: 0] VAR57;
MODULE1 VAR46
(
.clk (clk),
.VAR8 (VAR8),
.VAR43 (VAR43),
.VAR47 (VAR47),
.VAR36 (VAR36),
.VAR57 (VAR57),
.VAR19 (VAR19)
);
endmodule
module MODULE5 (
VAR37,
VAR53,
VAR22,
VAR11,
VAR25,
clk,
VAR19,
VAR45,
VAR29,
VAR21,
VAR24,
VAR10
)
;
output VAR45;
output [ 31: 0] VAR29;
output VAR21;
output VAR24;
output VAR10;
input VAR37;
input VAR53;
input VAR22;
input VAR11;
input [ 31: 0] VAR25;
input clk;
input VAR19;
reg VAR12;
wire VAR52;
wire VAR45;
wire [ 31: 0] VAR29;
reg VAR21;
reg VAR24;
reg VAR28;
reg VAR23;
wire VAR8;
wire VAR14;
wire VAR27;
wire VAR43;
wire [ 7: 0] VAR47;
wire [ 7: 0] VAR35;
reg VAR30;
reg VAR42;
reg VAR44;
wire VAR26;
wire VAR7;
reg VAR3;
wire [ 7: 0] VAR49;
wire VAR41;
reg VAR34;
wire VAR13;
reg VAR4;
reg VAR10;
wire VAR36;
wire [ 5: 0] VAR57;
reg VAR17;
reg VAR1;
reg VAR39;
reg VAR55;
reg VAR33;
wire [ 7: 0] VAR32;
reg VAR15;
wire VAR50;
wire VAR56;
wire VAR2;
wire [ 5: 0] VAR5;
reg VAR16;
wire VAR51;
assign VAR13 = VAR41 & ~VAR2;
assign VAR51 = VAR50 & ~VAR36;
assign VAR27 = ~VAR19;
MODULE4 VAR9
(
.clk (clk),
.VAR14 (VAR14),
.VAR27 (VAR27),
.VAR35 (VAR35),
.VAR30 (VAR30),
.VAR49 (VAR49),
.VAR13 (VAR13),
.VAR2 (VAR2),
.VAR5 (VAR5)
);
MODULE2 VAR31
(
.clk (clk),
.VAR8 (VAR8),
.VAR27 (VAR27),
.VAR43 (VAR43),
.VAR47 (VAR47),
.VAR36 (VAR36),
.VAR57 (VAR57),
.VAR19 (VAR19),
.VAR32 (VAR32),
.VAR51 (VAR51)
);
assign VAR26 = VAR42 & VAR28;
assign VAR7 = VAR44 & (VAR3 | VAR23);
assign VAR45 = VAR26 | VAR7;
assign VAR52 = VAR56 | VAR50;
always @(posedge clk or negedge VAR19)
begin
if (VAR19 == 0)
VAR3 <= 1'b0;
end
else if (VAR56 & ~VAR8)
VAR3 <= 1'b1;
else if (VAR4)
VAR3 <= 1'b0;
end
always @(posedge clk or negedge VAR19)
begin
if (VAR19 == 0)
begin
VAR34 <= 1'b0;
VAR15 <= 1'b1;
end
else
begin
VAR34 <= VAR41 & ~VAR2;
VAR15 <= ~VAR36;
end
end
always @(posedge clk or negedge VAR19)
begin
if (VAR19 == 0)
begin
VAR28 <= 1'b0;
VAR23 <= 1'b0;
VAR30 <= 1'b0;
VAR17 <= 1'b0;
VAR4 <= 1'b0;
VAR42 <= 1'b0;
VAR44 <= 1'b0;
VAR12 <= 1'b0;
VAR16 <= 1'b0;
VAR21 <= 1'b1;
end
else
begin
VAR28 <= {VAR14,VAR5} <= 8;
VAR23 <= (7'h40 - {VAR36,VAR57}) <= 8;
VAR30 <= 1'b0;
VAR4 <= 1'b0;
VAR21 <= ~(VAR53 & (~VAR11 | ~VAR22) & VAR21);
if (VAR52)
VAR12 <= 1'b1;
if (VAR53 & ~VAR11 & VAR21)
if (VAR37)
begin
VAR44 <= VAR25[0];
VAR42 <= VAR25[1];
if (VAR25[10] & ~VAR52)
VAR12 <= 1'b0;
end
else
begin
VAR30 <= ~VAR14;
VAR16 <= VAR14;
end
if (VAR53 & ~VAR22 & VAR21)
begin
if (~VAR37)
VAR17 <= ~VAR8;
VAR4 <= ~VAR37;
end
end
end
assign VAR35 = VAR25[7 : 0];
assign VAR43 = (VAR53 & ~VAR22 & VAR21 & ~VAR37) ? ~VAR8 : 1'b0;
assign VAR29 = VAR4 ? { {9{1'b0}},VAR36,VAR57,VAR17,VAR16,~VAR14,~VAR8,1'b0,VAR12,VAR26,VAR7,VAR47 } : { {9{1'b0}},(7'h40 - {VAR14,VAR5}),VAR17,VAR16,~VAR14,~VAR8,1'b0,VAR12,VAR26,VAR7,{6{1'b0}},VAR42,VAR44 };
always @(posedge clk or negedge VAR19)
begin
if (VAR19 == 0)
VAR10 <= 0;
end
else
VAR10 <= ~VAR14;
end
always @(posedge clk)
begin
VAR33 <= 1'b0;
VAR55 <= 1'b0;
VAR39 <= VAR15 ? VAR49 : {8{VAR34}};
VAR1 <= 1'b0;
end
assign VAR41 = VAR1;
assign VAR50 = VAR55;
assign VAR32 = VAR39;
assign VAR56 = VAR33;
always @(VAR8)
begin
VAR24 = ~VAR8;
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/or2/sky130_fd_sc_lp__or2.functional.pp.v | 1,774 | module MODULE1 (
VAR9 ,
VAR13 ,
VAR7 ,
VAR6,
VAR10,
VAR2 ,
VAR5
);
output VAR9 ;
input VAR13 ;
input VAR7 ;
input VAR6;
input VAR10;
input VAR2 ;
input VAR5 ;
wire VAR3 ;
wire VAR12;
or VAR4 (VAR3 , VAR7, VAR13 );
VAR1 VAR11 (VAR12, VAR3, VAR6, VAR10);
buf VAR8 (VAR9 , VAR12 );
endmodule | apache-2.0 |
secworks/aes | src/rtl/aes.v | 8,503 | module MODULE1(
input wire clk,
input wire VAR33,
input wire VAR31,
input wire VAR27,
input wire [7 : 0] address,
input wire [31 : 0] VAR39,
output wire [31 : 0] VAR62
);
localparam VAR10 = 8'h00;
localparam VAR42 = 8'h01;
localparam VAR2 = 8'h02;
localparam VAR57 = 8'h08;
localparam VAR52 = 0;
localparam VAR19 = 1;
localparam VAR18 = 8'h09;
localparam VAR14 = 0;
localparam VAR26 = 1;
localparam VAR63 = 8'h0a;
localparam VAR59 = 0;
localparam VAR25 = 1;
localparam VAR5 = 8'h10;
localparam VAR53 = 8'h17;
localparam VAR24 = 8'h20;
localparam VAR9 = 8'h23;
localparam VAR1 = 8'h30;
localparam VAR17 = 8'h33;
localparam VAR47 = 32'h61657320; localparam VAR41 = 32'h20202020; localparam VAR35 = 32'h302e3630;
reg VAR58;
reg VAR8;
reg VAR23;
reg VAR38;
reg VAR22;
reg VAR21;
reg VAR3;
reg [31 : 0] VAR15 [0 : 3];
reg VAR4;
reg [31 : 0] VAR32 [0 : 7];
reg VAR43;
reg [127 : 0] VAR7;
reg VAR37;
reg VAR45;
reg [31 : 0] VAR36;
wire VAR28;
wire VAR54;
wire VAR12;
wire VAR16;
wire [255 : 0] VAR6;
wire VAR11;
wire [127 : 0] VAR60;
wire [127 : 0] VAR49;
wire VAR55;
assign VAR62 = VAR36;
assign VAR6 = {VAR32[0], VAR32[1], VAR32[2], VAR32[3],
VAR32[4], VAR32[5], VAR32[6], VAR32[7]};
assign VAR60 = {VAR15[0], VAR15[1],
VAR15[2], VAR15[3]};
assign VAR54 = VAR58;
assign VAR12 = VAR23;
assign VAR28 = VAR22;
assign VAR11 = VAR21;
VAR34 VAR30(
.clk(clk),
.VAR33(VAR33),
.VAR51(VAR28),
.VAR40(VAR54),
.VAR29(VAR12),
.ready(VAR16),
.VAR20(VAR6),
.VAR56(VAR11),
.VAR48(VAR60),
.VAR50(VAR49),
.VAR44(VAR55)
);
always @ (posedge clk or negedge VAR33)
begin : VAR46
integer VAR13;
if (!VAR33)
begin
for (VAR13 = 0 ; VAR13 < 4 ; VAR13 = VAR13 + 1)
VAR15[VAR13] <= 32'h0;
for (VAR13 = 0 ; VAR13 < 8 ; VAR13 = VAR13 + 1)
VAR32[VAR13] <= 32'h0;
VAR58 <= 1'b0;
VAR23 <= 1'b0;
VAR22 <= 1'b0;
VAR21 <= 1'b0;
VAR7 <= 128'h0;
VAR37 <= 1'b0;
VAR45 <= 1'b0;
end
else
begin
VAR45 <= VAR16;
VAR37 <= VAR55;
VAR7 <= VAR49;
VAR58 <= VAR8;
VAR23 <= VAR38;
if (VAR3)
begin
VAR22 <= VAR39[VAR59];
VAR21 <= VAR39[VAR25];
end
if (VAR43)
VAR32[address[2 : 0]] <= VAR39;
if (VAR4)
VAR15[address[1 : 0]] <= VAR39;
end
end
always @*
begin : VAR61
VAR8 = 1'b0;
VAR38 = 1'b0;
VAR3 = 1'b0;
VAR43 = 1'b0;
VAR4 = 1'b0;
VAR36 = 32'h0;
if (VAR31)
begin
if (VAR27)
begin
if (address == VAR57)
begin
VAR8 = VAR39[VAR52];
VAR38 = VAR39[VAR19];
end
if (address == VAR63)
VAR3 = 1'b1;
if ((address >= VAR5) && (address <= VAR53))
VAR43 = 1'b1;
if ((address >= VAR24) && (address <= VAR9))
VAR4 = 1'b1;
end
else
begin
case (address)
VAR10: VAR36 = VAR47;
VAR42: VAR36 = VAR41;
VAR2: VAR36 = VAR35;
VAR57: VAR36 = {28'h0, VAR21, VAR22, VAR23, VAR58};
VAR18: VAR36 = {30'h0, VAR37, VAR45};
default:
begin
end
endcase
if ((address >= VAR1) && (address <= VAR17))
VAR36 = VAR7[(3 - (address - VAR1)) * 32 +: 32];
end
end
end endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_hd | models/udp_pwrgood_l_pp_pg_s/sky130_fd_sc_hd__udp_pwrgood_l_pp_pg_s.blackbox.v | 1,361 | module MODULE1 (
VAR4,
VAR3 ,
VAR1 ,
VAR5 ,
VAR2
);
output VAR4;
input VAR3 ;
input VAR1 ;
input VAR5 ;
input VAR2 ;
endmodule | apache-2.0 |
chebykinn/university | circuitry/lab3/src/hdl/id_stage.v | 6,269 | module MODULE1( input clk, rst,
input VAR60,
input [4:0] VAR55, input [31:0] VAR26, input [31:0] VAR12, VAR56,
input [1:0] VAR46, VAR48, input [31:0] VAR8, VAR29,
input VAR49,
input VAR37,
output [4:0] VAR51,
output [4:0] VAR1,
output [5:0] VAR18,
output reg [31:0] VAR57,
output reg [31:0] VAR3,
output reg [4:0] VAR17,
output reg [4:0] VAR16,
output reg [4:0] VAR11,
output reg [5:0] VAR31,
output reg [31:0] VAR10,
output reg VAR42,
output reg VAR28,
output reg VAR21,
output reg VAR4,
output reg VAR40,
output reg VAR7,
output reg VAR24,
output reg [1:0] VAR44,
output [31:0] VAR52, VAR27, output VAR6,
output [1:0] VAR14 );
reg [31:0] VAR33, VAR5;
wire [31:0] VAR25;
wire [31:0] VAR15;
wire [31:0] VAR41;
wire VAR20;
wire VAR39;
wire VAR34;
wire [1:0] VAR23;
wire VAR13;
wire VAR54;
wire VAR30;
wire VAR2;
wire VAR35;
wire VAR19;
VAR32 VAR22 (
.clk( clk ),
.rst( rst ),
.VAR38( VAR12[25:21] ),
.VAR36( VAR12[20:16] ),
.VAR53( VAR55 ),
.VAR43( VAR26 ),
.VAR50( VAR60 ),
.VAR45( VAR25 ),
.VAR59( VAR15 ));
always @* begin
VAR33 = 0;
case (VAR46)
0: VAR33 = VAR25;
1: VAR33 = VAR8;
2: VAR33 = VAR29;
endcase
end
always @* begin
VAR5 = 0;
case (VAR48)
0: VAR5 = VAR15;
1: VAR5 = VAR8;
2: VAR5 = VAR29;
endcase
end
assign VAR41 = { { 16{VAR12[15]} }, VAR12 [15:0]};
assign VAR52 = VAR56 + ( VAR41 << 2 );
assign VAR27 = {VAR56[31:28], VAR12[25:0], 2'b00 };
assign VAR19 = ( VAR33 == VAR5);
assign VAR51 = VAR12[25:21];
assign VAR1 = VAR12[20:16];
assign VAR18 = VAR12[31:26];
assign VAR35 = ~|VAR12;
VAR47 VAR58 (
.VAR9( VAR12 [31:26] ),
.VAR19( VAR19 ),
.VAR6(VAR6),
.VAR14(VAR14),
.VAR20(VAR20),
.VAR39(VAR39),
.VAR34(VAR34),
.VAR23(VAR23),
.VAR13(VAR13),
.VAR54(VAR54),
.VAR30(VAR30),
.VAR2(VAR2));
always @(posedge clk) begin
if (rst) begin
VAR57 <= 0;
VAR3 <= 0;
VAR17 <= 0;
VAR16 <= 0;
VAR11 <= 0;
VAR31 <= 0;
VAR10 <= 0;
VAR42 <= 0;
VAR28 <= 0;
VAR21 <= 0;
VAR4 <= 0;
VAR40 <= 0;
VAR7 <= 0;
VAR24 <= 0;
VAR44 <= 0;
end
else begin
if(!VAR37) begin
VAR57 <= VAR33;
VAR3 <= VAR5;
VAR17 <= VAR1;
VAR16 <= VAR51;
VAR11 <= VAR12[15:11];
VAR31 <= VAR18;
VAR10 <= VAR41;
end
if(!VAR37) begin
if (VAR35 || VAR49) begin
VAR42 <= 0;
VAR28 <= 0;
VAR21 <= 0;
VAR4 <= 0;
VAR40 <= 0;
VAR7 <= 0;
VAR24 <= 0;
VAR44 <= 0;
end
else begin
VAR42 <= VAR2;
VAR28 <= VAR30;
VAR21 <= VAR13;
VAR4 <= VAR54;
VAR40 <= VAR20;
VAR7 <= VAR39;
VAR24 <= VAR34;
VAR44 <= VAR23;
end
end
end
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nor4b/sky130_fd_sc_hd__nor4b_1.v | 2,302 | module MODULE2 (
VAR6 ,
VAR4 ,
VAR11 ,
VAR9 ,
VAR1 ,
VAR8,
VAR10,
VAR2 ,
VAR3
);
output VAR6 ;
input VAR4 ;
input VAR11 ;
input VAR9 ;
input VAR1 ;
input VAR8;
input VAR10;
input VAR2 ;
input VAR3 ;
VAR7 VAR5 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR6 ,
VAR4 ,
VAR11 ,
VAR9 ,
VAR1
);
output VAR6 ;
input VAR4 ;
input VAR11 ;
input VAR9 ;
input VAR1;
supply1 VAR8;
supply0 VAR10;
supply1 VAR2 ;
supply0 VAR3 ;
VAR7 VAR5 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
mbus/mbus | releases/mbus_example-v1.2/verilog/mbus_swapper.v | 3,042 | module MODULE1
(
input VAR5,
input VAR2,
input VAR4,
input VAR12,
output reg VAR7,
output reg VAR14
);
wire VAR6;
wire VAR16;
reg VAR3; reg VAR11; reg VAR1;
reg VAR9;
reg VAR13;
reg VAR10;
wire VAR15; wire VAR8;
assign VAR6 = ~( VAR5 && VAR2);
always @(posedge VAR4 or posedge VAR6) begin
if (VAR6) begin
VAR3 = 0;
VAR1 = 0;
VAR13 = 0;
end
else begin
VAR3 = 1;
VAR1 = VAR11;
VAR13 = VAR9;
end
end
always @(negedge VAR4 or posedge VAR6) begin
if (VAR6) begin
VAR11 = 0;
VAR9 = 0;
VAR10 = 0;
end
else begin
VAR11 = VAR3;
VAR9 = VAR1;
VAR10 = VAR13;
end
end
assign VAR15 = VAR3 &&
VAR11 &&
VAR1 &&
VAR9 &&
VAR13 &&
VAR10;
assign VAR8 = VAR2 && VAR12;
always @(posedge VAR15 or negedge VAR8) begin
if (~VAR8) begin
VAR14 = 0;
end
else begin
VAR14 = 1;
end
end
always @(posedge VAR15 or negedge VAR2) begin
if (~VAR2) begin
VAR7 = 0;
end
else begin
VAR7 = VAR5;
end
end
endmodule | apache-2.0 |
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC | bin_Dilation_Operation/ip/Dilation/acl_ll_fifo.v | 3,467 | module MODULE1(clk, reset, VAR17, write, VAR2, read, VAR14, VAR4, VAR18);
parameter VAR16 = 32;
parameter VAR12 = 32;
parameter VAR8 = 0;
input clk;
input reset;
input [VAR16-1:0] VAR17;
input write;
output [VAR16-1:0] VAR2;
input read;
output VAR14;
output VAR4;
output VAR18;
reg [VAR12:0] VAR7;
reg [VAR12:0] VAR5 ;
reg [VAR12-1:0][VAR16-1:0] VAR10;
wire VAR3; wire VAR15;
wire [VAR12-1:0] VAR9; wire [VAR12-1:0] VAR6;
assign VAR3 = !(read ^ write);
assign VAR15 = read;
genvar VAR11;
generate
for(VAR11 = 0; VAR11 < VAR12; VAR11++)
begin : VAR1
assign VAR9[VAR11] = !(read | (write & VAR7[VAR11]));
assign VAR6[VAR11] = !read | VAR7[VAR11+1];
end
endgenerate
generate
for(VAR11 = 0; VAR11 < VAR12-1; VAR11++)
begin : VAR13
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
VAR10[VAR11] <= {VAR16{1'b0}};
end
else
VAR10[VAR11] <= VAR9[VAR11] ? VAR10[VAR11] :
VAR6[VAR11] ? VAR17 : VAR10[VAR11+1];
end
end
endgenerate
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
VAR10[VAR12-1] <= {VAR16{1'b0}};
end
else
VAR10[VAR12-1] <= VAR9[VAR12-1] ? VAR10[VAR12-1] : VAR17;
end
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
begin
VAR7 <= {{VAR12{1'b0}}, 1'b1};
VAR5 <= {{VAR12{1'b0}}, 1'b1};
end
else
begin
VAR7 <= VAR3 ? VAR7 :
VAR15 ? {1'b0, VAR7[VAR12:1]} : {VAR7[VAR12-1:0], 1'b0};
VAR5 <= VAR3 ? VAR5 :
VAR15 ? {1'b0, VAR5[VAR12:1]} : {VAR5[VAR12-1:0], 1'b0};
end
end
assign VAR14 = VAR5[0];
assign VAR4 = VAR5[VAR12];
assign VAR18 = VAR5[VAR12 - VAR8];
assign VAR2 = VAR10[0];
endmodule | mit |
markusC64/1541ultimate2 | fpga/nios_dut/nios_dut/synthesis/submodules/write_burst_control.v | 12,555 | module MODULE1 (
clk,
reset,
VAR32,
VAR25,
VAR16,
VAR22,
VAR48,
ready,
valid,
VAR10,
VAR42,
VAR38,
VAR43,
VAR34,
VAR30,
VAR19,
VAR4,
VAR23,
VAR24,
VAR44,
VAR14,
VAR5,
VAR31,
VAR6
);
parameter VAR2 = 1; parameter VAR11 = 3;
parameter VAR39 = 4;
parameter VAR33 = 2;
parameter VAR29 = 32;
parameter VAR8 = 32;
parameter VAR26 = 5;
parameter VAR41 = 1; localparam VAR49 = (VAR11 == 1)? 1: (VAR11-1);
input clk;
input reset;
input VAR32;
input VAR25;
input [VAR8-1:0] VAR16;
input VAR22;
input VAR48;
input ready;
input valid;
input VAR10;
input [VAR29-1:0] VAR42;
input VAR38;
input [VAR11-1:0] VAR43; input [VAR26:0] VAR34; input VAR30; input VAR19;
input VAR4;
input VAR23;
output wire [VAR29-1:0] VAR24;
output wire VAR44;
output wire [VAR11-1:0] VAR14;
output wire VAR5; output wire VAR31; output wire VAR6;
reg [VAR29-1:0] VAR13;
reg [VAR11-1:0] VAR28; wire VAR17;
wire VAR15;
wire VAR7; wire VAR1; wire VAR3;
wire VAR12; wire VAR40;
wire VAR9; wire [VAR11-1:0] VAR21;
wire [VAR11-1:0] VAR27;
wire VAR47;
wire VAR20;
wire VAR18;
wire [3:0] VAR46;
reg [VAR11-1:0] VAR45;
reg [VAR11-1:0] VAR37;
reg VAR35;
wire [VAR49-1:0] VAR36;
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR35 <= 0;
end
else
begin
if ((VAR35 == 1) & (VAR34 == 0))
begin
VAR35 <= 0;
end
else if ((VAR48 == 1) & (ready == 1) & (valid == 1))
begin
VAR35 <= 1;
end
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR13 <= 0;
end
else if (VAR40 == 1)
begin
VAR13 <= (VAR12 == 1)? (VAR42 + VAR39) : VAR42;
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR28 <= 0;
end
else
if ((VAR40 == 1) & (VAR32 == 0) & (VAR25 == 0)) begin
VAR28 <= VAR45;
end
else if (VAR15 == 1)
begin
VAR28 <= VAR28 - 1'b1;
end
end
always @ (posedge clk or posedge reset)
begin
if (reset)
begin
VAR37 <= 0;
end
else if (VAR40 == 1)
begin
VAR37 <= VAR45;
end
end
assign VAR17 = (VAR28 == 0); assign VAR15 = (VAR17 == 0) & (VAR30 == 0);
assign VAR36 = VAR42[VAR49+VAR33-1:VAR33];
assign VAR9 = (VAR19 == 1) | (VAR4 == 1) | (VAR23 == 1) | (VAR10 == 1) |
((VAR41 == 1) & (VAR17 == 1) & (VAR36 != 0)) | ((VAR41 == 1) & (VAR17 == 0) & (VAR36 != (VAR43 - 1))); assign VAR47 = ((VAR16 >> VAR33) < VAR43) & (VAR22 == 0) & (VAR9 == 0);
assign VAR20 = ((VAR16 >> VAR33) < VAR43) & (VAR22 == 1) & (VAR9 == 0); assign VAR18 = (VAR20 == 0) & (VAR22 == 1) & (VAR35 == 1) & (VAR34 < VAR43) & (VAR9 == 0);
generate
if (VAR11 > 1) begin
assign VAR21 = (VAR16 >> VAR33) & {(VAR11-1){1'b1}};
assign VAR27 = (VAR34 & {(VAR11-1){1'b1}});
end
else begin
assign VAR21 = 1'b1;
assign VAR27 = 1'b1;
end
endgenerate
assign VAR7 = (VAR9 == 1) | ((VAR34 >= VAR21) & (VAR47 == 1)) |
((VAR34 >= VAR21) & (VAR20 == 1)) |
((VAR34 >= VAR27) & (VAR18 == 1)) |
(VAR34 >= VAR43);
assign VAR1 = (VAR16 >= (VAR43 << VAR33)) & (VAR9 == 0) & ( ((VAR34 > VAR21) & (VAR47 == 1)) |
((VAR34 > VAR21) & (VAR20 == 1)) |
((VAR34 > VAR27) & (VAR18 == 1)) |
(VAR34 > VAR43) );
assign VAR3 = (VAR38 == 1) & (VAR17 == 1) & (VAR7 == 1); assign VAR12 = (VAR38 == 1) & (VAR28 == 1) & (VAR30 == 0) & (VAR1 == 1); assign VAR40 = (VAR12 == 1) | (VAR3 == 1);
assign VAR46 = {VAR18, VAR20, VAR47, VAR9};
always @ (VAR21 or VAR27 or VAR43 or VAR46)
begin
case (VAR46)
4'b0001 : VAR45 = 1;
4'b0010 : VAR45 = VAR21;
4'b0100 : VAR45 = VAR21;
4'b1000 : VAR45 = VAR27;
default : VAR45 = VAR43;
endcase
end
generate
if (VAR2 == 1)
begin
assign VAR24 = VAR13;
assign VAR14 = VAR37;
assign VAR44 = (VAR17 == 0);
assign VAR5 = (VAR17 == 1);
assign VAR31 = (VAR32 == 1) & (VAR17 == 1); assign VAR6 = (VAR25 == 1) & (VAR17 == 1); end
else
begin
assign VAR24 = VAR42;
assign VAR14 = 1; assign VAR44 = VAR38;
assign VAR5 = 0;
assign VAR31 = VAR32;
assign VAR6 = VAR25;
end
endgenerate
endmodule | gpl-3.0 |
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC | bin_Erosion_Operation/iface/ip/mem_window/mem_window.v | 2,467 | module MODULE1 (
clk,
reset,
VAR22,
VAR9,
VAR12,
VAR4,
VAR30,
VAR1,
VAR26,
VAR11,
VAR6,
VAR33,
VAR10,
VAR8,
VAR23,
VAR7,
VAR25,
VAR20,
VAR17,
VAR19,
VAR18,
VAR13,
VAR3
);
parameter VAR16 = 20;
parameter VAR28 = 32;
parameter VAR14 = 32;
parameter VAR27 = 1;
parameter VAR31 = 32;
localparam VAR5 = VAR21(VAR14);
localparam VAR24 = VAR28 - VAR16 - VAR5;
localparam VAR15 = VAR14 * 8;
input clk;
input reset;
input [VAR16-1:0] VAR22;
input VAR9;
output [VAR15-1:0] VAR12;
output VAR4;
input VAR30;
input [VAR15-1:0] VAR1;
input [VAR27-1:0] VAR26;
input [VAR14-1:0] VAR11;
output VAR6;
output [VAR28-1:0] VAR23;
output VAR7;
input [VAR15-1:0] VAR25;
input VAR20;
output VAR17;
output [VAR15-1:0] VAR19;
output [VAR27-1:0] VAR18;
output [VAR14-1:0] VAR13;
input VAR3;
input VAR33;
input [VAR31-1:0] VAR10;
input [VAR31/8-1:0] VAR8;
reg [VAR24-1:0] VAR2;
reg [VAR31-1:0] VAR29;
integer VAR32;
always@*
for (VAR32=0; VAR32<VAR31; VAR32=VAR32+1)
VAR29[VAR32] = VAR8[VAR32/8] & VAR33;
always@(posedge clk or posedge reset)
begin
if(reset == 1'b1)
VAR2 <= {VAR24{1'b0}};
end
else
VAR2 <= (VAR10 & VAR29) | (VAR2 & ~VAR29);
end
assign VAR23 = {VAR2, VAR22, {VAR5{1'b0}}};
assign VAR7 = VAR9;
assign VAR12 = VAR25;
assign VAR4 = VAR20;
assign VAR17 = VAR30;
assign VAR19 = VAR1;
assign VAR18 = VAR26;
assign VAR13 = VAR11;
assign VAR6 = VAR3;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/decap/sky130_fd_sc_hs__decap.functional.pp.v | 1,121 | module MODULE1 (
VAR2,
VAR1
);
input VAR2;
input VAR1;
endmodule | apache-2.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/cabac/cabac_bae_stage2.v | 6,876 | module MODULE1(
VAR13 ,
VAR15 ,
VAR4 ,
VAR5 ,
VAR7 ,
VAR14 ,
VAR10 ,
VAR1 ,
VAR2 ,
VAR8
);
input [31:0] VAR13 ;
input [15:0] VAR15 ;
input [ 8:0] VAR4 ;
input VAR5 ; input [2:0] VAR7 ; input [35:0] VAR14 ;
output [ 8:0] VAR10 ;
output [ 8:0] VAR1 ;
output VAR2 ;
output [ 3:0] VAR8 ;
reg [ 8:0] VAR10 ;
reg [ 8:0] VAR1 ;
wire [1:0] VAR6 ;
reg [7:0] VAR17 ;
reg [3:0] VAR9 ;
reg [8:0] VAR16 ;
assign VAR6 = VAR4[7:6] ;
always @* begin
case(VAR6)
2'd0: VAR17 = VAR13[31:24] ;
2'd1: VAR17 = VAR13[23:16] ;
2'd2: VAR17 = VAR13[15:8 ] ;
2'd3: VAR17 = VAR13[7 :0 ] ;
endcase
end
always @* begin
case(VAR6)
2'd0: VAR9 = VAR15[15:12 ] ;
2'd1: VAR9 = VAR15[ 11:8 ] ;
2'd2: VAR9 = VAR15[ 7:4 ] ;
2'd3: VAR9 = VAR15[ 3:0 ] ;
endcase
end
always @* begin
case(VAR6)
2'd0: VAR16 = VAR14[35:27] ;
2'd1: VAR16 = VAR14[26:18] ;
2'd2: VAR16 = VAR14[17:9 ] ;
2'd3: VAR16 = VAR14[8 :0 ] ;
endcase
end
wire [8:0] VAR12 ;
assign VAR12 = VAR4 - VAR17 ;
wire [8:0] VAR18 ;
reg [8:0] VAR3 ;
assign VAR18 = VAR12[8] ? VAR12: VAR12<<1;
always @* begin
if(VAR5)
VAR3 = VAR16 ;
end
else
VAR3 = VAR18 ;
end
reg [8:0] VAR19 ;
wire [8:0] VAR11 = VAR4 - 2'd2 ;
wire VAR20 = VAR7[0] ;
always @* begin
if(VAR20)
VAR19 = 9'd256 ;
end
else if(VAR11[8])
VAR19 = VAR11 ;
else
VAR19 = VAR11<<1 ;
end
always @* begin
case(VAR7[2:1])
2'b01: VAR10 = VAR4 ; 2'b00: VAR10 = VAR3 ; 2'b10: VAR10 = VAR4 ; 2'b11: VAR10 = VAR19 ; endcase
end
always @* begin
case(VAR7[2:1])
2'b01: VAR1 = 9'd0 ; 2'b00: VAR1 = VAR12 ; 2'b10: VAR1 = 9'd0 ; 2'b11: VAR1 = VAR11 ; endcase
end
assign VAR2 = VAR12[8] ; assign VAR8 = VAR9 ;
endmodule | gpl-3.0 |
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC | bin_Erosion_Operation/ip/Erosion/acl_fp_mul_ll_s5.v | 25,278 | module MODULE1
(
VAR44,
VAR17,
VAR23,
VAR4,
VAR14) ;
input VAR44;
input VAR17;
input [31:0] VAR23;
input [31:0] VAR4;
output [31:0] VAR14;
tri1 VAR44;
reg VAR45;
reg VAR40;
reg VAR12;
reg VAR47;
reg VAR43;
reg VAR10;
reg VAR55;
reg VAR26;
reg [9:0] VAR46;
reg [9:0] VAR48;
reg [9:0] VAR16;
reg VAR56;
reg VAR20;
reg [8:0] VAR18;
reg [7:0] VAR50;
reg VAR21;
reg VAR30;
reg VAR69;
reg VAR38;
reg VAR34;
reg VAR32;
reg VAR35;
reg VAR49;
reg VAR66;
reg VAR60;
reg VAR24;
reg VAR13;
reg VAR27;
reg [22:0] VAR22;
reg [23:0] VAR61;
reg [24:0] VAR29;
reg VAR52;
reg [0:0] VAR6;
reg [0:0] VAR33;
reg [0:0] VAR39;
reg [0:0] VAR8;
reg [0:0] VAR64;
reg [0:0] VAR31;
reg VAR65;
wire [8:0] VAR9;
wire [9:0] VAR58;
wire [9:0] VAR25;
wire [24:0] VAR41;
wire [47:0] VAR51;
wire VAR42;
wire [9:0] VAR63;
wire [7:0] VAR19;
wire [7:0] VAR28;
wire [22:0] VAR59;
wire [7:0] VAR67;
wire [7:0] VAR3;
wire [22:0] VAR7;
wire [23:0] VAR54;
wire VAR11;
wire VAR68;
wire [9:0] VAR1;
wire [7:0] VAR15;
wire VAR2;
wire [24:0] VAR5;
wire [7:0] VAR57;
wire [8:0] VAR36;
wire VAR53;
wire VAR62;
wire [22:0] VAR37; | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a211oi/sky130_fd_sc_ls__a211oi.functional.pp.v | 2,044 | module MODULE1 (
VAR8 ,
VAR11 ,
VAR2 ,
VAR1 ,
VAR12 ,
VAR14,
VAR6,
VAR3 ,
VAR9
);
output VAR8 ;
input VAR11 ;
input VAR2 ;
input VAR1 ;
input VAR12 ;
input VAR14;
input VAR6;
input VAR3 ;
input VAR9 ;
wire VAR16 ;
wire VAR5 ;
wire VAR4;
and VAR17 (VAR16 , VAR11, VAR2 );
nor VAR15 (VAR5 , VAR16, VAR1, VAR12 );
VAR7 VAR13 (VAR4, VAR5, VAR14, VAR6);
buf VAR10 (VAR8 , VAR4 );
endmodule | apache-2.0 |
GLADICOS/SPACEWIRESYSTEMC | altera_work/spw_fifo_ulight/ulight_fifo/synthesis/submodules/ulight_fifo_fifo_empty_tx_status.v | 1,994 | module MODULE1 (
address,
clk,
VAR4,
VAR1,
VAR3
)
;
output [ 31: 0] VAR3;
input [ 1: 0] address;
input clk;
input VAR4;
input VAR1;
wire VAR2;
wire VAR6;
wire VAR5;
reg [ 31: 0] VAR3;
assign VAR2 = 1;
assign VAR5 = {1 {(address == 0)}} & VAR6;
always @(posedge clk or negedge VAR1)
begin
if (VAR1 == 0)
VAR3 <= 0;
end
else if (VAR2)
VAR3 <= {32'b0 | VAR5};
end
assign VAR6 = VAR4;
endmodule | gpl-3.0 |
UA3MQJ/fpga-synth | modules/note2dds_4st_gen.v | 1,411 | module MODULE1(clk, VAR2, VAR3);
input wire clk;
input wire [6:0] VAR2;
output [31:0] VAR3;
reg [31:0] VAR4 [15:0];
reg [3:0] addr;
reg [3:0] VAR1; | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/invkapwr/sky130_fd_sc_lp__invkapwr.blackbox.v | 1,277 | module MODULE1 (
VAR7,
VAR5
);
output VAR7;
input VAR5;
supply1 VAR3 ;
supply0 VAR2 ;
supply1 VAR1;
supply1 VAR6 ;
supply0 VAR4 ;
endmodule | apache-2.0 |
Fabeltranm/FPGA-Game-D1 | HW/RTL/06PCM-AUDIO-MICROFONO/Version_01/02 verilog/Leer/fifo.v | 3,280 | module MODULE1 # (parameter VAR6 = 5, VAR19 = 1)(
input reset, VAR17,
input rd, wr,
input [VAR19-1:0] din,
output [VAR19-1:0] dout,
output VAR3,
output VAR8
);
wire VAR5, VAR11;
reg [VAR19-1:0] out;
assign VAR5 = wr;
assign VAR11 = rd;
reg [VAR19-1:0] VAR10[2**VAR6-1:0]; reg [VAR6-1:0] VAR13, VAR15, VAR1; reg [VAR6-1:0] VAR12, VAR18, VAR7; reg VAR2, VAR9, VAR16, VAR4;
assign VAR14 = VAR5 & ~VAR8;
always @ (posedge VAR17)
begin
if(VAR14)
begin
VAR10[VAR13] <= din; out <= VAR10[VAR12];
end
end
always @ (posedge VAR17) begin
if(VAR11)
out <= VAR10[VAR12];
end
always @ (posedge VAR17 or posedge reset)
begin
if (reset)
begin
VAR13 <= 0;
VAR12 <= 0;
VAR2 <= 1'b0;
VAR9 <= 1'b1;
end
else
begin
VAR13 <= VAR15; VAR12 <= VAR18;
VAR2 <= VAR16;
VAR9 <= VAR4;
end
end
always @(*)
begin
VAR1 = VAR13 + 1; VAR7 = VAR12 + 1; VAR15 = VAR13; VAR18 = VAR12; VAR16 = VAR2; VAR4 = VAR9;
case({VAR5,VAR11})
2'b01: begin
if(~VAR3) begin
VAR18 = VAR7;
VAR16 = 1'b0;
if(VAR7 == VAR13) VAR4 = 1'b1; end
end
2'b10: begin
if(~VAR8) begin
VAR15 = VAR1;
VAR4 = 1'b0;
if(VAR1 == (2**VAR6-1)) VAR16 = 1'b1; end
end
2'b11: begin
VAR15 = VAR1;
VAR18 = VAR7;
end
endcase
end
assign VAR8 = VAR2;
assign VAR3 = VAR9;
assign dout = out;
endmodule | gpl-3.0 |
q3k/q3kmips | rtl/verilog/qm_control.v | 6,289 | module MODULE1(
input wire [5:0] VAR7,
input wire [5:0] VAR8,
output reg VAR6,
output reg VAR1,
output reg [3:0] VAR4,
output reg VAR2,
output reg VAR5,
output reg VAR9,
output reg VAR3
);
always @(VAR7, VAR8) begin
case (VAR7)
VAR6 = 1;
VAR1 = 0;
VAR2 = 0;
VAR5 = 0;
VAR9 = 1;
VAR3 = 0;
case (VAR8)
endcase
end
VAR6 = 0;
VAR1 = 1;
VAR4 = 0;
VAR2 = 0;
VAR5 = 1;
VAR9 = 1;
VAR3 = 0;
end
VAR6 = 0;
VAR1 = 1;
VAR4 = 0;
VAR2 = 1;
VAR5 = 0;
VAR9 = 0;
VAR3 = 0;
end
6'b001???: begin
VAR6 = 0;
VAR1 = 1;
VAR2 = 0;
VAR5 = 0;
VAR9 = 1;
VAR3 = 0;
case (VAR7)
endcase
end
default: begin
VAR6 = 0;
VAR1 = 1;
VAR2 = 0;
VAR5 = 0;
VAR9 = 0;
VAR3 = 0;
VAR4 = 0;
end
endcase
end
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/nand2b/sky130_fd_sc_lp__nand2b_lp.v | 2,155 | module MODULE1 (
VAR2 ,
VAR6 ,
VAR3 ,
VAR4,
VAR5,
VAR7 ,
VAR8
);
output VAR2 ;
input VAR6 ;
input VAR3 ;
input VAR4;
input VAR5;
input VAR7 ;
input VAR8 ;
VAR9 VAR1 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR2 ,
VAR6,
VAR3
);
output VAR2 ;
input VAR6;
input VAR3 ;
supply1 VAR4;
supply0 VAR5;
supply1 VAR7 ;
supply0 VAR8 ;
VAR9 VAR1 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/nand2/sky130_fd_sc_hvl__nand2.functional.v | 1,274 | module MODULE1 (
VAR4,
VAR5,
VAR6
);
output VAR4;
input VAR5;
input VAR6;
wire VAR3;
nand VAR1 (VAR3, VAR6, VAR5 );
buf VAR2 (VAR4 , VAR3 );
endmodule | apache-2.0 |
scalable-networks/ext | uhd/fpga/usrp1/inband_lib/rx_buffer_inband.v | 5,365 | module MODULE1
( input VAR3,
input VAR62,
input reset, input VAR58, output [15:0] VAR2,
input VAR17,
output wire VAR15,
output reg VAR13,
input wire [3:0] VAR69,
input wire [15:0] VAR37,
input wire [15:0] VAR24,
input wire [15:0] VAR36,
input wire [15:0] VAR35,
input wire [15:0] VAR75,
input wire [15:0] VAR6,
input wire [15:0] VAR19,
input wire [15:0] VAR47,
input VAR39,
input VAR53,
input VAR54,
input [6:0] VAR7,
input [31:0] VAR34,
input VAR46,
output wire [15:0] VAR60,
input VAR22,
input [15:0] VAR70,
input VAR74,
output reg VAR42,
input wire [31:0] VAR38, input wire [31:0] VAR71,
input wire [31:0] VAR56, input wire [31:0] VAR44,
input wire [1:0] VAR4
);
parameter VAR67 = 1;
genvar VAR50 ;
reg [8:0] VAR23;
always @(negedge VAR3)
if(VAR62)
VAR23 <= 9'd0;
else if(VAR17 & ~VAR23[8])
VAR23 <= VAR23 + 9'd1;
else
VAR23 <= VAR17 ? VAR23 : 9'b0;
reg [31:0] VAR5;
always @(posedge VAR39)
if (reset)
VAR5 <= 0;
else
VAR5 <= VAR5 + 1;
wire [11:0] VAR73;
wire [11:0] VAR41;
wire [15:0] VAR11;
wire [15:0] VAR55[0:VAR67];
wire VAR25;
wire VAR28;
reg sel;
reg wr;
always@(posedge VAR39)
begin
if(reset)
begin
sel<=1;
wr<=0;
end
else if(VAR53)
begin
sel<=0;
wr<=1;
end
else if(wr&~sel)
sel<=1;
end
else if(wr&sel)
wr<=0;
else
wr<=0;
end
assign VAR55[0] = (sel)?VAR24:VAR37;
assign VAR55[1] = (sel)?VAR35:VAR36;
VAR72 VAR64 (
.VAR51 ( reset ),
.VAR31 ( VAR11 ),
.VAR29 ( ~VAR3 ),
.VAR27 ( VAR17 & ~VAR23[8] ),
.VAR12 ( VAR39 ),
.VAR63 ( VAR25 ),
.VAR20 ( VAR2 ),
.VAR9 ( ),
.VAR73 ( VAR73 ),
.VAR68 ( ),
.VAR41 ( VAR41 ) );
assign VAR15 = (VAR73 >= 12'd256);
assign VAR28 = (VAR41 < 12'd760);
wire VAR43;
wire [15:0] VAR32;
wire [9:0] VAR65;
wire [VAR67:0] VAR45;
wire [3:0] VAR16;
wire [VAR67:0] VAR57;
VAR1 #(VAR67) VAR61 (
.VAR39 ( VAR39 ),
.reset ( reset ),
.VAR5 ( VAR5 ),
.VAR69 ( VAR67 ),
.VAR43 ( VAR43 ),
.VAR32 ( VAR32 ),
.VAR45 ( VAR45 ),
.VAR16 ( VAR16 ),
.VAR65 ( VAR65 ),
.VAR25 ( VAR25 ),
.VAR11 ( VAR11 ),
.VAR28 ( VAR28 ),
.VAR38(VAR38), .VAR71(VAR71),
.VAR56(VAR56),.VAR44(VAR44), .VAR60(VAR30),
.VAR59(VAR4));
always @(posedge VAR39)
if(reset)
VAR13 <= 1'b0;
else if(VAR57[0])
VAR13 <= 1'b1;
else if(VAR54)
VAR13 <= 1'b0;
wire [15:0]VAR52[VAR67:0];
assign VAR52[0] = VAR37;
wire VAR14;
always @(posedge VAR39)
if(reset)
VAR42 <= 1;
else if(VAR14)
VAR42 <= 1;
else if(VAR74)
VAR42 <= 0;
wire [15:0] VAR48 [0:VAR67];
wire [9:0] VAR18 [0:VAR67];
wire VAR21[0:VAR67];
generate for (VAR50 = 0 ; VAR50 < VAR67; VAR50 = VAR50 + 1)
begin : VAR26
wire VAR27;
assign VAR27 = (VAR16 == VAR50) & VAR43;
VAR33 VAR8 (
.VAR51 ( reset ),
.VAR66 ( VAR39 ),
.VAR31 ( VAR55[VAR50] ),
.VAR27 ( VAR27 ),
.VAR63 ( ~VAR57[VAR50] & wr),
.VAR21 (VAR21[VAR50]),
.VAR10 (VAR57[VAR50]),
.VAR20 ( VAR48[VAR50]),
.VAR18 ( VAR18[VAR50]),
.VAR49(VAR45[VAR50])
);
end
endgenerate
wire [7:0] VAR30;
VAR33 VAR40 (
.VAR51 ( reset ),
.VAR66 ( VAR39 ),
.VAR31 ( VAR70 ),
.VAR27 ( (VAR16 == VAR67) & VAR43 ),
.VAR63 ( VAR22 & VAR42),
.VAR21 ( VAR14),
.VAR10 ( VAR57[VAR67] ),
.VAR20 ( VAR48[VAR67]),
.VAR18 ( VAR18[VAR67] )
);
assign VAR45[VAR67] = VAR14 | VAR42;
assign VAR32 = VAR48[VAR16];
assign VAR65 = VAR18[VAR16];
assign VAR60 = {4'd0, VAR39, VAR53, VAR57[0], VAR57[1], sel, wr};
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/buf/sky130_fd_sc_ls__buf_2.v | 1,993 | module MODULE1 (
VAR6 ,
VAR2 ,
VAR1,
VAR3,
VAR7 ,
VAR5
);
output VAR6 ;
input VAR2 ;
input VAR1;
input VAR3;
input VAR7 ;
input VAR5 ;
VAR4 VAR8 (
.VAR6(VAR6),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR6,
VAR2
);
output VAR6;
input VAR2;
supply1 VAR1;
supply0 VAR3;
supply1 VAR7 ;
supply0 VAR5 ;
VAR4 VAR8 (
.VAR6(VAR6),
.VAR2(VAR2)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a32o/sky130_fd_sc_hs__a32o.blackbox.v | 1,389 | module MODULE1 (
VAR3 ,
VAR6,
VAR1,
VAR2,
VAR8,
VAR5
);
output VAR3 ;
input VAR6;
input VAR1;
input VAR2;
input VAR8;
input VAR5;
supply1 VAR4;
supply0 VAR7;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/a2bb2o/sky130_fd_sc_hd__a2bb2o_1.v | 2,463 | module MODULE1 (
VAR9 ,
VAR7,
VAR4,
VAR8 ,
VAR3 ,
VAR11,
VAR1,
VAR10 ,
VAR6
);
output VAR9 ;
input VAR7;
input VAR4;
input VAR8 ;
input VAR3 ;
input VAR11;
input VAR1;
input VAR10 ;
input VAR6 ;
VAR2 VAR5 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR9 ,
VAR7,
VAR4,
VAR8 ,
VAR3
);
output VAR9 ;
input VAR7;
input VAR4;
input VAR8 ;
input VAR3 ;
supply1 VAR11;
supply0 VAR1;
supply1 VAR10 ;
supply0 VAR6 ;
VAR2 VAR5 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR8(VAR8),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_ic_fsm.v | 11,549 | module MODULE1(
clk, rst,
VAR6, VAR5, VAR20,
VAR15, VAR18, VAR11, VAR21, VAR17,
VAR4, VAR19, VAR14, VAR3, VAR7,
VAR16, VAR10
);
input clk;
input rst;
input VAR6;
input VAR5;
input VAR20;
input VAR15;
input VAR18;
input VAR11;
input [31:0] VAR21;
output [31:0] VAR17;
output [3:0] VAR4;
output VAR19;
output VAR14;
output VAR3;
output VAR7;
output VAR16;
output VAR10;
reg [31:0] VAR2;
reg [1:0] state;
reg [2:0] VAR22;
reg VAR12;
reg VAR8;
reg VAR1;
assign VAR4 = {4{VAR19 & VAR18 & !VAR1}};
assign VAR10 = VAR19 & VAR18 & !VAR1;
assign VAR19 = (VAR12 & VAR15) | (!VAR12 & VAR8);
assign VAR17 = VAR2;
assign VAR14 = (state == VAR24) & VAR12 & !VAR15 & !VAR1 & !VAR20;
assign VAR3 = (state == VAR24) & VAR18;
assign VAR7 = (state == VAR24) & VAR11;
assign VAR16 = (state == VAR24) & VAR15 & !VAR1
| (state == VAR9);
always @(posedge clk or posedge rst) begin
if (rst) begin
state <= VAR23;
VAR2 <= 32'b0;
VAR12 <= 1'b0;
VAR8 <= 1'b0;
VAR22 <= 3'b000;
VAR1 <= 1'b0;
end
else
case (state) VAR23 :
if (VAR6 & VAR5) begin state <= VAR24;
VAR2 <= VAR21;
VAR12 <= 1'b1;
VAR8 <= 1'b1;
VAR1 <= 1'b0;
end
else begin VAR12 <= 1'b0;
VAR8 <= 1'b0;
VAR1 <= 1'b0;
end
VAR1 <= 1'b1;
if (VAR12)
VAR2[31:13] <= VAR21[31:13];
if ((!VAR6) ||
(VAR12 & !VAR5) || (VAR11) || (VAR1 & VAR18)) begin state <= VAR23;
VAR12 <= 1'b0;
VAR8 <= 1'b0;
VAR1 <= 1'b0;
end
else if (VAR15 & VAR18) begin state <= VAR9;
VAR2[3:2] <= VAR2[3:2] + 1'd1;
VAR12 <= 1'b0;
VAR22 <= VAR13-2;
VAR1 <= 1'b0;
end
else if (!VAR15 & !VAR20) begin VAR2 <= VAR21;
VAR1 <= 1'b0;
end
else if (!VAR5) begin state <= VAR23;
VAR12 <= 1'b0;
VAR8 <= 1'b0;
VAR1 <= 1'b0;
end
else VAR12 <= 1'b0;
end
if (VAR18 && (|VAR22)) begin VAR22 <= VAR22 - 3'd1;
VAR2[3:2] <= VAR2[3:2] + 1'd1;
end
else if (VAR18) begin state <= VAR23;
VAR2 <= VAR21;
VAR12 <= 1'b0;
VAR8 <= 1'b0;
end
end
default:
state <= VAR23;
endcase
end
endmodule | gpl-2.0 |
C-L-G/azpr_soc | azpr_soc/trunk/ic/digital/azpr_soc/io/uart/rtl/uart.v | 3,604 | module MODULE1 (
input wire clk, input wire reset,
input wire VAR7, input wire VAR21, input wire VAR15, input wire [VAR17] addr, input wire [VAR3] VAR9, output wire [VAR3] VAR6, output wire VAR23,
output wire VAR10, output wire VAR13,
input wire VAR2, output wire VAR5 );
wire VAR22; wire VAR11; wire [VAR16] VAR20; wire VAR1; wire VAR4; wire VAR14; wire [VAR16] VAR8;
VAR18 VAR18 (
.clk (clk), .reset (reset),
.VAR7 (VAR7), .VAR21 (VAR21), .VAR15 (VAR15), .addr (addr), .VAR9 (VAR9), .VAR6 (VAR6), .VAR23 (VAR23),
.VAR10 (VAR10), .VAR13 (VAR13),
.VAR22 (VAR22), .VAR11 (VAR11), .VAR20 (VAR20), .VAR1 (VAR1), .VAR4 (VAR4), .VAR14 (VAR14), .VAR8 (VAR8) );
VAR12 VAR12 (
.clk (clk), .reset (reset),
.VAR14 (VAR14), .VAR8 (VAR8), .VAR1 (VAR1), .VAR4 (VAR4),
.VAR5 (VAR5) );
VAR19 VAR19 (
.clk (clk), .reset (reset),
.VAR22 (VAR22), .VAR11 (VAR11), .VAR20 (VAR20),
.VAR2 (VAR2) );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_io | cells/top_refgen_new/sky130_fd_io__top_refgen_new.functional.v | 7,124 | module MODULE1 (VAR45, VAR27, VAR30,
VAR5, VAR29, VAR20, VAR36, VAR9, VAR10, VAR4, VAR8, VAR24,
VAR33, VAR3, VAR38, VAR35, VAR13);
output VAR45;
output VAR27;
inout VAR30;
supply1 VAR25;
supply1 VAR32;
supply1 VAR43;
supply1 VAR42;
supply1 VAR7;
supply0 VAR19;
supply0 VAR17;
supply0 VAR34;
supply1 VAR6;
supply0 VAR12;
inout VAR5;
inout VAR29;
input VAR20;
input VAR36;
input VAR9;
input VAR10;
input VAR4;
input [2:0] VAR8;
input VAR24;
input [1:0] VAR33;
input VAR3;
input VAR38;
inout VAR35;
inout VAR13;
reg VAR28, VAR41, VAR21, VAR15, VAR26;
reg [2:0] VAR37;
reg [1:0] VAR14;
reg VAR18;
wire VAR2 = 1;
wire VAR40 = 1;
wire VAR16 = 1;
wire VAR11 = 1;
wire VAR31 = 1;
wire VAR23 = 1;
parameter VAR22 = 0;
parameter VAR44 = 0;
parameter VAR22 = 50000;
parameter VAR44 = 50000;
integer VAR1,VAR39;
begin
begin
begin
begin
begin
end | apache-2.0 |
Ribeiro/sd2snes | verilog/sd2snes_obc1/main.v | 17,255 | module MODULE1(
input VAR105,
input [23:0] VAR187,
input VAR62,
input VAR218,
input VAR212,
inout [7:0] VAR208,
input VAR210,
input VAR155,
output VAR280,
output VAR167,
output VAR130,
input VAR171,
input [7:0] VAR250,
input VAR147,
input VAR188,
inout [15:0] VAR5,
output [22:0] VAR84,
output VAR256,
output VAR34,
output VAR265,
output VAR172,
output VAR100,
inout [7:0] VAR246,
output [18:0] VAR207,
output VAR223,
output VAR64,
output VAR235,
input VAR180,
inout VAR145,
input VAR213,
inout VAR93,
input VAR243,
output VAR90,
output VAR192,
output VAR169,
output VAR179,
input [3:0] VAR46,
inout VAR35,
inout VAR53,
output VAR10
);
wire VAR166;
wire [7:0] VAR162;
wire [7:0] VAR110;
wire [7:0] VAR21;
wire [31:0] VAR195;
wire [2:0] VAR83;
wire [23:0] VAR26;
wire [2:0] VAR220;
wire [23:0] VAR238;
wire [23:0] VAR189;
wire [7:0] VAR91;
wire [1:0] VAR38;
wire [10:0] VAR30;
wire [10:0] VAR55;
wire [10:0] VAR247;
wire [7:0] VAR98;
wire [6:0] VAR29;
wire [31:0] VAR232;
wire [15:0] VAR204;
wire [13:0] VAR37;
wire [13:0] VAR63;
wire [7:0] VAR231;
wire [7:0] VAR161;
wire [5:0] VAR61;
wire [5:0] VAR25;
wire [7:0] VAR209;
wire [23:0] VAR193;
wire VAR197;
wire [7:0] VAR122;
wire [7:0] VAR119;
wire [13:0] VAR137;
wire VAR107;
wire VAR274;
wire VAR160;
wire [2:0] VAR51;
wire [10:0] VAR112;
wire [8:0] VAR174;
wire [7:0] VAR201;
wire [7:0] VAR89;
reg [7:0] VAR262;
reg [7:0] VAR28;
reg [7:0] VAR57;
reg [7:0] VAR150;
reg [23:0] VAR87 [3:0];
reg [7:0] VAR278;
always @(posedge VAR166) begin
if(~VAR62 | ~VAR218) VAR278 <= VAR208;
end
reg VAR67 = 1;
reg VAR152 = 0;
reg VAR77 = 0;
wire VAR273 = ((VAR262[6:1] & VAR262[7:2]) == 6'b111110);
wire VAR143 = ((VAR28[6:1] & VAR28[7:2]) == 6'b111110);
wire VAR199 = ((VAR28[6:1] & VAR28[7:2]) == 6'b000001);
wire VAR20 = ((VAR57[6:1] & VAR57[7:2]) == 6'b000001);
wire VAR39 = ((VAR150[4:1] & VAR150[5:2]) == 4'b0001);
wire VAR272 = ((VAR150[4:1] & VAR150[5:2]) == 4'b1110);
wire VAR66 = VAR57[2] & VAR57[1];
wire VAR1 = VAR28[2] & VAR28[1];
wire VAR211 = VAR150[2] & VAR150[1];
wire VAR121 = VAR262[2] & VAR262[1];
wire [23:0] VAR236 = (VAR87[3] & VAR87[2]);
wire VAR11 = VAR272 | VAR77;
wire VAR42;
assign VAR27=0;
always @(posedge VAR166) begin
VAR77 <= 1'b0;
if(VAR39) VAR77 <= ~VAR42;
end
always @(posedge VAR166) begin
VAR262 <= {VAR262[6:0], VAR147};
VAR28 <= {VAR28[6:0], VAR62};
VAR57 <= {VAR57[6:0], VAR218};
VAR150 <= {VAR150[6:0], VAR210};
VAR87[3] <= VAR87[2];
VAR87[2] <= VAR87[1];
VAR87[1] <= VAR87[0];
VAR87[0] <= VAR187;
end
VAR2 VAR158(
.VAR80(VAR166),
.VAR46(VAR46),
.VAR53(VAR53),
.VAR283(VAR283),
.VAR32(VAR32),
.VAR263(VAR263),
.VAR91(VAR91),
.VAR101(VAR101),
.VAR148(VAR148),
.VAR30(VAR30),
.VAR55(VAR55),
.VAR31(VAR31),
.VAR58(VAR58),
.VAR269(VAR112),
.VAR133(VAR51)
);
wire VAR88 = (VAR32 && (VAR38 == 2'b00));
VAR79 VAR82(
.VAR182(VAR166),
.VAR200(VAR171),
.VAR279(VAR192),
.VAR36(VAR169),
.VAR52(VAR179),
.VAR217(VAR38==2'b01 ? VAR263 : 1'b1),
.VAR221(VAR247),
.VAR219(VAR91),
.VAR45(VAR45),
.VAR127(VAR98),
.VAR111(VAR17),
.VAR258(VAR227),
.reset(VAR185)
);
VAR116 VAR75 (
.VAR182(VAR166),
.enable(VAR124),
.VAR221(VAR37),
.VAR219(VAR91),
.VAR230(VAR38==2'b10 ? VAR263 : 1'b1),
.VAR104(VAR236[2:0]),
.VAR241(VAR231),
.VAR191(VAR161),
.VAR181(VAR143),
.VAR14(VAR199),
.VAR216(VAR20),
.VAR270(VAR29),
.VAR141(VAR98),
.VAR176(VAR17),
.VAR205(VAR232),
.VAR257(VAR204),
.VAR7(VAR61),
.VAR237(VAR25),
.VAR194(VAR19),
.VAR72(VAR63),
.VAR252(VAR109),
.VAR107(VAR107),
.VAR274(VAR274),
.VAR160(VAR160),
.VAR137(VAR137),
.VAR18(VAR18)
);
VAR266 VAR15(
.clk(VAR166),
.VAR40(VAR180),
.VAR96(VAR145),
.VAR206(VAR213),
.VAR3(VAR93),
.VAR117(VAR13),
.VAR132(VAR131),
.VAR125(VAR162),
.VAR123(VAR110),
.VAR239(VAR24),
.VAR284(VAR249),
.VAR12(VAR21),
.VAR183(VAR195),
.VAR271(VAR83)
);
VAR68 VAR149 (
.clk(VAR166),
.enable(VAR95),
.VAR50(VAR122),
.VAR136(VAR119),
.VAR153(VAR236[12:0]),
.VAR216(VAR20)
);
reg [7:0] VAR65;
wire [7:0] VAR282;
wire [31:0] VAR78;
wire [7:0] VAR76;
wire [2:0] VAR175;
VAR99 VAR9(
.clk(VAR166),
.VAR113(VAR171),
.VAR117(VAR13),
.VAR132(VAR131),
.VAR125(VAR162),
.VAR123(VAR110),
.VAR164(VAR220),
.VAR41(VAR222),
.VAR157(VAR65),
.VAR33(VAR282),
.VAR195(VAR195),
.VAR83(VAR83),
.VAR245(VAR21),
.VAR205(VAR26),
.VAR186(VAR238),
.VAR142(VAR189),
.VAR283(VAR283),
.VAR32(VAR32),
.VAR101(VAR101),
.VAR91(VAR91),
.VAR263(VAR263),
.VAR38(VAR38),
.VAR148(VAR148),
.VAR30(VAR30),
.VAR55(VAR55),
.VAR31(VAR31),
.VAR58(VAR58),
.VAR251(VAR247),
.VAR45(VAR45),
.VAR281(VAR227),
.VAR138(VAR185),
.VAR178(VAR37),
.VAR23(VAR29),
.VAR92(VAR61),
.VAR69(VAR25),
.VAR19(VAR19),
.VAR277(VAR98),
.VAR154(VAR232),
.VAR103(VAR204),
.VAR56(VAR63),
.VAR73(VAR109),
.VAR94(VAR209),
.VAR259(VAR228),
.VAR81(VAR203),
.VAR214(VAR90),
.VAR198(VAR165),
.VAR244(VAR174),
.VAR16(VAR54),
.VAR242(VAR201),
.VAR43(VAR89),
.VAR102(VAR175),
.VAR120(VAR78),
.VAR168(VAR170)
);
wire [7:0] VAR59;
VAR184 VAR135(
.VAR105(VAR105),
.VAR118(VAR166),
.VAR97(VAR248),
.VAR196(VAR27),
.VAR140(VAR59)
);
address VAR215(
.VAR80(VAR166),
.VAR220(VAR220),
.VAR209(VAR209),
.VAR236(VAR236), .VAR250(VAR250),
.VAR84(VAR193), .VAR42(VAR42),
.VAR47(VAR47),
.VAR6(VAR6),
.VAR202(VAR202),
.VAR238(VAR238),
.VAR189(VAR189),
.VAR124(VAR124),
.VAR44(VAR44),
.VAR95(VAR95),
.VAR106(VAR106)
);
parameter VAR233 = 5'b00001;
parameter VAR234 = 5'b00010;
parameter VAR128 = 5'b00100;
parameter VAR225 = 5'b01000;
parameter VAR261 = 5'b10000;
parameter VAR144 = 17'd88000;
parameter VAR146 = 4'd7;
reg [4:0] VAR224;
VAR156 VAR224 = VAR233;
assign VAR231 = VAR278;
assign VAR122 = VAR278;
VAR151 VAR226(
.clk(VAR166),
.VAR236(VAR236),
.VAR208(VAR208),
.VAR152(VAR152),
.VAR39(VAR143),
.VAR4(VAR20 & VAR106),
.VAR260(VAR175),
.VAR230(VAR170),
.VAR48(VAR78),
.VAR136(VAR76),
.VAR163(VAR163)
);
wire [7:0] VAR126;
reg [7:0] VAR85;
reg VAR70;
reg [2:0] VAR240;
reg [1:0] VAR86;
VAR156 VAR85 = 8'h55;
VAR156 VAR70 = 0;
VAR156 VAR86 = 2'b01;
VAR156 VAR240 = 3'b011;
assign VAR208 = (VAR44 & ~VAR121 & ~VAR70) ? VAR85
:(~VAR1 ^ (VAR70 & VAR44 & ~VAR121))
? (VAR124 ? VAR161
:VAR95 ? VAR119
:VAR106 ? VAR126
:VAR163 ? VAR76
:(VAR197 ? VAR5[7:0] : VAR5[15:8])) : 8'VAR254;
reg [3:0] VAR253;
reg VAR22 = 0;
reg VAR275 = 0;
reg [23:0] VAR276;
reg VAR74;
VAR156 VAR74 = 1'b1;
assign VAR90 = VAR74;
wire VAR49 = |(VAR224 & VAR225);
wire VAR159 = |(VAR224 & VAR234);
wire VAR71 = VAR49 | VAR159;
assign VAR84 = (VAR88) ? VAR26[23:1] : VAR71 ? VAR276[23:1] : VAR193[23:1];
assign VAR197 = (VAR88) ? VAR26[0] : VAR71 ? VAR276[0] : VAR193[0];
reg[17:0] VAR8;
VAR156 VAR8 = 0;
always @(posedge VAR166) begin
if(VAR228) begin
VAR22 <= 1'b1;
VAR74 <= 1'b0;
VAR276 <= VAR26;
end else if(VAR203) begin
VAR275 <= 1'b1;
VAR74 <= 1'b0;
VAR276 <= VAR26;
end else if(VAR224 & (VAR128 | VAR261)) begin
VAR22 <= 1'b0;
VAR275 <= 1'b0;
VAR74 <= 1'b1;
end
end
always @(posedge VAR166) begin
if(~VAR150[1]) VAR8 <= VAR8 + 1;
end
else VAR8 <= 17'h0;
end
always @(posedge VAR166) begin
VAR152 <= 1'b0;
if(VAR150[1]) begin
VAR67 <= 1'b0;
if(VAR67) VAR152 <= 1'b1;
end
else if(VAR8 > VAR144) VAR67 <= 1'b1;
end
always @(posedge VAR166) begin
end
if(VAR67 & VAR150[1]) VAR224 <= VAR233; else
case(VAR224)
VAR233: begin
VAR224 <= VAR233;
if(VAR11 | VAR67) begin
if(VAR22) begin
VAR224 <= VAR234;
VAR253 <= VAR146;
end
else if(VAR275) begin
VAR224 <= VAR225;
VAR253 <= VAR146;
end
end
end
VAR234: begin
VAR224 <= VAR234;
VAR253 <= VAR253 - 1;
if(VAR253 == 0) VAR224 <= VAR128;
VAR65 <= (VAR197 ? VAR5[7:0] : VAR5[15:8]);
end
VAR225: begin
VAR224 <= VAR225;
VAR253 <= VAR253 - 1;
if(VAR253 == 0) VAR224 <= VAR261;
end
VAR128, VAR261: begin
VAR224 <= VAR233;
end
endcase
end
always @(posedge VAR166) begin
if(VAR272) VAR70 <= 1'b1;
end
else if(VAR273 & VAR44) begin
VAR240 <= 3'b000;
VAR86 <= 2'b10;
end else if(VAR86 == 2'b10) begin
VAR240 <= VAR240 - 1;
if(VAR240 == 3'b000) begin
VAR70 <= 1'b0;
VAR86 <= 2'b01;
VAR85 <= {VAR208[7:5], VAR165, VAR208[3:0]};
end
end
end
reg VAR108;
always @(posedge VAR166) begin
VAR108<= VAR222;
end
assign VAR5[7:0] = VAR197
?(VAR88 ? (!VAR108 ? VAR282 : 8'VAR254)
: (VAR42 & ~VAR66) ? VAR208
: VAR49 ? VAR282 : 8'VAR254
)
:8'VAR254;
assign VAR5[15:8] = VAR197 ? 8'VAR254
:(VAR88 ? (!VAR108 ? VAR282 : 8'VAR254)
: (VAR42 & ~VAR66) ? VAR208
: VAR49 ? VAR282
: 8'VAR254
);
assign VAR265 = VAR88
?VAR222
: (VAR42 & VAR202 & VAR211) ? VAR66
: VAR49 ? 1'b0
: 1'b1;
assign VAR34 = 1'b0;
assign VAR256 = 1'b0;
assign VAR172 = VAR197;
assign VAR100 = !VAR197;
wire VAR255 = {VAR236[22], VAR236[15:0]} == 17'h04200;
assign VAR167 = VAR95 ? 1'b0 :
VAR124 ? 1'b0 :
VAR106 ? (VAR1 & VAR66) :
VAR44 & !VAR121 ? 1'b0 :
VAR255 ? VAR66
: ((VAR6 & VAR212)
|(!VAR6 & !VAR47 & !VAR202)
|(VAR1 & VAR66)
);
assign VAR130 = (!VAR1 | (!VAR121 & (VAR44)))
? 1'b1 ^ (VAR70 & VAR44 & ~VAR121)
: 1'b0;
assign VAR280 = 1'b0;
assign VAR10 = 1'b0;
wire [8:0] VAR173 = VAR255 ? 9'h1fa : VAR236[8:0];
VAR229 VAR134 (
.VAR139(VAR166), .VAR60(VAR20 & (VAR106 | VAR255)), .VAR267(VAR173), .VAR190(VAR208), .VAR129(VAR126), .VAR264(VAR166), .VAR114(VAR54), .VAR115(VAR174), .VAR177(VAR201), .VAR268(VAR89) );
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/sdfbbn/sky130_fd_sc_ms__sdfbbn.behavioral.pp.v | 3,448 | module MODULE1 (
VAR8 ,
VAR11 ,
VAR21 ,
VAR3 ,
VAR14 ,
VAR16 ,
VAR23 ,
VAR38,
VAR19 ,
VAR26 ,
VAR1 ,
VAR27
);
output VAR8 ;
output VAR11 ;
input VAR21 ;
input VAR3 ;
input VAR14 ;
input VAR16 ;
input VAR23 ;
input VAR38;
input VAR19 ;
input VAR26 ;
input VAR1 ;
input VAR27 ;
wire VAR37 ;
wire VAR17 ;
wire VAR9 ;
wire VAR22 ;
reg VAR24 ;
wire VAR28 ;
wire VAR4 ;
wire VAR15 ;
wire VAR13 ;
wire VAR32 ;
wire VAR2;
wire VAR31 ;
wire VAR40 ;
wire VAR10 ;
wire VAR39 ;
wire VAR34 ;
wire VAR35 ;
wire VAR7 ;
wire VAR30 ;
not VAR6 (VAR37 , VAR2 );
not VAR20 (VAR17 , VAR32 );
not VAR29 (VAR9 , VAR13 );
VAR18 VAR12 (VAR31, VAR28, VAR4, VAR15 );
VAR36 VAR25 (VAR22 , VAR17, VAR37, VAR9, VAR31, VAR24, VAR19, VAR26);
assign VAR40 = ( VAR19 === 1'b1 );
assign VAR10 = ( VAR40 && ( VAR2 === 1'b1 ) );
assign VAR39 = ( VAR40 && ( VAR32 === 1'b1 ) );
assign VAR34 = ( VAR10 & VAR39 );
assign VAR35 = ( ( VAR15 === 1'b0 ) && VAR34 );
assign VAR7 = ( ( VAR15 === 1'b1 ) && VAR34 );
assign VAR30 = ( ( VAR28 !== VAR4 ) && VAR34 );
buf VAR33 (VAR8 , VAR22 );
not VAR5 (VAR11 , VAR22 );
endmodule | apache-2.0 |
hoglet67/CoPro6502 | src/CoPro80186.v | 11,514 | module MODULE1 (
input VAR166,
output [8:2] VAR190,
input [2:1] VAR137,
output VAR78,
input VAR227,
input [2:0] VAR146,
inout [7:0] VAR219,
input VAR19,
input VAR238,
input VAR34,
output VAR45,
output VAR206,
output VAR88,
output VAR168,
output [18:0] VAR57,
inout [7:0] VAR40
);
wire clk;
wire VAR50;
wire [15:0] VAR42;
wire [15:0] VAR186;
wire [19:1] VAR217;
wire VAR165;
wire VAR181;
wire [ 1:0] sel;
wire VAR212;
wire VAR156;
wire ack;
wire VAR180;
wire [15:0] VAR107;
wire [15:0] VAR241;
wire VAR90;
wire [19:1] VAR83;
wire [ 1:0] VAR29;
wire VAR220;
wire VAR164;
wire VAR140;
wire VAR250;
wire [15:0] VAR80;
wire [15:0] VAR254;
wire VAR215;
wire [19:1] VAR68;
wire [ 1:0] VAR155;
wire VAR70;
wire VAR160;
wire VAR65;
wire VAR127;
wire [15:0] VAR110;
wire [15:0] VAR132;
wire VAR232;
wire [19:1] VAR129;
wire [ 1:0] VAR147;
wire VAR26;
wire VAR48;
wire VAR102;
wire VAR98;
wire VAR121;
wire VAR259;
wire [2:0] VAR75;
wire VAR84;
wire [7:0] VAR60;
wire VAR253;
wire VAR105;
wire VAR72;
wire VAR153;
wire VAR135;
wire VAR59;
wire VAR133;
wire VAR49;
wire VAR9;
wire VAR177;
wire VAR261;
wire VAR205;
wire VAR64;
wire VAR158;
wire VAR24;
wire VAR209;
wire VAR211;
wire VAR231;
wire VAR184;
wire VAR71;
wire VAR201;
wire [15:0] VAR185;
wire [ 7:0] VAR161;
wire [ 2:0] VAR163;
wire VAR76;
wire VAR23;
wire VAR242;
wire VAR86;
VAR30 VAR224 (
.VAR14(VAR166),
.VAR112(clk),
.VAR157(),
.VAR10()
);
wire rst;
assign rst = !VAR72;
VAR228 VAR228 (
.clk (clk), .rst (rst),
.VAR58 (VAR241),
.VAR176 (VAR107),
.VAR111 (VAR83),
.VAR195 (VAR220 ),
.VAR243 (VAR90),
.VAR173 (VAR140),
.VAR109 (VAR164),
.VAR118 (VAR29),
.VAR131 (VAR250)
);
VAR210 VAR210 (
.clk(clk),
.reset(rst),
.VAR58(VAR254),
.VAR176(VAR80),
.VAR111(VAR68),
.VAR195(VAR70),
.VAR243(VAR215),
.VAR173(VAR65),
.VAR109(VAR160),
.VAR118(VAR155),
.VAR131(VAR127),
.VAR252(VAR57),
.VAR38(VAR40),
.VAR117({VAR225, VAR141}),
.VAR260(VAR206),
.VAR175(VAR88),
.VAR229(VAR168)
);
VAR169 VAR248(
.clk(clk),
.reset(rst),
.VAR173(VAR102),
.VAR109(VAR48),
.VAR131(VAR98),
.VAR195(VAR26),
.VAR243(VAR232),
.VAR111(VAR129[3:1]),
.VAR118(VAR147),
.VAR58(VAR132),
.VAR176(VAR110),
.VAR79(VAR75),
.VAR92(VAR60),
.VAR35(VAR84),
.VAR234(VAR253),
.VAR226(VAR105)
);
VAR11 VAR17(
.VAR146(VAR146),
.VAR238(VAR238),
.VAR219(VAR219),
.VAR227(VAR227),
.VAR19(VAR19),
.VAR34(VAR34),
.VAR45(VAR45),
.VAR121(VAR121),
.VAR259(VAR259),
.VAR75(VAR75),
.VAR84(VAR84),
.VAR60(VAR60),
.VAR253(VAR253),
.VAR105(VAR105),
.VAR72(VAR72),
.VAR153(VAR153),
.VAR135(VAR135)
);
VAR116 VAR54 (
.clk (clk),
.rst (rst),
.VAR161 (VAR161),
.VAR23 (VAR23),
.VAR76 (VAR76),
.VAR163 (VAR163)
);
VAR61 VAR61 (
.VAR208 (),
.VAR56 (clk),
.VAR43 (rst),
.VAR58 (VAR186),
.VAR176 (VAR42),
.VAR171 (VAR217),
.VAR196 (VAR165),
.VAR150 (VAR181),
.VAR266 (sel),
.VAR207 (VAR212),
.VAR3 (VAR156),
.VAR246 (ack),
.VAR22 (VAR76),
.VAR214 (VAR23),
.VAR242 (VAR242),
.VAR86 (VAR86)
);
VAR7 #(
.VAR99 (20'b01100000000000000000), .VAR213 (20'b11100000000000000000),
.VAR257 (20'b00000000000000000000), .VAR178 (20'b11000000000000000000),
.VAR237 (20'b01000000000000000000), .VAR33 (20'b11100000000000000000),
.VAR123 (20'b10000111111110010000), .VAR235 (20'b10000111111111110000),
.VAR174 (20'b10000111111110101000), .VAR69 (20'b10000111111111111100),
.VAR28 (20'b10000111111110101100), .VAR51 (20'b10000111111111111100),
.VAR25 (20'b10000111111110110000), .VAR191 (20'b10000111111111111100),
.VAR172 (20'b10000111111111010000), .VAR138 (20'b10000111111111111000),
.VAR53 (20'b10000111111111100000), .VAR74 (20'b10000111111111111000),
.VAR37 (20'b10000000000001000000), .VAR1 (20'b10000111111111111000),
.VAR251 (20'b10000000000000000000), .VAR245 (20'b10000111111111111000),
.VAR256 (20'b10000000000000000000), .VAR179 (20'b10000111111111111000),
.VAR267 (20'b10000000000000000000), .VAR162 (20'b10000111111111111000)
) VAR128 (
.VAR236 (VAR42),
.VAR122 (VAR185),
.VAR6 ({VAR181,VAR217}),
.VAR144 (sel),
.VAR103 (VAR165),
.VAR139 (VAR156),
.VAR148 (VAR212),
.VAR264 (ack),
.VAR4 (VAR107),
.VAR258 (VAR241),
.VAR188 ({VAR90,VAR83}),
.VAR81 (VAR29),
.VAR124 (VAR220),
.VAR134 (VAR164),
.VAR200 (VAR140),
.VAR20 (VAR250),
.VAR41 (VAR80),
.VAR18 (VAR254),
.VAR104 ({VAR215,VAR68}),
.VAR91 (VAR155),
.VAR52 (VAR70),
.VAR203 (VAR160),
.VAR96 (VAR65),
.VAR82 (VAR127),
.VAR31 (16'h0000),
.VAR230 (),
.VAR223 (),
.VAR36 (),
.VAR62 (),
.VAR120 (VAR13),
.VAR192 (VAR263),
.VAR97 (VAR13 && VAR263),
.VAR199 (16'h0000),
.VAR239 (),
.VAR159 (),
.VAR170 (),
.VAR182 (),
.VAR47 (VAR59),
.VAR67 (VAR133),
.VAR27 (VAR59 && VAR133),
.VAR149 (16'h0000),
.VAR95 (),
.VAR222 (),
.VAR108 (),
.VAR73 (),
.VAR216 (VAR49),
.VAR119 (VAR9),
.VAR221 (VAR49 && VAR9),
.VAR125 (16'h0000),
.VAR5 (),
.VAR8 (),
.VAR249 (),
.VAR21 (),
.VAR189 (VAR177),
.VAR154 (VAR261),
.VAR255 (VAR177 && VAR261),
.VAR187 (16'h0000),
.VAR63 (),
.VAR46 (),
.VAR87 (),
.VAR94 (),
.VAR218 (VAR205),
.VAR93 (VAR64),
.VAR145 (VAR205 && VAR64),
.VAR268 (16'h0000),
.VAR89 (),
.VAR126 (),
.VAR204 (),
.VAR142 (),
.VAR202 (VAR158),
.VAR44 (VAR24),
.VAR233 (VAR158 && VAR24),
.VAR39 (VAR110),
.VAR100 (VAR132),
.VAR114 ({VAR232,VAR129}),
.VAR32 (VAR147),
.VAR101 (VAR26),
.VAR136 (VAR48),
.VAR183 (VAR102),
.VAR197 (VAR98),
.VAR16 (16'h0000),
.VAR12 (),
.VAR193 (),
.VAR244 (),
.VAR55 (),
.VAR152 (VAR209),
.VAR151 (VAR211),
.VAR85 (VAR209 && VAR211),
.VAR194 (16'h0000),
.VAR247 (),
.VAR115 (),
.VAR130 (),
.VAR2 (),
.VAR167 (VAR231),
.VAR240 (VAR184),
.VAR262 (VAR231 && VAR184),
.VAR113 (16'h0000),
.VAR143 (),
.VAR77 (),
.VAR66 (),
.VAR15 (),
.VAR198 (VAR71),
.VAR106 (VAR201),
.VAR265 (VAR71 & VAR201)
);
assign VAR242 = 0;
assign VAR161[0] = ~VAR135;
assign VAR161[4:1] = 0;
assign VAR186 = VAR86 ? 16'h0002 :
(VAR23 ? { 13'b0000000000001, VAR163 } :
VAR185);
assign VAR259 = 1;
assign VAR78 = 0;
assign VAR190 = 0;
endmodule | gpl-3.0 |
asicguy/gplgpu | hdl/vga/crt_fifo_logic.v | 13,705 | module MODULE1
(
input VAR97,
input VAR4,
input VAR1,
input VAR89,
input VAR12,
input VAR38,
input VAR45,
input VAR13,
input VAR39,
input VAR53,
input VAR36,
input VAR99,
input VAR96,
input VAR100,
input VAR6,
input VAR62,
input VAR10,
input VAR60,
input VAR91,
input VAR83,
input [4:0] VAR33,
input VAR95,
input [31:0] VAR104,
output VAR90,
output VAR30,
output VAR40,
output VAR56,
output VAR78,
output VAR32,
output VAR11,
output VAR49,
output VAR54,
output VAR42,
output VAR46,
output VAR73,
output VAR61,
output VAR48,
output VAR50,
output [36:0] VAR80,
output [8:0] VAR79,
output VAR57,
output VAR31,
output VAR34,
output VAR18,
output VAR67,
output VAR51
);
reg [4:0] VAR85;
reg [4:0] VAR77;
reg [4:0] VAR26;
reg [4:0] VAR37;
reg [4:0] VAR9;
reg [8:0] VAR88[31:0];
reg [8:0] VAR66[31:0];
reg [12:0] VAR44[31:0];
reg [15:0] VAR22[31:0];
wire [39:0] VAR69;
wire [31:0] VAR7;
wire VAR101;
wire VAR3;
wire VAR5;
wire VAR35;
wire [15:0] VAR94;
wire [15:0] VAR47;
wire [31:16] VAR92;
wire [31:16] VAR68;
wire [31:0] VAR14;
wire [31:0] VAR27;
wire [31:0] VAR16;
wire [31:0] VAR71;
wire [31:0] VAR84;
wire [23:16] VAR8;
wire [31:0] VAR24;
wire VAR41;
wire VAR63;
wire VAR70;
wire VAR74;
wire VAR29;
wire VAR17;
wire [8:0] VAR65;
wire [12:0] VAR23;
wire [15:0] VAR103;
wire [15:0] VAR86;
wire [5:0] VAR52;
wire [31:0] VAR75;
wire VAR58;
wire [6:0] VAR76;
always @(posedge VAR1 or negedge VAR4) begin
if (!VAR4) VAR85 <= 5'b0;
end
else if (VAR97) VAR85 <= 5'b0;
else if (VAR38) VAR85 <= VAR85 + 1;
end
assign VAR7 = 1'b1 << VAR85;
assign VAR101 = VAR6 | VAR60;
assign VAR3 = VAR6 | VAR10;
assign VAR5 = VAR62 | VAR83;
assign VAR35 = VAR62 | VAR91;
assign VAR58 = VAR38;
assign VAR94[7:0] = VAR7[7:0] & {8{VAR101 & VAR58}};
assign VAR94[15:8] = (VAR7[15:8] | VAR7[23:16]) & {8{VAR101 & VAR58}};
assign VAR92[23:16] = (VAR7[7:0] | VAR7[23:16] &{8{~VAR36}}) & {8{VAR5 & VAR58}};
assign VAR92[31:24] = (VAR7[23:16] & {8{VAR36}} | VAR7[31:24]) & {8{VAR5 & VAR58}};
assign VAR47[7:0] = (VAR7[15:8] & {8{VAR36}} | VAR7[7:0]) & {8{VAR3 & VAR58}};
assign VAR47[15:8] = (VAR7[31:24] | VAR7[15:8] &{8{~VAR36}})& {8{VAR3 & VAR58}};
assign VAR68[23:16] = (VAR7[23:16] | VAR7[15:8]) & {8{VAR35 & VAR58}};
assign VAR68[31:24] = (VAR7[31:24] & {8{VAR35 & VAR58}});
always @*
begin
if (VAR12 & VAR45) VAR26 = 5'b00000;
end
else if (VAR12 & VAR13) VAR26 = VAR77 + 1;
else VAR26 = VAR77;
end
always @(posedge VAR89 or negedge VAR4)
begin
if (!VAR4) VAR77 <= 5'b0;
end
else VAR77 <= VAR26;
end
assign VAR14 = 1'b1 << VAR77;
assign VAR17 = VAR96 | VAR100;
assign VAR24[0] = (VAR14[0] );
assign VAR24[30:1] = {30{VAR17}} & VAR14[30:1];
assign VAR24[31] = (VAR14[31]);
assign VAR30 = VAR24[0] & VAR13;
assign VAR67 = VAR24[1];
assign VAR56 = VAR24[16];
assign VAR40 = VAR24[15];
assign VAR51 = VAR24[17];
assign VAR78 = VAR24[31];
assign VAR32 = VAR94[0] & VAR47[0];
assign VAR11 = VAR94[15] & VAR47[15];
assign VAR49 = VAR92[16] & VAR68[16];
assign VAR54 = VAR92[31] & VAR68[31];
assign VAR42 = VAR94[0];
assign VAR46 = VAR47[15];
assign VAR73 = VAR94[15];
assign VAR61 = VAR92[16];
assign VAR48 = VAR68[31];
assign VAR50 = VAR92[31];
assign VAR57 = VAR94[7];
assign VAR31 = VAR47[7];
assign VAR34 = VAR92[23];
assign VAR18 = VAR68[23];
always @*
begin
if (VAR97) VAR9 = 5'b0;
end
else if (VAR39) VAR9 = VAR37 + 1;
else VAR9 = VAR37;
end
always @(posedge VAR1 or negedge VAR4)
begin
if (!VAR4) VAR37 <= 5'b0;
end
else VAR37 <= VAR9;
end
assign VAR29 = (VAR95 | VAR39);
assign VAR27 = (1'b1 << VAR37);
assign VAR41 = VAR27[7];
assign VAR63 = VAR27[15];
assign VAR70 = VAR27[23];
assign VAR74 = VAR27[31];
assign VAR90 = ((VAR41 | VAR63 | VAR70 | VAR74) & VAR95);
assign VAR16 = {VAR92[31:16], VAR94[15:0]};
assign VAR71 = {VAR68[31:16], VAR47[15:0]};
assign VAR84 = {VAR24[31:0]};
wire [4:0] VAR93 = {VAR5,
(VAR101 & (VAR85[4] | VAR85[3])) |
(VAR5 & VAR85[3]) |
(VAR5 & VAR36 & VAR85[4]),
VAR85[2:0]};
wire VAR15 = VAR38 &
((VAR101 & ~(VAR85[4] & VAR85[3])) |
(VAR5 & ~(~VAR85[4] & VAR85[3])));
VAR87 VAR102
(
.VAR98 (VAR1),
.VAR59 (VAR15),
.VAR64 (VAR93),
.VAR21 ({VAR104[11], VAR104[7:0]}),
.VAR25 (VAR9),
.VAR28 (VAR79)
);
VAR81 VAR72
(
.VAR20 (VAR1),
.VAR59 (VAR15),
.VAR64 (VAR93),
.VAR21 ({10'h0, VAR33[4:0], VAR104[15:8], VAR104[11], VAR104[7:0]}),
.VAR19 (VAR89),
.VAR25 (VAR26),
.VAR28 ({VAR76, VAR103, VAR65})
);
wire [4:0] VAR2 = {VAR35,
(VAR3 & VAR85[4] & VAR85[3]) |
(VAR3 & ~VAR36 & ~VAR85[4] & VAR85[3]) |
(VAR35 & VAR85[4] & VAR85[3]), VAR85[2:0]};
wire VAR82 = VAR38 &
((VAR3 & ~(VAR85[4] & ~VAR85[3])) |
(VAR35 & (VAR85[4] | VAR85[3])));
VAR43 VAR55
(
.VAR20 (VAR1),
.VAR59 (VAR82),
.VAR64 (VAR2),
.VAR21 (VAR104[31:16]),
.VAR19 (VAR89),
.VAR25 (VAR26),
.VAR28 (VAR86)
);
assign VAR69[39:0] = {VAR103[15:8], VAR86[15:0], VAR103[7:0], VAR65[7:0]};
assign VAR80[36:0] = {VAR69[36:0]};
endmodule | gpl-3.0 |
Cosmos-OpenSSD/Cosmos-plus-OpenSSD | source/hardware/nfc-substrate/tiger4_nfc_substrate-1.0.0/EncWidthConverter16to32.v | 7,330 | module MODULE1
(
parameter VAR24 = 16,
parameter VAR27 = 32
)
(
VAR4 ,
VAR8 ,
VAR3 ,
VAR17 ,
VAR2 ,
VAR18 ,
VAR5 ,
VAR22 ,
VAR10 ,
VAR6 ,
VAR12 ,
VAR19,
VAR11 ,
VAR20
);
input VAR4 ;
input VAR8 ;
input VAR3 ;
input [1:0] VAR17 ;
input VAR2 ;
input VAR18 ;
input VAR5 ;
input [VAR24 - 1:0] VAR22 ;
output VAR10 ;
output VAR6 ;
output VAR12 ;
output VAR19;
output [VAR27 - 1:0] VAR11 ;
input VAR20 ;
reg [VAR24 - 1:0] VAR23 ;
reg [VAR24 - 1:0] VAR21 ;
reg VAR15 ;
reg VAR28 ;
reg VAR16;
localparam VAR1 = 5'b00001;
localparam VAR25 = 5'b00010;
localparam VAR13 = 5'b00100;
localparam VAR9 = 5'b01000;
localparam VAR26 = 5'b10000;
reg [4:0] VAR14;
reg [4:0] VAR7;
always @ (posedge VAR4)
if (VAR8)
VAR14 <= VAR1;
else
VAR14 <= VAR7;
always @ (*)
case (VAR14)
VAR1:
VAR7 <= (VAR2) ? VAR25 : VAR1;
VAR25:
VAR7 <= (VAR2) || ((VAR17 == 2'b10) && (VAR16)) ? VAR13 : VAR9;
VAR13:
if (VAR20)
begin
if (VAR2)
VAR7 <= VAR25;
end
else
VAR7 <= VAR1;
end
else
VAR7 <= VAR26;
VAR9:
VAR7 <= (VAR2) ? VAR13 : VAR9;
VAR26:
if (VAR20)
begin
if (VAR2)
VAR7 <= VAR25;
end
else
VAR7 <= VAR1;
end
else
VAR7 <= VAR26;
endcase
always @ (posedge VAR4)
if (VAR8)
begin
VAR21 <= 0;
VAR23 <= 0;
end
else
case (VAR7)
VAR25:
begin
VAR21 <= VAR22;
VAR23 <= 0;
end
VAR13:
begin
VAR21 <= VAR22;
VAR23 <= VAR21;
end
VAR9:
begin
VAR21 <= VAR21;
VAR23 <= VAR23;
end
VAR26:
begin
VAR21 <= VAR21;
VAR23 <= VAR23;
end
default:
begin
VAR21 <= 0;
VAR23 <= 0;
end
endcase
always @ (posedge VAR4)
if (VAR8)
VAR15 <= 0;
else
case (VAR7)
VAR13:
VAR15 <= 1'b1;
VAR26:
VAR15 <= 1'b1;
default:
VAR15 <= 1'b0;
endcase
always @ (posedge VAR4)
if (VAR8)
VAR16 <= 0;
else
if (VAR5)
VAR16 <= 1'b1;
else if (VAR16 & VAR20 & VAR6)
VAR16 <= 1'b0;
always @ (posedge VAR4)
if (VAR8)
VAR28 <= 0;
else
VAR28 <= VAR18;
assign VAR11 = {VAR23, VAR21};
assign VAR6 = VAR15;
assign VAR10 = !(VAR7 == VAR26);
assign VAR19 = (VAR17 == 2'b10) ? VAR16 & VAR20 & VAR6 :
(VAR3) ? VAR16 : VAR5;
assign VAR12 = VAR28;
endmodule | gpl-3.0 |
MarkBlanco/FPGA_Sandbox | RecComp/Lab2/Zynq_Book/hls/tut3A/matrix_mult_prj/solution5/syn/verilog/matrix_mult_mac_mdEe.v | 1,805 | module MODULE1(
input clk,
input rst,
input VAR13,
input [8 - 1:0] VAR20,
input [8 - 1:0] VAR2,
input [16 - 1:0] VAR10,
output [16 - 1:0] dout);
wire signed [25 - 1:0] VAR1;
wire signed [18 - 1:0] VAR16;
wire signed [48 - 1:0] VAR4;
wire signed [43 - 1:0] VAR14;
wire signed [48 - 1:0] VAR3;
reg signed [43 - 1:0] VAR12;
reg signed [25 - 1:0] VAR21;
reg signed [18 - 1:0] VAR7;
assign VAR1 = (VAR20);
assign VAR16 = (VAR2);
assign VAR4 = (VAR10);
assign VAR14 = VAR21 * VAR7;
assign VAR3 = VAR12 + VAR4;
always @(posedge clk) begin
if (VAR13) begin
VAR12 <= VAR14;
VAR21 <= VAR1;
VAR7 <= VAR16;
end
end
assign dout = VAR3;
endmodule
module MODULE2(
clk,
reset,
VAR13,
VAR11,
VAR17,
VAR22,
dout);
parameter VAR5 = 32'd1;
parameter VAR18 = 32'd1;
parameter VAR9 = 32'd1;
parameter VAR8 = 32'd1;
parameter VAR15 = 32'd1;
parameter VAR19 = 32'd1;
input clk;
input reset;
input VAR13;
input[VAR9 - 1:0] VAR11;
input[VAR8 - 1:0] VAR17;
input[VAR15 - 1:0] VAR22;
output[VAR19 - 1:0] dout;
MODULE1 VAR6(
.clk( clk ),
.rst( reset ),
.VAR13( VAR13 ),
.VAR20( VAR11 ),
.VAR2( VAR17 ),
.VAR10( VAR22 ),
.dout( dout ));
endmodule | mit |
fabianz66/cursos-tec | taller-digital/Lab4/lab_pong/mod_fsm_barra.v | 1,621 | module MODULE1(clk, VAR6, VAR8, VAR5, VAR11, VAR4, VAR9);
input clk, VAR6, VAR4, VAR9;
input [4:0] VAR11; output VAR8;
output reg [9:0] VAR5;
reg VAR3;
reg [2:0]state;
parameter VAR1 = 0;
parameter VAR7 = 1;
parameter VAR2 = 2;
parameter VAR10 = 429;
begin
begin
begin
begin
begin
begin
begin
end
begin | mit |
TUM-LIS/faultify | hardware/base_system/xpsLibraryPath/libFaultify/pcores/faultify_axi_wrapper_v1_00_a/hdl/verilog/pDFlipFlops.v | 23,199 | module MODULE31 (VAR33,VAR30,VAR14,VAR23);
parameter VAR26=1'b0;
output VAR33;
input VAR30;
input VAR14;
input VAR23;
wire VAR10;
VAR27 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE47 (VAR33,VAR30,VAR14,VAR23);
parameter VAR26 = 1'b0;
output VAR33;
input VAR30;
input VAR14;
input VAR23;
wire VAR39;
VAR27 #(.VAR26(VAR26)) VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE45 (VAR33,VAR30,VAR14,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
wire VAR10;
VAR28 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE9 (VAR33,VAR30,VAR14,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
wire VAR39;
VAR28 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE16 (VAR33,VAR30,VAR14,VAR35,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
wire VAR10;
VAR22 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE48 (VAR33,VAR30,VAR14,VAR35,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
wire VAR39;
VAR22 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE32 (VAR33,VAR30,VAR14,VAR35,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
wire VAR10;
VAR37 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE13 (VAR33,VAR30,VAR14,VAR35,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
wire VAR39;
VAR37 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE1 (VAR33,VAR30,VAR14,VAR35,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR3;
wire VAR10;
VAR12 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE35 (VAR33,VAR30,VAR14,VAR35,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR3;
wire VAR39;
VAR12 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE51 (VAR33,VAR30,VAR14,VAR35,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR3;
wire VAR10;
VAR24 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE50 (VAR33,VAR30,VAR14,VAR35,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR3;
wire VAR39;
VAR24 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE27 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
wire VAR10;
VAR19 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE36 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
wire VAR39;
VAR19 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE56 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
wire VAR10;
VAR20 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE17 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
wire VAR39;
VAR20 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE53 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
input VAR3;
wire VAR10;
VAR40 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE18 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
input VAR3;
wire VAR39;
VAR40 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE15 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
input VAR3;
wire VAR10;
VAR32 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE33 (VAR33,VAR30,VAR14,VAR35,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR35;
input VAR31;
input VAR3;
wire VAR39;
VAR32 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR35(VAR35),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE10 (VAR33,VAR30,VAR14,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR3;
wire VAR10;
VAR36 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE54 (VAR33,VAR30,VAR14,VAR3,VAR23);
parameter VAR26=1'b0;
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR3;
wire VAR39;
VAR36 #(.VAR26(VAR26)) VAR13 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE55 (VAR33,VAR30,VAR14,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR3;
wire VAR10;
VAR7 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE30 (VAR33,VAR30,VAR14,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR3;
wire VAR39;
VAR7 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE6 (VAR33,VAR30,VAR14,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
wire VAR10;
VAR15 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR31(VAR31));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE29 (VAR33,VAR30,VAR14,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
wire VAR39;
VAR15 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR31(VAR31));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE24 (VAR33,VAR30,VAR14,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
wire VAR10;
VAR34 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR31(VAR31));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE20 (VAR33,VAR30,VAR14,VAR31,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
wire VAR39;
VAR34 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR31(VAR31));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE26 (VAR33,VAR30,VAR14,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
input VAR3;
wire VAR10;
VAR2 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE38 (VAR33,VAR30,VAR14,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
input VAR3;
wire VAR39;
VAR2 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE23 (VAR33,VAR30,VAR14,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
input VAR3;
wire VAR10;
VAR17 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE44 (VAR33,VAR30,VAR14,VAR31,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR31;
input VAR3;
wire VAR39;
VAR17 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR31(VAR31),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE11 (VAR33,VAR30,VAR14,VAR25,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
wire VAR10;
VAR8 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE28 (VAR33,VAR30,VAR14,VAR25,VAR23);
parameter VAR26=1'b0;
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
wire VAR39;
VAR8 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE21 (VAR33,VAR30,VAR14,VAR25,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
wire VAR10;
VAR41 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE52 (VAR33,VAR30,VAR14,VAR25,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
wire VAR39;
VAR41 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE34 (VAR33,VAR30,VAR14,VAR25,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR3;
wire VAR10;
VAR42 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE42 (VAR33,VAR30,VAR14,VAR25,VAR3,VAR23);
parameter VAR26=1'b0;
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR3;
wire VAR39;
VAR42 #(.VAR26(VAR26)) VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE3 (VAR33,VAR30,VAR14,VAR25,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR3;
wire VAR10;
VAR18 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE39 (VAR33,VAR30,VAR14,VAR25,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR3;
wire VAR39;
VAR18 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE19 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
wire VAR10;
VAR38 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE4 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
wire VAR39;
VAR38 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE25 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
wire VAR10;
VAR16 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE8 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
wire VAR39;
VAR16 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE43 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
input VAR3;
wire VAR10;
VAR9 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE41 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
input VAR3;
wire VAR39;
VAR9 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE37 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
input VAR3;
wire VAR10;
VAR6 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE14 (VAR33,VAR30,VAR14,VAR25,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR25;
input VAR21;
input VAR3;
wire VAR39;
VAR6 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR25(VAR25),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE12 (VAR33,VAR30,VAR14,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
wire VAR10;
VAR1 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR21(VAR21));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE7 (VAR33,VAR30,VAR14,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
wire VAR39;
VAR1 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR21(VAR21));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE49 (VAR33,VAR30,VAR14,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
wire VAR10;
VAR11 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR21(VAR21));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE2 (VAR33,VAR30,VAR14,VAR21,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
wire VAR39;
VAR11 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR21(VAR21));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE40 (VAR33,VAR30,VAR14,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
input VAR3;
wire VAR10;
VAR29 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE46 (VAR33,VAR30,VAR14,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
input VAR3;
wire VAR39;
VAR29 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule
module MODULE5 (VAR33,VAR30,VAR14,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
input VAR3;
wire VAR10;
VAR5 VAR4 (.VAR33(VAR33),.VAR30(VAR10),.VAR14(VAR14),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR10,VAR30,VAR23);
endmodule
module MODULE22 (VAR33,VAR30,VAR14,VAR21,VAR3,VAR23);
output VAR33;
input VAR30;
input VAR14;
input VAR23;
input VAR21;
input VAR3;
wire VAR39;
VAR5 VAR4 (.VAR33(VAR39),.VAR30(VAR30),.VAR14(VAR14),.VAR21(VAR21),.VAR3(VAR3));
xor (VAR33,VAR39,VAR23);
endmodule | gpl-2.0 |
P3Stor/P3Stor | ftl/Dynamic_Controller/ipcore_dir/clk_wiz_v3_3/example_design/clk_wiz_v3_3_exdes.v | 4,761 | module MODULE1
parameter VAR11 = 100
)
( input VAR5,
input VAR3,
output VAR1,
input VAR2,
output VAR13
);
localparam VAR10 = 16;
wire VAR7 = !VAR13 || VAR2 || VAR3;
reg VAR8;
reg VAR6;
reg VAR4;
reg VAR14;
wire VAR16;
wire clk;
reg [VAR10-1:0] counter;
VAR9 VAR12
( .VAR5 (VAR5),
.VAR15 (VAR16),
.VAR2 (VAR2),
.VAR13 (VAR13));
assign clk = VAR16;
always @(posedge VAR7 or posedge clk) begin
if (VAR7) begin
VAR8 <= 1'b1;
VAR6 <= 1'b1;
VAR4 <= 1'b1;
VAR14 <= 1'b1;
end
else begin
VAR8 <= 1'b0;
VAR6 <= VAR8;
VAR4 <= VAR6;
VAR14 <= VAR4;
end
end
always @(posedge clk or posedge VAR14) begin
if (VAR14) begin
end else begin
end
end
assign VAR1 = counter[VAR10-1];
endmodule | gpl-2.0 |
abjordan/RECON2014 | wishbone_uart_tx/tx_port2.v | 1,629 | module MODULE1(
input wire VAR23,
input wire VAR12,
output reg VAR1,
input wire [7:0] VAR8,
input wire [3:0] VAR11,
output reg [7:0] VAR13,
input wire VAR7,
input wire VAR5,
input wire [5:0] VAR14,
output wire [5:0] VAR22,
output wire [5:0] VAR19,
input wire VAR21,
input wire VAR20,
input wire VAR17,
input wire VAR9);
reg [7:0] VAR2;
reg VAR10;
wire VAR4;
reg VAR18;
wire dout;
assign VAR19 = 6'b111111;
assign VAR22 = {VAR23, VAR1, VAR7, VAR4, VAR10, dout};
VAR16 VAR3(
.clk(VAR23),
.rst(VAR12),
.en(VAR10),
.VAR15(VAR2),
.VAR6(VAR4),
.dout(dout));
always @ (posedge VAR23)
begin
if(VAR12)
begin
VAR18 <= 1'd1;
VAR2 <= 8'd0;
VAR10 <= 1'd0;
end
else
begin
VAR1 <= 1'b0;
VAR13 <= 8'd0;
VAR10 <= 1'b0;
VAR2 <= VAR2;
if(VAR7)
begin
case(VAR11)
begin
if(VAR5) begin
VAR10 <= VAR8[0];
VAR1 <= 1'b1;
end
else begin
VAR13[0] <= VAR4;
VAR1 <= 1'b1;
end
end
begin
if(VAR5) begin
VAR2 <= VAR8;
VAR1 <= 1'b1;
end
else begin
VAR13 <= VAR2;
VAR1 <= 1'b1;
end
end
endcase
end
end
end
endmodule | apache-2.0 |
sh-chris110/chris | FPGA/HPS.bak/db/ip/hps_design/hps_design.v | 30,035 | module MODULE1 (
input wire VAR28, output wire [14:0] VAR55, output wire [2:0] VAR73, output wire VAR13, output wire VAR167, output wire VAR166, output wire VAR193, output wire VAR182, output wire VAR196, output wire VAR106, output wire VAR89, inout wire [31:0] VAR34, inout wire [3:0] VAR129, inout wire [3:0] VAR122, output wire VAR141, output wire [3:0] VAR217, input wire VAR58, inout wire VAR47, inout wire VAR69, inout wire VAR214, inout wire VAR161, inout wire VAR118, inout wire VAR33, inout wire VAR188, output wire VAR149, output wire VAR127, input wire VAR110 );
wire VAR114; wire [1:0] VAR44; wire [3:0] VAR163; wire [3:0] VAR102; wire VAR202; wire [11:0] VAR169; wire VAR152; wire [3:0] VAR221; wire [11:0] VAR171; wire [3:0] VAR92; wire VAR116; wire [20:0] VAR143; wire [2:0] VAR14; wire [2:0] VAR10; wire [31:0] VAR216; wire VAR93; wire [3:0] VAR168; wire [11:0] VAR43; wire [1:0] VAR170; wire [1:0] VAR95; wire [20:0] VAR27; wire [1:0] VAR146; wire VAR173; wire [31:0] VAR91; wire VAR71; wire [1:0] VAR9; wire [2:0] VAR180; wire VAR72; wire VAR176; wire VAR35; wire [1:0] VAR153; wire [11:0] VAR157; wire [11:0] VAR156; wire VAR124; wire [2:0] VAR104; wire VAR200; wire VAR2; wire VAR5; wire [31:0] VAR121; wire [1:0] VAR41; wire VAR6; wire [31:0] VAR74; wire VAR101; wire VAR222; wire VAR75;
VAR219 VAR212 (
.clk (VAR114), .VAR109 (~VAR101), .address (VAR41), .VAR151 (~VAR6), .VAR113 (VAR74), .VAR40 (VAR5), .VAR36 (VAR121), .VAR158 (VAR149) );
VAR31 VAR65 (
.VAR98 (VAR28), .rst (~VAR110), .VAR62 (VAR114), .VAR86 (), .VAR187 (VAR127), .VAR209 () );
VAR1 #(
.VAR68 (0),
.VAR84 (0)
) VAR126 (
.VAR136 (VAR55), .VAR67 (VAR73), .VAR59 (VAR13), .VAR19 (VAR167), .VAR46 (VAR166), .VAR80 (VAR193), .VAR119 (VAR182), .VAR184 (VAR196), .VAR39 (VAR106), .VAR134 (VAR89), .VAR18 (VAR34), .VAR206 (VAR129), .VAR100 (VAR122), .VAR150 (VAR141), .VAR138 (VAR217), .VAR76 (VAR58), .VAR112 (VAR47), .VAR8 (VAR69), .VAR15 (VAR214), .VAR81 (VAR161), .VAR45 (VAR118), .VAR90 (VAR33), .VAR220 (VAR188), .VAR87 (VAR75), .VAR215 (VAR114), .VAR105 (VAR157), .VAR197 (VAR27), .VAR52 (VAR221), .VAR49 (VAR104), .VAR211 (VAR44), .VAR223 (VAR95), .VAR25 (VAR168), .VAR191 (VAR10), .VAR115 (VAR200), .VAR164 (VAR71), .VAR20 (VAR171), .VAR195 (VAR216), .VAR177 (VAR102), .VAR128 (VAR35), .VAR203 (VAR116), .VAR53 (VAR202), .VAR125 (VAR156), .VAR70 (VAR146), .VAR79 (VAR124), .VAR181 (VAR72), .VAR83 (VAR43), .VAR172 (VAR143), .VAR61 (VAR163), .VAR22 (VAR180), .VAR21 (VAR9), .VAR94 (VAR170), .VAR51 (VAR92), .VAR207 (VAR14), .VAR63 (VAR93), .VAR32 (VAR173), .VAR147 (VAR169), .VAR179 (VAR91), .VAR64 (VAR153), .VAR142 (VAR176), .VAR50 (VAR2), .VAR7 (VAR152) );
VAR29 VAR218 (
.VAR157 (VAR157), .VAR27 (VAR27), .VAR221 (VAR221), .VAR104 (VAR104), .VAR44 (VAR44), .VAR95 (VAR95), .VAR168 (VAR168), .VAR10 (VAR10), .VAR200 (VAR200), .VAR71 (VAR71), .VAR171 (VAR171), .VAR216 (VAR216), .VAR102 (VAR102), .VAR35 (VAR35), .VAR116 (VAR116), .VAR202 (VAR202), .VAR156 (VAR156), .VAR146 (VAR146), .VAR124 (VAR124), .VAR72 (VAR72), .VAR43 (VAR43), .VAR143 (VAR143), .VAR163 (VAR163), .VAR180 (VAR180), .VAR9 (VAR9), .VAR170 (VAR170), .VAR92 (VAR92), .VAR14 (VAR14), .VAR93 (VAR93), .VAR173 (VAR173), .VAR169 (VAR169), .VAR91 (VAR91), .VAR153 (VAR153), .VAR176 (VAR176), .VAR2 (VAR2), .VAR152 (VAR152), .VAR114 (VAR114), .VAR174 (VAR101), .VAR37 (VAR222), .VAR185 (VAR41), .VAR60 (VAR6), .VAR88 (VAR121), .VAR30 (VAR74), .VAR12 (VAR5) );
VAR132 #(
.VAR96 (1),
.VAR135 ("VAR162"),
.VAR107 (2),
.VAR194 (0),
.VAR144 (1),
.VAR111 (3),
.VAR198 (1),
.VAR16 (0),
.VAR133 (0),
.VAR78 (0),
.VAR213 (0),
.VAR85 (0),
.VAR178 (0),
.VAR57 (0),
.VAR26 (0),
.VAR145 (0),
.VAR4 (0),
.VAR108 (0),
.VAR42 (0),
.VAR139 (0),
.VAR17 (0),
.VAR154 (0),
.VAR120 (0),
.VAR155 (0)
) VAR159 (
.VAR38 (~VAR110), .clk (VAR114), .VAR189 (VAR101), .VAR54 (), .VAR175 (1'b0), .VAR137 (1'b0), .VAR99 (1'b0), .VAR199 (1'b0), .VAR186 (1'b0), .VAR165 (1'b0), .VAR130 (1'b0), .VAR208 (1'b0), .VAR205 (1'b0), .VAR117 (1'b0), .VAR160 (1'b0), .VAR123 (1'b0), .VAR3 (1'b0), .VAR24 (1'b0), .VAR56 (1'b0), .VAR183 (1'b0), .VAR23 (1'b0), .VAR66 (1'b0), .VAR201 (1'b0), .VAR77 (1'b0), .VAR82 (1'b0), .VAR204 (1'b0), .VAR192 (1'b0), .VAR131 (1'b0), .VAR11 (1'b0), .VAR140 (1'b0), .VAR48 (1'b0), .VAR210 (1'b0), .VAR97 (1'b0), .VAR103 (1'b0), .VAR148 (1'b0) );
VAR132 #(
.VAR96 (1),
.VAR135 ("VAR162"),
.VAR107 (2),
.VAR194 (0),
.VAR144 (1),
.VAR111 (3),
.VAR198 (1),
.VAR16 (0),
.VAR133 (0),
.VAR78 (0),
.VAR213 (0),
.VAR85 (0),
.VAR178 (0),
.VAR57 (0),
.VAR26 (0),
.VAR145 (0),
.VAR4 (0),
.VAR108 (0),
.VAR42 (0),
.VAR139 (0),
.VAR17 (0),
.VAR154 (0),
.VAR120 (0),
.VAR155 (0)
) VAR190 (
.VAR38 (~VAR75), .clk (VAR114), .VAR189 (VAR222), .VAR54 (), .VAR175 (1'b0), .VAR137 (1'b0), .VAR99 (1'b0), .VAR199 (1'b0), .VAR186 (1'b0), .VAR165 (1'b0), .VAR130 (1'b0), .VAR208 (1'b0), .VAR205 (1'b0), .VAR117 (1'b0), .VAR160 (1'b0), .VAR123 (1'b0), .VAR3 (1'b0), .VAR24 (1'b0), .VAR56 (1'b0), .VAR183 (1'b0), .VAR23 (1'b0), .VAR66 (1'b0), .VAR201 (1'b0), .VAR77 (1'b0), .VAR82 (1'b0), .VAR204 (1'b0), .VAR192 (1'b0), .VAR131 (1'b0), .VAR11 (1'b0), .VAR140 (1'b0), .VAR48 (1'b0), .VAR210 (1'b0), .VAR97 (1'b0), .VAR103 (1'b0), .VAR148 (1'b0) );
endmodule | gpl-2.0 |
hoglet67/CoPro6502 | src/m32632/ALIGNER.v | 12,120 | module MODULE1 ( VAR7, VAR47, VAR24, VAR8, VAR57 );
input [3:0] VAR7; input [63:0] VAR47;
input [1:0] VAR24;
output [31:0] VAR8;
output [3:0] VAR57;
reg [3:0] VAR57;
reg [7:0] VAR27,VAR51,VAR54,VAR31;
wire VAR42;
assign VAR42 = (VAR24 == 2'b11) & (VAR7[3:2] == 2'b00);
always @(VAR47 or VAR42 or VAR7)
case (VAR7[1:0])
2'b00 : VAR27 = VAR42 ? VAR47[7:0] : VAR47[39:32];
2'b01 : VAR27 = VAR7[3] ? VAR47[63:56] : VAR47[31:24];
2'b10 : VAR27 = VAR7[3] ? VAR47[55:48] : VAR47[23:16];
2'b11 : VAR27 = VAR7[3] ? VAR47[47:40] : VAR47[15:8];
endcase
always @(VAR47 or VAR42 or VAR7)
case (VAR7[1:0])
2'b00 : VAR51 = VAR42 ? VAR47[15:8] : VAR47[47:40];
2'b01 : VAR51 = VAR42 ? VAR47[7:0] : VAR47[39:32];
2'b10 : VAR51 = VAR7[3] ? VAR47[63:56] : VAR47[31:24];
2'b11 : VAR51 = VAR7[3] ? VAR47[55:48] : VAR47[23:16];
endcase
always @(VAR47 or VAR42 or VAR7)
case (VAR7[1:0])
2'b00 : VAR54 = VAR42 ? VAR47[23:16] : VAR47[55:48];
2'b01 : VAR54 = VAR42 ? VAR47[15:8] : VAR47[47:40];
2'b10 : VAR54 = VAR42 ? VAR47[7:0] : VAR47[39:32];
2'b11 : VAR54 = VAR7[3] ? VAR47[63:56] : VAR47[31:24];
endcase
always @(VAR47 or VAR42 or VAR7)
case (VAR7[1:0])
2'b00 : VAR31 = VAR42 ? VAR47[31:24] : VAR47[63:56];
2'b01 : VAR31 = VAR42 ? VAR47[23:16] : VAR47[55:48];
2'b10 : VAR31 = VAR42 ? VAR47[15:8] : VAR47[47:40];
2'b11 : VAR31 = VAR42 ? VAR47[7:0] : VAR47[39:32];
endcase
assign VAR8 = {VAR31,VAR54,VAR51,VAR27};
always @(VAR24 or VAR7)
casex ({VAR24,VAR7})
6'VAR58 : VAR57 = 4'b0001; 6'VAR45 : VAR57 = 4'b0010;
6'VAR28 : VAR57 = 4'b0100;
6'VAR3 : VAR57 = 4'b1000;
6'VAR6 : VAR57 = 4'b0011; 6'VAR18 : VAR57 = 4'b0110;
6'VAR32 : VAR57 = 4'b1100;
6'VAR39 : VAR57 = 4'b1000;
6'VAR16 : VAR57 = 4'b0001;
6'VAR59 : VAR57 = 4'b1111; 6'b110001 : VAR57 = 4'b1110;
6'b110101 : VAR57 = 4'b1111;
6'VAR22 : VAR57 = 4'b0001;
6'b110010 : VAR57 = 4'b1100;
6'b110110 : VAR57 = 4'b1111;
6'VAR46 : VAR57 = 4'b0011;
6'b110011 : VAR57 = 4'b1000;
6'b110111 : VAR57 = 4'b1111;
6'VAR56 : VAR57 = 4'b0111;
6'VAR4 : VAR57 = 4'b1111; 6'VAR37 : VAR57 = 4'b1110;
6'VAR35 : VAR57 = 4'b0001;
6'VAR52 : VAR57 = 4'b1100;
6'VAR34 : VAR57 = 4'b0011;
6'VAR21 : VAR57 = 4'b1000;
6'VAR2 : VAR57 = 4'b0111;
endcase
endmodule
module MODULE2 ( VAR12, VAR55, VAR7, VAR24, VAR26, VAR9, VAR36, VAR43, VAR53 );
input VAR12;
input VAR55;
input [3:0] VAR7; input [1:0] VAR24;
input VAR26;
input [31:0] VAR9;
input VAR36;
output [31:0] VAR43;
output reg VAR53;
reg [6:0] enable;
reg [7:0] VAR13,VAR44,VAR11,VAR25,VAR30,VAR10,VAR17;
reg [7:0] VAR15,VAR48,VAR41,VAR5;
always @(VAR55 or VAR24 or VAR7)
casex ({VAR55,VAR24,VAR7})
7'VAR1 : enable = 7'b0001111;
7'VAR49 : enable = 7'b0010000;
7'VAR33 : enable = 7'b1110000;
7'VAR23 : enable = 7'b0110000;
7'VAR50 : enable = 7'b0010000;
7'b1110001 : enable = 7'b0000111; 7'b1110101 : enable = 7'b1111000;
7'b1110010 : enable = 7'b0000011;
7'b1110110 : enable = 7'b0111100;
7'b1110011 : enable = 7'b0000001;
7'b1110111 : enable = 7'b0011110;
default : enable = 7'b0000000;
endcase
always @(posedge VAR12)
if (enable[0])
case (VAR7[1:0])
2'b01 : VAR13 <= VAR9[15:8];
2'b10 : VAR13 <= VAR9[23:16];
2'b11 : VAR13 <= VAR9[31:24];
default : VAR13 <= VAR9[7:0];
endcase
always @(posedge VAR12)
if (enable[1])
case (VAR7[1:0])
2'b01 : VAR44 <= VAR9[23:16];
2'b10 : VAR44 <= VAR9[31:24];
2'b11 : VAR44 <= VAR9[7:0];
default : VAR44 <= VAR9[15:8];
endcase
always @(posedge VAR12)
if (enable[2])
case (VAR7[1:0])
2'b01 : VAR11 <= VAR9[31:24];
2'b10 : VAR11 <= VAR9[7:0];
2'b11 : VAR11 <= VAR9[15:8];
default : VAR11 <= VAR9[23:16];
endcase
always @(posedge VAR12)
if (enable[3])
case (VAR7[1:0])
2'b01 : VAR25 <= VAR9[7:0];
2'b10 : VAR25 <= VAR9[15:8];
2'b11 : VAR25 <= VAR9[23:16];
default : VAR25 <= VAR9[31:24];
endcase
always @(posedge VAR12)
if (enable[4])
case (VAR7[1:0])
2'b01 : VAR30 <= VAR9[15:8];
2'b10 : VAR30 <= VAR9[23:16];
2'b11 : VAR30 <= VAR9[31:24];
default : VAR30 <= VAR30;
endcase
always @(posedge VAR12) if (enable[5]) VAR10 <= VAR7[1] ? VAR9[31:24] : VAR9[23:16];
always @(posedge VAR12) if (enable[6]) VAR17 <= VAR9[31:24];
always @(VAR24 or VAR7 or VAR9 or VAR13 or VAR30)
casex ({VAR24,VAR7[3],VAR7[1:0]})
5'VAR20 : VAR15 = VAR9[15:8];
5'VAR38 : VAR15 = VAR9[23:16];
5'b00011 : VAR15 = VAR9[31:24];
5'b01111 : VAR15 = VAR30;
5'VAR19 : VAR15 = VAR30;
5'VAR40 : VAR15 = VAR30;
default : VAR15 = VAR9[7:0];
endcase
always @(VAR24 or VAR7 or VAR9 or VAR44 or VAR10)
casex ({VAR24,VAR7[3],VAR7[1:0]})
5'b01001 : VAR48 = VAR9[23:16];
5'b01010 : VAR48 = VAR9[31:24];
5'VAR29 : VAR48 = VAR9[7:0];
5'VAR19 : VAR48 = VAR10;
5'VAR14 : VAR48 = VAR10;
default : VAR48 = VAR9[15:8];
endcase
always @(VAR24 or VAR7 or VAR9 or VAR11 or VAR17)
case ({VAR24[1],VAR7[3],VAR7[1:0]})
4'b1101 : VAR41 = VAR17;
4'b1110 : VAR41 = VAR9[7:0];
4'b1111 : VAR41 = VAR9[15:8];
default : VAR41 = VAR9[23:16];
endcase
always @(VAR24 or VAR7 or VAR9 or VAR25)
case ({VAR24[1],VAR7[3],VAR7[1:0]})
4'b1101 : VAR5 = VAR9[7:0];
4'b1110 : VAR5 = VAR9[15:8];
4'b1111 : VAR5 = VAR9[23:16];
default : VAR5 = VAR9[31:24];
endcase
assign VAR43 = VAR26 ? {VAR25,VAR11,VAR44,VAR13} : {VAR5,VAR41,VAR48,VAR15};
always @(posedge VAR12) VAR53 <= VAR55 & ~VAR36 & (VAR24 == 2'b11) & VAR7[3];
endmodule | gpl-3.0 |
fpgasystems/caribou | hw/src/regex/rem_decoder.v | 3,981 | module MODULE1 #(parameter VAR30=16, VAR20=0)
(
clk,
rst, VAR33,
VAR10, VAR26, VAR25, VAR24,
VAR21,
VAR27,
VAR16,
VAR19,
VAR9, VAR31, VAR22 );
input clk;
input rst;
input VAR33;
input [VAR30*8-1:0] VAR10;
input [(VAR30/2)-1:0] VAR26;
input [VAR30-1:0] VAR25;
input VAR24;
input VAR21;
input [7:0] VAR27;
input VAR16;
output reg VAR19;
output reg [VAR30-1:0] VAR9;
output reg [15:0] VAR31;
output reg VAR22;
reg [15:0] VAR3;
reg VAR4;
reg [7:0] VAR2;
reg VAR5;
wire [VAR30:0] VAR6;
wire [VAR30-1:0] VAR29;
assign VAR6[0] = 0;
genvar VAR7;
generate
for (VAR7=0; VAR7 < VAR30; VAR7=VAR7+2)
begin: VAR1
VAR14 #(.VAR13(0)) VAR11 (
.clk(clk),
.rst(rst),
.VAR33(VAR33),
.VAR8(VAR10[VAR7*8+7:VAR7*8]),
.VAR15(VAR25[VAR7]),
.VAR17(1'b0),
.VAR24(VAR24),
.VAR27(VAR27),
.VAR23(VAR6[VAR7]),
.VAR28(VAR6[VAR7+1]),
.VAR18(),
.VAR12(VAR29[VAR7])
);
VAR14 #(.VAR13(1)) VAR32 (
.clk(clk),
.rst(rst),
.VAR33(VAR33),
.VAR8(VAR10[(VAR7+1)*8+7:(VAR7+1)*8]),
.VAR15(VAR25[(VAR7+1)]),
.VAR17(VAR26[(VAR7+1)/2]),
.VAR24(VAR24),
.VAR27(VAR27),
.VAR23(VAR6[(VAR7+1)]),
.VAR28(VAR6[(VAR7+1)+1]),
.VAR18(VAR29[VAR7]),
.VAR12()
);
end
endgenerate
always @(posedge clk)
begin
if (rst) begin
VAR19 <= 0;
VAR4 <= 0;
VAR5 <= 0;
VAR3 <= 0;
end
else begin
VAR4 <= VAR24;
VAR2 <= VAR27;
VAR5 <= VAR21;
if (VAR4) begin
VAR3 <= VAR3+1;
if (VAR5==1) VAR3 <= 0;
end
VAR19 <= VAR4;
VAR9 <= VAR6[VAR30:1];
VAR22 <= VAR5; VAR31 <= VAR3;
if (VAR16==1) begin
VAR3 <= 0;
end
end
end
endmodule | gpl-3.0 |
AEW2015/PYNQ_PR_Overlay | Pynq-Z1/vivado/ip/Pmods/PmodGPIO_v1_0/src/PmodGPIO.v | 9,725 | module MODULE1
(VAR34,
VAR102,
VAR148,
VAR61,
VAR37,
VAR93,
VAR57,
VAR160,
VAR15,
VAR88,
VAR96,
VAR147,
VAR30,
VAR46,
VAR69,
VAR91,
VAR104,
VAR131,
VAR47,
VAR162,
VAR27,
VAR78,
VAR33,
VAR2,
VAR156,
VAR25,
VAR116,
VAR13,
VAR64,
VAR111,
VAR142,
VAR146,
VAR143,
VAR41,
VAR77,
VAR100,
VAR109,
VAR87,
VAR39,
VAR95,
VAR1,
VAR86,
VAR70);
input [8:0]VAR34;
output VAR102;
input VAR148;
input [8:0]VAR61;
output VAR37;
input VAR93;
input VAR57;
output [1:0]VAR160;
output VAR15;
output [31:0]VAR88;
input VAR96;
output [1:0]VAR147;
output VAR30;
input [31:0]VAR46;
output VAR69;
input [3:0]VAR91;
input VAR104;
input VAR131;
output VAR47;
output VAR162;
input VAR27;
output VAR78;
output VAR33;
input VAR2;
output VAR156;
output VAR25;
input VAR116;
output VAR13;
output VAR64;
input VAR111;
output VAR142;
output VAR146;
input VAR143;
output VAR41;
output VAR77;
input VAR100;
output VAR109;
output VAR87;
input VAR39;
output VAR95;
output VAR1;
input VAR86;
input VAR70;
wire [8:0]VAR54;
wire VAR105;
wire VAR154;
wire [8:0]VAR11;
wire VAR124;
wire VAR133;
wire VAR151;
wire [1:0]VAR9;
wire VAR139;
wire [31:0]VAR92;
wire VAR67;
wire [1:0]VAR43;
wire VAR84;
wire [31:0]VAR32;
wire VAR112;
wire [3:0]VAR62;
wire VAR141;
wire [7:0]VAR6;
wire [7:0]VAR153;
wire VAR118;
wire VAR137;
wire VAR4;
wire VAR53;
wire VAR119;
wire VAR135;
wire VAR72;
wire VAR97;
wire VAR14;
wire VAR18;
wire VAR98;
wire VAR129;
wire VAR58;
wire VAR140;
wire VAR145;
wire VAR60;
wire VAR108;
wire VAR31;
wire VAR8;
wire VAR150;
wire VAR48;
wire VAR161;
wire VAR63;
wire VAR94;
wire [3:0]VAR76;
wire [3:0]VAR29;
wire VAR35;
wire VAR117;
wire [7:0]VAR19;
wire [3:0]VAR136;
wire [3:0]VAR52;
wire [3:0]VAR138;
wire [3:0]VAR55;
assign VAR102 = VAR105;
assign VAR37 = VAR124;
assign VAR160[1:0] = VAR9;
assign VAR15 = VAR139;
assign VAR88[31:0] = VAR92;
assign VAR147[1:0] = VAR43;
assign VAR30 = VAR84;
assign VAR69 = VAR112;
assign VAR47 = VAR137;
assign VAR162 = VAR4;
assign VAR78 = VAR119;
assign VAR33 = VAR135;
assign VAR156 = VAR97;
assign VAR25 = VAR14;
assign VAR13 = VAR98;
assign VAR64 = VAR129;
assign VAR142 = VAR140;
assign VAR146 = VAR145;
assign VAR41 = VAR108;
assign VAR77 = VAR31;
assign VAR109 = VAR150;
assign VAR87 = VAR48;
assign VAR95 = VAR63;
assign VAR1 = VAR94;
assign VAR54 = VAR34[8:0];
assign VAR154 = VAR148;
assign VAR11 = VAR61[8:0];
assign VAR133 = VAR93;
assign VAR151 = VAR57;
assign VAR67 = VAR96;
assign VAR32 = VAR46[31:0];
assign VAR62 = VAR91[3:0];
assign VAR141 = VAR104;
assign VAR118 = VAR131;
assign VAR53 = VAR27;
assign VAR72 = VAR2;
assign VAR18 = VAR116;
assign VAR58 = VAR111;
assign VAR60 = VAR143;
assign VAR8 = VAR100;
assign VAR161 = VAR39;
assign VAR35 = VAR86;
assign VAR117 = VAR70;
VAR44 VAR73
(.VAR42(VAR19),
.VAR23(VAR6),
.VAR3(VAR153),
.VAR86(VAR35),
.VAR103(VAR54),
.VAR70(VAR117),
.VAR17(VAR105),
.VAR20(VAR154),
.VAR107(VAR11),
.VAR127(VAR124),
.VAR81(VAR133),
.VAR66(VAR151),
.VAR7(VAR9),
.VAR26(VAR139),
.VAR163(VAR92),
.VAR10(VAR67),
.VAR56(VAR43),
.VAR89(VAR84),
.VAR24(VAR32),
.VAR128(VAR112),
.VAR120(VAR62),
.VAR149(VAR141));
VAR123 VAR75
(.VAR125(VAR76),
.VAR132(VAR52),
.VAR79(VAR55),
.VAR68(VAR29),
.VAR122(VAR136),
.VAR121(VAR138),
.VAR12(VAR53),
.VAR157(VAR119),
.VAR5(VAR135),
.VAR85(VAR72),
.VAR65(VAR97),
.VAR159(VAR14),
.VAR22(VAR18),
.VAR90(VAR98),
.VAR82(VAR129),
.VAR114(VAR58),
.VAR152(VAR140),
.VAR130(VAR145),
.VAR45(VAR60),
.VAR126(VAR108),
.VAR36(VAR31),
.VAR83(VAR8),
.VAR16(VAR150),
.VAR158(VAR48),
.VAR110(VAR161),
.VAR134(VAR63),
.VAR40(VAR94),
.VAR74(VAR118),
.VAR21(VAR137),
.VAR49(VAR4));
VAR106 VAR115
(.VAR71(VAR29),
.VAR38(VAR76),
.dout(VAR19));
VAR59 VAR80
(.VAR155(VAR6),
.VAR50(VAR136));
VAR101 VAR28
(.VAR155(VAR6),
.VAR50(VAR52));
VAR51 VAR99
(.VAR155(VAR153),
.VAR50(VAR138));
VAR144 VAR113
(.VAR155(VAR153),
.VAR50(VAR55));
endmodule | bsd-3-clause |
Murailab-arch/magukara | cores/fifo72togmii/rtl/fifo72togmii.v | 1,908 | module MODULE1 (
input VAR7,
input [71:0] dout,
input VAR6,
output reg VAR5,
output VAR4,
input VAR1,
output VAR2,
output [7:0] VAR3
);
assign VAR4 = VAR1;
reg [2:0] VAR9 = 3'h0;
reg [7:0] VAR8;
reg VAR10;
always @(posedge VAR1) begin
if (VAR7) begin
VAR9 <= 3'h0;
end else begin
VAR10 <= 1'b0;
if (VAR5 == 1'b1 || VAR9 != 3'h0) begin
VAR5 <= 1'b0;
VAR9 <= VAR9 + 3'h1;
case (VAR9)
3'h0: begin
VAR8 <= dout[ 7: 0];
if (dout[64] == 1'b1)
VAR10 <= 1'b1;
end
else
VAR9 <= 4'h0;
end
3'h1: begin
VAR8 <= dout[15: 8];
if (dout[65] == 1'b1)
VAR10 <= 1'b1;
end
else
VAR9 <= 4'h0;
end
3'h2: begin
VAR8 <= dout[23:16];
if (dout[66] == 1'b1)
VAR10 <= 1'b1;
end
else
VAR9 <= 4'h0;
end
3'h3: begin
VAR8 <= dout[31:24];
if (dout[67] == 1'b1)
VAR10 <= 1'b1;
end
else
VAR9 <= 4'h0;
end
3'h4: begin
VAR8 <= dout[39:32];
if (dout[68] == 1'b1)
VAR10 <= 1'b1;
end
else
VAR9 <= 4'h0;
end
3'h5: begin
VAR8 <= dout[47:40];
if (dout[69] == 1'b1)
VAR10 <= 1'b1;
end
else
VAR9 <= 4'h0;
end
3'h6: begin
VAR8 <= dout[55:48];
if (dout[70] == 1'b1) begin
VAR10 <= 1'b1;
end else
VAR9 <= 4'h0;
end
3'h7: begin
VAR8 <= dout[63:56];
if (dout[71] == 1'b1) begin
VAR10 <= 1'b1;
VAR5 <= ~VAR6;
end else
VAR9 <= 4'h0;
end
endcase
end else begin
VAR9 <= 3'h0;
VAR5 <= ~VAR6;
end
end
end
assign VAR2 = VAR10;
assign VAR3 = VAR8;
endmodule | gpl-3.0 |
tloinuy/opencpi-opencv | opencpi/components/wbr.hdl/mkRcvrWorker.v | 46,269 | module MODULE1(VAR189,
VAR346,
VAR3,
VAR153,
VAR311,
VAR179,
VAR15,
VAR23,
VAR2,
VAR350,
VAR300,
VAR36,
VAR320);
input VAR189;
input VAR346;
input VAR3;
input VAR153;
input [52 : 0] VAR311;
output [33 : 0] VAR179;
output VAR15;
output [1 : 0] VAR23;
input [1 : 0] VAR2;
input [35 : 0] VAR350;
output VAR300;
output [49 : 0] VAR36;
input VAR320;
wire [49 : 0] VAR36;
wire [33 : 0] VAR179;
wire [1 : 0] VAR23;
wire VAR300, VAR15;
wire [52 : 0] VAR201;
wire [49 : 0] VAR212;
wire [45 : 0] VAR204;
wire [35 : 0] VAR147;
wire [33 : 0] VAR198;
wire [19 : 0] VAR226;
wire [2 : 0] VAR27;
wire VAR268,
VAR340,
VAR318,
VAR140,
VAR291,
VAR345,
VAR116,
VAR247,
VAR138,
VAR94,
VAR178,
VAR322,
VAR242,
VAR21,
VAR18,
VAR110,
VAR323,
VAR65,
VAR111,
VAR93,
VAR223,
VAR9,
VAR43,
VAR306,
VAR249,
VAR92,
VAR78,
VAR164,
VAR234,
VAR13;
reg VAR83;
wire VAR181, VAR321;
reg [45 : 0] VAR11;
wire [45 : 0] VAR238;
wire VAR237;
reg [1 : 0] VAR91;
wire [1 : 0] VAR117;
wire VAR82;
reg [19 : 0] VAR303;
wire [19 : 0] VAR166;
wire VAR225;
reg [19 : 0] VAR288;
wire [19 : 0] VAR190;
wire VAR89;
reg [2 : 0] VAR42;
wire [2 : 0] VAR213;
wire VAR254;
reg [2 : 0] VAR335;
wire [2 : 0] VAR70;
wire VAR248;
reg VAR156;
wire VAR257, VAR319;
reg VAR90;
wire VAR338, VAR250;
reg VAR180;
wire VAR104, VAR272;
reg VAR40;
wire VAR71, VAR66;
reg [2 : 0] VAR297;
reg [2 : 0] VAR103;
wire VAR260;
reg [1 : 0] VAR115;
wire [1 : 0] VAR7;
wire VAR205;
reg [1 : 0] VAR57;
wire [1 : 0] VAR316;
wire VAR135;
reg [33 : 0] VAR271;
reg [33 : 0] VAR177;
wire VAR233;
reg [33 : 0] VAR56;
reg [33 : 0] VAR79;
wire VAR210;
reg VAR295;
wire VAR50, VAR58;
reg VAR29;
wire VAR48, VAR331;
reg [1 : 0] VAR302;
wire [1 : 0] VAR341;
wire VAR33;
reg [49 : 0] VAR108;
reg [49 : 0] VAR162;
wire VAR324;
reg [49 : 0] VAR289;
reg [49 : 0] VAR75;
wire VAR86;
reg VAR263;
wire VAR334, VAR53;
reg VAR155;
wire VAR274, VAR125;
reg [2 : 0] VAR97;
wire [2 : 0] VAR278;
wire VAR4;
wire [31 : 0] VAR77, VAR239;
wire [17 : 0] VAR264;
wire [15 : 0] VAR55, VAR209;
wire [10 : 0] VAR85;
wire VAR336,
VAR269,
VAR62,
VAR251,
VAR328,
VAR59,
VAR54,
VAR261,
VAR44,
VAR154;
wire [31 : 0] VAR202, VAR280;
wire VAR224, VAR325, VAR30, VAR342, VAR252;
wire VAR8, VAR327, VAR170;
wire [52 : 0] VAR176, VAR347;
wire VAR51, VAR172, VAR283, VAR169;
wire [35 : 0] VAR68, VAR35;
wire VAR99,
VAR344,
VAR235,
VAR157,
VAR258;
wire VAR118,
VAR132,
VAR81,
VAR184,
VAR284,
VAR158,
VAR119,
VAR123,
VAR28,
VAR127,
VAR332,
VAR266,
VAR265,
VAR133,
VAR49,
VAR20,
VAR246,
VAR163,
VAR84,
VAR337,
VAR186,
VAR72,
VAR222,
VAR298,
VAR39,
VAR148,
VAR183,
VAR121,
VAR150,
VAR16,
VAR314,
VAR305,
VAR277,
VAR167,
VAR131,
VAR267,
VAR255,
VAR310,
VAR6,
VAR1,
VAR293,
VAR74,
VAR333,
VAR171,
VAR220,
VAR214,
VAR193,
VAR67,
VAR146,
VAR161,
VAR128,
VAR60,
VAR142,
VAR259,
VAR130,
VAR317,
VAR285,
VAR109,
VAR185,
VAR348,
VAR107,
VAR24,
VAR124,
VAR301,
VAR32,
VAR315,
VAR221,
VAR307,
VAR19,
VAR216,
VAR304,
VAR102,
VAR207,
VAR312,
VAR290,
VAR168,
VAR88,
VAR236,
VAR46,
VAR17,
VAR139,
VAR188;
reg [33 : 0] VAR87;
wire [49 : 0] VAR253,
VAR76,
VAR134;
wire [45 : 0] VAR208, VAR194;
wire [33 : 0] VAR182,
VAR165,
VAR309,
VAR231;
wire [19 : 0] VAR230;
wire [1 : 0] VAR175,
VAR241,
VAR262,
VAR195,
VAR34;
wire VAR63,
VAR25,
VAR273,
VAR151,
VAR173,
VAR243,
VAR114,
VAR41;
reg [63 : 0] VAR203, VAR64, VAR286, VAR229, VAR129, VAR308;
wire [11 : 0] VAR270;
assign VAR293 = 1'd1 ;
assign VAR188 = 1'd1 ;
assign VAR179 = VAR271 ;
assign VAR15 = VAR115 > 2'd1 ;
assign VAR23 = { 1'd1, VAR295 } ;
assign VAR1 = 1'd1 ;
assign VAR139 = 1'd1 ;
assign VAR6 = 1'd1 ;
assign VAR17 = 1'd1 ;
assign VAR300 = VAR97 > 3'd1 ;
assign VAR36 =
{ VAR263 ? 3'd0 : VAR108[49:47],
!VAR263 && VAR108[46],
!VAR263 && VAR108[45],
VAR270,
VAR108[32:1],
!VAR263 && VAR108[0] } ;
assign VAR310 = 1'd1 ;
assign VAR46 = VAR320 ;
VAR122 VAR12(.VAR219(VAR3),
.clk(VAR189),
.VAR191(VAR264),
.VAR196(VAR336),
.VAR187(VAR85),
.VAR98(VAR62),
.VAR95(VAR77),
.VAR281(VAR328),
.VAR218(VAR59),
.VAR217(VAR54),
.VAR137(VAR261),
.VAR200(VAR44),
.VAR80(VAR251),
.VAR206(VAR239),
.VAR343(VAR269),
.VAR37(VAR55),
.VAR296(VAR209),
.VAR105(VAR154));
VAR294 #( 32'd32,
32'd1) VAR144(.VAR287(VAR346),
.VAR113(VAR189),
.VAR120(VAR202),
.VAR52(VAR342),
.VAR192(VAR325),
.VAR112(VAR224),
.VAR199(VAR280),
.VAR101(VAR252),
.VAR292(VAR30));
VAR339 #( 32'd0) VAR330(.VAR211(VAR3),
.VAR38(VAR189),
.VAR26(VAR153),
.VAR106(VAR327),
.VAR228(VAR170),
.VAR5(VAR8));
VAR299 #( 32'd53,
32'd3,
32'd1,
32'd1) VAR149(.VAR287(VAR153),
.VAR113(VAR3),
.VAR120(VAR176),
.VAR52(VAR169),
.VAR192(VAR172),
.VAR112(VAR51),
.VAR199(VAR347),
.VAR101(),
.VAR292(VAR283));
VAR299 #( 32'd36,
32'd4,
32'd2,
32'd1) VAR96(.VAR287(VAR346),
.VAR113(VAR189),
.VAR120(VAR68),
.VAR52(VAR157),
.VAR192(VAR344),
.VAR112(VAR99),
.VAR199(VAR35),
.VAR101(VAR258),
.VAR292(VAR235));
assign VAR298 = VAR283 ;
assign VAR32 = VAR283 ;
assign VAR163 =
VAR283 && VAR9 ;
assign VAR185 =
VAR163 &&
!VAR109 ;
assign VAR158 = VAR57 != 2'd2 && VAR251 ;
assign VAR193 =
VAR158 &&
!VAR109 ;
assign VAR49 =
VAR283 && VAR57 != 2'd2 &&
VAR223 ;
assign VAR317 =
VAR49 && !VAR185 &&
!VAR193 &&
!VAR109 ;
assign VAR133 = VAR283 && VAR93 ;
assign VAR130 =
VAR133 && !VAR185 ;
assign VAR84 =
VAR9 && VAR185 &&
VAR335 == 3'd0 &&
VAR347[36:34] == 3'd0 ;
assign VAR348 = VAR84 ;
assign VAR186 =
VAR9 && VAR185 &&
VAR335 == 3'd2 &&
VAR347[36:34] == 3'd3 ;
assign VAR24 = VAR186 ;
assign VAR337 =
VAR9 && VAR185 &&
VAR335 == 3'd1 &&
VAR347[36:34] == 3'd1 ;
assign VAR107 = VAR337 ;
assign VAR28 = VAR252 && VAR269 && VAR154 ;
assign VAR161 = VAR28 ;
assign VAR132 = 1'd1 ;
assign VAR333 = 1'd1 ;
assign VAR81 = 1'd1 ;
assign VAR171 = 1'd1 ;
assign VAR123 =
VAR302 != 2'd2 && VAR30 && VAR8 ;
assign VAR146 = VAR123 ;
assign VAR266 = 1'd1 ;
assign VAR142 = 1'd1 ;
assign VAR119 = 1'd1 ;
assign VAR67 = 1'd1 ;
assign VAR246 =
VAR57 != 2'd2 && VAR90 && VAR156 ;
assign VAR109 = VAR246 ;
assign VAR284 = 1'd1 ;
assign VAR214 = 1'd1 ;
assign VAR118 = 1'd1 ;
assign VAR74 = 1'd1 ;
assign VAR277 =
VAR302 != 2'd0 && !VAR263 ;
assign VAR312 = VAR277 ;
assign VAR131 = 1'd1 ;
assign VAR168 = 1'd1 ;
assign VAR314 =
((VAR302 == 2'd1) ?
VAR123 :
VAR302 != 2'd2 || VAR123) &&
VAR277 &&
VAR123 ;
assign VAR102 = VAR314 ;
assign VAR167 =
((VAR302 == 2'd0) ?
VAR123 :
VAR302 != 2'd1 || VAR123) &&
VAR123 &&
!VAR277 ;
assign VAR290 = VAR167 ;
assign VAR305 =
VAR277 && !VAR123 ;
assign VAR207 = VAR305 ;
assign VAR255 = VAR350[35:33] == 3'd1 ;
assign VAR236 = VAR255 ;
assign VAR184 =
VAR235 && VAR91 != 2'd2 && VAR8 ;
assign VAR220 = VAR184 ;
assign VAR127 = 1'b0 ;
assign VAR128 = 1'b0 ;
assign VAR332 = 1'b0 ;
assign VAR60 = 1'b0 ;
assign VAR265 =
((VAR91 == 2'd0) ?
VAR184 :
VAR91 != 2'd1 || VAR184) &&
VAR184 ;
assign VAR259 = VAR265 ;
assign VAR267 =
(VAR350[35:33] == 3'd1) != VAR184 ;
assign VAR88 =
VAR267 ;
assign VAR183 = 1'd1 ;
assign VAR307 = 1'd1 ;
assign VAR222 = VAR311[52:50] != 3'd0 ;
assign VAR301 = VAR222 ;
assign VAR20 = 1'd1 ;
assign VAR285 = 1'd1 ;
assign VAR16 = 1'd1 ;
assign VAR304 = 1'd1 ;
assign VAR150 = 1'd1 ;
assign VAR216 = 1'd1 ;
assign VAR39 =
((VAR57 == 2'd1) ?
VAR21 :
VAR57 != 2'd2 || VAR21) &&
VAR57 != 2'd0 &&
VAR242 ;
assign VAR315 = VAR39 ;
assign VAR148 =
VAR57 != 2'd0 && !VAR242 ;
assign VAR221 = VAR148 ;
assign VAR121 =
((VAR57 == 2'd0) ?
VAR21 :
VAR57 != 2'd1 || VAR21) &&
VAR242 &&
!(VAR57 != 2'd0) ;
assign VAR19 = VAR121 ;
assign VAR72 =
(VAR311[52:50] != 3'd0) != VAR94 ;
assign VAR124 =
VAR72 ;
assign VAR63 =
VAR259 && VAR91 == 2'd0 ;
assign VAR25 =
VAR259 && VAR91 == 2'd1 ;
assign VAR273 =
VAR109 && VAR180 ;
assign VAR114 =
VAR290 && VAR302 == 2'd0 ;
assign VAR41 =
VAR290 && VAR302 == 2'd1 ;
assign VAR230 = { VAR35[18:1], 2'd2 } ;
assign VAR208 =
{ 3'd6, VAR347[44:34], VAR347[31:0] } ;
assign VAR194 = { 3'd5, VAR347[44:34], 32'd0 } ;
assign VAR175 = VAR91 + 2'd1 ;
assign VAR241 = VAR57 - 2'd1 ;
assign VAR262 = VAR57 + 2'd1 ;
assign VAR309 =
VAR180 ? 34'h3C0DE4202 : 34'h1C0DE4201 ;
assign VAR231 = { 2'd1, VAR239 } ;
assign VAR195 = VAR302 - 2'd1 ;
assign VAR34 = VAR302 + 2'd1 ;
assign VAR253 =
{ 17'h08AAA, VAR280, 1'h0 } ;
assign VAR76 =
(VAR302 == 2'd1) ?
VAR253 :
VAR289 ;
assign VAR134 =
(VAR302 == 2'd2) ?
VAR253 :
50'h0000155555554 ;
assign VAR151 =
VAR185 &&
(VAR347[36:34] == 3'd0 && VAR335 != 3'd0 ||
VAR347[36:34] == 3'd1 && VAR335 != 3'd1 &&
VAR335 != 3'd3 ||
VAR347[36:34] == 3'd2 && VAR335 != 3'd2 ||
VAR347[36:34] == 3'd3 && VAR335 != 3'd3 &&
VAR335 != 3'd2 &&
VAR335 != 3'd1 ||
VAR347[36:34] == 3'd4 ||
VAR347[36:34] == 3'd5 ||
VAR347[36:34] == 3'd6 ||
VAR347[36:34] == 3'd7) ;
always@(VAR109 or
VAR309 or
VAR193 or
VAR231 or VAR317)
begin
case (1'b1) VAR109:
VAR87 =
VAR309;
VAR193:
VAR87 =
VAR231;
VAR317: VAR87 = 34'h1C0DE4201;
default: VAR87 =
34'h2AAAAAAAA ;
endcase
end
assign VAR182 =
(VAR57 == 2'd1) ?
VAR87 :
VAR56 ;
assign VAR165 =
(VAR57 == 2'd2) ?
VAR87 :
34'h0AAAAAAAA ;
assign VAR173 =
VAR19 && VAR57 == 2'd0 ;
assign VAR243 =
VAR19 && VAR57 == 2'd1 ;
assign VAR201 = VAR311 ;
assign VAR111 = 1'd1 ;
assign VAR178 = VAR222 ;
assign VAR138 = 1'b0 ;
assign VAR322 = VAR57 != 2'd0 ;
assign VAR27 = VAR347[36:34] ;
assign VAR323 = 1'b0 ;
assign VAR18 = 1'b0 ;
assign VAR110 = 1'b0 ;
assign VAR223 =
VAR283 && VAR347[49] &&
VAR347[52:50] == 3'd1 ;
assign VAR93 =
VAR283 && VAR347[49] &&
VAR347[52:50] == 3'd2 ;
assign VAR9 =
VAR283 && !VAR347[49] &&
VAR347[52:50] == 3'd2 ;
assign VAR94 =
VAR130 || VAR317 ||
VAR185 ;
assign VAR242 =
VAR317 || VAR193 ||
VAR109 ;
assign VAR198 = VAR87 ;
assign VAR21 =
VAR109 ||
VAR193 ||
VAR317 ;
assign VAR65 = VAR185 ;
assign VAR116 = 1'd1 ;
assign VAR247 =
VAR24 || VAR107 ||
VAR348 ;
assign VAR147 = VAR350 ;
assign VAR13 = 1'd1 ;
assign VAR234 = VAR255 ;
assign VAR164 = VAR184 ;
assign VAR78 = 1'b0 ;
assign VAR306 = VAR123 ;
assign VAR249 = VAR123 ;
assign VAR212 = VAR253 ;
assign VAR43 = VAR277 ;
assign VAR92 = VAR320 ;
assign VAR291 = VAR184 ;
assign VAR226 = VAR230 ;
assign VAR345 = VAR184 ;
assign VAR140 = 1'b0 ;
assign VAR268 = 1'd1 ;
assign VAR340 = VAR84 ;
assign VAR318 = VAR317 || VAR130 ;
assign VAR204 =
VAR317 ?
VAR208 :
VAR194 ;
assign VAR181 = VAR84 ;
assign VAR321 = 1'd1 ;
assign VAR238 = VAR318 ? VAR204 : 46'd0 ;
assign VAR237 = 1'd1 ;
assign VAR117 = VAR175 ;
assign VAR82 = VAR259 ;
assign VAR166 = VAR230 ;
assign VAR225 = VAR63 ;
assign VAR190 = VAR230 ;
assign VAR89 = VAR25 ;
assign VAR213 = VAR347[36:34] ;
assign VAR254 = VAR185 ;
assign VAR70 = VAR297 ;
assign VAR248 =
VAR109 && !VAR180 ;
assign VAR257 = VAR247 ;
assign VAR319 = 1'd1 ;
assign VAR338 = !VAR109 ;
assign VAR250 =
VAR109 ||
VAR185 ;
assign VAR104 = !VAR273 ;
assign VAR272 =
VAR109 && VAR180 ||
VAR151 ;
assign VAR71 = 1'b0 ;
assign VAR66 = 1'b0 ;
always@(VAR347)
begin
case (VAR347[36:34])
3'd0: VAR103 = 3'd1;
3'd1: VAR103 = 3'd2;
3'd2: VAR103 = 3'd3;
default: VAR103 = 3'd0;
endcase
end
assign VAR260 =
VAR185 &&
(VAR347[36:34] == 3'd0 && VAR335 == 3'd0 ||
VAR347[36:34] == 3'd1 &&
(VAR335 == 3'd1 || VAR335 == 3'd3) ||
VAR347[36:34] == 3'd2 && VAR335 == 3'd2 ||
VAR347[36:34] == 3'd3 &&
(VAR335 == 3'd3 || VAR335 == 3'd2 ||
VAR335 == 3'd1)) ;
assign VAR7 =
(VAR311[52:50] != 3'd0) ?
VAR115 + 2'd1 :
VAR115 - 2'd1 ;
assign VAR205 = VAR72 ;
assign VAR316 =
VAR221 ?
VAR241 :
VAR262 ;
assign VAR135 =
VAR221 || VAR19 ;
always@(VAR173 or
VAR87 or
VAR315 or
VAR182 or
VAR221 or VAR56)
begin
case (1'b1) VAR173:
VAR177 = VAR87;
VAR315:
VAR177 = VAR182;
VAR221: VAR177 = VAR56;
default: VAR177 = 34'h2AAAAAAAA ;
endcase
end
assign VAR233 =
VAR19 && VAR57 == 2'd0 ||
VAR315 ||
VAR221 ;
always@(VAR243 or
VAR87 or
VAR315 or
VAR165 or VAR221)
begin
case (1'b1) VAR243:
VAR79 = VAR87;
VAR315:
VAR79 = VAR165;
VAR221: VAR79 = 34'h0AAAAAAAA;
default: VAR79 = 34'h2AAAAAAAA ;
endcase
end
assign VAR210 =
VAR19 && VAR57 == 2'd1 ||
VAR315 ||
VAR221 ;
assign VAR50 = 1'b0 ;
assign VAR58 = 1'd1 ;
assign VAR48 = 1'b0 ;
assign VAR331 = 1'd1 ;
assign VAR341 =
VAR207 ?
VAR195 :
VAR34 ;
assign VAR33 =
VAR207 ||
VAR290 ;
always@(VAR114 or
VAR253 or
VAR102 or
VAR76 or
VAR207 or VAR289)
begin
case (1'b1) VAR114:
VAR162 = VAR253;
VAR102:
VAR162 = VAR76;
VAR207:
VAR162 = VAR289;
default: VAR162 =
50'h2AAAAAAAAAAAA ;
endcase
end
assign VAR324 =
VAR290 && VAR302 == 2'd0 ||
VAR102 ||
VAR207 ;
always@(VAR41 or
VAR253 or
VAR102 or
VAR134 or
VAR207)
begin
case (1'b1) VAR41:
VAR75 = VAR253;
VAR102:
VAR75 = VAR134;
VAR207:
VAR75 = 50'h0000155555554;
default: VAR75 =
50'h2AAAAAAAAAAAA ;
endcase
end
assign VAR86 =
VAR290 && VAR302 == 2'd1 ||
VAR102 ||
VAR207 ;
assign VAR334 = VAR320 ;
assign VAR53 = 1'd1 ;
assign VAR274 = 1'd1 ;
assign VAR125 =
VAR236 && !VAR258 ;
assign VAR278 =
(VAR350[35:33] == 3'd1) ?
VAR97 + 3'd1 :
VAR97 - 3'd1 ;
assign VAR4 =
VAR267 ;
assign VAR264 = VAR303[19:2] ;
assign VAR54 = VAR303[1] ;
assign VAR261 = VAR303[0] ;
assign VAR44 = VAR91 != 2'd0 ;
assign VAR85 = VAR11[42:32] ;
assign VAR336 = !VAR83 ;
assign VAR62 = VAR11[45] ;
assign VAR77 = VAR11[31:0] ;
assign VAR328 = VAR11[43] ;
assign VAR59 = VAR11[44] ;
assign VAR202 = { VAR55, VAR209 } ;
assign VAR325 = VAR123 ;
assign VAR342 = VAR28 ;
assign VAR224 = 1'b0 ;
assign VAR327 = VAR335 == 3'd2 ;
assign VAR170 = 1'd1 ;
assign VAR176 = VAR311 ;
assign VAR172 = VAR94 ;
assign VAR169 = VAR222 ;
assign VAR51 = 1'b0 ;
assign VAR68 = VAR350 ;
assign VAR157 = VAR255 ;
assign VAR344 = VAR184 ;
assign VAR99 = 1'b0 ;
assign VAR270 =
VAR263 ? 12'd0 : VAR108[44:33] ;
always@(posedge VAR189)
begin
if (!VAR346)
begin
VAR91 <= VAR349 2'd0;
VAR303 <= VAR349 20'd0;
VAR288 <= VAR349 20'd0;
VAR302 <= VAR349 2'd0;
VAR108 <= VAR349 50'h0000155555554;
VAR289 <= VAR349 50'h0000155555554;
VAR263 <= VAR349 1'd1;
VAR155 <= VAR349 1'd0;
VAR97 <= VAR349 3'd0;
end
else
begin
if (VAR82) VAR91 <= VAR349 VAR117;
if (VAR225) VAR303 <= VAR349 VAR166;
if (VAR89) VAR288 <= VAR349 VAR190;
if (VAR33)
VAR302 <= VAR349 VAR341;
if (VAR324)
VAR108 <= VAR349 VAR162;
if (VAR86)
VAR289 <= VAR349 VAR75;
if (VAR53)
VAR263 <= VAR349 VAR334;
if (VAR125)
VAR155 <= VAR349 VAR274;
if (VAR4)
VAR97 <= VAR349
VAR278;
end
end
always@(posedge VAR3)
begin
if (!VAR153)
begin
VAR83 <= VAR349 1'd0;
VAR11 <= VAR349 46'd0;
VAR42 <= VAR349 3'd7;
VAR335 <= VAR349 3'd0;
VAR156 <= VAR349 1'd0;
VAR90 <= VAR349 1'd0;
VAR180 <= VAR349 1'd0;
VAR40 <= VAR349 1'd1;
VAR297 <= VAR349 3'd0;
VAR115 <= VAR349 2'd0;
VAR57 <= VAR349 2'd0;
VAR271 <= VAR349 34'h0AAAAAAAA;
VAR56 <= VAR349 34'h0AAAAAAAA;
VAR295 <= VAR349 1'd0;
VAR29 <= VAR349 1'd1;
end
else
begin
if (VAR321) VAR83 <= VAR349 VAR181;
if (VAR237) VAR11 <= VAR349 VAR238;
if (VAR254) VAR42 <= VAR349 VAR213;
if (VAR248)
VAR335 <= VAR349 VAR70;
if (VAR319)
VAR156 <= VAR349 VAR257;
if (VAR250)
VAR90 <= VAR349 VAR338;
if (VAR272)
VAR180 <= VAR349 VAR104;
if (VAR66)
VAR40 <= VAR349 VAR71;
if (VAR260)
VAR297 <= VAR349 VAR103;
if (VAR205)
VAR115 <= VAR349 VAR7;
if (VAR135)
VAR57 <= VAR349 VAR316;
if (VAR233)
VAR271 <= VAR349 VAR177;
if (VAR210)
VAR56 <= VAR349 VAR79;
if (VAR58)
VAR295 <= VAR349 VAR50;
if (VAR331)
VAR29 <= VAR349 VAR48;
end
end
begin
VAR83 = 1'h0;
VAR11 = 46'h2AAAAAAAAAAA;
VAR91 = 2'h2;
VAR303 = 20'hAAAAA;
VAR288 = 20'hAAAAA;
VAR42 = 3'h2;
VAR335 = 3'h2;
VAR156 = 1'h0;
VAR90 = 1'h0;
VAR180 = 1'h0;
VAR40 = 1'h0;
VAR297 = 3'h2;
VAR115 = 2'h2;
VAR57 = 2'h2;
VAR271 = 34'h2AAAAAAAA;
VAR56 = 34'h2AAAAAAAA;
VAR295 = 1'h0;
VAR29 = 1'h0;
VAR302 = 2'h2;
VAR108 = 50'h2AAAAAAAAAAAA;
VAR289 = 50'h2AAAAAAAAAAAA;
VAR263 = 1'h0;
VAR155 = 1'h0;
VAR97 = 3'h2;
end
always@(negedge VAR3)
begin
if (VAR153)
if (VAR185)
begin
VAR286 =
end
if (VAR153)
if (VAR185)
VAR22("[%0d]: %VAR282: VAR329 VAR126: VAR232-VAR276 edge:%VAR100 VAR136:%VAR100",
VAR286,
VAR347[36:34],
VAR335);
if (VAR153)
if (VAR193)
begin
VAR229 =
end
if (VAR153)
if (VAR193)
VAR22("[%0d]: %VAR282: VAR329 VAR197 VAR326 VAR240 VAR160:%0x",
VAR229,
VAR239);
if (VAR153)
if (VAR317)
begin
VAR308 =
end
if (VAR153)
if (VAR317)
VAR22("[%0d]: %VAR282: VAR329 VAR197 VAR244 VAR31:%0x VAR141:%0x VAR160:%0x",
VAR308,
VAR347[44:32],
VAR347[48:45],
VAR347[31:0]);
if (VAR153)
if (VAR130)
begin
VAR129 =
end
if (VAR153)
if (VAR130)
VAR22("[%0d]: %VAR282: VAR329 VAR197 VAR326 VAR69 VAR31:%0x VAR141:%0x",
VAR129,
VAR347[44:32],
VAR347[48:45]);
if (VAR153)
if (VAR130 && VAR317)
\VAR14 VAR143 VAR174 VAR245 VAR313 and VAR256 VAR227 in VAR10 VAR152 VAR61\VAR14 VAR159.\VAR14");
if (VAR153)
if (VAR348 && VAR130)
\VAR14 VAR143 VAR174 VAR245 VAR279 and VAR313 VAR227 in VAR10 VAR152\VAR14 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR348 && VAR317)
\VAR14 VAR143 VAR174 VAR245 VAR279 and VAR256 VAR227 in VAR10 VAR152\VAR14 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR24 && VAR348)
\VAR14 VAR143 VAR174 VAR245 VAR275 and VAR279 VAR227 in VAR10\VAR14 VAR152 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR24 && VAR130)
\VAR14 VAR143 VAR174 VAR245 VAR275 and VAR313 VAR227 in VAR10 VAR152\VAR14 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR24 && VAR317)
\VAR14 VAR143 VAR174 VAR245 VAR275 and VAR256 VAR227 in VAR10 VAR152\VAR14 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR107 && VAR24)
\VAR14 VAR143 VAR174 VAR245 VAR45 and VAR275 VAR227 in VAR10\VAR14 VAR152 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR107 && VAR348)
\VAR14 VAR143 VAR174 VAR245 VAR45 and VAR279 VAR227 in VAR10\VAR14 VAR152 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR107 && VAR130)
\VAR14 VAR143 VAR174 VAR245 VAR45 and VAR313 VAR227 in VAR10 VAR152\VAR14 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR107 && VAR317)
\VAR14 VAR143 VAR174 VAR245 VAR45 and VAR256 VAR227 in VAR10 VAR152\VAR14 VAR61 VAR159.\VAR14");
if (VAR153)
if (VAR109 && VAR180)
begin
VAR64 =
end
if (VAR153)
if (VAR109 && VAR180)
VAR22("[%0d]: %VAR282: VAR329 VAR126: VAR73-VAR145 VAR215-VAR276 edge:%VAR100 VAR136:%VAR100",
VAR64,
VAR42,
VAR335);
if (VAR153)
if (VAR109 && !VAR180)
begin
VAR203 =
end
if (VAR153)
if (VAR109 && !VAR180)
VAR22("[%0d]: %VAR282: VAR329 VAR126: VAR215-VAR276 edge:%VAR100 VAR136:%VAR100 VAR47:%VAR100",
VAR203,
VAR42,
VAR335,
VAR297);
end
endmodule | gpl-2.0 |
jairov4/accel-oil | solution_virtex5_plb/impl/pcores/nfa_accept_samples_generic_hw_top_v1_01_a/synhdl/verilog/bitset_next.v | 19,747 | module MODULE1 (
VAR40,
VAR7,
VAR6,
VAR51,
VAR23,
VAR83,
VAR59,
VAR70,
VAR61,
VAR25,
VAR18,
VAR46,
VAR67,
VAR24,
VAR34
);
parameter VAR36 = 1'b1;
parameter VAR3 = 1'b0;
parameter VAR30 = 1'b0;
parameter VAR62 = 1'b0;
parameter VAR37 = 32'b00000000000000000000000000000000;
parameter VAR49 = 1'b1;
parameter VAR63 = 2'b1;
parameter VAR75 = 2'b10;
parameter VAR12 = 32'b11111111111111111111111111111111;
parameter VAR19 = 2'b00;
parameter VAR72 = 32'b1;
parameter VAR64 = 8'b1;
parameter VAR76 = 1'b1;
input VAR40;
input VAR7;
input VAR6;
output VAR51;
output VAR23;
output VAR83;
input VAR59;
input [31:0] VAR70;
input [7:0] VAR61;
input [7:0] VAR25;
input [31:0] VAR18;
output [7:0] VAR46;
output [7:0] VAR67;
output [31:0] VAR24;
output [0:0] VAR34;
reg VAR51;
reg VAR23;
reg VAR83;
reg [0:0] VAR80 = 1'b0;
wire VAR74;
reg VAR22 = 1'b0;
reg VAR11 = 1'b0;
wire [4:0] VAR71;
reg [4:0] VAR33;
wire [0:0] VAR57;
wire [0:0] VAR42;
wire [0:0] VAR73;
reg [7:0] VAR17;
reg [31:0] VAR1;
reg [31:0] VAR43;
wire [1:0] VAR65;
reg [1:0] VAR55;
reg [1:0] VAR58;
wire [31:0] VAR81;
reg [31:0] VAR16;
reg [31:0] VAR39;
reg [0:0] VAR8;
reg [0:0] VAR38;
reg [0:0] VAR31;
reg [31:0] VAR32;
reg [31:0] VAR48;
reg [31:0] VAR66;
wire [31:0] VAR29;
reg [0:0] VAR27;
reg [0:0] VAR15;
wire [0:0] VAR21;
reg [1:0] VAR4;
reg [1:0] VAR60;
wire [1:0] VAR28;
wire [7:0] VAR2;
reg [7:0] VAR52;
reg [7:0] VAR68;
wire [7:0] VAR69;
wire [7:0] VAR10;
wire [31:0] VAR20;
wire [7:0] VAR45;
reg [0:0] VAR5;
reg VAR14;
reg VAR50;
reg VAR53;
reg VAR41;
reg VAR79;
reg VAR77;
reg VAR9;
reg VAR47;
VAR26 VAR78(
.VAR82( VAR32 ),
.VAR35( VAR71 )
);
always @ (posedge VAR40)
begin : VAR13
if (VAR7 == 1'b1) begin
VAR80 <= VAR30;
end else begin
VAR80 <= VAR5;
end
end
always @ (posedge VAR40)
begin : VAR44
if (VAR7 == 1'b1) begin
VAR22 <= VAR3;
end else begin
if (((VAR30 == VAR80) & ~(((VAR36 == VAR74) & (VAR6 == VAR3)) | ~(VAR36 == VAR59)))) begin
VAR22 <= VAR74;
end
end
end
always @ (posedge VAR40)
begin : VAR56
if (VAR7 == 1'b1) begin
VAR11 <= VAR3;
end else begin
if (((VAR30 == VAR80) & ~(((VAR36 == VAR74) & (VAR6 == VAR3)) | ~(VAR36 == VAR59)))) begin
VAR11 <= VAR22;
end
end
end
always @(posedge VAR40)
begin
if ((((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & ~(VAR57 == VAR62) & (VAR62 == VAR42)) | ((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & ~(VAR57 == VAR62) & ~(VAR62 == VAR42) & ~(VAR62 == VAR73)))) begin
VAR52 <= VAR17;
end else if (((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59))) begin
VAR52 <= VAR10;
end
end
always @(posedge VAR40)
begin
if ((((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & ~(VAR57 == VAR62) & (VAR62 == VAR42)) | ((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & ~(VAR57 == VAR62) & ~(VAR62 == VAR42) & ~(VAR62 == VAR73)))) begin
VAR4 <= VAR75;
end else if (((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59))) begin
VAR4 <= VAR28;
end
end
always @(posedge VAR40)
begin
if (VAR77) begin
if (VAR79) begin
VAR48 <= VAR1;
end else if (VAR41) begin
VAR48 <= VAR37;
end else if ((VAR76 == VAR76)) begin
VAR48 <= VAR29;
end
end
end
always @(posedge VAR40)
begin
if (((VAR30 == VAR80) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59))) begin
VAR39 <= VAR16;
VAR43 <= VAR1;
VAR58 <= VAR55;
end
end
always @(posedge VAR40)
begin
if (((VAR30 == VAR80) & (VAR36 == VAR74) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59))) begin
VAR16 <= VAR81;
VAR1 <= VAR70;
VAR17 <= VAR61;
VAR55 <= VAR65;
end
end
always @(posedge VAR40)
begin
if ((((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & (VAR57 == VAR62)) | ((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & ~(VAR57 == VAR62) & ~(VAR62 == VAR42) & (VAR62 == VAR73)))) begin
VAR33 <= VAR71;
end
end
always @(posedge VAR40)
begin
if (((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & ~(VAR57 == VAR62) & ~(VAR62 == VAR42))) begin
VAR31 <= VAR73;
end
end
always @(posedge VAR40)
begin
if (((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59))) begin
VAR8 <= VAR57;
end
end
always @(posedge VAR40)
begin
if (((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59) & ~(VAR57 == VAR62))) begin
VAR38 <= VAR42;
end
end
always @ (VAR8 or VAR2 or VAR52 or VAR69 or VAR50 or VAR53)
begin
if (VAR53) begin
if ((VAR62 == VAR8)) begin
VAR68 = VAR69;
end else if (VAR50) begin
VAR68 = VAR2;
end else begin
VAR68 = VAR52;
end
end else begin
VAR68 = VAR52;
end
end
always @ (VAR58 or VAR8 or VAR4 or VAR50 or VAR53)
begin
if (VAR53) begin
if ((VAR62 == VAR8)) begin
VAR60 = VAR58;
end else if (VAR50) begin
VAR60 = VAR63;
end else begin
VAR60 = VAR4;
end
end else begin
VAR60 = VAR4;
end
end
always @ (VAR43 or VAR39 or VAR8 or VAR48 or VAR50 or VAR53)
begin
if (VAR53) begin
if ((VAR62 == VAR8)) begin
VAR66 = VAR39;
end else if (VAR50) begin
VAR66 = VAR43;
end else begin
VAR66 = VAR48;
end
end else begin
VAR66 = VAR48;
end
end
always @ (VAR80 or VAR11 or VAR8 or VAR38 or VAR31 or VAR27)
begin
if ((((VAR30 == VAR80) & (VAR36 == VAR11) & ~(VAR62 == VAR8) & ~(VAR62 == VAR38) & (VAR62 == VAR31)) | ((VAR30 == VAR80) & (VAR36 == VAR11) & (VAR62 == VAR8)))) begin
VAR15 = VAR62;
end else begin
VAR15 = VAR27;
end
end
always @ (VAR6 or VAR80 or VAR74 or VAR11 or VAR59)
begin
if (((~(VAR36 == VAR6) & (VAR30 == VAR80) & (VAR36 == VAR74)) | ((VAR30 == VAR80) & (VAR36 == VAR11) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59)))) begin
VAR51 = VAR36;
end else begin
VAR51 = VAR3;
end
end
always @ (VAR6 or VAR80 or VAR74 or VAR22 or VAR11)
begin
if ((~(VAR36 == VAR6) & (VAR30 == VAR80) & (VAR3 == VAR74) & (VAR3 == VAR22) & (VAR3 == VAR11))) begin
VAR23 = VAR36;
end else begin
VAR23 = VAR3;
end
end
always @ (VAR6 or VAR80 or VAR74 or VAR59)
begin
if (((VAR30 == VAR80) & (VAR36 == VAR74) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59))) begin
VAR83 = VAR36;
end else begin
VAR83 = VAR3;
end
end
always @ (VAR6 or VAR74 or VAR22)
begin
if (((VAR3 == VAR74) & (VAR3 == VAR22) & (VAR3 == VAR6))) begin
VAR14 = VAR36;
end else begin
VAR14 = VAR3;
end
end
always @ (VAR57 or VAR1 or VAR16 or VAR9 or VAR47)
begin
if (VAR47) begin
if (VAR9) begin
VAR32 = VAR1;
end else if ((VAR57 == VAR62)) begin
VAR32 = VAR16;
end else begin
VAR32 = 'VAR54;
end
end else begin
VAR32 = 'VAR54;
end
end
always @ (VAR6 or VAR80 or VAR74 or VAR59 or VAR14)
begin
case (VAR80)
VAR30 :
VAR5 = VAR30;
default :
VAR5 = 'VAR54;
endcase
end
assign VAR2 = (VAR33);
assign VAR69 = (VAR33);
assign VAR45 = (VAR60);
assign VAR10 = VAR64;
assign VAR28 = VAR63;
assign VAR29 = VAR72;
assign VAR21 = VAR49;
assign VAR74 = VAR6;
assign VAR46 = VAR68;
assign VAR67 = VAR45;
assign VAR24 = VAR66;
assign VAR34 = VAR15;
always @ (VAR80 or VAR11)
begin
VAR53 = ((VAR30 == VAR80) & (VAR36 == VAR11));
end
always @ (VAR8 or VAR38 or VAR31)
begin
VAR50 = (~(VAR62 == VAR8) & ~(VAR62 == VAR38) & (VAR62 == VAR31));
end
always @ (VAR57 or VAR42)
begin
VAR41 = (~(VAR57 == VAR62) & (VAR62 == VAR42));
end
always @ (VAR57 or VAR42 or VAR73)
begin
VAR79 = (~(VAR57 == VAR62) & ~(VAR62 == VAR42) & ~(VAR62 == VAR73));
end
always @ (VAR80 or VAR22)
begin
VAR47 = ((VAR30 == VAR80) & (VAR36 == VAR22));
end
always @ (VAR6 or VAR80 or VAR74 or VAR22 or VAR59)
begin
VAR77 = ((VAR30 == VAR80) & (VAR36 == VAR22) & ~((VAR36 == VAR74) & (VAR6 == VAR3)) & (VAR36 == VAR59));
end
always @ (VAR57 or VAR42 or VAR73)
begin
VAR9 = (~(VAR57 == VAR62) & ~(VAR62 == VAR42) & (VAR62 == VAR73));
end
assign VAR81 = (VAR20 & VAR18);
assign VAR73 = (VAR1 == VAR37? 1'b1: 1'b0);
assign VAR20 = (VAR18 + VAR12);
assign VAR57 = (VAR16 == VAR37? 1'b1: 1'b0);
assign VAR42 = (VAR55 == VAR19? 1'b1: 1'b0);
assign VAR65 = VAR25[1:0];
always @ (posedge VAR40)
begin
VAR27 <= 1'b1;
end
endmodule | lgpl-3.0 |
johan92/yafpgatetris | ip_cores/ps2_keyboard/Altera_UP_PS2_Command_Out.v | 9,820 | module MODULE1 (
clk,
reset,
VAR30,
VAR20,
VAR4,
VAR14,
VAR16,
VAR26,
VAR5,
VAR23
);
parameter VAR25 = 5050;
parameter VAR6 = 13;
parameter VAR21 = 13'h0001;
parameter VAR9 = 750000;
parameter VAR31 = 20;
parameter VAR33 = 20'h00001;
parameter VAR10 = 100000;
parameter VAR2 = 17;
parameter VAR27 = 17'h00001;
input clk;
input reset;
input [7:0] VAR30;
input VAR20;
input VAR4;
input VAR14;
inout VAR16;
inout VAR26;
output reg VAR5;
output reg VAR23;
parameter VAR12 = 3'h0,
VAR11 = 3'h1,
VAR19 = 3'h2,
VAR1 = 3'h3,
VAR13 = 3'h4,
VAR29 = 3'h5,
VAR22 = 3'h6,
VAR8 = 3'h7;
reg [3:0] VAR18;
reg [8:0] VAR28;
reg [VAR6:1] VAR24;
reg [VAR31:1] VAR7;
reg [VAR2:1] VAR15;
reg [2:0] VAR32;
reg [2:0] VAR17;
always @(posedge clk)
begin
if (reset == 1'b1)
VAR17 <= VAR12;
end
else
VAR17 <= VAR32;
end
always @(*)
begin
VAR32 = VAR12;
case (VAR17)
VAR12:
begin
if (VAR20 == 1'b1)
VAR32 = VAR11;
end
else
VAR32 = VAR12;
end
VAR11:
begin
if (VAR24 == VAR25)
VAR32 = VAR19;
end
else
VAR32 = VAR11;
end
VAR19:
begin
if (VAR14 == 1'b1)
VAR32 = VAR1;
end
else if (VAR7 == VAR9)
VAR32 = VAR8;
else
VAR32 = VAR19;
end
VAR1:
begin
if ((VAR18 == 4'd8) && (VAR14 == 1'b1))
VAR32 = VAR13;
end
else if (VAR15 == VAR10)
VAR32 = VAR8;
else
VAR32 = VAR1;
end
VAR13:
begin
if (VAR14 == 1'b1)
VAR32 = VAR29;
end
else if (VAR15 == VAR10)
VAR32 = VAR8;
else
VAR32 = VAR13;
end
VAR29:
begin
if (VAR4 == 1'b1)
VAR32 = VAR22;
end
else if (VAR15 == VAR10)
VAR32 = VAR8;
else
VAR32 = VAR29;
end
VAR22:
begin
if (VAR20 == 1'b0)
VAR32 = VAR12;
end
else
VAR32 = VAR22;
end
VAR8:
begin
if (VAR20 == 1'b0)
VAR32 = VAR12;
end
else
VAR32 = VAR8;
end
default:
begin
VAR32 = VAR12;
end
endcase
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR28 <= 9'h000;
end
else if (VAR17 == VAR12)
VAR28 <= {(^VAR30) ^ 1'b1, VAR30};
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR24 <= {VAR6{1'b0}};
end
else if ((VAR17 == VAR11) &&
(VAR24 != VAR25))
VAR24 <=
VAR24 + VAR21;
else if (VAR17 != VAR11)
VAR24 <= {VAR6{1'b0}};
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR7 <= {VAR31{1'b0}};
end
else if ((VAR17 == VAR19) &&
(VAR7 != VAR9))
VAR7 <= VAR7 + VAR33;
else if (VAR17 != VAR19)
VAR7 <= {VAR31{1'b0}};
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR15 <= {VAR2{1'b0}};
end
else
begin
if ((VAR17 == VAR1) ||
(VAR17 == VAR13) ||
(VAR17 == VAR29))
begin
if (VAR15 != VAR10)
VAR15 <= VAR15 + VAR27;
end
else
VAR15 <= {VAR2{1'b0}};
end
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR18 <= 4'h0;
end
else if ((VAR17 == VAR1) &&
(VAR14 == 1'b1))
VAR18 <= VAR18 + 4'h1;
else if (VAR17 != VAR1)
VAR18 <= 4'h0;
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR5 <= 1'b0;
end
else if (VAR17 == VAR22)
VAR5 <= 1'b1;
else if (VAR20 == 1'b0)
VAR5 <= 1'b0;
end
always @(posedge clk)
begin
if (reset == 1'b1)
VAR23 <= 1'b0;
end
else if (VAR17 == VAR8)
VAR23 <= 1'b1;
else if (VAR20 == 1'b0)
VAR23 <= 1'b0;
end
assign VAR16 =
(VAR17 == VAR11) ?
1'b0 :
1'VAR3;
assign VAR26 =
(VAR17 == VAR1) ? VAR28[VAR18] :
(VAR17 == VAR19) ? 1'b0 :
((VAR17 == VAR11) &&
(VAR24[VAR6] == 1'b1)) ? 1'b0 :
1'VAR3;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/o211a/sky130_fd_sc_hd__o211a.blackbox.v | 1,360 | module MODULE1 (
VAR7 ,
VAR2,
VAR8,
VAR5,
VAR1
);
output VAR7 ;
input VAR2;
input VAR8;
input VAR5;
input VAR1;
supply1 VAR3;
supply0 VAR6;
supply1 VAR4 ;
supply0 VAR9 ;
endmodule | apache-2.0 |
tnsrb93/G1_RealTimeDCTSteganography | src/ips/decoder_ip_export/src/decoder_axi_s_v1_0.v | 2,523 | module MODULE1 #
(
parameter integer VAR24 = 32,
parameter integer VAR1 = 5
)
(
output wire [VAR24-1:0] VAR41,
output wire [VAR24-1:0] VAR39,
output wire VAR25,
input wire VAR23,
input wire VAR9,
input wire VAR32,
input wire [VAR1-1 : 0] VAR38,
input wire [2 : 0] VAR33,
input wire VAR18,
output wire VAR49,
input wire [VAR24-1 : 0] VAR21,
input wire [(VAR24/8)-1 : 0] VAR11,
input wire VAR19,
output wire VAR30,
output wire [1 : 0] VAR2,
output wire VAR46,
input wire VAR5,
input wire [VAR1-1 : 0] VAR29,
input wire [2 : 0] VAR28,
input wire VAR40,
output wire VAR51,
output wire [VAR24-1 : 0] VAR47,
output wire [1 : 0] VAR50,
output wire VAR36,
input wire VAR22
);
VAR16 # (
.VAR48(VAR24),
.VAR43(VAR1)
) VAR7 (
.VAR41(VAR41),
.VAR39(VAR39),
.VAR25(VAR25),
.VAR23(VAR23),
.VAR52(VAR9),
.VAR15(VAR32),
.VAR35(VAR38),
.VAR31(VAR33),
.VAR8(VAR18),
.VAR10(VAR49),
.VAR17(VAR21),
.VAR45(VAR11),
.VAR27(VAR19),
.VAR4(VAR30),
.VAR12(VAR2),
.VAR6(VAR46),
.VAR20(VAR5),
.VAR3(VAR29),
.VAR13(VAR28),
.VAR42(VAR40),
.VAR37(VAR51),
.VAR14(VAR47),
.VAR26(VAR50),
.VAR34(VAR36),
.VAR44(VAR22)
);
endmodule | gpl-3.0 |
iAklis/teoca | EXPR4_ALU_REGISTERS/MAIN.v | 3,450 | module MODULE2(
input clk,
input wire [4:0] VAR19,
input wire [4:0] VAR8,
input wire [4:0] VAR2,
input VAR20,
input VAR11,
input [2:0] VAR18,
output wire [31:0] VAR6,VAR15,
output wire [31:0] VAR3,
output VAR7, VAR12
);
wire [31:0] VAR1;
MODULE1 VAR5 (
.clk(clk),
.VAR20(VAR20),
.VAR19(VAR19),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR13(VAR3),
.VAR11(VAR11),
.VAR4(VAR6),
.VAR17(VAR15)
);
MODULE3 VAR9 (
.VAR6(VAR6),
.VAR15(VAR15),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR1(VAR3),
.VAR18(VAR18)
);
endmodule
module MODULE1(clk, VAR20, VAR19, VAR8, VAR2, VAR13, VAR11, VAR4, VAR17
);
input clk;
input VAR20;
input VAR11;
input [4:0] VAR19, VAR8, VAR2;
input [31:0] VAR13;
output [31:0] VAR4;
output [31:0] VAR17;
MODULE1 [31:0] VAR14[31:0];
integer VAR10;
assign VAR4 = VAR14[VAR19];
assign VAR17 = VAR14[VAR8];
always @(posedge clk)
begin
if(VAR20)
begin
for(VAR10=0; VAR10<=31; VAR10=VAR10+1)
begin
VAR14[VAR10]<=32'h00000000;
end
end
else
begin
if(VAR11)
begin
VAR14[VAR2]<=VAR13;
end
else
begin
VAR14[VAR2]<=VAR14[VAR2];
end
end
end
endmodule
module MODULE3(VAR6, VAR15, VAR12, VAR7, VAR1, VAR18);
input [2:0] VAR18;
input [31:0] VAR6, VAR15;
output MODULE1 [31:0] VAR1;
output MODULE1 VAR12, VAR7;
MODULE1 VAR16;
always @(*)
begin
case(VAR18)
3'd0:begin VAR1 = VAR6&VAR15;
VAR7 = 0;
end
3'd1:begin VAR1 = VAR6|VAR15;
VAR7 = 0;
end
3'd2:begin VAR1 = VAR6^VAR15;
VAR7 = 0;
end
3'd3:begin {VAR16, VAR1} = VAR6 + 1'b1;
VAR7 = VAR6[31]^VAR15[31]^VAR1[31]^VAR16;
end
3'd4:begin {VAR16, VAR1} = VAR6 + VAR15;
VAR7 = VAR6[31]^VAR15[31]^VAR1[31]^VAR16;
end
3'd5:begin {VAR16, VAR1} = VAR6 - VAR15;
VAR7 = VAR6[31]^VAR15[31]^VAR1[31]^VAR16;
end
3'd6:begin if (VAR6<VAR15)
begin
VAR1 = 32'd1;
end
else
begin
VAR1 = 32'd0;
end
VAR7 = 0;
end
3'd7:begin VAR1=VAR15<<VAR6;
VAR7=0;
end
default:begin
VAR1=VAR6;
VAR7 = 0;
end
endcase
if (VAR1 == 32'd0)
begin
VAR12 = 1;
end
else
begin
VAR12 = 0;
end
end
endmodule | mit |
deepakcu/maestro | fpga/DE4_Ethernet_0/src/pulse_synchronizer.v | 3,190 | module MODULE1
( input VAR8,
input VAR6,
output VAR15,
input VAR13,
input VAR3,
input VAR10
);
reg VAR5;
reg VAR12;
reg VAR4;
reg VAR7;
reg VAR1;
reg VAR2;
reg VAR14;
reg VAR11;
reg VAR9;
always @(posedge VAR6) begin
if(VAR3) begin
VAR5 <= 0;
end
else if(!VAR14 & VAR8) begin
VAR5 <= 1;
end
else if(VAR2) begin
VAR5 <= 0;
end
end
always @(posedge VAR13) begin
if(VAR10) begin
VAR12 <= 0;
end
else if(!VAR11 & VAR7) begin
VAR12 <= 1;
end
else if(!VAR7) begin
VAR12 <= 0;
end
end
assign VAR15 = VAR12 & !VAR9;
always @(posedge VAR6) begin
if(VAR3) begin
VAR14 <= 0;
VAR1 <= 0;
VAR2 <= 0;
end
else begin
VAR14 <= VAR8;
VAR1 <= VAR12;
VAR2 <= VAR1;
end
end
always @(posedge VAR13) begin
if(VAR10) begin
VAR9 <= 0;
VAR4 <= 0;
VAR7 <= 0;
VAR11 <= 0;
end
else begin
VAR9 <= VAR12;
VAR4 <= VAR5;
VAR7 <= VAR4;
VAR11 <= VAR7;
end
end
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a221o/sky130_fd_sc_lp__a221o.behavioral.v | 1,662 | module MODULE1 (
VAR17 ,
VAR8,
VAR10,
VAR3,
VAR5,
VAR12
);
output VAR17 ;
input VAR8;
input VAR10;
input VAR3;
input VAR5;
input VAR12;
supply1 VAR15;
supply0 VAR9;
supply1 VAR13 ;
supply0 VAR2 ;
wire VAR7 ;
wire VAR1 ;
wire VAR4;
and VAR11 (VAR7 , VAR3, VAR5 );
and VAR6 (VAR1 , VAR8, VAR10 );
or VAR14 (VAR4, VAR1, VAR7, VAR12);
buf VAR16 (VAR17 , VAR4 );
endmodule | apache-2.0 |
skarpenko/ultiparc | rtl/src/dbus2ocp.v | 2,537 | module MODULE1(
VAR14,
VAR10,
VAR17,
VAR24,
VAR8,
VAR13,
VAR1,
VAR15,
VAR6,
VAR12,
VAR20,
VAR18,
VAR2,
VAR3,
VAR21
);
input wire [VAR23-1:0] VAR14;
input wire VAR10;
input wire VAR17;
input wire [VAR22-1:0] VAR24;
input wire [VAR9-1:0] VAR8;
output reg [VAR9-1:0] VAR13;
output reg VAR1;
output reg VAR15;
output reg [VAR23-1:0] VAR6;
output reg [2:0] VAR12;
output reg [VAR9-1:0] VAR20;
output reg [VAR22-1:0] VAR18;
input wire VAR2;
input wire [VAR9-1:0] VAR3;
input wire [1:0] VAR21;
always @(*)
begin
VAR6 = VAR14;
VAR12 = (VAR10 ? (VAR17 ? VAR7 : VAR5) : VAR16);
VAR18 = VAR24;
VAR20 = VAR8;
VAR13 = VAR3;
VAR1 = (VAR21 != VAR11 ? 1'b1 : 1'b0);
VAR15 = (VAR21 == VAR19 || VAR21 == VAR4 ? 1'b1 : 1'b0);
end
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/sdfstp/sky130_fd_sc_hs__sdfstp.functional.pp.v | 2,021 | module MODULE1 (
VAR12 ,
VAR17 ,
VAR10 ,
VAR14 ,
VAR9 ,
VAR1 ,
VAR8 ,
VAR4
);
input VAR12 ;
input VAR17 ;
output VAR10 ;
input VAR14 ;
input VAR9 ;
input VAR1 ;
input VAR8 ;
input VAR4;
wire VAR2 ;
wire VAR15 ;
wire VAR5;
not VAR16 (VAR15 , VAR4 );
VAR18 VAR7 (VAR5, VAR9, VAR1, VAR8 );
VAR13 VAR3 VAR6 (VAR2 , VAR5, VAR14, VAR15, VAR12, VAR17);
buf VAR11 (VAR10 , VAR2 );
endmodule | apache-2.0 |
jz0229/open-ephys-pcie | kc705-host-firmware/Sources/Verilog/pcie_k7_vivado_pipe_clock.v | 21,845 | module MODULE1 #
(
parameter VAR40 = "VAR106", parameter VAR38 = "VAR106", parameter VAR72= "VAR106", parameter VAR115 = 1, parameter VAR149 = 3, parameter VAR137 = 0, parameter VAR4 = 2, parameter VAR21 = 2, parameter VAR46 = 1, parameter VAR1 = 0
)
(
input VAR28,
input VAR142,
input [VAR115-1:0] VAR70,
input VAR87,
input [VAR115-1:0] VAR140,
input [VAR115-1:0] VAR101,
input VAR44,
output VAR92,
output VAR43,
output VAR18,
output [VAR115-1:0] VAR32,
output VAR15,
output VAR90,
output VAR66,
output VAR9,
output VAR6
);
localparam VAR58 = (VAR137 == 2) ? 1 :
(VAR137 == 1) ? 1 : 1;
localparam VAR2 = (VAR137 == 2) ? 4 :
(VAR137 == 1) ? 8 : 10;
localparam VAR148 = (VAR137 == 2) ? 4 :
(VAR137 == 1) ? 8 : 10;
localparam VAR134 = 8;
localparam VAR129 = 4;
localparam VAR132 = (VAR4 == 5) ? 2 :
(VAR4 == 4) ? 4 :
(VAR4 == 3) ? 8 :
(VAR4 == 1) ? 32 : 16;
localparam VAR52 = (VAR21 == 5) ? 2 :
(VAR21 == 4) ? 4 :
(VAR21 == 3) ? 8 :
(VAR21 == 1) ? 32 : 16;
localparam VAR94 = 20;
localparam VAR99 = 1'b1;
reg [VAR115-1:0] VAR8 = {VAR115{1'd0}};
reg [VAR115-1:0] VAR84 = {VAR115{1'd0}};
reg VAR112 = 1'd0;
reg [VAR115-1:0] VAR37 = {VAR115{1'd0}};
reg [VAR115-1:0] VAR125 = {VAR115{1'd0}};
reg VAR51 = 1'd0;
wire VAR54;
wire VAR19;
wire VAR24;
wire VAR95;
wire VAR63;
wire VAR30;
wire VAR145;
wire VAR60;
reg VAR123 = 1'd0;
reg VAR146 = 1'd0;
wire VAR109;
wire VAR138;
wire VAR126;
wire VAR139;
wire VAR69;
genvar VAR47;
always @ (posedge VAR138)
begin
if (!VAR87)
begin
VAR8 <= {VAR115{1'd0}};
VAR84 <= {VAR115{1'd0}};
VAR112 <= 1'd0;
VAR37 <= {VAR115{1'd0}};
VAR125 <= {VAR115{1'd0}};
VAR51 <= 1'd0;
end
else
begin
VAR8 <= VAR140;
VAR84 <= VAR101;
VAR112 <= VAR44;
VAR37 <= VAR8;
VAR125 <= VAR84;
VAR51 <= VAR112;
end
end
generate if ((VAR38 == "VAR79") && (VAR149 != 3))
begin : VAR12
VAR23 VAR12
(
.VAR143 (VAR28),
.VAR104 (VAR54)
);
end
else
begin : VAR111
VAR23 VAR111
(
.VAR143 (VAR142),
.VAR104 (VAR54)
);
end
endgenerate
VAR65 #
(
.VAR131 ("VAR41"),
.VAR80 ("VAR106"),
.VAR10 ("VAR152"),
.VAR71 ("VAR106"),
.VAR58 (VAR58),
.VAR2 (VAR2),
.VAR108 (0.000),
.VAR48 ("VAR106"),
.VAR134 (VAR134),
.VAR7 (0.000),
.VAR50 (0.500),
.VAR13 ("VAR106"),
.VAR129 (VAR129),
.VAR31 (0.000),
.VAR82 (0.500),
.VAR124 ("VAR106"),
.VAR132 (VAR132),
.VAR61 (0.000),
.VAR85 (0.500),
.VAR75 ("VAR106"),
.VAR52 (VAR52),
.VAR135 (0.000),
.VAR62 (0.500),
.VAR102 ("VAR106"),
.VAR94 (VAR94),
.VAR150 (0.000),
.VAR59 (0.500),
.VAR113 ("VAR106"),
.VAR148 (VAR148),
.VAR86 (0.010)
)
VAR3
(
.VAR53 (VAR54),
.VAR49 (1'd0), .VAR128 (1'd1),
.VAR98 (VAR19),
.VAR5 (!VAR87),
.VAR64 (1'd0),
.VAR45 (VAR19),
.VAR42 (),
.VAR77 (VAR24),
.VAR120 (),
.VAR105 (VAR63),
.VAR114 (),
.VAR25 (VAR30),
.VAR73 (),
.VAR20 (VAR145),
.VAR26 (),
.VAR93 (VAR60),
.VAR68 (),
.VAR76 (),
.VAR118 (VAR69),
.VAR121 ( 1'd0),
.VAR83 ( 7'd0),
.VAR34 ( 1'd0),
.VAR91 ( 1'd0),
.VAR89 (16'd0),
.VAR133 (),
.VAR22 (),
.VAR57 (1'd0),
.VAR116 (1'd0),
.VAR130 (1'd0),
.VAR147 (),
.VAR74 (),
.VAR27 ()
);
generate if (VAR149 != 1)
begin : VAR97
VAR36 VAR117
(
.VAR127 (1'd1),
.VAR56 (1'd1),
.VAR17 (VAR24),
.VAR81 (VAR63),
.VAR122 (1'd0),
.VAR35 (1'd0),
.VAR153 (~VAR123),
.VAR141 ( VAR123),
.VAR104 (VAR109)
);
end
else
begin : VAR14
VAR23 VAR117
(
.VAR143 (VAR24),
.VAR104 (VAR95)
);
assign VAR109 = VAR95;
end
endgenerate
generate if(VAR72 == "VAR106")
begin : VAR103
assign VAR43 = 1'b0;
end
else if (VAR149 != 1)
begin : VAR119
VAR36 VAR55
(
.VAR127 (1'd1),
.VAR56 (1'd1),
.VAR17 (VAR24),
.VAR81 (VAR63),
.VAR122 (1'd0),
.VAR35 (1'd0),
.VAR153 (~VAR146),
.VAR141 ( VAR146),
.VAR104 (VAR43)
);
end
else
begin : VAR29
VAR23 VAR55
(
.VAR143 (VAR24),
.VAR104 (VAR43)
);
end
endgenerate
generate if ((VAR1 == 1) || (VAR40 == "VAR79"))
begin : VAR67
for (VAR47=0; VAR47<VAR115; VAR47=VAR47+1)
begin : VAR151
VAR23 VAR151
(
.VAR143 (VAR70[VAR47]),
.VAR104 (VAR32[VAR47])
);
end
end
else
begin : VAR88
assign VAR32 = {VAR115{1'd0}};
end
endgenerate
generate if (VAR149 != 1)
begin : VAR39
VAR23 VAR96
(
.VAR143 (VAR24),
.VAR104 (VAR15)
);
end
else
begin : VAR96
assign VAR15 = VAR95; end
endgenerate
generate if (VAR99 == 1'b1 && VAR4 == 3)
begin :VAR100
assign VAR126 = VAR109;
end
else
begin : VAR144
VAR23 VAR16
(
.VAR143 (VAR30),
.VAR104 (VAR126)
);
end
endgenerate
generate if (VAR99 == 1'b1 && VAR21 == 3 )
begin : VAR136
assign VAR139 = VAR109;
end
else if (VAR21 == VAR4 )
begin : VAR33
assign VAR139 = VAR126;
end
else
begin : VAR107
VAR23 VAR78
(
.VAR143 (VAR145),
.VAR104 (VAR139)
);
end
endgenerate
generate if (VAR46 == 2)
begin : VAR11
VAR23 VAR11
(
.VAR143 (VAR60),
.VAR104 (VAR90)
);
end
else
begin : VAR110
assign VAR90 = VAR138;
end
endgenerate
assign VAR138 = VAR109;
assign VAR18 = VAR109;
assign VAR66 = VAR126;
assign VAR9 = VAR139;
always @ (posedge VAR138)
begin
if (!VAR87)
VAR123 <= 1'd0;
end
else
begin
if (&VAR37)
VAR123 <= 1'd1;
end
else if (&(~VAR37))
VAR123 <= 1'd0;
else
VAR123 <= VAR123;
end
end
always @ (posedge VAR138)
begin
if (!VAR87)
VAR146<= 1'd0;
end
else
begin
if (&VAR125)
VAR146 <= 1'd1;
end
else if (&(~VAR125))
VAR146 <= 1'd0;
else
VAR146 <= VAR146;
end
end
assign VAR92 = VAR138;
assign VAR6 = VAR69;
endmodule | mit |
C-L-G/azpr_soc | azpr_soc/trunk/ic/digital/rtl/cpu/mem_reg.v | 5,190 | module MODULE1 (
input wire clk,
input wire reset,
input wire [VAR32] out,
input wire VAR26,
input wire VAR22,
input wire VAR21,
input wire [VAR28] VAR25,
input wire VAR27,
input wire VAR8,
input wire [VAR12] VAR23,
input wire [VAR15] VAR11,
input wire VAR18,
input wire [VAR33] VAR3,
output reg [VAR28] VAR13,
output reg VAR1,
output reg VAR24,
output reg [VAR12] VAR5,
output reg [VAR15] VAR20,
output reg VAR31,
output reg [VAR33] VAR2,
output reg [VAR32] VAR19
);
always @(posedge clk or VAR30 reset) begin
if (reset == VAR6) begin
VAR13 <= VAR29'h0;
VAR1 <= VAR10;
VAR24 <= VAR10;
VAR5 <= VAR34;
VAR20 <= VAR7'h0;
VAR31 <= VAR17;
VAR2 <= VAR4;
VAR19 <= VAR14'h0;
end else begin
if (VAR22 == VAR10) begin
if (VAR21 == VAR16) begin
VAR13 <= VAR29'h0;
VAR1 <= VAR10;
VAR24 <= VAR10;
VAR5 <= VAR34;
VAR20 <= VAR7'h0;
VAR31 <= VAR17;
VAR2 <= VAR4;
VAR19 <= VAR14'h0;
end else if (VAR26 == VAR16) begin
VAR13 <= VAR25;
VAR1 <= VAR27;
VAR24 <= VAR8;
VAR5 <= VAR34;
VAR20 <= VAR7'h0;
VAR31 <= VAR17;
VAR2 <= VAR9;
VAR19 <= VAR14'h0;
end else begin
VAR13 <= VAR25;
VAR1 <= VAR27;
VAR24 <= VAR8;
VAR5 <= VAR23;
VAR20 <= VAR11;
VAR31 <= VAR18;
VAR2 <= VAR3;
VAR19 <= out;
end
end
end
end
endmodule | apache-2.0 |
ptracton/wb_soc_template | rtl/ALTERA/wb_ram_32x8192.v | 7,402 | module MODULE1 (
address,
VAR23,
VAR42,
VAR5,
VAR40,
VAR21);
input [12:0] address;
input [3:0] VAR23;
input VAR42;
input [31:0] VAR5;
input VAR40;
output [31:0] VAR21;
tri1 [3:0] VAR23;
tri1 VAR42;
wire [31:0] VAR7;
wire [31:0] VAR21 = VAR7[31:0];
VAR25 VAR27 (
.VAR54 (address),
.VAR47 (VAR23),
.VAR39 (VAR42),
.VAR15 (VAR5),
.VAR1 (VAR40),
.VAR33 (VAR7),
.VAR22 (1'b0),
.VAR19 (1'b0),
.VAR48 (1'b1),
.VAR49 (1'b0),
.VAR55 (1'b0),
.VAR3 (1'b1),
.VAR13 (1'b1),
.VAR43 (1'b1),
.VAR28 (1'b1),
.VAR31 (1'b1),
.VAR51 (1'b1),
.VAR8 (1'b1),
.VAR30 (),
.VAR6 (),
.VAR16 (1'b1),
.VAR52 (1'b1),
.VAR17 (1'b0));
VAR27.VAR24 = 8,
VAR27.VAR44 = "VAR56",
VAR27.VAR34 = "VAR56",
VAR27.VAR38 = "VAR41 VAR29 VAR20",
VAR27.VAR36 = "VAR53=VAR57",
VAR27.VAR26 = "VAR25",
VAR27.VAR9 = 8192,
VAR27.VAR10 = "VAR37",
VAR27.VAR4 = "VAR32",
VAR27.VAR14 = "VAR11",
VAR27.VAR12 = "VAR18",
VAR27.VAR46 = "VAR2",
VAR27.VAR35 = 13,
VAR27.VAR45 = 32,
VAR27.VAR50 = 4;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/busdrivernovlpsleep/sky130_fd_sc_lp__busdrivernovlpsleep.pp.symbol.v | 1,510 | module MODULE1 (
input VAR3 ,
output VAR9 ,
input VAR8 ,
input VAR6,
input VAR2,
input VAR5 ,
input VAR7 ,
input VAR4 ,
input VAR1
);
endmodule | apache-2.0 |
peteasa/parallella-fpga | AdiHDLLib/library/common/ad_mem_asym.v | 5,185 | module MODULE1 (
VAR14,
VAR10,
VAR7,
VAR11,
VAR6,
VAR4,
VAR2);
parameter VAR12 = 10;
parameter VAR9 = 256;
parameter VAR3 = 8;
parameter VAR1 = 64;
localparam VAR13 = 2**VAR12;
localparam VAR8 = 2**VAR3;
localparam VAR5 = (VAR13 > VAR8) ? VAR13 : VAR8;
localparam VAR16 = VAR9/VAR1;
input VAR14;
input VAR10;
input [VAR12-1:0] VAR7;
input [VAR9-1:0] VAR11;
input VAR6;
input [VAR3-1:0] VAR4;
output [VAR1-1:0] VAR2;
reg [VAR1-1:0] VAR15[0:VAR5-1];
reg [VAR1-1:0] VAR2;
generate
if (VAR16 == 1) begin
always @(posedge VAR14) begin
if (VAR10 == 1'b1) begin
VAR15[VAR7] <= VAR11;
end
end
end
if (VAR16 == 2) begin
always @(posedge VAR14) begin
if (VAR10 == 1'b1) begin
VAR15[{VAR7, 1'd0}] <= VAR11[((1*VAR1)-1):(VAR1*0)];
VAR15[{VAR7, 1'd1}] <= VAR11[((2*VAR1)-1):(VAR1*1)];
end
end
end
if (VAR16 == 4) begin
always @(posedge VAR14) begin
if (VAR10 == 1'b1) begin
VAR15[{VAR7, 2'd0}] <= VAR11[((1*VAR1)-1):(VAR1*0)];
VAR15[{VAR7, 2'd1}] <= VAR11[((2*VAR1)-1):(VAR1*1)];
VAR15[{VAR7, 2'd2}] <= VAR11[((3*VAR1)-1):(VAR1*2)];
VAR15[{VAR7, 2'd3}] <= VAR11[((4*VAR1)-1):(VAR1*3)];
end
end
end
if (VAR16 == 8) begin
always @(posedge VAR14) begin
if (VAR10 == 1'b1) begin
VAR15[{VAR7, 3'd0}] <= VAR11[((1*VAR1)-1):(VAR1*0)];
VAR15[{VAR7, 3'd1}] <= VAR11[((2*VAR1)-1):(VAR1*1)];
VAR15[{VAR7, 3'd2}] <= VAR11[((3*VAR1)-1):(VAR1*2)];
VAR15[{VAR7, 3'd3}] <= VAR11[((4*VAR1)-1):(VAR1*3)];
VAR15[{VAR7, 3'd4}] <= VAR11[((5*VAR1)-1):(VAR1*4)];
VAR15[{VAR7, 3'd5}] <= VAR11[((6*VAR1)-1):(VAR1*5)];
VAR15[{VAR7, 3'd6}] <= VAR11[((7*VAR1)-1):(VAR1*6)];
VAR15[{VAR7, 3'd7}] <= VAR11[((8*VAR1)-1):(VAR1*7)];
end
end
end
endgenerate
always @(posedge VAR6) begin
VAR2 <= VAR15[VAR4];
end
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o2111ai/sky130_fd_sc_lp__o2111ai.behavioral.pp.v | 2,086 | module MODULE1 (
VAR13 ,
VAR2 ,
VAR16 ,
VAR3 ,
VAR1 ,
VAR18 ,
VAR4,
VAR14,
VAR7 ,
VAR15
);
output VAR13 ;
input VAR2 ;
input VAR16 ;
input VAR3 ;
input VAR1 ;
input VAR18 ;
input VAR4;
input VAR14;
input VAR7 ;
input VAR15 ;
wire VAR8 ;
wire VAR11 ;
wire VAR17;
or VAR9 (VAR8 , VAR16, VAR2 );
nand VAR10 (VAR11 , VAR1, VAR3, VAR18, VAR8 );
VAR5 VAR6 (VAR17, VAR11, VAR4, VAR14);
buf VAR12 (VAR13 , VAR17 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/sdlxtp/sky130_fd_sc_hvl__sdlxtp.blackbox.v | 1,312 | module MODULE1 (
VAR8 ,
VAR7 ,
VAR9 ,
VAR5 ,
VAR1
);
output VAR8 ;
input VAR7 ;
input VAR9 ;
input VAR5 ;
input VAR1;
supply1 VAR6;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR2 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/or2b/sky130_fd_sc_hdll__or2b.blackbox.v | 1,274 | module MODULE1 (
VAR3 ,
VAR2 ,
VAR4
);
output VAR3 ;
input VAR2 ;
input VAR4;
supply1 VAR1;
supply0 VAR7;
supply1 VAR5 ;
supply0 VAR6 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/nor3b/sky130_fd_sc_hs__nor3b.pp.symbol.v | 1,308 | module MODULE1 (
input VAR3 ,
input VAR5 ,
input VAR1 ,
output VAR2 ,
input VAR4,
input VAR6
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/nor3/sky130_fd_sc_hdll__nor3.behavioral.pp.v | 1,862 | module MODULE1 (
VAR4 ,
VAR5 ,
VAR2 ,
VAR14 ,
VAR9,
VAR8,
VAR12 ,
VAR3
);
output VAR4 ;
input VAR5 ;
input VAR2 ;
input VAR14 ;
input VAR9;
input VAR8;
input VAR12 ;
input VAR3 ;
wire VAR13 ;
wire VAR7;
nor VAR1 (VAR13 , VAR14, VAR5, VAR2 );
VAR6 VAR11 (VAR7, VAR13, VAR9, VAR8);
buf VAR10 (VAR4 , VAR7 );
endmodule | apache-2.0 |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ddr3_source/phy/mig_7series_v1_9_ddr_phy_top.v | 66,896 | module MODULE1 #
(
parameter VAR138 = 100, parameter VAR293 = "0", parameter VAR27 = 3, parameter VAR17 = "8", parameter VAR140 = "VAR167", parameter VAR124 = "VAR40", parameter VAR387 = 1, parameter VAR297 = 5,
parameter VAR303 = 12, parameter VAR68 = 1, parameter VAR102 = 1, parameter VAR137 = 5,
parameter VAR286 = 8, parameter VAR184 = 64, parameter VAR355 = 3, parameter VAR266 = 8, parameter VAR339 = "VAR304",
parameter VAR322 = 8, parameter VAR144 = 0, parameter VAR169 = 2,
parameter VAR225 = "VAR289",
parameter VAR216 = 4'hc,
parameter VAR19 = 4'hf,
parameter VAR281 = 4'hf,
parameter VAR6 = 4'hf,
parameter VAR220 = 4'hf,
parameter VAR292 = 4'b1111,
parameter VAR201 = 4'b0000,
parameter VAR310 = 4'b0000,
parameter VAR157 = 4'b0000,
parameter VAR35 = 4'b0000,
parameter VAR195 = 48'h000000000000,
parameter VAR393 = 48'h000000000000,
parameter VAR100 = 48'h000000000000,
parameter VAR332
= 144'h000000000000000000000000000000000000,
parameter VAR187
= 192'h000000000000000000000000000000000000000000000000,
parameter VAR32 = 36'h000000000,
parameter VAR92 = 12'h000,
parameter VAR280 = 8'h00,
parameter VAR356 = 96'h000000000000000000000000,
parameter VAR258 = 96'h000000000000000000000000,
parameter VAR57 = "VAR289",
parameter VAR358 = 120'h000000000000000000000000000000,
parameter VAR80 = 12'h000,
parameter VAR175 = 12'h000,
parameter VAR121 = 12'h000,
parameter VAR316
= 144'h000000000000000000000000000000000000,
parameter VAR312 = 96'h000000000000000000000000,
parameter VAR4 = 96'h000000000000000000000000,
parameter VAR109 = 96'h000000000000000000000000,
parameter VAR274 = 96'h000000000000000000000000,
parameter VAR96 = 96'h000000000000000000000000,
parameter VAR313 = 96'h000000000000000000000000,
parameter VAR113 = 96'h000000000000000000000000,
parameter VAR349 = 96'h000000000000000000000000,
parameter VAR314 = 96'h000000000000000000000000,
parameter VAR395 = 96'h000000000000000000000000,
parameter VAR42 = 96'h000000000000000000000000,
parameter VAR203 = 96'h000000000000000000000000,
parameter VAR376 = 96'h000000000000000000000000,
parameter VAR165 = 96'h000000000000000000000000,
parameter VAR106 = 96'h000000000000000000000000,
parameter VAR193 = 96'h000000000000000000000000,
parameter VAR179 = 96'h000000000000000000000000,
parameter VAR317 = 96'h000000000000000000000000,
parameter VAR373 = 108'h000000000000000000000000000,
parameter VAR202 = 108'h000000000000000000000000000,
parameter VAR118 = "VAR40", parameter VAR75 = 2, parameter VAR363 = 1, parameter VAR49 = "1T", parameter VAR24 = "VAR26",
parameter VAR3 = "VAR70", parameter VAR260 = "VAR83", parameter VAR115 = "VAR26", parameter VAR240 = "VAR278",
parameter VAR59 = "VAR40", parameter VAR25 = "VAR331", parameter VAR348 = "VAR40", parameter VAR89 = "60", parameter VAR218 = "120", parameter VAR78 = 2500, parameter VAR45 = 110000, parameter VAR380 = "VAR212", parameter VAR62 = "VAR40", parameter VAR243 = "VAR40", parameter VAR65 = 4,
parameter VAR279 = 1,
parameter VAR230 = 16, parameter [7:0] VAR388 = 8'b00000000,
parameter VAR177 = 16'h0000, parameter VAR221 = 12'h000, parameter VAR255 = 3'h0, parameter VAR360 = "VAR40",
parameter VAR152 = 200.0, parameter VAR129 = 1, parameter VAR53 = 1, parameter VAR114 = 1, parameter VAR56 = 0 )
(
input clk, input VAR370, input VAR101, input VAR13, input VAR245, input VAR364, input VAR385, output VAR300,
input [11:0] VAR273,
input VAR84,
input VAR90,
input VAR211,
input [VAR355:0] VAR174,
input VAR222,
input VAR399,
input VAR264,
input VAR94,
input VAR128,
input VAR206,
input VAR397,
input VAR252,
input VAR368,
input VAR344,
input [VAR355-1:0] VAR197,
input rst,
input [7:0] VAR242,
input [7:0] VAR329,
input [VAR75-1:0] VAR136,
input [VAR75-1:0] VAR52,
input [VAR75-1:0] VAR16,
input [VAR75*VAR230-1:0] VAR63,
input [VAR75*VAR27-1:0] VAR122,
input [VAR68*VAR363*VAR75-1:0] VAR236,
input VAR367,
input [1:0] VAR282,
input [VAR75-1:0] VAR50,
input [3:0] VAR66,
input [3:0] VAR5,
input VAR185,
input VAR61,
input [2:0] VAR386,
input [1:0] VAR383,
input [5:0] VAR117,
input [5:0] VAR88,
input [5:0] VAR105,
input [1:0] VAR234,
input VAR347,
input [2*VAR75*VAR184-1:0] VAR287,
input [2*VAR75*(VAR184/8)-1:0] VAR372,
input VAR151,
output [VAR230-1:0] VAR327,
output [VAR27-1:0] VAR365,
output VAR382,
output [VAR387-1:0] VAR232,
output [VAR387-1:0] VAR191,
output [VAR102-1:0] VAR307,
output [VAR68*VAR363-1:0] VAR180,
output [VAR286-1:0] VAR207,
output [VAR279-1:0] VAR21,
output VAR262,
output VAR208,
output VAR251,
output VAR223,
inout [VAR184-1:0] VAR275,
inout [VAR266-1:0] VAR73,
inout [VAR266-1:0] VAR265,
output [255:0] VAR285,
output [6*VAR266*VAR65-1:0] VAR270,
output [6*VAR266*VAR65-1:0] VAR340,
output [6*VAR266*VAR65-1:0] VAR246,
output [5*VAR266*VAR65-1:0] VAR200,
output [255:0] VAR20,
output [99:0] VAR325,
output [6*VAR266-1:0] VAR172,
output [3*VAR266-1:0] VAR305,
output [VAR266-1:0] VAR134,
output [2*VAR75*VAR184-1:0] VAR162,
output VAR328,
output [1:0] VAR321,
output [1:0] VAR72,
output [1:0] VAR29,
output [5:0] VAR233,
output VAR98,
output VAR82,
output VAR194,
output VAR131,
output [6*VAR266-1:0] VAR163,
output [3*VAR266-1:0] VAR127,
output [255:0] VAR116,
output VAR170,
output VAR352,
output VAR375,
output [11:0] VAR346,
output VAR231,
output VAR142,
output VAR48,
output [11:0] VAR330,
output VAR161,
output VAR224,
output VAR156,
output VAR23,
output VAR9,
output VAR196,
output VAR326,
output VAR145,
output [6*VAR65-1:0] VAR71,
output [6*VAR65-1:0] VAR141,
output [6*VAR65-1:0] VAR401,
output VAR104,
output [2*VAR75*VAR184-1:0] VAR227,
output VAR283,
input VAR39,
output [6*VAR65-1:0] VAR257,
output [255:0] VAR384,
output [255:0] VAR1,
output [255:0] VAR237,
output [5:0] VAR44,
output [8:0] VAR371,
output VAR47,
output VAR189,
output [255:0] VAR110,
output [VAR322*16 -1:0] VAR334
);
localparam VAR15 = 1 + (|VAR388 ? 1 : 0);
localparam VAR147 = VAR78 * VAR75;
localparam VAR341
= ((VAR360 == "VAR192") ? "VAR261" :
((VAR360 == "VAR217") ||
(VAR360 == "VAR343")) ? "VAR178" :
"VAR377");
localparam VAR41
= ((VAR360 == "VAR192") ? "VAR43" :
(VAR360 == "VAR217") ? "VAR188" :
((VAR360 == "VAR343") ||
(VAR360 == "VAR87")) ? "VAR342" :
"VAR377");
localparam VAR103
= (VAR360 == "VAR192") ? "VAR40" : VAR62;
localparam VAR186 = (VAR35 != 0 ? 5 : (VAR157 != 0 ? 4 :
(VAR310 != 0 ? 3 :
(VAR201 != 0 ? 2 : 1))));
localparam VAR309 = VAR292[3] ? 4 : VAR292[2] ? 3 :
VAR292[1] ? 2 : VAR292[0] ? 1 :
0;
localparam VAR318 = VAR201[3] ? 4 : VAR201[2] ? 3 :
VAR201[1] ? 2 : VAR201[0] ? 1 :
0;
localparam VAR125 = VAR310[3] ? 4 : VAR310[2] ? 3 :
VAR310[1] ? 2 : VAR310[0] ? 1 :
0;
localparam VAR158 = VAR157[3] ? 4 : VAR157[2] ? 3 :
VAR157[1] ? 2 : VAR157[0] ? 1 :
0;
localparam VAR182 = VAR35[3] ? 4 : VAR35[2] ? 3 :
VAR35[1] ? 2 : VAR35[0] ? 1 :
0;
localparam VAR2 =
(VAR182 != 0) ? (VAR182+16) :
((VAR158 != 0) ? (VAR158 + 12) :
((VAR125 != 0) ? (VAR125 + 8) :
((VAR318 != 0) ? (VAR318 + 4) :
VAR309)));
localparam VAR353 = ((0+(!VAR216[0]) & VAR292[0]) +
(0+(!VAR216[1]) & VAR292[1]) +
(0+(!VAR216[2]) & VAR292[2]) +
(0+(!VAR216[3]) & VAR292[3])) +
((0+(!VAR19[0]) & VAR201[0]) +
(0+(!VAR19[1]) & VAR201[1]) +
(0+(!VAR19[2]) & VAR201[2]) +
(0+(!VAR19[3]) & VAR201[3])) +
((0+(!VAR281[0]) & VAR310[0]) +
(0+(!VAR281[1]) & VAR310[1]) +
(0+(!VAR281[2]) & VAR310[2]) +
(0+(!VAR281[3]) & VAR310[3])) +
((0+(!VAR6[0]) & VAR157[0]) +
(0+(!VAR6[1]) & VAR157[1]) +
(0+(!VAR6[2]) & VAR157[2]) +
(0+(!VAR6[3]) & VAR157[3])) +
((0+(!VAR220[0]) & VAR35[0]) +
(0+(!VAR220[1]) & VAR35[1]) +
(0+(!VAR220[2]) & VAR35[2]) +
(0+(!VAR220[3]) & VAR35[3]));
localparam [2:0] VAR259 = (((!VAR216[0]) & VAR292[0]) |
((!VAR216[1]) & VAR292[1]) |
((!VAR216[2]) & VAR292[2]) |
((!VAR216[3]) & VAR292[3])) ?
3'b000 :
(((!VAR19[0]) & VAR201[0]) |
((!VAR19[1]) & VAR201[1]) |
((!VAR19[2]) & VAR201[2]) |
((!VAR19[3]) & VAR201[3])) ?
3'b001 :
(((!VAR281[0]) & VAR310[0]) |
((!VAR281[1]) & VAR310[1]) |
((!VAR281[2]) & VAR310[2]) |
((!VAR281[3]) & VAR310[3])) ?
3'b010 :
(((!VAR6[0]) & VAR157[0]) |
((!VAR6[1]) & VAR157[1]) |
((!VAR6[2]) & VAR157[2]) |
((!VAR6[3]) & VAR157[3])) ?
3'b011 :
(((!VAR220[0]) & VAR35[0]) |
((!VAR220[1]) & VAR35[1]) |
((!VAR220[2]) & VAR35[2]) |
((!VAR220[3]) & VAR35[3])) ?
3'b100 : 3'b000;
localparam [7:0] VAR37 = (VAR353 == 4) ? 8'b11100100 :
((VAR353 == 3) &
(((!VAR216[0]) & VAR292[0] &
(!VAR216[1]) & VAR292[1] &
(!VAR216[2]) & VAR292[2]) |
((!VAR19[0]) & VAR201[0] &
(!VAR19[1]) & VAR201[1] &
(!VAR19[2]) & VAR201[2]) |
((!VAR281[0]) & VAR310[0] &
(!VAR281[1]) & VAR310[1] &
(!VAR281[2]) & VAR310[2]) |
((!VAR6[0]) & VAR157[0] &
(!VAR6[1]) & VAR157[1] &
(!VAR6[2]) & VAR157[2]) |
((!VAR220[0]) & VAR35[0] &
(!VAR220[1]) & VAR35[1] &
(!VAR220[2]) & VAR35[2]))) ?
8'b00100100 :
((VAR353 == 3) &
(((!VAR216[0]) & VAR292[0] &
(!VAR216[1]) & VAR292[1] &
(!VAR216[3]) & VAR292[3]) |
((!VAR19[0]) & VAR201[0] &
(!VAR19[1]) & VAR201[1] &
(!VAR19[3]) & VAR201[3]) |
((!VAR281[0]) & VAR310[0] &
(!VAR281[1]) & VAR310[1] &
(!VAR281[3]) & VAR310[3]) |
((!VAR6[0]) & VAR157[0] &
(!VAR6[1]) & VAR157[1] &
(!VAR6[3]) & VAR157[3]) |
((!VAR220[0]) & VAR35[0] &
(!VAR220[1]) & VAR35[1] &
(!VAR220[3]) & VAR35[3]))) ?
8'b00110100 :
((VAR353 == 3) &
(((!VAR216[0]) & VAR292[0] &
(!VAR216[2]) & VAR292[2] &
(!VAR216[3]) & VAR292[3]) |
((!VAR19[0]) & VAR201[0] &
(!VAR19[2]) & VAR201[2] &
(!VAR19[3]) & VAR201[3]) |
((!VAR281[0]) & VAR310[0] &
(!VAR281[2]) & VAR310[2] &
(!VAR281[3]) & VAR310[3]) |
((!VAR6[0]) & VAR157[0] &
(!VAR6[2]) & VAR157[2] &
(!VAR6[3]) & VAR157[3]) |
((!VAR220[0]) & VAR35[0] &
(!VAR220[2]) & VAR35[2] &
(!VAR220[3]) & VAR35[3]))) ?
8'b00111000 :
((VAR353 == 3) &
(((!VAR216[1]) & VAR292[1] &
(!VAR216[2]) & VAR292[2] &
(!VAR216[3]) & VAR292[3]) |
((!VAR19[1]) & VAR201[1] &
(!VAR19[2]) & VAR201[2] &
(!VAR19[3]) & VAR201[3]) |
((!VAR281[1]) & VAR310[1] &
(!VAR281[2]) & VAR310[2] &
(!VAR281[3]) & VAR310[3]) |
((!VAR6[1]) & VAR157[1] &
(!VAR6[2]) & VAR157[2] &
(!VAR6[3]) & VAR157[3]) |
((!VAR220[1]) & VAR35[1] &
(!VAR220[2]) & VAR35[2] &
(!VAR220[3]) & VAR35[3]))) ?
8'b00111001 :
((VAR353 == 2) &
(((!VAR216[0]) & VAR292[0] &
(!VAR216[1]) & VAR292[1]) |
((!VAR19[0]) & VAR201[0] &
(!VAR19[1]) & VAR201[1]) |
((!VAR281[0]) & VAR310[0] &
(!VAR281[1]) & VAR310[1]) |
((!VAR6[0]) & VAR157[0] &
(!VAR6[1]) & VAR157[1]) |
((!VAR220[0]) & VAR35[0] &
(!VAR220[1]) & VAR35[1]))) ?
8'b00000100 :
((VAR353 == 2) &
(((!VAR216[0]) & VAR292[0] &
(!VAR216[3]) & VAR292[3]) |
((!VAR19[0]) & VAR201[0] &
(!VAR19[3]) & VAR201[3]) |
((!VAR281[0]) & VAR310[0] &
(!VAR281[3]) & VAR310[3]) |
((!VAR6[0]) & VAR157[0] &
(!VAR6[3]) & VAR157[3]) |
((!VAR220[0]) & VAR35[0] &
(!VAR220[3]) & VAR35[3]))) ?
8'b00001100 :
((VAR353 == 2) &
(((!VAR216[2]) & VAR292[2] &
(!VAR216[3]) & VAR292[3]) |
((!VAR19[2]) & VAR201[2] &
(!VAR19[3]) & VAR201[3]) |
((!VAR281[2]) & VAR310[2] &
(!VAR281[3]) & VAR310[3]) |
((!VAR6[2]) & VAR157[2] &
(!VAR6[3]) & VAR157[3]) |
((!VAR220[2]) & VAR35[2] &
(!VAR220[3]) & VAR35[3]))) ?
8'b00001110 :
((VAR353 == 2) &
(((!VAR216[1]) & VAR292[1] &
(!VAR216[2]) & VAR292[2]) |
((!VAR19[1]) & VAR201[1] &
(!VAR19[2]) & VAR201[2]) |
((!VAR281[1]) & VAR310[1] &
(!VAR281[2]) & VAR310[2]) |
((!VAR6[1]) & VAR157[1] &
(!VAR6[2]) & VAR157[2]) |
((!VAR220[1]) & VAR35[1] &
(!VAR220[2]) & VAR35[2]))) ?
8'b00001001 :
((VAR353 == 2) &
(((!VAR216[1]) & VAR292[1] &
(!VAR216[3]) & VAR292[3]) |
((!VAR19[1]) & VAR201[1] &
(!VAR19[3]) & VAR201[3]) |
((!VAR281[1]) & VAR310[1] &
(!VAR281[3]) & VAR310[3]) |
((!VAR6[1]) & VAR157[1] &
(!VAR6[3]) & VAR157[3]) |
((!VAR220[1]) & VAR35[1] &
(!VAR220[3]) & VAR35[3]))) ?
8'b00001101 :
((VAR353 == 2) &
(((!VAR216[0]) & VAR292[0] &
(!VAR216[2]) & VAR292[2]) |
((!VAR19[0]) & VAR201[0] &
(!VAR19[2]) & VAR201[2]) |
((!VAR281[0]) & VAR310[0] &
(!VAR281[2]) & VAR310[2]) |
((!VAR6[0]) & VAR157[0] &
(!VAR6[2]) & VAR157[2]) |
((!VAR220[0]) & VAR35[0] &
(!VAR220[2]) & VAR35[2]))) ?
8'b00001000 : 8'b11100100;
wire [VAR2*80-1:0] VAR58;
wire [VAR2*80-1:0] VAR79;
wire [(VAR2*12)-1:0] VAR362;
wire [(((VAR2+3)/4)*4)-1:0] VAR143;
wire [(VAR387 * VAR169)-1:0] VAR226;
wire VAR335;
wire VAR22;
wire VAR77;
wire VAR238;
wire VAR154;
wire VAR126 ;
wire VAR366;
wire VAR381;
wire [VAR186-1:0] VAR291;
wire [5:0] VAR394;
wire VAR171 ;
wire [VAR186-1:0] VAR95;
wire [VAR186-1:0] VAR392;
wire VAR8;
wire VAR219;
wire VAR164;
wire VAR235;
wire VAR108;
wire VAR111;
wire VAR345;
wire VAR119;
wire [5:0] VAR374;
wire VAR204;
wire VAR123;
wire VAR299;
wire [2:0] VAR28;
wire [2:0] VAR120;
wire [2:0] VAR213;
wire [2:0] VAR324;
wire [2:0] VAR214;
wire [8:0] VAR263;
wire [5:0] VAR86;
wire [2*VAR75*VAR184-1:0] VAR205;
reg [VAR75-1:0] VAR276;
wire [VAR75*VAR230-1:0] VAR336;
wire [VAR75*VAR27-1:0] VAR351;
wire [VAR68*VAR363*VAR75-1:0] VAR34;
wire [VAR75-1:0] VAR284;
wire [VAR75-1:0] VAR210;
wire [VAR75-1:0] VAR190;
wire VAR354;
wire [3:0] VAR308;
wire [VAR75-1:0] VAR301;
wire [1:0] VAR10;
wire VAR229;
wire VAR135;
wire VAR64;
wire [2:0] VAR74;
wire [1:0] VAR183;
wire [5:0] VAR369;
wire [5:0] VAR250;
wire [5:0] VAR241;
wire [1:0] VAR51;
wire [1:0] VAR38;
wire [VAR75*VAR230-1:0] VAR14;
wire [3:0] VAR149;
wire [3:0] VAR67;
wire [VAR75*VAR27-1:0] VAR296;
wire [2:0] VAR338;
wire VAR7;
wire [VAR68*VAR363*VAR75-1:0] VAR199;
wire VAR323;
wire [1:0] VAR350;
wire [5:0] VAR254;
wire [5:0] VAR320;
wire [5:0] VAR268;
wire [VAR75-1:0] VAR160;
wire [VAR75-1:0] VAR31;
wire [1:0] VAR36;
wire VAR249;
wire [VAR75-1:0] VAR244;
wire [2*VAR75*VAR184-1:0] VAR107;
wire [2*VAR75*(VAR184/8)-1:0] VAR337;
wire VAR398;
wire [VAR75-1:0] VAR209 ;
wire [1:0] VAR247 ;
wire VAR256;
wire VAR400;
wire VAR30;
wire [2*VAR75*VAR184-1:0] VAR148;
wire VAR298;
reg VAR288;
reg [2*VAR75*VAR184-1:0] VAR155;
wire [4:0] VAR239;
wire [5:0] VAR69;
assign VAR328 = VAR288;
assign VAR162 = VAR155;
assign VAR257 = VAR71;
assign VAR352 = VAR219;
assign VAR371 = VAR263;
assign VAR44 = VAR86;
genvar VAR11;
generate
for (VAR11 = 0; VAR11 < VAR387; VAR11 = VAR11+1) begin: VAR302
assign VAR191[VAR11] = VAR226[VAR169 * VAR11];
assign VAR232[VAR11] = VAR226[(VAR169 * VAR11) + 1];
end
endgenerate
wire [VAR68*VAR363*VAR75-1:0] VAR396 ;
genvar VAR60 ;
generate
if((VAR348 == "VAR26") && (VAR339 == "VAR304") && (VAR65 == 1) && (VAR363 ==2)) begin : VAR159
for(VAR60 = 0 ; VAR60 < VAR68*VAR363*VAR75 ; VAR60 = VAR60+1 ) begin
if((VAR60%(VAR68*VAR363)) == 0) begin
assign VAR396[VAR60] = VAR236[VAR60] ;
end else begin
assign VAR396[VAR60] = 'b1 ;
end
end
end else begin
assign VAR396 = VAR236 ;
end
endgenerate
assign VAR107 = (VAR30 | VAR145) ? VAR287 : VAR205;
assign VAR337 = (VAR30 | VAR145) ? VAR372 : 'b0;
assign VAR14 = (VAR30 | VAR145) ? VAR63 : VAR336;
assign VAR296 = (VAR30 | VAR145) ? VAR122 : VAR351;
assign VAR199 = (VAR30 | VAR145) ? VAR396 : VAR34;
assign VAR160 = (VAR30 | VAR145) ? VAR136 : VAR284;
assign VAR31 = (VAR30 | VAR145) ? VAR52 : VAR210;
assign VAR244 = (VAR30 | VAR145) ? VAR16 : VAR190;
assign VAR249 = (VAR30 | VAR145) ? VAR367 : VAR354;
assign VAR149 = (VAR30 | VAR145) ? VAR66 : VAR308;
assign VAR247 = (VAR30 | VAR145) ? VAR282 : VAR10 ;
assign VAR209 = (VAR30 | VAR145) ? VAR50 : VAR301 ;
assign VAR7 = (VAR30 | VAR145) ? VAR185 :
VAR135;
assign VAR323 = (VAR30 | VAR145) ? VAR61 :
VAR229;
assign VAR398 = (VAR30 | VAR145) ? VAR347 :
VAR64;
assign VAR338 = (VAR30 | VAR145) ? VAR386 : VAR74;
assign VAR350 = (VAR30 | VAR145) ? VAR383 : VAR38;
assign VAR254 = (VAR30 | VAR145) ? VAR117 :
VAR369;
assign VAR320 = (VAR30 | VAR145) ? VAR88 :
VAR250;
assign VAR268 = (VAR30 | VAR145) ? VAR105 :
VAR241;
assign VAR36 = 2'b00;
generate
if(VAR57 == "VAR391") begin
assign VAR67 = ((VAR339 == "VAR295") && (VAR65 == 1)) ?
{VAR149[1],VAR149[1],VAR149[1],VAR149[0]} :
VAR149;
end else begin
assign VAR67 = 4'b0000 ;
end
endgenerate
assign VAR326 = VAR30;
assign VAR23 = VAR22;
assign VAR9 = VAR77;
assign VAR196 = VAR154;
generate
if ((VAR339 == "VAR304") && (VAR348 == "VAR26")) begin: VAR76
if (VAR75 == 4) begin
always @(posedge clk) begin
VAR296[(VAR27*4)-1:VAR27*3],
VAR31[3], VAR160[3], VAR244[3]});
end
always @ begin
VAR276[1] = (^{VAR14[VAR230-1:0],
VAR296[VAR27-1:0],
VAR31[0], VAR160[0], VAR244[0]});
end
end
end else begin: VAR33
if (VAR75 == 4) begin
always @(posedge clk) begin
end
end else begin
always @(posedge clk) begin
end
end
end
endgenerate
generate
if(VAR56 == 1)begin:VAR306
always @(posedge clk)begin
end end else begin : VAR390 always @(VAR298 or VAR148)begin
VAR288 = VAR298;
VAR155 = VAR148;
end
end
endgenerate
assign VAR104 = VAR288;
assign VAR227 = VAR155;
VAR271 #
(
.VAR138 (VAR138),
.VAR78 (VAR78),
.VAR3 (VAR3),
.VAR260 (VAR260),
.VAR115(VAR115),
.VAR240 (VAR240),
.VAR75 (VAR75),
.VAR363 (VAR363),
.VAR27 (VAR27),
.VAR102 (VAR102),
.VAR68 (VAR68),
.VAR387 (VAR387),
.VAR169 (VAR169),
.VAR380 (VAR380),
.VAR137 (VAR137),
.VAR286 (VAR286),
.VAR184 (VAR184),
.VAR355 (VAR355),
.VAR266 (VAR266),
.VAR339 (VAR339),
.VAR65 (VAR65),
.VAR279 (VAR279),
.VAR348 (VAR348),
.VAR230 (VAR230),
.VAR129 (VAR129),
.VAR53 (VAR53),
.VAR114 (VAR114),
.VAR59 (VAR59),
.VAR225 (VAR225),
.VAR216 (VAR216),
.VAR19 (VAR19),
.VAR281 (VAR281),
.VAR6 (VAR6),
.VAR220 (VAR220),
.VAR292 (VAR292),
.VAR201 (VAR201),
.VAR310 (VAR310),
.VAR157 (VAR157),
.VAR35 (VAR35),
.VAR195 (VAR195),
.VAR393 (VAR393),
.VAR100 (VAR100),
.VAR186 (VAR186),
.VAR2 (VAR2),
.VAR332 (VAR332),
.VAR187 (VAR187),
.VAR32 (VAR32),
.VAR92 (VAR92),
.VAR280 (VAR280),
.VAR356 (VAR356),
.VAR258 (VAR258),
.VAR57 (VAR57),
.VAR358 (VAR358),
.VAR80 (VAR80),
.VAR175 (VAR175),
.VAR121 (VAR121),
.VAR316 (VAR316),
.VAR312 (VAR312),
.VAR4 (VAR4),
.VAR109 (VAR109),
.VAR274 (VAR274),
.VAR96 (VAR96),
.VAR313 (VAR313),
.VAR113 (VAR113),
.VAR349 (VAR349),
.VAR314 (VAR314),
.VAR395 (VAR395),
.VAR42 (VAR42),
.VAR203 (VAR203),
.VAR376 (VAR376),
.VAR165 (VAR165),
.VAR106 (VAR106),
.VAR193 (VAR193),
.VAR179 (VAR179),
.VAR317 (VAR317),
.VAR373 (VAR373),
.VAR202 (VAR202),
.VAR41 (VAR41),
.VAR144 (VAR144)
)
VAR81
(
.rst (rst),
.clk (clk),
.VAR101 (VAR101),
.VAR13 (VAR13),
.VAR245 (VAR245),
.VAR364 (VAR364),
.VAR97 (VAR370),
.VAR132 (VAR7),
.VAR198 (VAR398),
.VAR359 ({5'd0, VAR350, VAR183, VAR254,
VAR36, 3'd0, VAR67,
5'd0, VAR338}),
.VAR361 (VAR323),
.VAR256 (VAR256),
.VAR400 (VAR400),
.VAR228 (VAR320),
.VAR267 (VAR268),
.VAR333 (VAR67),
.VAR311 (VAR67),
.VAR239 (VAR239),
.VAR69 (VAR69),
.VAR126 (VAR126),
.VAR22 (VAR22),
.VAR77 (VAR77),
.VAR238 (VAR238),
.VAR154 (VAR154),
.VAR226 (VAR226),
.VAR335 (VAR335),
.VAR366 (VAR366),
.VAR381 (VAR381),
.VAR55 (VAR214),
.VAR54 (VAR213),
.VAR93 (VAR324),
.VAR294 (VAR120),
.VAR378 (VAR378),
.VAR272 (1'b1),
.VAR215 (VAR28),
.VAR248 (),
.VAR263 (VAR263),
.VAR168 (VAR291),
.VAR85 (VAR111),
.VAR91 (VAR345),
.VAR176 (VAR119),
.VAR146 (VAR374),
.VAR86 (VAR86),
.VAR204 (VAR204),
.VAR123 (VAR123),
.VAR299 (VAR299),
.VAR8 (VAR8),
.VAR219 (VAR219),
.VAR290 (VAR164),
.VAR235 (VAR235),
.VAR108 (VAR108),
.VAR30 (VAR30),
.VAR394 (VAR394),
.VAR171 (VAR171),
.VAR95 (VAR95),
.VAR392 (VAR392),
.VAR14 (VAR14),
.VAR296 (VAR296),
.VAR199 (VAR199),
.VAR160 (VAR160),
.VAR31 (VAR31),
.VAR244 (VAR244),
.VAR249 (VAR249),
.VAR379 (VAR276),
.VAR107 (VAR107),
.VAR337 (VAR337),
.VAR247 (VAR247),
.VAR209 (VAR209),
.VAR151 (VAR151),
.VAR319 (VAR148),
.VAR327 (VAR327),
.VAR365 (VAR365),
.VAR382 (VAR382),
.VAR307 (VAR307),
.VAR180 (VAR180),
.VAR207 (VAR207),
.VAR21 (VAR21),
.VAR251 (VAR251),
.VAR262 (VAR262),
.VAR223 (VAR223),
.VAR275 (VAR275),
.VAR265 (VAR265),
.VAR73 (VAR73),
.VAR208 (VAR208),
.VAR181 (1'b1),
.VAR283 (VAR283),
.VAR39 (VAR39),
.VAR346 (VAR346),
.VAR330 (VAR330)
);
VAR139 #
(
.VAR138 (VAR138),
.VAR75 (VAR75),
.VAR118 (VAR118),
.VAR78 (VAR78),
.VAR147 (VAR147),
.VAR353 (VAR353),
.VAR37 (VAR37),
.VAR259 (VAR259),
.VAR339 (VAR339),
.VAR133 (8),
.VAR316 (VAR316),
.VAR186 (VAR186),
.VAR3 (VAR3),
.VAR2 (VAR2),
.VAR292 (VAR292),
.VAR201 (VAR201),
.VAR310 (VAR310),
.VAR157 (VAR157),
.VAR35 (VAR35),
.VAR216 (VAR216),
.VAR19 (VAR19),
.VAR281 (VAR281),
.VAR6 (VAR6),
.VAR220 (VAR220),
.VAR388 (VAR388),
.VAR27 (VAR27),
.VAR124 (VAR124),
.VAR303 (VAR303),
.VAR57 (VAR57),
.VAR363 (VAR363),
.VAR184 (VAR184),
.VAR355 (VAR355),
.VAR266 (VAR266),
.VAR322 (VAR322),
.VAR230 (VAR230),
.VAR65 (VAR65),
.VAR68 (VAR68),
.VAR102 (VAR102),
.VAR380 (VAR380),
.VAR18 ("VAR40"),
.VAR177 (VAR177),
.VAR221 (VAR221),
.VAR255 (VAR255),
.VAR293 (VAR293),
.VAR17 (VAR17),
.VAR140 (VAR140),
.VAR269 (VAR297),
.VAR150 (VAR137),
.VAR45 (VAR45),
.VAR25 (VAR25),
.VAR348 (VAR348),
.VAR49 (VAR49),
.VAR89 (VAR89),
.VAR218 (VAR218),
.VAR62 (VAR103),
.VAR114 (VAR114),
.VAR341 (VAR341),
.VAR41 (VAR41),
.VAR243 (VAR243)
)
VAR99
(
.clk (clk),
.rst (rst),
.VAR12 (VAR385),
.VAR300 (VAR300),
.VAR242 (VAR242),
.VAR329 (VAR329),
.VAR357 (VAR335),
.VAR22 (1'b0),
.VAR77 (1'b0),
.VAR238 (1'b0),
.VAR153 (VAR126),
.VAR239 (VAR239),
.VAR69 (VAR69),
.VAR277 (),
.VAR173 (VAR366),
.VAR112 (VAR381),
.VAR394 (VAR394),
.VAR171 (VAR171),
.VAR95 (VAR95),
.VAR392 (VAR392),
.VAR256 (VAR256),
.VAR400 (VAR400),
.VAR229 (VAR229),
.VAR135 (VAR135),
.VAR183 (VAR183),
.VAR308 (VAR308),
.VAR10 (VAR10),
.VAR301 (VAR301),
.VAR74 (VAR74),
.VAR64 (VAR64),
.VAR51 (VAR51),
.VAR38 (VAR38),
.VAR369 (VAR369),
.VAR250 (VAR250),
.VAR241 (VAR241),
.VAR354 (VAR354),
.VAR336 (VAR336),
.VAR351 (VAR351),
.VAR34 (VAR34),
.VAR284 (VAR284),
.VAR210 (VAR210),
.VAR190 (VAR190),
.VAR205 (VAR205),
.VAR8 (VAR8),
.VAR219 (VAR219),
.VAR164 (VAR164),
.VAR235 (VAR235),
.VAR46 (VAR330),
.VAR253 (VAR291),
.VAR111 (VAR111),
.VAR345 (VAR345),
.VAR119 (VAR119),
.VAR374 (VAR374),
.VAR86 (VAR86),
.VAR273 (VAR273),
.VAR84 (VAR84),
.VAR204 (VAR204),
.VAR123 (VAR123),
.VAR299 (VAR299),
.VAR28 (VAR28),
.VAR120 (VAR120),
.VAR213 (VAR213),
.VAR324 (VAR324),
.VAR214 (VAR214),
.VAR378 (VAR378),
.VAR263 (VAR263),
.VAR227 (VAR148),
.VAR104 (VAR298),
.VAR71 (VAR71),
.VAR141 (VAR141),
.VAR401 (VAR401),
.VAR389 (),
.VAR326 (VAR30),
.VAR145 (VAR145),
.VAR315 (VAR375),
.VAR166 (VAR48),
.VAR130 (VAR156),
.VAR170 (VAR170),
.VAR231 (VAR231),
.VAR142 (VAR142),
.VAR131 (VAR131),
.VAR82 (VAR82),
.VAR194 (VAR194),
.VAR163 (VAR163),
.VAR127 (VAR127),
.VAR116 (VAR116),
.VAR233 (VAR233),
.VAR98 (VAR98),
.VAR134 (VAR134),
.VAR161 (VAR161),
.VAR224 (VAR224),
.VAR325 (VAR325),
.VAR172 (VAR172),
.VAR305 (VAR305),
.VAR29 (VAR29),
.VAR321 (VAR321),
.VAR72 (VAR72),
.VAR270 (VAR270),
.VAR340 (VAR340),
.VAR246 (VAR246),
.VAR200 (VAR200),
.VAR90 (VAR90),
.VAR211 (VAR211),
.VAR174 (VAR174),
.VAR222 (VAR222),
.VAR399 (VAR399),
.VAR264 (VAR264),
.VAR94 (VAR94),
.VAR128 (VAR128),
.VAR252 (VAR252),
.VAR206 (VAR206),
.VAR368 (VAR368),
.VAR397 (VAR397),
.VAR197 (VAR197),
.VAR344 (VAR344),
.VAR20 (VAR20),
.VAR285 (VAR285),
.VAR384 (VAR384),
.VAR1 (VAR1),
.VAR237 (VAR237),
.VAR110 (VAR110),
.VAR334 (VAR334),
.VAR47 (VAR47),
.VAR189 (VAR189)
);
endmodule | gpl-2.0 |
ptracton/Picoblaze | projects/basic/rtl/system_controller.v | 7,033 | module MODULE1(
input VAR44,
input VAR15,
output VAR48,
output VAR38
);
reg [4:0] VAR58 = 4'h00;
wire VAR18;
VAR39 VAR4(.VAR11(VAR44), .VAR34(VAR18));
wire VAR55;
assign VAR38 = VAR15;
VAR6 VAR56 (
.VAR34(VAR48), .VAR11(VAR62) );
VAR45 #(
.VAR43("VAR60"),
.VAR61(6.0),
.VAR59(0.0),
.VAR21(10.0),
.VAR2(1),
.VAR49(1),
.VAR42(1),
.VAR27(1),
.VAR41(1),
.VAR3(1),
.VAR10(1.0),
.VAR29(0.5),
.VAR9(0.5),
.VAR47(0.5),
.VAR12(0.5),
.VAR19(0.5),
.VAR23(0.5),
.VAR54(0.5),
.VAR5(0.0),
.VAR36(0.0),
.VAR37(0.0),
.VAR32(0.0),
.VAR8(0.0),
.VAR28(0.0),
.VAR24(0.0),
.VAR53("VAR14"), .VAR52(1),
.VAR50(0.0),
.VAR57("VAR14")
)
VAR7 (
.VAR25(),
.VAR51(),
.VAR40(),
.VAR63(),
.VAR16(),
.VAR1(),
.VAR26(),
.VAR13(),
.VAR31(),
.VAR30(),
.VAR20(),
.VAR62(VAR62),
.VAR46(), .VAR55(VAR55),
.VAR33(VAR18),
.VAR35(1'b0),
.VAR17(VAR15),
.VAR22(VAR48)
);
endmodule | mit |
GSejas/Dise-o-ASIC-FPGA-FPU | Literature FPUs/Lundgren FPU/branches/avendor/fpu_exceptions.v | 9,224 | module MODULE1( clk, rst, enable, VAR3, VAR21, VAR23, VAR5,
VAR14, VAR55, VAR1, out, VAR56, VAR12, VAR9,
VAR43, VAR68, VAR52);
input clk;
input rst;
input enable;
input [1:0] VAR3;
input [63:0] VAR21;
input [63:0] VAR23;
input [63:0] VAR5;
input [11:0] VAR14;
input [1:0] VAR55;
input [2:0] VAR1;
output [63:0] out;
output VAR56;
output VAR12;
output VAR9;
output VAR43;
output VAR68;
output VAR52;
reg [63:0] out;
reg VAR56;
reg VAR12;
reg VAR9;
reg VAR43;
reg VAR68;
reg VAR52;
reg VAR38;
reg VAR59;
reg VAR10;
reg VAR66;
reg VAR62;
reg VAR20;
reg VAR73;
reg VAR30;
reg VAR17;
reg VAR42;
reg VAR53;
reg VAR49;
reg VAR29;
reg VAR22;
reg VAR7;
reg VAR51;
reg VAR18;
reg VAR57;
reg VAR19;
reg VAR26;
reg VAR64;
reg VAR71;
reg VAR72;
reg VAR8;
reg VAR60;
reg VAR4;
reg VAR33;
reg VAR15;
reg VAR67;
reg VAR6;
reg VAR65;
reg VAR69;
reg VAR70;
reg VAR37;
reg VAR28;
reg VAR47;
reg VAR25;
reg VAR34;
reg VAR2;
reg VAR41;
reg VAR45;
reg VAR32;
reg VAR46;
reg VAR31;
reg VAR54;
reg VAR44;
reg VAR61;
reg VAR39;
reg VAR40;
reg VAR13;
wire [10:0] VAR27 = 11'b11111111111;
wire [10:0] VAR63 = 11'b11111111110;
reg [62:0] VAR48;
reg [62:0] VAR11;
wire [51:0] VAR24 = 52'b1111111111111111111111111111111111111111111111111111;
reg [62:0] VAR35;
reg [62:0] VAR36;
reg [63:0] VAR16;
reg [63:0] VAR58;
reg [63:0] VAR50;
always @(posedge clk)
begin
if (rst) begin
VAR38 <= 0;
VAR59 <= 0;
VAR10 <= 0;
VAR66 <= 0;
VAR62 <= 0;
VAR20 <= 0;
VAR73 <= 0;
VAR30 <= 0;
VAR17 <= 0;
VAR42 <= 0;
VAR53 <= 0;
VAR49 <= 0;
VAR29 <= 0;
VAR22 <= 0;
VAR7 <= 0;
VAR51 <= 0;
VAR18 <= 0;
VAR57 <= 0;
VAR19 <= 0;
VAR26 <= 0;
VAR64 <= 0;
VAR71 <= 0;
VAR72 <= 0;
VAR8 <= 0;
VAR60 <= 0;
VAR4 <= 0;
VAR33 <= 0;
VAR15 <= 0;
VAR67 <= 0;
VAR6 <= 0;
VAR65 <= 0;
VAR69 <= 0;
VAR70 <= 0;
VAR37 <= 0;
VAR28 <= 0;
VAR47 <= 0;
VAR25 <= 0;
VAR34 <= 0;
VAR2 <= 0;
VAR41 <= 0;
VAR45 <= 0;
VAR32 <= 0;
VAR46 <= 0;
VAR31 <= 0;
VAR54 <= 0;
VAR44 <= 0;
VAR61 <= 0;
VAR39 <= 0;
VAR40 <= 0;
VAR13 <= 0;
VAR48 <= 0;
VAR11 <= 0;
VAR35 <= 0;
VAR36 <= 0;
VAR16 <= 0;
VAR58 <= 0;
VAR50 <= 0;
end
else if (enable) begin
VAR38 <= !(|VAR5[62:0]);
VAR59 <= !(|VAR21[62:0]);
VAR10 <= !(|VAR23[62:0]);
VAR66 <= !(|VAR5[62:0]);
VAR62 <= VAR1 == 3'b000;
VAR20 <= VAR1 == 3'b001;
VAR73 <= VAR1 == 3'b010;
VAR30 <= VAR1 == 3'b011;
VAR17 <= (VAR21[62:52] == 2047) & |VAR21[51:0] & VAR21[51];
VAR42 <= (VAR23[62:52] == 2047) & |VAR23[51:0] & VAR23[51];
VAR53 <= (VAR21[62:52] == 2047) & |VAR21[51:0] & !VAR21[51];
VAR49 <= (VAR23[62:52] == 2047) & |VAR23[51:0] & !VAR23[51];
VAR29 <= !VAR21[63] & (VAR21[62:52] == 2047) & !(|VAR21[51:0]);
VAR22 <= !VAR23[63] & (VAR23[62:52] == 2047) & !(|VAR23[51:0]);
VAR7 <= VAR21[63] & (VAR21[62:52] == 2047) & !(|VAR21[51:0]);
VAR51 <= VAR23[63] & (VAR23[62:52] == 2047) & !(|VAR23[51:0]);
VAR18 <= (VAR21[62:52] == 2047) & !(|VAR21[51:0]);
VAR57 <= (VAR23[62:52] == 2047) & !(|VAR23[51:0]);
VAR19 <= VAR17 | VAR42 | VAR53 | VAR49;
VAR26 <= VAR53 | VAR49;
VAR64 <= VAR17 | VAR53;
VAR71 <= VAR30 & VAR10 & !VAR59;
VAR72 <= VAR30 & VAR10 & VAR59;
VAR8 <= VAR30 & VAR18 & VAR57;
VAR60 <= VAR30 & !VAR18 & VAR57;
VAR4 <= VAR73 & ((VAR18 & VAR10) | (VAR59 & VAR57));
VAR33 <= VAR73 & (VAR18 | VAR57) & !VAR4;
VAR15 <= VAR30 & VAR18 & !VAR57;
VAR67 <= (VAR62 & (VAR18 | VAR57));
VAR6 <= (VAR20 & (VAR18 | VAR57));
VAR65 <= (VAR62 & VAR29 & VAR51) | (VAR62 & VAR7 & VAR22) |
(VAR20 & VAR29 & VAR22) | (VAR20 & VAR7 & VAR51);
VAR69 <= (VAR67 | VAR6) & !VAR65;
VAR70 <= VAR69 | VAR33 | VAR15 | VAR71 | (VAR14 > 2046);
VAR37 <= VAR70 & !VAR5[63];
VAR28 <= VAR70 & VAR5[63];
VAR47 <= (VAR3 == 2'b00);
VAR25 <= (VAR3 == 2'b01);
VAR34 <= (VAR3 == 2'b10);
VAR2 <= (VAR3 == 2'b11);
VAR41 <= (VAR37 & VAR2) |
(VAR28 & VAR34) |
(VAR70 & VAR25);
VAR45 <= VAR73 & !VAR59 & !VAR10 & VAR38;
VAR32 <= VAR30 & !VAR59 & VAR38;
VAR46 <= VAR60 | VAR45 | VAR32;
VAR31 <= VAR26 | VAR65 | VAR4 |
VAR72 | VAR8;
VAR54 <= VAR70 & !VAR19;
VAR44 <= (|VAR55[1:0] | VAR70 | VAR46) &
!VAR19;
VAR61 <= VAR31 | VAR54 | VAR46 |
VAR44;
VAR39 <= VAR61 | VAR70 | VAR19;
VAR40 <= VAR19 | VAR31;
VAR13 <= VAR31 & !VAR26;
VAR48 <= VAR64 ? { VAR27, 1'b1, VAR21[50:0]} : { VAR27, 1'b1, VAR23[50:0]};
VAR11 <= VAR13 ? { VAR27, 2'b01, VAR21[49:0]} : VAR48;
VAR35 <= { VAR63, VAR24 };
VAR36 <= VAR41 ? VAR35 : { VAR27, 52'b0 };
VAR16 <= VAR46 ? { VAR5[63], 63'b0 } : VAR5;
VAR58 <= VAR70 ? { VAR5[63], VAR36 } : VAR16;
VAR50 <= VAR40 ? { VAR5[63], VAR11} : VAR58;
end
end
always @(posedge clk)
begin
if (rst) begin
VAR56 <= 0;
VAR12 <= 0;
VAR9 <= 0;
VAR43 <= 0;
VAR68 <= 0;
VAR52 <= 0;
out <= 0;
end
else if (enable) begin
VAR56 <= VAR39;
VAR12 <= VAR46;
VAR9 <= VAR54;
VAR43 <= VAR44;
VAR68 <= VAR61;
VAR52 <= VAR31;
out <= VAR50;
end
end
endmodule | gpl-3.0 |
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors- | DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src_previous/packet_buffer.v | 5,794 | module MODULE1(
input clk,
input reset,
output [239:0] VAR8,
input [63:0] VAR16,
input [15:0] VAR9,
input VAR23,
output reg VAR10,
input VAR24,
output reg VAR13,
output reg [63:0] VAR12,
output reg [15:0] VAR4,
output reg VAR28,
output reg VAR25,
input VAR30,
output reg [1:0] VAR7,
output reg VAR41,
output reg VAR27,
input VAR44,
output reg VAR18,
input [10:2] VAR35,
input [31:0] VAR33,
output [31:0] VAR2,
input VAR34,
output reg VAR26,
input VAR32,
input VAR15,
input VAR11,
input [7:0] VAR20
);
reg [32:0] VAR39;
always @(posedge clk)
begin
if(reset) begin
VAR39 <= 0;
end else begin
if(VAR39 != 0) begin
VAR39 <= VAR39 + 1;
end else begin
if(VAR15) begin
VAR39 <= 1;
end
end
end
end
reg VAR40;
always @
begin
VAR1 = VAR6;
VAR13 = 0;
VAR26 = 0;
VAR25 = 0;
VAR10 = 0;
VAR28 = 0;
VAR19 = 0;
VAR43 = 0;
case(VAR6)
VAR38: begin
VAR19 = 1;
if(VAR24) begin
VAR13 = 1;
VAR1 = VAR37;
end else begin
VAR10 = 1;
end
end
VAR37: begin
VAR13 = 1;
if(VAR23) begin
VAR7 = VAR9[1:0];
VAR18 = VAR9[5];
VAR4 = {3'b000, VAR9[15:3]};
end
if(!VAR24) begin
VAR1 = VAR5;
end
end
VAR5: begin
VAR26 = 1;
if(VAR32) begin
VAR26 = 0;
if(VAR14 != 0) begin
VAR1 = VAR42;
end else begin
VAR1 = VAR38;
end
end
if(VAR40) begin
VAR1 = VAR42;
end
end
VAR42: begin
VAR25 = 1;
if(VAR30) begin
VAR1 = VAR17;
end
end
VAR17: begin
VAR25 = 1;
VAR43 = 1;
if(VAR44) begin
if(VAR21 <= VAR14+1) begin
VAR12 = {VAR31, VAR22};
VAR28 = (VAR21 < 1) ? 0 : (VAR21 > VAR14) ? 0 : 1;
VAR41 = (VAR21 == 1) ? 1 : 0;
VAR27 = (VAR21 == VAR14) ? 1 : 0;
end
end
if(VAR3) begin
VAR1 = VAR36;
end
end
VAR36: begin
VAR25 = 0;
if(!VAR30) begin
if(VAR40) begin
VAR1 = VAR42;
end else begin
VAR1 = VAR38;
end
end
end
default: begin
VAR1 = VAR38;
end
endcase
end
always @(posedge clk)
begin
if(reset) begin
VAR6 <= 0;
end else begin
VAR6 <= VAR1;
end
if(VAR19) begin
VAR14 <= 0;
VAR21 <= 0;
end
if(VAR23) begin
VAR14 <= VAR14 + 1'b1;
end
if(VAR43 && VAR44) begin
VAR21 <= VAR21 + 1'b1;
end
end
assign VAR3 = (VAR21 == VAR14+1) ? 1 : 0;
assign VAR8[63:0] = VAR16;
assign VAR8[79:64] = VAR9;
assign VAR8[80] = VAR23;
assign VAR8[81] = VAR10;
assign VAR8[82] = VAR24;
assign VAR8[83] = VAR13;
assign VAR8[147:84] = VAR12;
assign VAR8[163:148] = VAR4;
assign VAR8[164] = VAR28;
assign VAR8[165] = VAR25;
assign VAR8[166] = VAR30;
assign VAR8[168:167] = VAR7;
assign VAR8[169] = VAR41;
assign VAR8[170] = VAR27;
assign VAR8[171] = VAR44;
assign VAR8[175:172] = VAR29[7:4];
assign VAR8[179:176] = VAR31[7:4];
assign VAR8[188:180] = VAR35;
assign VAR8[189] = VAR34;
assign VAR8[190] = VAR26;
assign VAR8[191] = VAR32;
assign VAR8[207:200] = VAR14;
assign VAR8[217:210] = VAR21;
assign VAR8[218] = VAR3;
assign VAR8[219] = VAR19;
assign VAR8[220] = VAR43;
assign VAR8[232:230] = VAR6;
endmodule | mit |
cliffordwolf/picorv32 | picosoc/ice40up5k_spram.v | 2,290 | module MODULE1 #(
parameter integer VAR17 = 32768
) (
input clk,
input [3:0] VAR10,
input [21:0] addr,
input [31:0] VAR4,
output [31:0] VAR1
);
wire VAR21, VAR12;
wire [31:0] VAR23, VAR5;
assign VAR21 = !addr[14];
assign VAR12 = addr[14];
assign VAR1 = addr[14] ? VAR5 : VAR23;
VAR8 VAR14 (
.VAR15(addr[13:0]),
.VAR11(VAR4[15:0]),
.VAR9({VAR10[1], VAR10[1], VAR10[0], VAR10[0]}),
.VAR2(VAR10[1]|VAR10[0]),
.VAR22(VAR21),
.VAR18(clk),
.VAR7(1'b0),
.VAR19(1'b0),
.VAR16(1'b1),
.VAR20(VAR23[15:0])
);
VAR8 VAR3 (
.VAR15(addr[13:0]),
.VAR11(VAR4[31:16]),
.VAR9({VAR10[3], VAR10[3], VAR10[2], VAR10[2]}),
.VAR2(VAR10[3]|VAR10[2]),
.VAR22(VAR21),
.VAR18(clk),
.VAR7(1'b0),
.VAR19(1'b0),
.VAR16(1'b1),
.VAR20(VAR23[31:16])
);
VAR8 VAR13 (
.VAR15(addr[13:0]),
.VAR11(VAR4[15:0]),
.VAR9({VAR10[1], VAR10[1], VAR10[0], VAR10[0]}),
.VAR2(VAR10[1]|VAR10[0]),
.VAR22(VAR12),
.VAR18(clk),
.VAR7(1'b0),
.VAR19(1'b0),
.VAR16(1'b1),
.VAR20(VAR5[15:0])
);
VAR8 VAR6 (
.VAR15(addr[13:0]),
.VAR11(VAR4[31:16]),
.VAR9({VAR10[3], VAR10[3], VAR10[2], VAR10[2]}),
.VAR2(VAR10[3]|VAR10[2]),
.VAR22(VAR12),
.VAR18(clk),
.VAR7(1'b0),
.VAR19(1'b0),
.VAR16(1'b1),
.VAR20(VAR5[31:16])
);
endmodule | isc |
TonyBrewer/OpenHT | ht_lib/platform/convey/verilog/dispatch.v | 5,203 | module MODULE1 #(parameter
VAR56 = 0,
VAR51 = 0
)(
input clk,
input VAR41,
input reset,
input VAR59,
input [4:0] VAR18,
input [17:0] VAR21,
input VAR44,
input VAR57,
input [63:0] VAR3,
output [17:0] VAR23,
output [15:0] VAR38,
output [63:0] VAR49,
output VAR61,
output VAR22,
output VAR63,
input [3:0] VAR10,
input [7:0] VAR53,
output [15:0] VAR14,
output [47:0] VAR35,
output VAR28,
output VAR2,
input VAR32
);
localparam VAR25 = 5;
localparam VAR52 = VAR47(VAR25);
wire VAR42;
VAR12 VAR42 (
.VAR41(VAR41),
.VAR33(clk),
.VAR42(VAR42),
.VAR8(reset)
);
VAR65 VAR42 (
.clk(clk),
.VAR42(VAR42),
.VAR8(reset)
);
assign VAR23 = VAR25;
wire [63:0] VAR50[VAR25-1:0];
wire VAR62;
genvar VAR13;
generate for (VAR13=0; VAR13<VAR25; VAR13=VAR13+1) begin : VAR17
reg [63:0] VAR7, VAR36;
always @* begin
VAR7 = VAR36;
case (VAR13)
1: VAR7 = VAR36 | VAR38;
2: if (VAR22 || !VAR22) VAR7 = VAR51;
3: if (VAR22 || !VAR22) begin
VAR7[36 +: 28] = 'b0; VAR7[32 +: 4] = VAR10;
VAR7[16 +: 16] = VAR56;
VAR7[ 8 +: 8] = 8'h00; VAR7[ 0 +: 8] = VAR53;
end
4: begin
VAR7 = 'b0;
VAR7[0] = VAR62;
end
endcase
end
wire VAR11 = VAR57 && VAR21[VAR52-1:0] == VAR13;
always @(posedge clk) begin
if (VAR11)
VAR36 <= VAR3;
end
else
VAR36 <= VAR7;
end
assign VAR50[VAR13] = VAR36;
end endgenerate
reg VAR48, VAR54, VAR19;
reg [63:0] VAR39;
wire VAR4 = VAR21 < VAR25;
wire VAR16 = VAR59 && VAR18 == 'd0;
wire VAR29 = VAR59 && VAR18 == 'd1;
wire VAR5 = VAR59 && VAR18 > 'd1;
always @(posedge clk) begin
VAR48 <= VAR44;
VAR39 <= VAR4 ?
VAR50[VAR21[VAR52-1:0]] : {2{32'hdeadbeef}};
VAR19 <= (VAR57 || VAR44) && !VAR4;
VAR54 <= VAR5;
end
assign VAR61 = VAR48;
assign VAR49 = VAR39;
assign VAR38 = {14'b0, VAR19, VAR54};
wire [15:0] VAR6 = VAR50[0][48 +: 16];
assign VAR14 = (VAR6 < 16'd9 || VAR6 > 16'd25) ? 16'd9 : VAR6;
assign VAR35 = VAR50[0][0 +: 48];
localparam VAR43 = 0,
VAR58 = 1,
VAR45 = 2,
VAR60 = 3;
reg VAR27, VAR24;
reg VAR9, VAR34, VAR15, VAR26;
reg [1:0] VAR40, VAR31,
VAR46, VAR37;
reg VAR64, VAR30;
wire VAR20 = VAR16 || VAR29;
always @* begin
VAR40 = VAR31;
VAR46 = ~|VAR37 ? VAR37 : VAR37 - 'b1;
VAR9 = 'b0;
VAR15 = VAR26;
VAR64 = VAR30;
case (VAR31)
VAR43: begin
if (VAR20) begin
VAR40 = VAR58;
VAR46 = 'd3;
VAR64 = 'b0;
end
VAR15 = VAR29;
end
VAR58: begin
if (VAR24) begin
if (VAR30) begin
VAR40 = VAR45;
VAR46 = 'd3;
VAR9 = 'b1;
end else begin
VAR64 = 'b1;
VAR46 = 'd3;
end
VAR40 = VAR45;
VAR46 = 'd3;
VAR9 = 'b1;
end
end
VAR45: begin
if (VAR24) begin
VAR40 = VAR60;
VAR46 = 'd3;
end
end
VAR60: begin
if (VAR24) begin
VAR40 = VAR43;
end
if (VAR32) begin
VAR46 = 'd3;
end
end
default: VAR40 = 'VAR55;
endcase
end
assign VAR62 = VAR40 == VAR43;
always @(posedge clk) begin
if (VAR42) begin
VAR31 <= VAR43;
end else begin
VAR31 <= VAR40;
end
VAR27 <= VAR62;
VAR34 <= VAR9;
VAR26 <= VAR15;
VAR30 <= VAR64;
VAR24 <= VAR46 == 'd0;
VAR37 <= VAR46;
end
assign VAR22 = VAR27;
assign VAR63 = !VAR26 && (!VAR27 || VAR20);
assign VAR28 = VAR34;
reg VAR1;
always @(posedge VAR41) begin
VAR1 <= VAR27;
end
assign VAR2 = VAR1;
assign VAR2 = VAR27;
endmodule | bsd-3-clause |
sudov/options-accel | final_design/fifo.prj/sol/impl/pcores/dut_top_v1_04_a/synhdl/verilog/dut_top.v | 1,160 | module MODULE1 (
VAR3,
VAR1,
VAR10,
VAR16,
VAR6,
VAR2,
VAR14,
VAR15
);
parameter VAR4 = 1;
input VAR3 ;
input VAR1 ;
input [32 - 1:0] VAR10 ;
input VAR16 ;
output VAR6 ;
output [32 - 1:0] VAR2 ;
input VAR14 ;
output VAR15 ;
wire VAR3;
wire VAR9;
VAR5 VAR11(
.VAR7(VAR9),
.VAR8(VAR1),
.VAR10(VAR10),
.VAR16(VAR16),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR14(VAR14),
.VAR15(VAR15)
);
VAR12 #(
.VAR4(VAR4))
VAR13(
.dout(VAR9),
.din(VAR3));
endmodule | apache-2.0 |
intelligenttoasters/CPC2.0 | FPGA/rtl/global_reset.v | 1,746 | module MODULE1(
input VAR1,
input VAR3, output VAR2, output VAR5 );
reg [7:0] VAR4 = 1;
assign VAR2 = (VAR4 <= 1) & !VAR3;
assign VAR5 = (VAR4 <= 1);
always @(negedge VAR1)
if( VAR4 != 0 )
VAR4 <= VAR4 + 1'd1;
endmodule | gpl-3.0 |
ptracton/pmodacl2 | soc/display/pb_display.v | 3,312 | module MODULE1 (
VAR20, VAR19, VAR15,
clk, reset, VAR1, VAR12, VAR3, VAR18,
VAR9, VAR11
) ;
parameter VAR22 = 8'h00;
input clk;
input reset;
input [7:0] VAR1;
input [7:0] VAR12;
output [7:0] VAR20;
input VAR3;
input VAR18;
output [3:0] VAR19;
output [7:0] VAR15;
input wire [15:0] VAR9;
input wire VAR11;
wire [7:0] VAR5; wire [7:0] VAR8; wire [7:0] VAR21; wire [7:0] VAR7;
wire [7:0] VAR2 = (VAR11) ? {4'b0, VAR9[03:00]} : VAR5;
wire [7:0] VAR6 = (VAR11) ? {4'b0, VAR9[07:04]} : VAR8;
wire [7:0] VAR14 = (VAR11) ? {4'b0, VAR9[11:08]} : VAR21;
wire [7:0] VAR13 = (VAR11) ? {4'b0, VAR9[15:12]} : VAR7;
VAR10 #(.VAR22(VAR22))
VAR4 (
.VAR20 (VAR20[7:0]),
.VAR5 (VAR2[7:0]),
.VAR8 (VAR6[7:0]),
.VAR21 (VAR14[7:0]),
.VAR7 (VAR13[7:0]),
.clk (clk),
.reset (reset),
.VAR1 (VAR1[7:0]),
.VAR12 (VAR12[7:0]),
.VAR3 (VAR3),
.VAR18 (VAR18));
VAR17 VAR16(
.VAR19 (VAR19[3:0]),
.VAR15 (VAR15[7:0]),
.clk (clk),
.reset (reset),
.VAR5 (VAR5[3:0]),
.VAR8 (VAR8[3:0]),
.VAR21 (VAR21[3:0]),
.VAR7 (VAR7[3:0]));
endmodule | mit |
jhol/butterflylogic | rtl/spi_slave.v | 4,010 | module MODULE1 (
input wire clk,
input wire rst,
input wire VAR10,
input wire [31:0] VAR27,
input wire [3:0] VAR18,
input wire [31:0] VAR14,
output wire [39:0] VAR23,
output wire VAR22,
output wire VAR4,
input wire VAR28,
input wire VAR12,
input wire VAR29,
output wire VAR9
);
reg VAR21;
reg VAR13;
reg VAR8;
reg VAR11;
wire [7:0] VAR7;
wire [31:0] VAR1;
assign VAR23 = {VAR1,VAR7};
VAR26 VAR31 (clk, rst, VAR12, VAR2);
VAR26 VAR30 (clk, rst, VAR28, VAR32);
wire [7:0] VAR6;
VAR24 VAR24(
.VAR5 (clk),
.VAR15 (rst),
.VAR13 (VAR13),
.VAR17 (!VAR4 && !VAR10 && VAR25),
.VAR19 (VAR19),
.VAR6 (VAR6)
);
VAR20 VAR20(
.clk (clk),
.rst (rst),
.VAR12 (VAR2),
.VAR29 (VAR29),
.VAR28 (VAR32),
.VAR16 (VAR4),
.VAR7 (VAR7),
.VAR1 (VAR1),
.VAR22 (VAR22)
);
VAR3 VAR3(
.clk (clk),
.rst (rst),
.VAR12 (VAR2),
.VAR28 (VAR32),
.VAR9 (VAR9),
.VAR10 (VAR10),
.VAR27 (VAR27),
.VAR18 (VAR18),
.VAR19 (VAR19),
.VAR6 (VAR6),
.VAR21 (VAR21),
.VAR8 (VAR8),
.VAR14 (VAR14),
.VAR4 (VAR4),
.VAR25 (VAR25)
);
always @(posedge clk)
begin
VAR11 <= VAR22;
if (!VAR11 && VAR22) begin
VAR21 <= (VAR7 == 8'h02);
VAR13 <= (VAR7 == 8'h04);
VAR8 <= (VAR7 == 8'h06);
end else begin
VAR21 <= 1'b0;
VAR13 <= 1'b0;
VAR8 <= 1'b0;
end
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/fahcon/sky130_fd_sc_lp__fahcon_1.v | 2,412 | module MODULE1 (
VAR11,
VAR4 ,
VAR3 ,
VAR8 ,
VAR1 ,
VAR2 ,
VAR9 ,
VAR6 ,
VAR5
);
output VAR11;
output VAR4 ;
input VAR3 ;
input VAR8 ;
input VAR1 ;
input VAR2 ;
input VAR9 ;
input VAR6 ;
input VAR5 ;
VAR10 VAR7 (
.VAR11(VAR11),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR11,
VAR4 ,
VAR3 ,
VAR8 ,
VAR1
);
output VAR11;
output VAR4 ;
input VAR3 ;
input VAR8 ;
input VAR1 ;
supply1 VAR2;
supply0 VAR9;
supply1 VAR6 ;
supply0 VAR5 ;
VAR10 VAR7 (
.VAR11(VAR11),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
srjet/mips-verilog | instruction_mem/instr_mem.v | 1,378 | module MODULE1 ( input [31:0] address,
output [31:0] VAR1 );
reg [31:0] memory [249:0];
integer VAR2;
begin | gpl-2.0 |
The-OpenROAD-Project/asap7 | asap7sc6t_26/Verilog/asap7sc6t_INVBUF_RVT_TT_210930.v | 14,915 | module MODULE1 (VAR2, VAR1);
output VAR2;
input VAR1;
buf (VAR2, VAR1); | bsd-3-clause |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.