repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sedfxbp/sky130_fd_sc_ms__sedfxbp.blackbox.v
1,432
module MODULE1 ( VAR7 , VAR6, VAR5, VAR11 , VAR10 , VAR1, VAR3 ); output VAR7 ; output VAR6; input VAR5; input VAR11 ; input VAR10 ; input VAR1; input VAR3; supply1 VAR8; supply0 VAR2; supply1 VAR4 ; supply0 VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/or4/sky130_fd_sc_ls__or4.behavioral.v
1,382
module MODULE1 ( VAR10, VAR12, VAR4, VAR9, VAR11 ); output VAR10; input VAR12; input VAR4; input VAR9; input VAR11; supply1 VAR1; supply0 VAR6; supply1 VAR7 ; supply0 VAR2 ; wire VAR3; or VAR8 (VAR3, VAR11, VAR9, VAR4, VAR12 ); buf VAR5 (VAR10 , VAR3 ); endmodule
apache-2.0
Fabeltranm/FPGA-Game-D1
HW/RTL/08ULTRASONIDO/Version_01/02 verilog/ultrasonido_modulo_1/Periferico/peripherial_ultrsnd.v
1,726
module MODULE1 (clk , reset , din , VAR4 , addr , rd , wr, dout, VAR3, VAR7, VAR2, [15:0]VAR6); input clk; input rst; input [15:0]din; input VAR4; input [3:0]addr; input rd; input wr; output reg [15:0]dout; input VAR3; output VAR2 reg [5:0] VAR5; reg VAR3 = 0; reg VAR2 = 0; wire [15:0]VAR6; wire VAR7; VAR1 (.clk(clk),.reset(reset),.VAR3(VAR3),.VAR2(VAR2),.VAR7(VAR7),.VAR6(VAR6)); always @(*) begin case (addr) 4'h0:begin VAR5 = (VAR4 && wr) ? 6'b000001 : 6'b000000; end 4'h2:begin VAR5 = (VAR4 && wr) ? 6'b000010 : 6'b000000; end 4'h4:begin VAR5 = (VAR4 && wr) ? 6'b000100 : 6'b000000; end 4'h6:begin VAR5 = (VAR4 && rd) ? 6'b001000 : 6'b000000; end default:begin VAR5 = 6'b000000 ; end endcase end always @(negedge clk) begin VAR3 = (VAR5[0]) ? din : VAR3; VAR2 = (VAR5[1]) ? din[0] : VAR2; end always @(negedge clk) begin case (VAR5[5:3]) 4'b1000: dout[0] = VAR7; 4'b0100: dout = [15:0]VAR6; default: dout = 0 ; endcase end endmodule
gpl-3.0
hakehuang/pycpld
ips/ip/i2c_slave_subad/i2c_slave_op_subad.v
16,234
module MODULE1( VAR34, VAR61, VAR1, VAR37, VAR40, VAR62, ); input VAR61; input VAR34; input VAR37; input VAR40; output VAR62; reg VAR62; output VAR1; reg VAR41; reg VAR52; reg VAR59; reg VAR50; reg VAR49; reg VAR26; reg VAR33; reg VAR11; reg [7:0] VAR24; reg [7:0] VAR17; reg [7:0] VAR8; reg [7:0] VAR9; reg [6:0] VAR48; reg [3:0] VAR12; reg [2:0] VAR53; reg [3:0] VAR16; reg [3:0] VAR4; reg VAR13; reg VAR28; reg VAR5; reg [1:0] VAR21; reg flag; reg[7:0] VAR29; reg[7:0] VAR64; reg[7:0] VAR46; assign VAR1 = flag ? VAR28 : VAR13; always@(posedge VAR61) begin VAR41 <= VAR34; VAR52 <= VAR41; end always@(posedge VAR61 or negedge VAR52) begin if(!VAR52) begin VAR50 <= 1'b0; VAR26 <= 1'b0; VAR59 <= 1'b0; VAR49 <= 1'b0; end else begin VAR59 <= VAR50; VAR50 <= VAR40; VAR49 <= VAR26; VAR26 <= VAR37; end end always@(posedge VAR61 or negedge VAR52) begin if(!VAR52) VAR33 <= 1'b0; end else begin if({VAR49,VAR26,VAR37}==3'b100 && {VAR59,VAR50,VAR40}==3'b111) VAR33 <= 1'b1; end else VAR33 <= 1'b0; end end always@(posedge VAR61 or negedge VAR52) begin if(!VAR52) VAR11 <= 1'b0; end else begin if({VAR49,VAR26,VAR37}==3'b011 && {VAR59,VAR50,VAR40}==3'b111) VAR11 <= 1'b1; end else VAR11 <= 1'b0; end end parameter VAR19 = 3'h0; parameter VAR42 = 3'h1; parameter VAR45 = 3'h2; parameter VAR22 = 3'h3; parameter VAR23 = 3'h4; parameter VAR44 = 3'h5; parameter VAR10 = 3'h6; parameter VAR60 = 3'h7; parameter VAR2 = 4'h0; parameter VAR14 = 4'h1; parameter VAR31 = 4'h2; parameter VAR27 = 4'h3; parameter VAR65 = 4'h4; parameter VAR36 = 4'h5; parameter VAR15 = 4'h6; parameter VAR54 = 4'h7; parameter VAR3 = 4'h8; parameter VAR55 = 4'h0; parameter VAR43 = 4'h1; parameter VAR18 = 4'h2; parameter VAR38 = 4'h3; parameter VAR32 = 4'h4; parameter VAR58 = 4'h5; parameter VAR56 = 4'h6; parameter VAR25 = 4'h7; parameter VAR57 = 4'h8; parameter VAR47 =4'h0; parameter VAR63 =4'h1; parameter VAR7 =4'h2; parameter VAR51 =4'h3; parameter VAR35 =4'h4; parameter VAR39 =4'h5; parameter VAR30 =4'h6; parameter VAR6 =4'h7; parameter VAR20 =4'h8; always @(posedge VAR61 or negedge VAR52)begin if(!VAR52) begin VAR12 <= VAR47; VAR5 <= 1'b0; VAR24 <= 8'h0; VAR17 <= 8'h0; VAR64 <= 8'h0; end else begin case (VAR12) VAR47: begin if(VAR33) begin VAR12 <= VAR63; end else begin VAR12 <= VAR47; end end VAR63: begin if(VAR11) VAR12 <= VAR47; end else if(VAR53==VAR60) VAR12 <= VAR7; end else VAR12 <= VAR63; end VAR7: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR5 <= VAR37; VAR12 <= VAR51; end else VAR12 <= VAR7; end VAR51: begin if({VAR59,VAR50,VAR40}==3'b011) begin if(VAR13) VAR12 <= VAR47; end else begin if(VAR5) VAR12 <= VAR30; end else VAR12 <= VAR35; end end else VAR12 <= VAR51; end VAR35: begin if(VAR16 == VAR3) VAR12 <= VAR39; end else VAR12 <= VAR35; end VAR39: begin if({VAR59,VAR50,VAR40}==3'b011) VAR12 <= VAR20; end else VAR12 <= VAR39; end VAR30: begin VAR64 <= VAR17; if(VAR4==VAR57 && {VAR59,VAR50,VAR40}==3'b100) begin VAR12 <= VAR6; end else begin VAR12 <= VAR30; end end VAR6: begin if({VAR59,VAR50,VAR40}==3'b011) VAR12 <= VAR20; end else VAR12 <= VAR6; end VAR20: begin if(VAR11) VAR12 <= VAR47; end else if((VAR24 < 8'd33) && (VAR5 == 1'b0)) begin VAR12 <= VAR35; VAR24 <= VAR24 + 1'b1; end else if((VAR17 < 8'd31) && (VAR5 == 1'b1) && (VAR46 == 8'd32)) begin VAR12 <= VAR30; VAR17 <= VAR17 + 1'b1; end else if((VAR46 == 8'd32) && (VAR5 != 1'b1)) VAR12 <= VAR47; else VAR12 <= VAR20; end default: VAR12 <= VAR47; endcase end end always @(posedge VAR61 or negedge VAR52) begin if(!VAR52) begin VAR21 <= 2'b00; VAR62 <= 1'b0; flag <= 1'b0; VAR13 <= 1'b0; end else begin case(VAR21) 2'b00: begin if(VAR12==VAR51 && {VAR59,VAR50,VAR40}==3'b100) begin if(VAR48==7'b1111110) VAR13 <= 1'b0; end else VAR13 <= 1'b1; flag <= 1'b0; VAR62 <= 1'b1; VAR21 <= 2'b11; end else if(VAR12==VAR39 && {VAR59,VAR50,VAR40}==3'b100) begin VAR13 <= 1'b0; flag <= 1'b0; VAR62 <= 1'b1; VAR21 <= 2'b01; end else if(VAR12==VAR30 && {VAR59,VAR50,VAR40}==3'b100) begin flag <= 1'b1; VAR62 <= 1'b1; VAR21 <= 2'b10; end else VAR62<=1'b0; end 2'b01: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR62 <= 1'b0; VAR21 <= 2'b00; end else VAR21 <= 2'b01; end 2'b10: begin if(VAR12==VAR30) VAR21 <= 2'b10; end else begin VAR21 <= 2'b00; VAR62 <= 1'b0; flag <= 1'b0; end end 2'b11: begin if(VAR12==VAR30 && {VAR59,VAR50,VAR40}==3'b100) begin flag <= 1'b1; VAR62 <= 1'b1; VAR21 <= 2'b10; end else if(VAR12!=VAR30 && {VAR59,VAR50,VAR40}==3'b100) begin VAR21 <= 2'b00; VAR62 <= 1'b0; end else VAR21 <= 2'b11; end default: VAR21 <= 2'b00; endcase end end always @(posedge VAR61 or negedge VAR52)begin if(!VAR52) begin VAR53 <= VAR19; VAR48 <= 7'b0000000; end else if(VAR12==VAR63) begin case(VAR53) VAR19: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR53 <= VAR42; VAR48[6] <= VAR37; end else VAR53 <= VAR19; end VAR42: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR53 <= VAR45; VAR48[5] <= VAR37; end else VAR53 <= VAR42; end VAR45: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR53 <= VAR22; VAR48[4] <= VAR37; end else VAR53 <= VAR45; end VAR22: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR53 <= VAR23; VAR48[3] <= VAR37; end else VAR53 <= VAR22; end VAR23: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR53 <= VAR44; VAR48[2] <= VAR37; end else VAR53 <= VAR23; end VAR44: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR53 <= VAR10; VAR48[1] <= VAR37; end else VAR53 <= VAR44; end VAR10: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR53 <= VAR60; VAR48[0] <= VAR37; end else VAR53 <= VAR10; end VAR60: VAR53 <= VAR19; default: VAR53 <= VAR19; endcase end else VAR53 <= VAR19; end always @(posedge VAR61 or negedge VAR52)begin if(!VAR52) begin VAR16 <= VAR2; VAR29 <= 8'h0; end else begin if(VAR12==VAR35) case(VAR16) VAR2: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR29[7] <= VAR37; VAR16 <= VAR14; end else VAR16 <= VAR2; end VAR14: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR29[6] <= VAR37; VAR16 <= VAR31; end else VAR16 <= VAR14; end VAR31: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR16 <= VAR27; VAR29[5] <= VAR37; end else VAR16 <= VAR31; end VAR27: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR16 <= VAR65; VAR29[4] <= VAR37; end else VAR16 <= VAR27; end VAR65: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR16 <= VAR36; VAR29[3] <= VAR37; end else VAR16 <= VAR65; end VAR36: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR29[2] <= VAR37; VAR16 <= VAR15; end else VAR16 <= VAR36; end VAR15: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR16 <= VAR54; VAR29[1] <= VAR37; end else VAR16 <= VAR15; end VAR54: begin if({VAR59,VAR50,VAR40}==3'b011) begin VAR16 <= VAR3; VAR29[0] <= VAR37; end else VAR16 <= VAR54; end VAR3: begin VAR16 <= VAR2; end default: VAR16 <= VAR2; endcase end else VAR16 <= VAR2; end end always@(posedge VAR61 or negedge VAR52)begin if(!VAR52) begin VAR4 <= VAR55; VAR28 <= 1'b0; end else begin case(VAR4) VAR55: begin if(VAR12==VAR30&&{VAR59,VAR50,VAR40}==3'b100) begin VAR28 <= VAR64[7]; VAR4 <= VAR43; end else begin VAR4 <= VAR55; end end VAR43: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR4 <= VAR18; VAR28 <= VAR64[6]; end else VAR4 <= VAR43; end VAR18: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR4 <= VAR38; VAR28 <= VAR64[5]; end else VAR4 <= VAR18; end VAR38: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR4 <= VAR32; VAR28 <= VAR64[4]; end else VAR4 <= VAR38; end VAR32: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR4 <= VAR58; VAR28 <= VAR64[3]; end else VAR4 <= VAR32; end VAR58: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR4 <= VAR56; VAR28 <= VAR64[2]; end else VAR4 <= VAR58; end VAR56: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR4 <= VAR25; VAR28 <= VAR64[1]; end else VAR4 <=VAR56; end VAR25: begin if({VAR59,VAR50,VAR40}==3'b100) begin VAR4 <= VAR57; VAR28 <= VAR64[0]; end else VAR4 <= VAR25; end VAR57: begin if({VAR59,VAR50,VAR40}==3'b100) VAR4 <= VAR55; end else VAR4 <= VAR57; end default: VAR4 <= VAR55; endcase end end always @(posedge VAR61 or negedge VAR52)begin if(!VAR52) VAR46 <= 1'b0; end else begin if(VAR16 == VAR3 && VAR46 < 8'd32) VAR46 <= (VAR29 == VAR24 - 2)? (VAR46+1'b1) : VAR46; end else VAR46 <= VAR46; end end endmodule
mit
sh-chris110/chris
FPGA/chris.system.dma.ok/db/altera_mult_add_37p2.v
22,159
module MODULE1 ( VAR204, VAR390, VAR134, VAR178, VAR370, VAR323) ; input VAR204; input VAR390; input [15:0] VAR134; input [15:0] VAR178; input VAR370; output [31:0] VAR323; tri0 VAR204; tri1 VAR390; tri0 [15:0] VAR134; tri0 [15:0] VAR178; tri1 VAR370; wire [31:0] VAR35; VAR386 VAR138 ( .VAR204(VAR204), .VAR378(), .VAR390(VAR390), .VAR134(VAR134), .VAR178(VAR178), .VAR370(VAR370), .VAR95(), .VAR303(), .VAR354(), .VAR1(), .VAR340(), .VAR323(VAR35), .VAR272(), .VAR331(), .VAR76(1'b0), .VAR276(1'b0), .VAR324(1'b0), .VAR220(1'b0), .VAR11(1'b1), .VAR300(1'b0), .VAR239(1'b1), .VAR293(1'b0), .VAR168({1{1'b0}}), .VAR105(1'b0), .VAR222(1'b0), .VAR319(1'b1), .VAR147(1'b1), .VAR190(1'b1), .VAR21({3{1'b0}}), .VAR362({3{1'b0}}), .VAR88({3{1'b0}}), .VAR196({3{1'b0}}), .VAR61({22{1'b0}}), .VAR107(1'b1), .VAR197(1'b1), .VAR185(1'b1), .VAR126(1'b0), .VAR380(1'b0), .VAR131(1'b0), .VAR143(1'b0), .VAR349(1'b0), .VAR256(1'b0), .VAR212(1'b0), .VAR306(1'b0), .VAR258({16{1'b0}}), .VAR267({16{1'b0}}), .VAR15(1'b0), .VAR12(1'b0), .VAR144(1'b0), .VAR268(1'b0), .VAR121(1'b0), .VAR393(1'b0), .VAR194(1'b0), .VAR137(1'b0), .VAR151({1{1'b0}}), .VAR237({1{1'b0}}), .VAR41(1'b0), .VAR166(1'b0) ); VAR138.VAR153 = "VAR217", VAR138.VAR133 = "VAR69", VAR138.VAR406 = "VAR69", VAR138.VAR281 = "VAR33", VAR138.VAR226 = "VAR69", VAR138.VAR34 = "VAR33", VAR138.VAR32 = "VAR69", VAR138.VAR257 = "VAR213", VAR138.VAR18 = "VAR213", VAR138.VAR285 = "VAR213", VAR138.VAR110 = "VAR69", VAR138.VAR169 = "VAR69", VAR138.VAR66 = "VAR33", VAR138.VAR20 = "VAR69", VAR138.VAR356 = "VAR33", VAR138.VAR287 = "VAR69", VAR138.VAR402 = "VAR69", VAR138.VAR57 = "VAR69", VAR138.VAR39 = "VAR33", VAR138.VAR399 = "VAR69", VAR138.VAR93 = "VAR33", VAR138.VAR337 = "VAR69", VAR138.VAR215 = "VAR69", VAR138.VAR243 = "VAR69", VAR138.VAR19 = "VAR69", VAR138.VAR396 = "VAR69", VAR138.VAR322 = "VAR33", VAR138.VAR109 = "VAR33", VAR138.VAR225 = "VAR69", VAR138.VAR26 = "VAR69", VAR138.VAR40 = "VAR33", VAR138.VAR224 = "VAR33", VAR138.VAR372 = "VAR69", VAR138.VAR142 = "VAR69", VAR138.VAR339 = "VAR69", VAR138.VAR129 = "VAR213", VAR138.VAR376 = "VAR217", VAR138.VAR368 = "VAR33", VAR138.VAR156 = "VAR69", VAR138.VAR271 = "VAR69", VAR138.VAR240 = "VAR33", VAR138.VAR180 = "VAR69", VAR138.VAR248 = "VAR69", VAR138.VAR116 = "VAR33", VAR138.VAR275 = "VAR69", VAR138.VAR384 = "VAR33", VAR138.VAR286 = "VAR69", VAR138.VAR302 = "VAR213", VAR138.VAR149 = "VAR69", VAR138.VAR290 = "VAR69", VAR138.VAR124 = "VAR33", VAR138.VAR416 = "VAR69", VAR138.VAR253 = "VAR69", VAR138.VAR358 = "VAR33", VAR138.VAR228 = "VAR69", VAR138.VAR46 = "VAR33", VAR138.VAR352 = "VAR69", VAR138.VAR171 = "VAR213", VAR138.VAR114 = "VAR69", VAR138.VAR229 = 0, VAR138.VAR159 = 0, VAR138.VAR122 = 0, VAR138.VAR37 = 0, VAR138.VAR45 = 0, VAR138.VAR75 = 0, VAR138.VAR234 = 0, VAR138.VAR355 = 0, VAR138.VAR118 = 0, VAR138.VAR415 = 0, VAR138.VAR412 = 0, VAR138.VAR184 = 0, VAR138.VAR298 = 0, VAR138.VAR262 = 0, VAR138.VAR98 = 0, VAR138.VAR211 = 0, VAR138.VAR63 = 0, VAR138.VAR309 = 0, VAR138.VAR83 = 0, VAR138.VAR165 = 0, VAR138.VAR353 = 0, VAR138.VAR247 = 0, VAR138.VAR242 = 0, VAR138.VAR102 = 0, VAR138.VAR28 = 0, VAR138.VAR189 = 0, VAR138.VAR295 = 0, VAR138.VAR111 = 0, VAR138.VAR136 = 0, VAR138.VAR307 = 0, VAR138.VAR219 = 0, VAR138.VAR341 = 0, VAR138.VAR383 = "VAR69", VAR138.VAR221 = "VAR69", VAR138.VAR130 = "VAR33", VAR138.VAR227 = "VAR69", VAR138.VAR108 = "VAR33", VAR138.VAR348 = "VAR69", VAR138.VAR265 = "VAR69", VAR138.VAR44 = "VAR69", VAR138.VAR91 = "VAR33", VAR138.VAR183 = "VAR69", VAR138.VAR104 = "VAR33", VAR138.VAR347 = "VAR69", VAR138.VAR164 = "VAR69", VAR138.VAR245 = "VAR69", VAR138.VAR176 = "VAR33", VAR138.VAR99 = "VAR69", VAR138.VAR317 = "VAR33", VAR138.VAR24 = "VAR69", VAR138.VAR48 = "VAR69", VAR138.VAR67 = "VAR69", VAR138.VAR273 = "VAR33", VAR138.VAR289 = "VAR69", VAR138.VAR246 = "VAR33", VAR138.VAR81 = "VAR69", VAR138.VAR326 = "VAR377", VAR138.VAR112 = "VAR213", VAR138.VAR325 = "VAR106", VAR138.VAR251 = 0, VAR138.VAR162 = "VAR69", VAR138.VAR382 = "VAR33", VAR138.VAR68 = "VAR69", VAR138.VAR292 = "VAR69", VAR138.VAR311 = "VAR33", VAR138.VAR274 = "VAR69", VAR138.VAR327 = "VAR69", VAR138.VAR113 = "VAR33", VAR138.VAR313 = "VAR69", VAR138.VAR94 = "VAR69", VAR138.VAR84 = "VAR33", VAR138.VAR146 = "VAR69", VAR138.VAR145 = "VAR69", VAR138.VAR175 = "VAR69", VAR138.VAR182 = "VAR69", VAR138.VAR101 = "VAR69", VAR138.VAR55 = "VAR69", VAR138.VAR206 = "VAR69", VAR138.VAR150 = "VAR69", VAR138.VAR405 = "VAR69", VAR138.VAR86 = "VAR69", VAR138.VAR71 = "VAR69", VAR138.VAR188 = "VAR69", VAR138.VAR103 = "VAR69", VAR138.VAR299 = "VAR69", VAR138.VAR51 = "VAR33", VAR138.VAR308 = "VAR69", VAR138.VAR366 = "VAR69", VAR138.VAR173 = "VAR33", VAR138.VAR301 = "VAR69", VAR138.VAR404 = "VAR69", VAR138.VAR312 = "VAR33", VAR138.VAR22 = "VAR69", VAR138.VAR365 = "VAR69", VAR138.VAR179 = "VAR33", VAR138.VAR310 = "VAR69", VAR138.VAR38 = "VAR69", VAR138.VAR238 = "VAR33", VAR138.VAR375 = "VAR69", VAR138.VAR332 = "VAR69", VAR138.VAR236 = "VAR33", VAR138.VAR52 = "VAR69", VAR138.VAR201 = "VAR69", VAR138.VAR318 = "VAR33", VAR138.VAR157 = "VAR69", VAR138.VAR17 = "VAR69", VAR138.VAR198 = "VAR33", VAR138.VAR261 = "VAR69", VAR138.VAR288 = "VAR33", VAR138.VAR408 = "VAR33", VAR138.VAR192 = "VAR33", VAR138.VAR403 = "VAR33", VAR138.VAR330 = "VAR33", VAR138.VAR410 = "VAR33", VAR138.VAR77 = "VAR33", VAR138.VAR333 = "VAR33", VAR138.VAR154 = "VAR33", VAR138.VAR36 = "VAR33", VAR138.VAR210 = "VAR33", VAR138.VAR82 = "VAR33", VAR138.VAR230 = "VAR69", VAR138.VAR263 = "VAR69", VAR138.VAR374 = "VAR69", VAR138.VAR401 = "VAR69", VAR138.VAR315 = "VAR69", VAR138.VAR413 = "VAR69", VAR138.VAR10 = "VAR69", VAR138.VAR141 = "VAR69", VAR138.VAR87 = "VAR69", VAR138.VAR388 = "VAR69", VAR138.VAR152 = "VAR69", VAR138.VAR14 = "VAR69", VAR138.VAR345 = "VAR8", VAR138.VAR115 = "VAR8", VAR138.VAR43 = "VAR8", VAR138.VAR140 = "VAR8", VAR138.VAR304 = "VAR254", VAR138.VAR73 = "VAR254", VAR138.VAR7 = "VAR254", VAR138.VAR214 = "VAR254", VAR138.VAR344 = 0, VAR138.VAR155 = "VAR69", VAR138.VAR79 = "VAR33", VAR138.VAR120 = "VAR69", VAR138.VAR218 = 64, VAR138.VAR85 = "VAR69", VAR138.VAR209 = "VAR33", VAR138.VAR53 = "VAR69", VAR138.VAR13 = "VAR202", VAR138.VAR294 = "VAR33", VAR138.VAR60 = "VAR202", VAR138.VAR47 = "VAR69", VAR138.VAR29 = "VAR33", VAR138.VAR80 = "VAR69", VAR138.VAR42 = "VAR69", VAR138.VAR328 = "VAR33", VAR138.VAR297 = "VAR69", VAR138.VAR2 = "VAR213", VAR138.VAR5 = "VAR213", VAR138.VAR174 = "VAR217", VAR138.VAR264 = "VAR213", VAR138.VAR64 = "VAR213", VAR138.VAR132 = "VAR217", VAR138.VAR96 = "VAR202", VAR138.VAR200 = "VAR69", VAR138.VAR278 = "VAR69", VAR138.VAR305 = "VAR69", VAR138.VAR205 = "VAR193", VAR138.VAR259 = "VAR33", VAR138.VAR343 = "VAR33", VAR138.VAR244 = "VAR33", VAR138.VAR27 = "VAR69", VAR138.VAR367 = "VAR69", VAR138.VAR199 = "VAR69", VAR138.VAR260 = "VAR69", VAR138.VAR59 = "VAR69", VAR138.VAR342 = "VAR69", VAR138.VAR363 = "VAR33", VAR138.VAR359 = "VAR69", VAR138.VAR350 = "VAR33", VAR138.VAR373 = "VAR69", VAR138.VAR411 = 1, VAR138.VAR208 = "VAR69", VAR138.VAR385 = "VAR33", VAR138.VAR284 = "VAR69", VAR138.VAR280 = "VAR69", VAR138.VAR235 = "VAR33", VAR138.VAR361 = "VAR69", VAR138.VAR135 = "VAR33", VAR138.VAR30 = "VAR69", VAR138.VAR381 = "VAR50", VAR138.VAR78 = "VAR213", VAR138.VAR223 = "VAR69", VAR138.VAR277 = "VAR69", VAR138.VAR371 = "VAR33", VAR138.VAR97 = "VAR69", VAR138.VAR291 = "VAR33", VAR138.VAR49 = "VAR69", VAR138.VAR25 = "VAR23", VAR138.VAR414 = "VAR213", VAR138.VAR400 = "VAR69", VAR138.VAR279 = "VAR56", VAR138.VAR395 = "VAR56", VAR138.VAR249 = "VAR56", VAR138.VAR158 = "VAR56", VAR138.VAR177 = "VAR56", VAR138.VAR74 = "VAR56", VAR138.VAR195 = "VAR56", VAR138.VAR397 = "VAR217", VAR138.VAR163 = "VAR217", VAR138.VAR387 = "VAR217", VAR138.VAR127 = "VAR217", VAR138.VAR119 = "VAR89", VAR138.VAR62 = "VAR167", VAR138.VAR283 = "VAR167", VAR138.VAR255 = "VAR69", VAR138.VAR407 = "VAR69", VAR138.VAR232 = "VAR33", VAR138.VAR391 = "VAR69", VAR138.VAR181 = "VAR69", VAR138.VAR191 = "VAR33", VAR138.VAR241 = "VAR69", VAR138.VAR92 = "VAR33", VAR138.VAR335 = "VAR69", VAR138.VAR90 = "VAR69", VAR138.VAR389 = "VAR33", VAR138.VAR351 = "VAR69", VAR138.VAR6 = "VAR160 VAR296", VAR138.VAR379 = "VAR213", VAR138.VAR252 = "VAR69", VAR138.VAR216 = "VAR69", VAR138.VAR329 = "VAR33", VAR138.VAR58 = "VAR69", VAR138.VAR203 = "VAR69", VAR138.VAR321 = "VAR33", VAR138.VAR409 = "VAR69", VAR138.VAR72 = "VAR33", VAR138.VAR170 = "VAR69", VAR138.VAR360 = "VAR69", VAR138.VAR334 = "VAR69", VAR138.VAR357 = "VAR69", VAR138.VAR123 = "VAR69", VAR138.VAR117 = "VAR33", VAR138.VAR70 = "VAR33", VAR138.VAR128 = "VAR69", VAR138.VAR4 = "VAR69", VAR138.VAR100 = "VAR33", VAR138.VAR172 = "VAR33", VAR138.VAR336 = "VAR69", VAR138.VAR346 = "VAR69", VAR138.VAR65 = "VAR69", VAR138.VAR269 = "VAR69", VAR138.VAR270 = "VAR33", VAR138.VAR320 = "VAR33", VAR138.VAR369 = "VAR69", VAR138.VAR364 = "VAR69", VAR138.VAR314 = "VAR213", VAR138.VAR148 = "VAR213", VAR138.VAR125 = 16, VAR138.VAR207 = 16, VAR138.VAR394 = 22, VAR138.VAR338 = 1, VAR138.VAR9 = 18, VAR138.VAR233 = 17, VAR138.VAR231 = 32, VAR138.VAR139 = 1, VAR138.VAR266 = "VAR69", VAR138.VAR54 = "VAR33", VAR138.VAR250 = "VAR69", VAR138.VAR187 = "VAR69", VAR138.VAR3 = "VAR69", VAR138.VAR282 = "VAR33", VAR138.VAR16 = "VAR69", VAR138.VAR31 = "VAR69", VAR138.VAR392 = "VAR33", VAR138.VAR316 = "VAR69", VAR138.VAR398 = "VAR33", VAR138.VAR161 = "VAR69", VAR138.VAR186 = "VAR386"; assign VAR323 = VAR35; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/edfxtp/sky130_fd_sc_ms__edfxtp.functional.v
1,753
module MODULE1 ( VAR8 , VAR10, VAR6 , VAR4 ); output VAR8 ; input VAR10; input VAR6 ; input VAR4 ; wire VAR3 ; wire VAR1; VAR9 VAR12 (VAR1, VAR3, VAR6, VAR4 ); VAR7 VAR5 VAR11 (VAR3 , VAR1, VAR10 ); buf VAR2 (VAR8 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfrtp/sky130_fd_sc_lp__dfrtp.pp.symbol.v
1,402
module MODULE1 ( input VAR6 , output VAR3 , input VAR4, input VAR1 , input VAR8 , input VAR2 , input VAR5 , input VAR7 ); endmodule
apache-2.0
insop/hyos
hyos_plb_v1_00_e/hdl/verilog/user_logic.v
12,613
module MODULE1 ( VAR3, VAR42, VAR20, VAR9, VAR15, VAR13, VAR37, VAR6, VAR24, VAR30 ); parameter VAR38 = 32; parameter VAR32 = 8; input VAR3; input VAR42; input [0 : VAR38-1] VAR20; input [0 : VAR38/8-1] VAR9; input [0 : VAR32-1] VAR15; input [0 : VAR32-1] VAR13; output [0 : VAR38-1] VAR37; output VAR6; output VAR24; output VAR30; reg [0 : VAR38-1] VAR4; reg [0 : VAR38-1] VAR43; reg [0 : VAR38-1] VAR34; reg [0 : VAR38-1] VAR44; reg [0 : VAR38-1] VAR1; reg [0 : VAR38-1] VAR23; reg [0 : VAR38-1] VAR7; reg [0 : VAR38-1] VAR46; wire [0 : 7] VAR41; wire [0 : 7] VAR39; reg [0 : VAR38-1] VAR21; wire VAR35; wire VAR14; integer VAR40, VAR2; reg [0 : VAR38-1] VAR12; reg [0 : VAR38-1] VAR33; wire VAR8; wire VAR18; wire VAR26; wire VAR29; VAR10 VAR16(.clk(VAR3), .reset(VAR42), .VAR11(VAR36), .VAR25(VAR27), .VAR31(VAR1), .VAR17(VAR23), .VAR28(VAR12), .VAR22(VAR33), .VAR45(VAR8), .VAR47(VAR18)); assign VAR36 = VAR4[0:0]; assign VAR27 = VAR43[0:0]; assign VAR41 = VAR13[0:7], VAR39 = VAR15[0:7], VAR14 = VAR13[0] || VAR13[1] || VAR13[2] || VAR13[3] || VAR13[4] || VAR13[5] || VAR13[6] || VAR13[7], VAR35 = VAR15[0] || VAR15[1] || VAR15[2] || VAR15[3] || VAR15[4] || VAR15[5] || VAR15[6] || VAR15[7]; always @( posedge VAR3 ) begin: VAR5 if ( VAR42 == 1 ) begin VAR4 <= 0; VAR43 <= 0; VAR34 <= 0; VAR44 <= 0; VAR1 <= 0; VAR23 <= 0; VAR7 <= 0; VAR46 <= 0; end else case ( VAR41 ) 8'b10000000 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR4[VAR2] <= VAR20[VAR2]; 8'b01000000 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR43[VAR2] <= VAR20[VAR2]; 8'b00100000 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR34[VAR2] <= VAR20[VAR2]; 8'b00010000 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR44[VAR2] <= VAR20[VAR2]; 8'b00001000 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR1[VAR2] <= VAR20[VAR2]; 8'b00000100 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR23[VAR2] <= VAR20[VAR2]; 8'b00000010 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR7[VAR2] <= VAR20[VAR2]; 8'b00000001 : for ( VAR40 = 0; VAR40 <= (VAR38/8)-1; VAR40 = VAR40+1 ) if ( VAR9[VAR40] == 1 ) for ( VAR2 = VAR40*8; VAR2 <= VAR40*8+7; VAR2 = VAR2+1 ) VAR46[VAR2] <= VAR20[VAR2]; default : ; endcase end always @( VAR39 or VAR4 or VAR43 or VAR34 or VAR44 or VAR1 or VAR23 or VAR7 or VAR46 ) begin: VAR19 case ( VAR39 ) 8'b10000000 : VAR21 <= VAR4; 8'b01000000 : VAR21 <= VAR43; 8'b00100000 : VAR21 <= VAR34; 8'b00010000 : VAR21 <= VAR44; 8'b00001000 : VAR21 <= VAR1; 8'b00000100 : VAR21 <= VAR23; 8'b00000010 : VAR21 <= VAR12; 8'b00000001 : VAR21 <= VAR33; default : VAR21 <= 0; endcase end assign VAR37 = VAR21; assign VAR24 = VAR14; assign VAR6 = VAR35; assign VAR30 = 0; endmodule
gpl-3.0
katherinejlu/ece3400
code dump/Lab 4 FPGA Code/DE0_NANO3.v
6,212
module MODULE1( VAR49, VAR13, VAR51, VAR30, VAR29, VAR11, VAR14, VAR2, ); localparam VAR26 = 25000000; localparam VAR32 = 8'b11111111; localparam VAR47 = 8'b0; localparam VAR40 = 8'b11110011; localparam VAR45 = 8'b10011011; input VAR49; output [7:0] VAR13; input [1:0] VAR51; input [3:0] VAR30; inout [33:0] VAR29; input [1:0] VAR11; inout [33:0] VAR14; input [1:0] VAR2; reg VAR31; wire reset; wire [9:0] VAR16; wire [9:0] VAR34; reg [7:0] VAR3; wire [2:0] VAR20; wire [2:0] VAR33; reg VAR15 [19:0][7:0]; reg VAR36 [19:0]; VAR1 VAR27( .VAR49(VAR49), .VAR16(VAR16), .VAR34(VAR34), .VAR20(VAR20), .VAR33(VAR33) ); reg[7:0] VAR7[3:0] [4:0]; reg[7:0] VAR21; reg[24:0] counter; always @(posedge VAR31) begin if (VAR20 > 3) begin VAR3 <= VAR47; end else begin VAR21 <= VAR7[VAR20][VAR33]; if (VAR21 == VAR10) begin VAR3 <= VAR32; end if (VAR21 == VAR28) begin VAR3 <= VAR40; end if (VAR21 == VAR23) begin VAR3 <= VAR47; end end end reg[2:0] VAR38; reg[2:0] VAR42; reg [24:0] VAR9; reg VAR43; wire [1:0] VAR19; wire [2:0] VAR5; wire [1:0] VAR18; wire [2:0] VAR37; VAR6 VAR50( .VAR17(reset), .VAR22(VAR31), .VAR8(VAR3), .VAR48(VAR16), .VAR41(VAR34), .VAR46({VAR29[9],VAR29[11],VAR29[13],VAR29[15],VAR29[17],VAR29[19],VAR29[21],VAR29[23]}), .VAR25(VAR29[7]), .VAR44(VAR29[5]) ); VAR35 VAR12( .valid(VAR14[8]), .VAR4({VAR14[10],VAR14[12],VAR14[14],VAR14[16],VAR14[18]}), .VAR39(VAR19), .VAR24(VAR5), .VAR18(VAR18), .VAR37(VAR37) ); localparam VAR28 = 8'd1; localparam VAR10 = 8'b0; localparam VAR23 = 8'd2; assign reset = ~VAR51[0]; assign VAR13[0] = VAR43; always @ (posedge VAR49) begin VAR31 <= ~VAR31; end always @ (posedge VAR31) begin if (reset) begin VAR43 <= 1'b0; VAR9 <= 25'b0; VAR38 <= 3'b0; VAR42 <= 3'b0; VAR7[0][0] = VAR10; VAR7[0][1] = VAR10; VAR7[0][2] = VAR10; VAR7[0][3] = VAR10; VAR7[0][4] = VAR10; VAR7[1][0] = VAR10; VAR7[1][1] = VAR10; VAR7[1][2] = VAR10; VAR7[1][3] = VAR10; VAR7[1][4] = VAR10; VAR7[2][0] = VAR10; VAR7[2][1] = VAR10; VAR7[2][2] = VAR10; VAR7[2][3] = VAR10; VAR7[2][4] = VAR10; VAR7[3][0] = VAR10; VAR7[3][1] = VAR10; VAR7[3][2] = VAR10; VAR7[3][3] = VAR10; VAR7[3][4] = VAR10; end else begin VAR7[VAR18][VAR37] = VAR28; VAR7[VAR19][VAR5] = VAR23; end end endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/fill/gf180mcu_fd_sc_mcu9t5v0__fill_2.behavioral.pp.v
1,069
module MODULE1( VAR1, VAR4 ); inout VAR1, VAR4; VAR3 VAR5(.VAR1(VAR1),.VAR4(VAR4)); VAR3 VAR2(.VAR1(VAR1),.VAR4(VAR4));
apache-2.0
ShepardSiegel/ocpi
coregen/dram_k7_mig12/mig_7series_v1_2/example_design/rtl/traffic_gen/vio_init_pattern_bram.v
12,010
module MODULE1 # ( parameter VAR12 = 100, parameter VAR40 = 32'h00000000, parameter VAR26 = 8, parameter VAR37 = 4, parameter VAR15 = 16, parameter VAR4 = 8, parameter VAR5 = VAR4 ) ( input VAR19, input VAR42, input VAR56, input [31:0] VAR7, input VAR36, input [3:0] VAR44, input [31:0] VAR43, input [31:0] VAR16, input [31:0] VAR33, input [31:0] VAR10, input [31:0] VAR50, input [31:0] VAR52, input [31:0] VAR8, input [31:0] VAR47, input [31:0] VAR49, output reg VAR2, input VAR55, output [31:0] VAR51 ); function integer VAR22; input [31:0] VAR24; integer VAR23; begin VAR23 = VAR24; for(VAR22=1; VAR23>0; VAR22=VAR22+1) VAR23 = VAR23 >> 1; end endfunction reg [VAR37 - 1:0] VAR9; reg [VAR37 - 1:0] VAR35; reg VAR41; reg VAR39; reg VAR31; reg [31:0] VAR45; reg [31:0] VAR14; reg [31:0] VAR32; reg [31:0] VAR25; reg [31:0] VAR1; reg [31:0] VAR38; reg [31:0] VAR13; reg [31:0] VAR54; reg [31:0] VAR17; reg [31:0] VAR30; reg [31:0] VAR21; reg [31:0] VAR34; reg [31:0] VAR53; reg [31:0] VAR48; reg [31:0] VAR46; reg [31:0] VAR27; reg [31:0] VAR18; reg [31:0] VAR29[0:VAR15 - 1]; reg [31:0] VAR11; reg VAR28; reg VAR3; integer VAR23,VAR6,VAR20; always @ (posedge VAR19) begin VAR39 <= VAR36; VAR31 <= VAR39; end always @ (posedge VAR19) begin if (VAR42) VAR41 <= 'b0; end else if (VAR9 == {4'b0111}) VAR41 <= 'b1; else if (VAR39 && ~VAR31 && VAR44 != 4'b0010) VAR41 <= 'b1; end always @ (posedge VAR19) if (VAR42) VAR28 <= 1'b1; else if (VAR41 && VAR44 != 4'b0010) VAR28 <= 1'b1; always @ (posedge VAR19) begin if (VAR42) VAR9 <= 'b0; end else if ( VAR44 == 4'b0011) VAR9 <= 4'b1001; else if ( ~VAR41 && VAR44 == 4'b0100) VAR9 <= 4'b0000; else if (VAR41 && VAR28 && VAR44 != 4'b0010) if (VAR9 != 7) VAR9 <= VAR9 + 1'b1; end always @ (posedge VAR19) begin for (VAR23= 0; VAR23 <= 31; VAR23= VAR23+1) if ( VAR23 >= VAR4 ) if (VAR5 == VAR4) VAR18[VAR23] <= 1'b0; end else if ( ((VAR23 == VAR5-1) || (VAR23-VAR4) == VAR5 || (VAR23-(VAR4*2)) == VAR5 || (VAR23-(VAR4*3)) == VAR5)) VAR18[VAR23] <= 1'b1; else VAR18[VAR23] <= 1'b0; else VAR18[VAR23] <= 1'b1; end begin begin begin end begin begin end
lgpl-3.0
benreynwar/fpga-sdrlib
verilog/fft/qa_butterfly.v
3,141
module MODULE1 parameter VAR19 = 32, parameter VAR4 = 1 ) ( input wire clk, input wire VAR32, input wire [VAR19-1:0] VAR29, input wire VAR13, input wire [VAR4-1:0] VAR30, input wire [VAR16-1:0] VAR1, input wire VAR2, output reg [VAR19-1:0] VAR12, output reg VAR27, output reg [VAR4-1:0] VAR6, output wire [VAR16-1:0] VAR14, output wire VAR31, output wire VAR21 ); reg [VAR19-1:0] VAR7; reg [VAR19-1:0] VAR15; reg [VAR19-1:0] VAR9; reg [2:0] counter; reg VAR11; reg VAR18; reg [VAR4-1:0] VAR10; wire [VAR4-1:0] VAR28; always @ (posedge clk) begin VAR18 <= 1'b0; if (~VAR32) begin VAR11 <= 1'b0; counter <= 2'b0; end else if (VAR13) begin if (((~VAR11)& (VAR29 != {VAR19{1'b0}})) | (counter == 2'd0)) begin VAR11 <= 1'b1; VAR7 <= VAR29; counter <= 2'd1; VAR10 <= VAR30; end else if (counter == 2'd1) begin VAR15 <= VAR29; counter <= 2'd2; end else if (counter == 2'd2) begin VAR9 <= VAR29; counter <= 2'd0; VAR18 <= 1'b1; end end end wire [VAR19-1:0] VAR3; wire [VAR19-1:0] VAR22; reg [VAR19-1:0] VAR20; wire VAR8; reg VAR23; reg VAR25; wire VAR26; assign VAR21 = VAR25 | VAR26; always @ (posedge clk) begin VAR27 <= 1'b0; VAR23 <= VAR8; if (~VAR32) begin VAR25 <= 1'b0; VAR23 <= 1'b0; end else if (VAR8) begin if (VAR23) VAR25 <= 1'b1; VAR20 <= VAR22; VAR12 <= VAR3; VAR27 <= 1'b1; VAR6 <= VAR28; end else if (VAR23) begin VAR12 <= VAR20; VAR27 <= 1'b1; VAR6 <= {VAR4{1'b0}}; end end VAR5 .VAR19 (VAR19) ) VAR24 (.clk (clk), .VAR32 (VAR32), .VAR33 (VAR10), .VAR9 (VAR9), .VAR7 (VAR7), .VAR15 (VAR15), .VAR18 (VAR18), .VAR17 (VAR28), .VAR3 (VAR3), .VAR22 (VAR22), .VAR8 (VAR8), .VAR14(VAR14), .VAR31(VAR31), .VAR21(VAR26) ); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffnq/gf180mcu_fd_sc_mcu7t5v0__dffnq_4.behavioral.pp.v
2,214
module MODULE1( VAR9, VAR6, VAR12, VAR2, VAR5 ); input VAR9, VAR6; inout VAR2, VAR5; output VAR12; reg VAR3; VAR13 VAR1(.VAR9(VAR9),.VAR6(VAR6),.VAR12(VAR12),.VAR2(VAR2),.VAR5(VAR5),.VAR3(VAR3)); VAR13 VAR7(.VAR9(VAR9),.VAR6(VAR6),.VAR12(VAR12),.VAR2(VAR2),.VAR5(VAR5),.VAR3(VAR3)); not VAR4(VAR8,VAR6); buf VAR10(VAR11,VAR6);
apache-2.0
timothympace/SHA1-Verilog
sha1_compression.v
1,186
module MODULE1( input [159:0] VAR10, input [ 31:0] VAR4, input [ 6:0] VAR15, output [159:0] VAR3 ); reg [31:0] VAR5; reg [31:0] VAR13; wire [31:0] VAR16; wire [31:0] VAR1 = VAR10[159:128]; wire [31:0] VAR14 = VAR10[127:96 ]; wire [31:0] VAR8 = VAR10[ 95:64 ]; wire [31:0] VAR2 = VAR10[ 63:32 ]; wire [31:0] VAR9 = VAR10[ 31:0 ]; assign VAR16 = {VAR1[26:0], VAR1[31:27]} + VAR13 + VAR9 + VAR5 + VAR4; assign VAR3 = {VAR16, VAR1, {VAR14[1:0], VAR14[31:2]}, VAR8, VAR2}; always @ (VAR15 or VAR14 or VAR8 or VAR2) begin case (1'b1) VAR12(7'd0, VAR15, 7'd19): begin VAR5 = 32'h5A827999; VAR13 = (VAR14 & VAR8) | (~VAR14 & VAR2); end VAR12(7'd20, VAR15, 7'd39): begin VAR5 = 32'h6ED9EBA1; VAR13 = VAR14 ^ VAR8 ^ VAR2; end VAR12(7'd40, VAR15, 7'd59): begin VAR5 = 32'h8F1BBCDC; VAR13 = (VAR14 & VAR8) | (VAR14 & VAR2) | (VAR8 & VAR2); end VAR12(7'd60, VAR15, 7'd79): begin VAR5 = 32'hCA62C1D6; VAR13 = VAR14 ^ VAR8 ^ VAR2; end endcase end function reg VAR12( input [6:0] VAR11, input [6:0] VAR7, input [6:0] VAR6 ); begin VAR12 = VAR7 >= VAR11 && VAR7 <= VAR6; end endfunction endmodule
mit
rbarzic/async_logic
misc_lib/std_cells.v
3,956
module MODULE11 ( VAR8, VAR10 ); parameter VAR25 = 1; input VAR10; output VAR8; assign #VAR25 VAR8 = !VAR10; endmodule module MODULE4 ( VAR24, VAR10 ); parameter VAR25 = 1; input VAR10; output VAR24; assign #VAR25 VAR24 = VAR10; endmodule module MODULE2 ( VAR24, VAR2, VAR9 ); parameter VAR25 = 1; input VAR2,VAR9; output VAR24; assign #VAR25 VAR24= VAR2 & VAR9; endmodule module MODULE1 ( VAR8, VAR2, VAR9 ); parameter VAR25 = 1; input VAR2,VAR9; output VAR8; assign #VAR25 VAR8= !(VAR2 & VAR9); endmodule module MODULE12 ( VAR24, VAR2, VAR9, VAR11 ); parameter VAR25 = 1; input VAR2,VAR9,VAR11; output VAR24; assign #VAR25 VAR24= VAR2 & VAR9 & VAR11; endmodule module MODULE3 ( VAR8, VAR2, VAR9, VAR11 ); parameter VAR25 = 1; input VAR2,VAR9,VAR11; output VAR8; assign #VAR25 VAR8= !(VAR2 & VAR9 & VAR11); endmodule module MODULE8 ( VAR8, VAR2, VAR9, VAR11, VAR6 ); parameter VAR25 = 1; input VAR2,VAR9,VAR11,VAR6; output VAR8; assign #VAR25 VAR8= !(VAR2 & VAR9 & VAR11 & VAR6); endmodule module MODULE14 ( VAR24, VAR2, VAR9 ); parameter VAR25 = 1; input VAR2,VAR9; output VAR24; assign #VAR25 VAR24 = VAR2 | VAR9; endmodule module MODULE6 ( VAR24, VAR2, VAR9 ); parameter VAR25 = 1; input VAR2,VAR9; output VAR24; assign #VAR25 VAR24 = VAR2 ^ VAR9; endmodule module MODULE9 ( VAR20, VAR23, VAR7, reset, VAR17 ); parameter VAR25 = 1; input VAR7; input reset; input VAR17; output VAR20; output VAR23; wire VAR21 = !(VAR7 & VAR17); wire VAR14 = !(reset | !VAR17); reg VAR18; wire VAR20; always @(negedge VAR14 or negedge VAR21) if (!VAR14) VAR18 <= 0; else if (!VAR21) VAR18 <= 1; always @(VAR14 or VAR21) assign #VAR25 VAR20 = VAR18; assign #VAR25 VAR23 = !VAR18; endmodule module MODULE5 ( VAR24, VAR26, VAR12, VAR5 ); input VAR26; input VAR12; input VAR5; output VAR24; parameter VAR25 = 1; assign #VAR25 VAR24 = VAR5 ? VAR12 : VAR26; endmodule module MODULE13( VAR20, VAR23, VAR22, VAR4, VAR3 ); input VAR22; input VAR4; input VAR3; output VAR20; output VAR23; MODULE1 VAR13(.VAR8(VAR20),.VAR2(VAR22),.VAR9(VAR23)); MODULE3 VAR16(.VAR8(VAR23),.VAR2(VAR4),.VAR9(VAR20),.VAR11(VAR3)); endmodule module MODULE7 ( VAR20, en, VAR10, VAR3 ); input en; input VAR10; input VAR3; output VAR20; reg VAR20; always @* begin if(VAR3 == 1'b0) VAR20 <= 0; end else if(en) VAR20 <= VAR10; end endmodule module MODULE10 ( VAR20, en, VAR10, VAR3 ); parameter VAR19 = 8; parameter VAR15 = {VAR19{1'b0}}; localparam VAR1= VAR19-1; input en; input [VAR1:0] VAR10; input VAR3; output [VAR1:0] VAR20; reg [VAR1:0] VAR20; always @* begin if(VAR3 == 1'b0) VAR20 <= VAR15; end else if(en) VAR20 <= VAR10; end endmodule
gpl-2.0
SiLab-Bonn/basil
basil/firmware/modules/tdc_s3/tdc_s3.v
2,413
module MODULE1 #( parameter VAR26 = 16'h0000, parameter VAR12 = 16'h0000, parameter VAR17 = 16, parameter VAR40 = 4, parameter VAR23 = 4'b0100, parameter VAR10 = 1, parameter VAR19 = 1, parameter VAR22 = 0 ) ( input wire VAR34, input wire [VAR17-1:0] VAR13, inout wire [7:0] VAR1, input wire VAR29, input wire VAR4, input wire VAR38, input wire VAR5, input wire VAR2, input wire VAR35, input wire VAR36, output wire VAR3, input wire VAR25, output wire VAR7, input wire [VAR40*4-1:0] VAR39, output wire [VAR40*4-1:0] VAR32, input wire VAR28, output wire VAR8, output wire [31:0] VAR6, input wire VAR15, input wire VAR30, input wire [15:0] VAR27 ); wire VAR33, VAR11; wire [VAR17-1:0] VAR21; wire [7:0] VAR24; wire [7:0] VAR20; VAR31 #( .VAR26(VAR26), .VAR12(VAR12), .VAR17(VAR17) ) VAR14 ( .VAR38(VAR38), .VAR4(VAR4), .VAR13(VAR13), .VAR1(VAR1), .VAR33(VAR33), .VAR11(VAR11), .VAR21(VAR21), .VAR24(VAR24), .VAR20(VAR20) ); VAR37 #( .VAR23(VAR23), .VAR40(VAR40), .VAR17(VAR17), .VAR10(VAR10), .VAR19(VAR19), .VAR22(VAR22) ) VAR16 ( .VAR34(VAR34), .VAR29(VAR29), .VAR13(VAR21), .VAR18(VAR24), .VAR38(VAR33), .VAR4(VAR11), .VAR9(VAR20), .VAR5(VAR5), .VAR2(VAR2), .VAR35(VAR35), .VAR36(VAR36), .VAR3(VAR3), .VAR25(VAR25), .VAR7(VAR7), .VAR39(VAR39), .VAR32(VAR32), .VAR28(VAR28), .VAR8(VAR8), .VAR6(VAR6), .VAR15(VAR15), .VAR30(VAR30), .VAR27(VAR27) ); endmodule
bsd-3-clause
cfangmeier/VFPIX-telescope-Code
DAQ_Firmware/src/ram/alt_mem_ddrx_burst_tracking.v
3,799
module MODULE1 parameter VAR13 = 7, VAR7 = 6, VAR2 = 4 ) ( VAR11, VAR10, VAR3, VAR9, VAR14, VAR1, VAR12, VAR8 ); input VAR11; input VAR10; input VAR3; input VAR9; output [VAR13-1:0] VAR14; output [VAR13-1:0] VAR1; input VAR12; input [VAR2-1:0] VAR8; wire VAR11; wire VAR10; wire VAR3; wire VAR9; wire [VAR13-1:0] VAR14; wire [VAR13-1:0] VAR1; wire VAR12; wire [VAR2-1:0] VAR8; reg [VAR13-1:0] VAR4; reg [VAR13-1:0] VAR6; wire VAR5; assign VAR14 = VAR4; assign VAR1 = VAR6; assign VAR5 = VAR3 & VAR9; always @ (*) begin if (VAR5 & VAR12) begin VAR6 = VAR4 + 1 - VAR8; end else if (VAR5) begin VAR6 = VAR4 + 1; end else if (VAR12) begin VAR6 = VAR4 - VAR8; end else begin VAR6 = VAR4; end end always @ (posedge VAR11 or negedge VAR10) begin if (~VAR10) begin VAR4 <= 0; end else begin VAR4 <= VAR6; end end endmodule
gpl-2.0
tmatsuya/milkymist-ml401
cores/vgafb/rtl/vgafb_fifo64to16.v
1,766
module MODULE1( input VAR8, input VAR6, input VAR3, input [63:0] VAR9, output VAR11, output reg [15:0] do, input VAR2 ); reg [63:0] VAR10[0:3]; reg [1:0] VAR5; reg [3:0] VAR1; reg [4:0] VAR7; wire [63:0] VAR4; assign VAR4 = VAR10[VAR1[3:2]]; always @(*) begin case(VAR1[1:0]) 2'd0: do <= VAR4[63:48]; 2'd1: do <= VAR4[47:32]; 2'd2: do <= VAR4[31:16]; 2'd3: do <= VAR4[15:0]; endcase end always @(posedge VAR8) begin if(VAR6) begin VAR5 = 2'd0; VAR1 = 4'd0; VAR7 = 5'd0; end else begin if(VAR3) begin VAR10[VAR5] = VAR9; VAR5 = VAR5 + 2'd1; VAR7 = VAR7 + 5'd4; end if(VAR2) begin VAR1 = VAR1 + 4'd1; VAR7 = VAR7 - 5'd1; end end end assign VAR11 = ~(VAR7 == 5'd0); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a32oi/sky130_fd_sc_hdll__a32oi.symbol.v
1,441
module MODULE1 ( input VAR7, input VAR10, input VAR9, input VAR8, input VAR1, output VAR2 ); supply1 VAR6; supply0 VAR5; supply1 VAR3 ; supply0 VAR4 ; endmodule
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_axi_gpio_0_0/system_axi_gpio_0_0_stub.v
2,384
module MODULE1(VAR10, VAR15, VAR9, VAR7, VAR17, VAR1, VAR16, VAR12, VAR3, VAR4, VAR20, VAR19, VAR13, VAR22, VAR2, VAR23, VAR21, VAR5, VAR8, VAR6, VAR11, VAR14, VAR18) ; input VAR10; input VAR15; input [8:0]VAR9; input VAR7; output VAR17; input [31:0]VAR1; input [3:0]VAR16; input VAR12; output VAR3; output [1:0]VAR4; output VAR20; input VAR19; input [8:0]VAR13; input VAR22; output VAR2; output [31:0]VAR23; output [1:0]VAR21; output VAR5; input VAR8; output VAR6; input [19:0]VAR11; output [19:0]VAR14; output [19:0]VAR18; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlygate4s18/sky130_fd_sc_lp__dlygate4s18.behavioral.pp.v
1,832
module MODULE1 ( VAR5 , VAR2 , VAR9, VAR10, VAR1 , VAR8 ); output VAR5 ; input VAR2 ; input VAR9; input VAR10; input VAR1 ; input VAR8 ; wire VAR7 ; wire VAR4; buf VAR12 (VAR7 , VAR2 ); VAR3 VAR6 (VAR4, VAR7, VAR9, VAR10); buf VAR11 (VAR5 , VAR4 ); endmodule
apache-2.0
ECE492-Team5/Platform
soc-platform-quartusii/soc_system/synthesis/submodules/soc_system_button_pio.v
4,519
module MODULE1 ( address, VAR3, clk, VAR9, VAR13, VAR15, VAR14, irq, VAR12 ) ; output irq; output [ 31: 0] VAR12; input [ 1: 0] address; input VAR3; input clk; input [ 3: 0] VAR9; input VAR13; input VAR15; input [ 31: 0] VAR14; wire VAR6; reg [ 3: 0] VAR11; reg [ 3: 0] VAR7; wire [ 3: 0] VAR4; reg [ 3: 0] VAR2; wire VAR5; wire [ 3: 0] VAR8; wire irq; reg [ 3: 0] VAR1; wire [ 3: 0] VAR10; reg [ 31: 0] VAR12; assign VAR6 = 1; assign VAR10 = ({4 {(address == 0)}} & VAR4) | ({4 {(address == 2)}} & VAR1) | ({4 {(address == 3)}} & VAR2); always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR12 <= 0; end else if (VAR6) VAR12 <= {32'b0 | VAR10}; end assign VAR4 = VAR9; always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR1 <= 0; end else if (VAR3 && ~VAR15 && (address == 2)) VAR1 <= VAR14[3 : 0]; end assign irq = |(VAR2 & VAR1); assign VAR5 = VAR3 && ~VAR15 && (address == 3); always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR2[0] <= 0; end else if (VAR6) if (VAR5 && VAR14[0]) VAR2[0] <= 0; else if (VAR8[0]) VAR2[0] <= -1; end always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR2[1] <= 0; end else if (VAR6) if (VAR5 && VAR14[1]) VAR2[1] <= 0; else if (VAR8[1]) VAR2[1] <= -1; end always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR2[2] <= 0; end else if (VAR6) if (VAR5 && VAR14[2]) VAR2[2] <= 0; else if (VAR8[2]) VAR2[2] <= -1; end always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) VAR2[3] <= 0; end else if (VAR6) if (VAR5 && VAR14[3]) VAR2[3] <= 0; else if (VAR8[3]) VAR2[3] <= -1; end always @(posedge clk or negedge VAR13) begin if (VAR13 == 0) begin VAR11 <= 0; VAR7 <= 0; end else if (VAR6) begin VAR11 <= VAR4; VAR7 <= VAR11; end end assign VAR8 = ~VAR11 & VAR7; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfrtp/sky130_fd_sc_ms__sdfrtp_2.v
2,583
module MODULE2 ( VAR11 , VAR3 , VAR5 , VAR9 , VAR4 , VAR1, VAR8 , VAR10 , VAR6 , VAR12 ); output VAR11 ; input VAR3 ; input VAR5 ; input VAR9 ; input VAR4 ; input VAR1; input VAR8 ; input VAR10 ; input VAR6 ; input VAR12 ; VAR2 VAR7 ( .VAR11(VAR11), .VAR3(VAR3), .VAR5(VAR5), .VAR9(VAR9), .VAR4(VAR4), .VAR1(VAR1), .VAR8(VAR8), .VAR10(VAR10), .VAR6(VAR6), .VAR12(VAR12) ); endmodule module MODULE2 ( VAR11 , VAR3 , VAR5 , VAR9 , VAR4 , VAR1 ); output VAR11 ; input VAR3 ; input VAR5 ; input VAR9 ; input VAR4 ; input VAR1; supply1 VAR8; supply0 VAR10; supply1 VAR6 ; supply0 VAR12 ; VAR2 VAR7 ( .VAR11(VAR11), .VAR3(VAR3), .VAR5(VAR5), .VAR9(VAR9), .VAR4(VAR4), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/xnor2/sky130_fd_sc_hs__xnor2.symbol.v
1,265
module MODULE1 ( input VAR5, input VAR3, output VAR2 ); supply1 VAR1; supply0 VAR4; endmodule
apache-2.0
secworks/aes
src/rtl/aes_core.v
10,557
module MODULE1( input wire clk, input wire VAR35, input wire VAR43, input wire VAR16, input wire VAR17, output wire ready, input wire [255 : 0] VAR19, input wire VAR46, input wire [127 : 0] VAR29, output wire [127 : 0] VAR26, output wire VAR3 ); localparam VAR31 = 2'h0; localparam VAR38 = 2'h1; localparam VAR6 = 2'h2; reg [1 : 0] VAR14; reg [1 : 0] VAR54; reg VAR50; reg VAR13; reg VAR52; reg VAR11; reg VAR2; reg VAR18; reg VAR23; reg VAR22; wire [127 : 0] VAR39; wire VAR30; reg VAR48; wire [3 : 0] VAR15; wire [127 : 0] VAR42; wire VAR41; wire [31 : 0] VAR21; reg VAR4; wire [3 : 0] VAR44; wire [127 : 0] VAR34; wire VAR8; reg [127 : 0] VAR9; reg [3 : 0] VAR5; reg VAR36; wire [31 : 0] VAR12; reg [31 : 0] VAR28; wire [31 : 0] VAR40; VAR37 VAR51( .clk(clk), .VAR35(VAR35), .VAR17(VAR48), .VAR46(VAR46), .VAR49(VAR15), .VAR39(VAR39), .VAR53(VAR21), .VAR40(VAR40), .VAR29(VAR29), .VAR32(VAR42), .ready(VAR41) ); VAR27 VAR25( .clk(clk), .VAR35(VAR35), .VAR17(VAR4), .VAR46(VAR46), .VAR49(VAR44), .VAR39(VAR39), .VAR29(VAR29), .VAR32(VAR34), .ready(VAR8) ); VAR10 VAR47( .clk(clk), .VAR35(VAR35), .VAR19(VAR19), .VAR46(VAR46), .VAR16(VAR16), .VAR49(VAR5), .VAR39(VAR39), .ready(VAR30), .VAR53(VAR12), .VAR40(VAR40) ); VAR24 VAR45(.VAR53(VAR28), .VAR40(VAR40)); assign ready = VAR2; assign VAR26 = VAR9; assign VAR3 = VAR13; always @ (posedge clk or negedge VAR35) begin: VAR7 if (!VAR35) begin VAR13 <= 1'b0; VAR2 <= 1'b1; VAR14 <= VAR31; end else begin if (VAR11) VAR13 <= VAR52; if (VAR23) VAR2 <= VAR18; if (VAR50) VAR14 <= VAR54; end end always @* begin : VAR20 if (VAR22) begin VAR28 = VAR12; end else begin VAR28 = VAR21; end end always @* begin : VAR33 VAR48 = 1'b0; VAR4 = 1'b0; if (VAR43) begin VAR48 = VAR17; VAR5 = VAR15; VAR9 = VAR42; VAR36 = VAR41; end else begin VAR4 = VAR17; VAR5 = VAR44; VAR9 = VAR34; VAR36 = VAR8; end end always @* begin : VAR1 VAR22 = 1'b0; VAR18 = 1'b0; VAR23 = 1'b0; VAR52 = 1'b0; VAR11 = 1'b0; VAR54 = VAR31; VAR50 = 1'b0; case (VAR14) VAR31: begin if (VAR16) begin VAR22 = 1'b1; VAR18 = 1'b0; VAR23 = 1'b1; VAR52 = 1'b0; VAR11 = 1'b1; VAR54 = VAR38; VAR50 = 1'b1; end else if (VAR17) begin VAR22 = 1'b0; VAR18 = 1'b0; VAR23 = 1'b1; VAR52 = 1'b0; VAR11 = 1'b1; VAR54 = VAR6; VAR50 = 1'b1; end end VAR38: begin VAR22 = 1'b1; if (VAR30) begin VAR18 = 1'b1; VAR23 = 1'b1; VAR54 = VAR31; VAR50 = 1'b1; end end VAR6: begin VAR22 = 1'b0; if (VAR36) begin VAR18 = 1'b1; VAR23 = 1'b1; VAR52 = 1'b1; VAR11 = 1'b1; VAR54 = VAR31; VAR50 = 1'b1; end end default: begin end endcase end endmodule
bsd-2-clause
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v
2,424
module MODULE1 , parameter VAR12=2 ) (input VAR5 , input VAR21 , output VAR9 , input VAR6 , input [VAR17-1:0] VAR7 , output [VAR12-1:0] VAR16 , output [VAR12-1:0] [VAR17-1:0] VAR3 , input [VAR12-1:0] VAR1 ); logic [VAR12-1:0] VAR4, VAR10; genvar VAR14; logic [VAR12-1:0] VAR8; logic [VAR17-1:0] VAR20; wire VAR2, VAR22; VAR18 #(.VAR17(VAR17)) VAR15 (.VAR5 (VAR5) ,.VAR21 (VAR21) ,.VAR7 (VAR7) ,.VAR3 (VAR20) ,.VAR16 (VAR2) ,.VAR11 (VAR22) ,.VAR9 (VAR9) ,.VAR6 (VAR6) ); for (VAR14 = 0; VAR14 < VAR12; VAR14 = VAR14+1) begin assign VAR3[VAR14] = VAR20; assign VAR16 [VAR14] = VAR8[VAR14]; assign VAR8[VAR14] = VAR2 & ~VAR4[VAR14]; VAR13 @(posedge VAR5) if (VAR21) VAR4[VAR14] <= 1'b0; end else VAR4[VAR14] <= VAR10[VAR14] & ~VAR22; VAR19 begin VAR10[VAR14] = VAR4[VAR14]; if (VAR8[VAR14] & VAR1[VAR14]) VAR10[VAR14] = 1'b1; end end assign VAR22 = & VAR10; endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or3b/sky130_fd_sc_hd__or3b.pp.blackbox.v
1,308
module MODULE1 ( VAR2 , VAR5 , VAR1 , VAR4 , VAR3, VAR7, VAR6 , VAR8 ); output VAR2 ; input VAR5 ; input VAR1 ; input VAR4 ; input VAR3; input VAR7; input VAR6 ; input VAR8 ; endmodule
apache-2.0
zaqwes8811/hdl-fpga
ip-cores/rtl_vlog/shift_reg_lib.v
4,297
module MODULE2( clk, rst, VAR17, VAR5, din, VAR19, dout, VAR18 ); parameter VAR12 = 2; input clk, rst, VAR17; input VAR5; input [VAR11-1:0] din; output [VAR11-1:0] dout; input [VAR11*VAR12-1:0] VAR19; output [VAR11*VAR12-1:0] VAR18; wire [VAR11-1:0] VAR4 [VAR12-1:0]; VAR1 VAR9( .clk(clk), .rst(rst), .VAR17(VAR17), .VAR5(VAR5), .VAR2(din), .VAR20(VAR19[VAR11-1:0]), .VAR22(VAR4[0]) ); assign VAR18[VAR11-1:0] = VAR4[0]; genvar VAR16; generate for(VAR16 = 1; VAR16 < VAR12; VAR16 = VAR16+1) begin : VAR6 VAR1 VAR10( .clk(clk), .rst(rst), .VAR17(VAR17), .VAR5(VAR5), .VAR2(VAR4[VAR16-1]), .VAR20(VAR19[(VAR16+1)*(VAR11)-1:VAR16*(VAR11)]), .VAR22(VAR4[VAR16]) ); assign VAR18[(VAR16+1)*(VAR11)-1:VAR16*(VAR11)] = VAR4[VAR16]; end endgenerate assign dout = VAR4[VAR12-1]; endmodule module MODULE1( clk, rst, VAR17, VAR7, VAR15 ); parameter VAR3 = 1; parameter VAR8 = 8; input clk, rst, VAR17; input [VAR8-1:0] VAR7; output [VAR8-1:0] VAR15; reg [VAR3+1-1:0] VAR21 [VAR8-1:0]; integer VAR14; always @(posedge clk or posedge rst) begin if(rst) begin for(VAR14 = 0; VAR14 < VAR8; VAR14 = VAR14+1) begin VAR21[VAR14] = 'b0; end end else if(VAR17) begin for(VAR14 = 0; VAR14 < VAR8; VAR14 = VAR14+1) begin VAR21[VAR14] = {VAR21[VAR14][VAR3+1-2:0], VAR7[VAR14]}; end end end genvar VAR16; generate for(VAR16 = 0; VAR16 < VAR8; VAR16 = VAR16+1) begin : VAR13 assign VAR15[VAR16] = VAR21[VAR16][VAR3+1-1]; end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a32oi/sky130_fd_sc_ls__a32oi.functional.pp.v
2,238
module MODULE1 ( VAR2 , VAR9 , VAR14 , VAR3 , VAR6 , VAR12 , VAR20, VAR10, VAR8 , VAR13 ); output VAR2 ; input VAR9 ; input VAR14 ; input VAR3 ; input VAR6 ; input VAR12 ; input VAR20; input VAR10; input VAR8 ; input VAR13 ; wire VAR17 ; wire VAR11 ; wire VAR19 ; wire VAR16; nand VAR4 (VAR17 , VAR14, VAR9, VAR3 ); nand VAR5 (VAR11 , VAR12, VAR6 ); and VAR15 (VAR19 , VAR17, VAR11 ); VAR1 VAR7 (VAR16, VAR19, VAR20, VAR10); buf VAR18 (VAR2 , VAR16 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/edfxtp/sky130_fd_sc_ls__edfxtp.symbol.v
1,424
module MODULE1 ( input VAR7 , output VAR6 , input VAR4 , input VAR3 ); supply1 VAR1; supply0 VAR8; supply1 VAR5 ; supply0 VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a22oi/sky130_fd_sc_ms__a22oi.symbol.v
1,371
module MODULE1 ( input VAR8, input VAR1, input VAR4, input VAR3, output VAR9 ); supply1 VAR5; supply0 VAR7; supply1 VAR6 ; supply0 VAR2 ; endmodule
apache-2.0
Darkin47/Zynq-TX-UTT
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_ndeep_srl.v
5,193
module MODULE1 # ( parameter VAR11 = "VAR14", parameter VAR5 = 1 ) ( input wire VAR21, input wire [VAR5-1:0] VAR32, input wire VAR4, input wire VAR12, output wire VAR34 ); localparam integer VAR7 = 5; localparam integer VAR18 = 32; localparam integer VAR17 = (VAR5>VAR7) ? (2**(VAR5-VAR7)) : 1; localparam integer VAR23 = 2**VAR5; wire [VAR17:0] VAR26; wire [VAR17-1:0] VAR10; wire [(VAR5>VAR7) ? (VAR5-1) : (VAR7-1) : 0] VAR29; genvar VAR16; assign VAR26[0] = VAR12; assign VAR29 = VAR32; generate if (VAR11 == "VAR14") begin : VAR8 if (VAR5 <= VAR7) begin : VAR2 reg [VAR18-1:0] VAR22 = {VAR18{1'b0}}; always @(posedge VAR21) if (VAR4) VAR22 <= {VAR22[VAR18-2:0], VAR12}; assign VAR34 = VAR22[VAR29]; end else begin : VAR13 reg [VAR23-1:0] VAR22 = {VAR23{1'b0}}; always @(posedge VAR21) if (VAR4) VAR22 <= {VAR22[VAR23-2:0], VAR12}; assign VAR34 = VAR22[VAR29]; end end else begin : VAR19 for (VAR16=0;VAR16<VAR17;VAR16=VAR16+1) begin : VAR35 VAR37 VAR25 ( .VAR21 (VAR21), .VAR32 (VAR29[VAR7-1:0]), .VAR4 (VAR4), .VAR12 (VAR26[VAR16]), .VAR34 (VAR10[VAR16]), .VAR15 (VAR26[VAR16+1]) ); end if (VAR5>VAR7) begin : VAR3 VAR30 # ( .VAR6 (2**(VAR5-VAR7)), .VAR9 (VAR5-VAR7), .VAR20 (1), .VAR28 (0) ) VAR1 ( .VAR31 ({2**(VAR5-VAR7){1'b0}}), .VAR36 (VAR29[VAR5-1:VAR7]), .VAR27 (VAR10), .VAR24 (VAR34) ); end else begin : VAR33 assign VAR34 = VAR10[0]; end end endgenerate endmodule
gpl-3.0
Fabeltranm/FPGA-Game-D1
HW/RTL/08ULTRASONIDO/Version_01/02 verilog/ultrasonido_modulo_1/Periferico/dpram.v
1,486
module MODULE1 #( parameter VAR4 = 13, parameter VAR12 = 16, parameter VAR13 = "none" ) ( input VAR1, input VAR6, input VAR3, input [VAR4-1:0] VAR15, input [VAR12-1:0] VAR9, input VAR11, input [VAR4-1:0] VAR7, output reg [VAR12-1:0] VAR8, output reg [VAR12-1:0] VAR14, input VAR5 ); parameter VAR10 = (1 << VAR4); reg [VAR12-1:0] VAR2 [0:VAR10-1]; always @(posedge VAR11) begin if (VAR3) VAR8 <= VAR2[VAR7]; end always @(posedge VAR1) begin if (VAR6) begin if (VAR5) begin VAR2[VAR15] <= VAR9; end else VAR14<=VAR2[VAR15]; end end begin
gpl-3.0
combinatorylogic/soc
backends/small1/hw/soc/atlys/spi.v
5,215
module MODULE1( input VAR39, input reset, input VAR23, output VAR38, input [31:0] VAR40, output reg VAR8, output reg VAR36, input VAR9, output reg [31:0] VAR33, output reg VAR26, input VAR10 ); reg [7:0] VAR20; reg VAR17; wire VAR37; wire [7:0] VAR19; wire VAR2; reg VAR3; VAR29 VAR11 ( .clk(VAR39), .rst(~reset), .VAR7(VAR20), .VAR4(VAR17), .VAR16(VAR37), .VAR13(VAR19), .VAR22(VAR2), .VAR24(VAR3), .VAR21(VAR23), .VAR30(VAR38), .VAR34(), .VAR18(), .VAR12(), .VAR1(), .VAR28(100000000/(115200*8)) ); reg [2:0] VAR5; reg [31:0] VAR15; reg [1:0] VAR25; reg [31:0] VAR31; reg [31:0] VAR32; parameter VAR14 = 0; parameter VAR6 = 1; parameter VAR27 = 2; parameter VAR35 = 3; always @(posedge VAR39) begin if (!reset) begin VAR20 <= 0; VAR17 <= 0; VAR3 <= 1; VAR26 <= 0; VAR33 <= 0; VAR25 <= 0; VAR5 <= VAR14; VAR15 <= 0; VAR36 <= 0; VAR31 <= 0; VAR32 <= 0; end else begin case(VAR5) VAR14: begin VAR36 <= 0; if (VAR25 == 0) begin VAR32 <= VAR40; end if (VAR2) begin if (VAR25 == 3) begin VAR31 <= 0; VAR33 <= {VAR19, VAR31[31:8]}; VAR26 <= 1; VAR5 <= VAR6; VAR25 <= 0; VAR3 <= 0; VAR15 <= {8'hff,VAR32[31:8]}; VAR20 <= VAR32[7:0]; VAR17 <= 1; end else begin VAR31 <= {VAR19, VAR31[31:8]}; VAR25 <= VAR25 + 1; VAR5 <= VAR27; VAR3 <= 0; end end end VAR27: begin VAR5 <= VAR14; VAR3 <= 1; end VAR6: begin VAR26 <= 0; if (VAR37) begin VAR25 <= VAR25 + 1; VAR20 <= VAR15[7:0]; VAR15 <= {8'hff,VAR15[31:8]}; if (VAR25 == 3) begin VAR17 <= 0; VAR3 <= 1; VAR5 <= VAR14; VAR36 <= 1; VAR25 <= 0; end else begin VAR17 <= 0; VAR5 <= VAR35; end end end VAR35: begin VAR17 <= 1; VAR5 <= VAR6; end endcase end end endmodule
mit
sukinull/vivado_zed_pieces
axigpio_w_linux_uio/project_uio/project_uio.srcs/sources_1/ipshared/xilinx.com/processing_system7_bfm_v2_0/e69044ca/hdl/processing_system7_bfm_v2_0_fmsw_gp.v
6,423
module MODULE1( VAR81, VAR18, VAR85, VAR103, VAR89, VAR70, VAR74, VAR31, VAR92, VAR23, VAR6, VAR51, VAR61, VAR63, VAR26, VAR22, VAR40, VAR80, VAR1, VAR52, VAR82, VAR4, VAR94, VAR35, VAR39, VAR60, VAR19, VAR68, VAR69, VAR41, VAR38, VAR98, VAR87, VAR50, VAR71, VAR53, VAR5, VAR72, VAR56, VAR96, VAR34, VAR13, VAR24, VAR100, VAR65, VAR76, VAR55, VAR86, VAR44, VAR95, VAR83, VAR73, VAR29, VAR20, VAR93, VAR2, VAR42, VAR14, VAR62, VAR99, VAR47, VAR37, VAR28, VAR101, VAR75, VAR30, VAR88, VAR91, VAR10, VAR57, VAR11, VAR15 ); input VAR81; input VAR18; input [VAR64-1:0]VAR85; input [VAR64-1:0]VAR103; input [VAR64-1:0]VAR94; input [VAR64-1:0]VAR35; output [VAR64-1:0]VAR29; output [VAR64-1:0]VAR93; output [VAR64-1:0]VAR20; output [VAR64-1:0]VAR2; output [VAR64-1:0]VAR42; output VAR89; output VAR70; input [VAR9-1:0] VAR74; input [VAR45-1:0] VAR31; input [VAR21:0] VAR92; output VAR23; output VAR6; input VAR51; input VAR61; input VAR63; input [VAR45-1:0] VAR26; input [VAR21:0] VAR22; output [VAR9-1:0] VAR40; output [VAR9-1:0] VAR80; output [VAR9-1:0] VAR1; output VAR52; output VAR82; output VAR4; output VAR39; output VAR60; input [VAR9-1:0] VAR19; input [VAR45-1:0] VAR68; input [VAR21:0] VAR69; output VAR41; output VAR38; input VAR98; input VAR87; input VAR50; input [VAR45-1:0] VAR71; input [VAR21:0] VAR53; output [VAR9-1:0] VAR5; output [VAR9-1:0] VAR72; output [VAR9-1:0] VAR56; output VAR96; output VAR34; output VAR13; input VAR24; output VAR100; output [VAR45-1:0]VAR14; output [VAR9-1:0]VAR62; output [VAR21:0]VAR99; input VAR76; input [VAR9-1:0] VAR37; output VAR65; output [VAR45-1:0] VAR47; output [VAR21:0] VAR28; input VAR55; output VAR86; output [VAR45-1:0]VAR101; output [VAR9-1:0]VAR75; output [VAR21:0]VAR30; input VAR95; input [VAR9-1:0] VAR91; output VAR44; output [VAR45-1:0] VAR88; output [VAR21:0] VAR10; input VAR73; input [VAR9-1:0] VAR11; output VAR83; output [VAR45-1:0] VAR57; output [VAR21:0] VAR15; VAR54 VAR12( .VAR18(VAR18), .VAR81(VAR81), .VAR46(VAR85), .VAR48(VAR94), .VAR78(VAR23), .VAR16(VAR41), .VAR8(VAR74), .VAR79(VAR19), .VAR77(VAR31), .VAR3(VAR68), .VAR102(VAR92), .VAR59(VAR69), .VAR58(VAR89), .VAR90(VAR39), .VAR97(VAR100), .VAR33(VAR29), .VAR17(VAR62), .VAR25(VAR14), .VAR7(VAR99), .VAR27(VAR24) ); VAR54 VAR66( .VAR18(VAR18), .VAR81(VAR81), .VAR46(VAR85), .VAR48(VAR94), .VAR78(VAR6), .VAR16(VAR38), .VAR8(VAR74), .VAR79(VAR19), .VAR77(VAR31), .VAR3(VAR68), .VAR102(VAR92), .VAR59(VAR69), .VAR58(VAR70), .VAR90(VAR60), .VAR97(VAR86), .VAR33(VAR20), .VAR17(VAR75), .VAR25(VAR101), .VAR7(VAR30), .VAR27(VAR55) ); VAR32 VAR104( .VAR18(VAR18), .VAR81(VAR81), .VAR46(VAR103), .VAR48(VAR35), .VAR36(VAR51), .VAR49(VAR98), .VAR8(VAR40), .VAR79(VAR5), .VAR77(VAR26), .VAR3(VAR71), .VAR102(VAR22), .VAR59(VAR53), .VAR78(VAR52), .VAR16(VAR96), .VAR97(VAR65), .VAR33(VAR93), .VAR17(VAR37), .VAR25(VAR47), .VAR7(VAR28), .VAR84(VAR76) ); VAR32 VAR67( .VAR18(VAR18), .VAR81(VAR81), .VAR46(VAR103), .VAR48(VAR35), .VAR36(VAR61), .VAR49(VAR87), .VAR8(VAR80), .VAR79(VAR72), .VAR77(VAR26), .VAR3(VAR71), .VAR102(VAR22), .VAR59(VAR53), .VAR78(VAR82), .VAR16(VAR34), .VAR97(VAR44), .VAR33(VAR2), .VAR17(VAR91), .VAR25(VAR88), .VAR7(VAR10), .VAR84(VAR95) ); VAR32 VAR43( .VAR18(VAR18), .VAR81(VAR81), .VAR46(VAR103), .VAR48(VAR35), .VAR36(VAR63), .VAR49(VAR50), .VAR8(VAR1), .VAR79(VAR56), .VAR77(VAR26), .VAR3(VAR71), .VAR102(VAR22), .VAR59(VAR53), .VAR78(VAR4), .VAR16(VAR13), .VAR97(VAR83), .VAR33(VAR42), .VAR17(VAR11), .VAR25(VAR57), .VAR7(VAR15), .VAR84(VAR73) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/xor3/sky130_fd_sc_hd__xor3.behavioral.v
1,406
module MODULE1 ( VAR6, VAR4, VAR1, VAR9 ); output VAR6; input VAR4; input VAR1; input VAR9; supply1 VAR10; supply0 VAR11; supply1 VAR2 ; supply0 VAR5 ; wire VAR7; xor VAR3 (VAR7, VAR4, VAR1, VAR9 ); buf VAR8 (VAR6 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/and2/sky130_fd_sc_hd__and2.blackbox.v
1,233
module MODULE1 ( VAR4, VAR6, VAR3 ); output VAR4; input VAR6; input VAR3; supply1 VAR5; supply0 VAR2; supply1 VAR1 ; supply0 VAR7 ; endmodule
apache-2.0
SI-RISCV/e200_opensource
rtl/e203/core/e203_exu_csr.v
26,682
module MODULE1( input VAR160, output VAR217, input VAR98, input VAR233, input VAR39, input [12-1:0] VAR156, output VAR121, output VAR97, output VAR91, output VAR173, output VAR17, output VAR228, output [VAR203-1:0] VAR46, input [VAR203-1:0] VAR31, input [VAR20-1:0] VAR107, input VAR139, input VAR155, input VAR2, output VAR56, output VAR62, output VAR146, output VAR138, output VAR4 , output VAR64 , output VAR178, input [VAR203-1:0] VAR216 , input [VAR191-1:0] VAR96 , input [VAR203-1:0] VAR202, output [VAR203-1:0] VAR141 , input VAR24, input VAR12, output VAR194, output VAR92, output VAR164, output VAR101, input [VAR27-1:0] VAR195, input VAR128, input [VAR191-1:0] VAR115, input VAR186, input [VAR203-1:0] VAR68, input VAR61, input VAR71, input VAR148, input VAR225, output[VAR191-1:0] VAR59, output[VAR191-1:0] VAR11, output[VAR203-1:0] VAR103, input VAR193, input clk, input VAR40 ); assign VAR121 = 1'b0 ; wire VAR48 = VAR233 & VAR98 & (~VAR121); wire VAR33 = VAR39 & VAR98 & (~VAR121); wire [1:0] VAR149 = VAR194 ? 2'b00 : VAR92 ? 2'b01 : VAR164 ? 2'b10 : VAR101 ? 2'b11 : 2'b11; wire VAR58 = (VAR156 == 12'h000); wire VAR112 = (VAR156 == 12'h300); wire VAR197 = VAR58 & VAR39; wire VAR235 = VAR112 & VAR39; wire VAR143 = VAR58 & VAR233; wire VAR144 = VAR112 & VAR233; wire VAR19; wire VAR129 = (VAR144 & VAR48) | VAR225 | VAR71; wire VAR147 = VAR71 ? VAR56 : VAR225 ? 1'b1 : (VAR144 & VAR48) ? VAR31[7] : VAR19; VAR63 #(1) VAR215 (VAR129, VAR147, VAR19, clk, VAR40); wire VAR161 = VAR129; wire VAR10 = VAR71 ? 1'b0 : VAR225 ? VAR19 : (VAR144 & VAR48) ? VAR31[3] : VAR56; VAR63 #(1) VAR93 (VAR161, VAR10, VAR56, clk, VAR40); wire [1:0] VAR183; wire [1:0] VAR222; wire VAR219 = (VAR183 == 2'b11) | (VAR222 == 2'b11); assign VAR222 = 2'b0; assign VAR217 = 1'b0;VAR120 assign VAR183 = 2'b0; wire [VAR203-1:0] VAR51; assign VAR51[31] = VAR219; assign VAR51[30:23] = 8'b0; assign VAR51[22:17] = 6'b0; assign VAR51[16:15] = VAR222; assign VAR51[14:13] = VAR183; assign VAR51[12:11] = 2'b11; assign VAR51[10:9] = 2'b0; assign VAR51[8] = 1'b0; assign VAR51[7] = VAR19; assign VAR51[6] = 1'b0; assign VAR51[5] = 1'b0; assign VAR51[4] = 1'b0; assign VAR51[3] = VAR56; assign VAR51[2] = 1'b0; assign VAR51[1] = 1'b0; assign VAR51[0] = 1'b0; wire [VAR203-1:0] VAR26 = VAR51; wire VAR199 = (VAR156 == 12'h304); wire VAR198 = VAR199 & VAR39; wire VAR54 = VAR199 & VAR233; wire VAR9 = VAR54 & VAR48; wire [VAR203-1:0] VAR168; wire [VAR203-1:0] VAR66; assign VAR66[31:12] = 20'b0; assign VAR66[11] = VAR31[11];assign VAR66[10:8] = 3'b0; assign VAR66[ 7] = VAR31[ 7];assign VAR66[6:4] = 3'b0; assign VAR66[ 3] = VAR31[ 3];assign VAR66[2:0] = 3'b0; VAR63 #(VAR203) VAR172 (VAR9, VAR66, VAR168, clk, VAR40); wire [VAR203-1:0] VAR188 = VAR168; assign VAR138 = VAR188[11]; assign VAR62 = VAR188[ 7]; assign VAR146 = VAR188[ 3]; wire VAR41 = (VAR156 == 12'h344); wire VAR142 = VAR41 & VAR39; wire VAR150; wire VAR179; wire VAR201; VAR70 #(1) VAR80 (VAR139, VAR150, clk, VAR40); VAR70 #(1) VAR69 (VAR155, VAR179, clk, VAR40); VAR70 #(1) VAR151 (VAR2, VAR201, clk, VAR40); wire [VAR203-1:0] VAR3; assign VAR3[31:12] = 20'b0; assign VAR3[11] = VAR150; assign VAR3[10:8] = 3'b0; assign VAR3[ 7] = VAR201; assign VAR3[6:4] = 3'b0; assign VAR3[ 3] = VAR179; assign VAR3[2:0] = 3'b0; wire [VAR203-1:0] VAR22 = VAR3; wire VAR73 = (VAR156 == 12'h305); wire VAR208 = VAR39 & VAR73; wire VAR89 = (VAR187 & VAR48); wire [VAR203-1:0] VAR221; wire [VAR203-1:0] VAR163 = VAR31; VAR63 #(VAR203) VAR212 (VAR89, VAR163, VAR221, clk, VAR40); wire [VAR203-1:0] VAR104 = VAR221; wire VAR105 = (VAR156 == 12'h340); wire VAR152 = VAR105 & VAR39; wire VAR94 = (VAR205 & VAR48); wire [VAR203-1:0] VAR224; wire [VAR203-1:0] VAR50 = VAR31; VAR63 #(VAR203) VAR99 (VAR94, VAR50, VAR224, clk, VAR40); wire [VAR203-1:0] VAR44 = VAR224; wire VAR55 = (VAR156 == 12'hB00); wire VAR57 = (VAR156 == 12'hB80); wire VAR185 = (VAR156 == 12'hB02); wire VAR169 = (VAR156 == 12'hB82); wire VAR100 = (VAR156 == 12'hBFF); wire VAR85 = (VAR156 == 12'hBFE); wire VAR7 = (VAR156 == 12'hBFD); wire VAR176 = (VAR156 == 12'hBF0); wire VAR227 = VAR39 & VAR55 ; wire VAR72 = VAR39 & VAR57 ; wire VAR124 = VAR39 & VAR185 ; wire VAR106 = VAR39 & VAR169; wire VAR223 = VAR39 & VAR7; wire VAR127 = VAR39 & VAR176; wire VAR15 = VAR39 & VAR100; wire VAR78 = VAR39 & VAR85; wire VAR159 = VAR233 & VAR57 ; wire VAR229 = VAR233 & VAR185 ; wire VAR5 = VAR233 & VAR169; wire VAR30 = VAR233 & VAR7 ; wire VAR154 = VAR233 & VAR176 ; wire VAR125 = VAR233 & VAR100; wire VAR209 = VAR233 & VAR85 ; wire VAR118 = (VAR234 & VAR48); wire VAR52 = (VAR159 & VAR48); wire VAR75 = (VAR229 & VAR48); wire VAR117 = (VAR5 & VAR48); wire VAR25 = (VAR30 & VAR48); wire VAR207 = (VAR154 & VAR48); wire VAR65 = (VAR125 & VAR48); wire VAR114 = (VAR209 & VAR48); wire [VAR203-1:0] VAR184 ; wire [VAR203-1:0] VAR177 ; wire [VAR203-1:0] VAR35 ; wire [VAR203-1:0] VAR102; wire VAR165; wire VAR218; wire VAR130 = VAR12 & VAR24; wire VAR175 = VAR118 | ((~VAR165) & (~VAR130) & (1'b1)); wire VAR8 = VAR52 | ((~VAR165) & (~VAR130) & ((VAR184 == (~(VAR203'b0))))); wire VAR77 = VAR75 | ((~VAR218) & (~VAR130) & (VAR148)); wire VAR211 = VAR117 | ((~VAR218) & (~VAR130) & ((VAR148 & (VAR35 == (~(VAR203'b0)))))); wire [VAR203-1:0] VAR134 = VAR118 ? VAR31 : (VAR184 + 1'b1); wire [VAR203-1:0] VAR23 = VAR52 ? VAR31 : (VAR177 + 1'b1); wire [VAR203-1:0] VAR49 = VAR75 ? VAR31 : (VAR35 + 1'b1); wire [VAR203-1:0] VAR21 = VAR117 ? VAR31 : (VAR102 + 1'b1); VAR63 #(VAR203) VAR34 (VAR175, VAR134, VAR184 , VAR193, VAR40); VAR63 #(VAR203) VAR47 (VAR8, VAR23, VAR177 , VAR193, VAR40); VAR63 #(VAR203) VAR88 (VAR77, VAR49, VAR35 , clk, VAR40); VAR63 #(VAR203) VAR116 (VAR211, VAR21, VAR102, clk, VAR40); wire [VAR203-1:0] VAR113; wire VAR111 = VAR65; wire [VAR203-1:0] VAR230 = {29'b0,VAR31[2:0]};VAR63 #(VAR203) VAR76 (VAR111, VAR230, VAR113, clk, VAR40); wire [VAR203-1:0] VAR158 = VAR184; wire [VAR203-1:0] VAR81 = VAR177; wire [VAR203-1:0] VAR192 = VAR35; wire [VAR203-1:0] VAR204 = VAR102; wire [VAR203-1:0] VAR210 = VAR113; wire [VAR203-1:0] VAR81 = VAR203'b0; wire [VAR203-1:0] VAR192 = VAR203'b0; wire [VAR203-1:0] VAR204 = VAR203'b0; wire [VAR203-1:0] VAR210 = VAR203'b0; wire [VAR203-1:0] VAR16; wire VAR237 = VAR25; wire [VAR203-1:0] VAR232 = {31'b0,VAR31[0]};VAR63 #(VAR203) VAR145 (VAR237, VAR232, VAR16, clk, VAR40); wire [VAR203-1:0] VAR122 = VAR16; wire [VAR203-1:0] VAR220; wire VAR67 = VAR207; wire [VAR203-1:0] VAR83 = {31'b0,VAR31[0]};VAR63 #(VAR203) VAR226 (VAR67, VAR83, VAR220, clk, VAR40); wire [VAR203-1:0] VAR140 = VAR220; assign VAR165 = VAR113[0];assign VAR97 = VAR113[1];assign VAR218 = VAR113[2]; assign VAR17 = VAR16[0];assign VAR228 = VAR220[0]; wire [VAR203-1:0] VAR170; wire VAR189 = VAR114; wire [VAR203-1:0] VAR214 = {30'b0,VAR31[1:0]};VAR63 #(VAR203) VAR190 (VAR189, VAR214, VAR170, clk, VAR40); wire [VAR203-1:0] VAR153 = VAR170; assign VAR91 = VAR170[0];assign VAR173 = VAR170[1]; wire VAR180 = (VAR156 == 12'h341); wire VAR13 = VAR180 & VAR39; wire VAR87 = VAR180 & VAR233; wire VAR42 = (VAR87 & VAR48) | VAR186; wire [VAR191-1:0] VAR196; wire [VAR191-1:0] VAR137; assign VAR137[VAR191-1:1] = VAR186 ? VAR115[VAR191-1:1] : VAR31[VAR191-1:1]; assign VAR137[0] = 1'b0;VAR63 #(VAR191) VAR110 (VAR42, VAR137, VAR196, clk, VAR40); wire [VAR203-1:0] VAR123; wire VAR167; assign {VAR167,VAR123} = {{VAR203+1-VAR191{1'b0}},VAR196}; assign VAR59 = VAR123; wire VAR79 = (VAR156 == 12'h342); wire VAR132 = VAR79 & VAR39; wire VAR200 = VAR79 & VAR233; wire VAR45 = (VAR200 & VAR48) | VAR61; wire [VAR203-1:0] VAR133; wire [VAR203-1:0] VAR6; assign VAR6[31] = VAR61 ? VAR68[31] : VAR31[31]; assign VAR6[30:4] = 27'b0; assign VAR6[3:0] = VAR61 ? VAR68[3:0] : VAR31[3:0]; VAR63 #(VAR203) VAR37 (VAR45, VAR6, VAR133, clk, VAR40); wire [VAR203-1:0] VAR206 = VAR133; wire VAR135 = (VAR156 == 12'h343); wire VAR109 = VAR135 & VAR39; wire VAR181 = VAR135 & VAR233; wire VAR53 = VAR128; wire VAR174 = (VAR181 & VAR48) | VAR53; wire [VAR27-1:0] VAR131; wire [VAR27-1:0] VAR182; assign VAR182 = VAR53 ? VAR195 : VAR31[VAR27-1:0]; VAR63 #(VAR27) VAR157 (VAR174, VAR182, VAR131, clk, VAR40); wire [VAR203-1:0] VAR126; wire VAR38; assign {VAR38,VAR126} = {{VAR203+1-VAR27{1'b0}},VAR131}; wire VAR14 = (VAR156 == 12'h301); wire VAR236 = VAR14 & VAR39; wire [VAR203-1:0] VAR28 = { 2'b1 ,4'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b0 ,1'b1 ,1'b0 ,1'b0 ,1'b0 VAR231 VAR90 ,1'b1 else ,1'b0 ,1'b0 ,1'b0 VAR95 VAR36 ,1'b0 VAR120 VAR231 VAR90 ,1'b0 else ,1'b1 VAR120 wire [VAR203-1:0] VAR171 = VAR203'h536; wire [VAR203-1:0] VAR82 = VAR203'hE203; wire [VAR203-1:0] VAR119 = VAR203'h1; wire [VAR203-1:0] VAR60 = {{VAR203-VAR20{1'b0}},VAR107}; wire VAR43 = VAR39 & (VAR156 == 12'hF11); wire VAR166 = VAR39 & (VAR156 == 12'hF12); wire VAR108 = VAR39 & (VAR156 == 12'hF13); wire VAR86 = VAR39 & (VAR156 == 12'hF14); wire VAR18 = (VAR156 == 12'h7b0); wire VAR29 = (VAR156 == 12'h7b1); wire VAR162 = (VAR156 == 12'h7b2); wire VAR32 = VAR24 & VAR39 & VAR18 ; wire VAR136 = VAR24 & VAR39 & VAR29 ; wire VAR213 = VAR24 & VAR39 & VAR162; assign VAR4 = VAR24 & VAR233 & VAR18 ; assign VAR64 = VAR24 & VAR233 & VAR29 ; assign VAR178 = VAR24 & VAR233 & VAR162; assign VAR141 = VAR31; wire [VAR203-1:0] VAR74 = VAR216 ; wire [VAR203-1:0] VAR84 = {{VAR203-VAR191{1'b0}},VAR96}; wire [VAR203-1:0] VAR84 = {{VAR203-VAR191{1'b0}},VAR96}; wire [VAR203-1:0] VAR84 = VAR96 ; wire [VAR203-1:0] VAR1 = VAR202; assign VAR11 = VAR96; assign VAR194 = 1'b0; assign VAR92 = 1'b0; assign VAR164 = 1'b0; assign VAR101 = 1'b1; assign VAR46 = VAR203'b0 | ({VAR203{VAR235 }} & VAR26 ) | ({VAR203{VAR198 }} & VAR188 ) | ({VAR203{VAR208 }} & VAR104 ) | ({VAR203{VAR13 }} & VAR123 ) | ({VAR203{VAR152 }} & VAR44 ) | ({VAR203{VAR132 }} & VAR206 ) | ({VAR203{VAR109 }} & VAR126 ) | ({VAR203{VAR142 }} & VAR22 ) | ({VAR203{VAR236 }} & VAR28 ) | ({VAR203{VAR43}} & VAR171) | ({VAR203{VAR166 }} & VAR82 ) | ({VAR203{VAR108 }} & VAR119 ) | ({VAR203{VAR86 }} & VAR60 ) | ({VAR203{VAR227 }} & VAR158 ) | ({VAR203{VAR72 }} & VAR81 ) | ({VAR203{VAR124 }} & VAR192 ) | ({VAR203{VAR106}} & VAR204) | ({VAR203{VAR15}} & VAR210) | ({VAR203{VAR78}} & VAR153) | ({VAR203{VAR223}} & VAR122) | ({VAR203{VAR127}} & VAR140) | ({VAR203{VAR32 }} & VAR74 ) | ({VAR203{VAR136 }} & VAR84 ) | ({VAR203{VAR213 }} & VAR1) ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inv/sky130_fd_sc_lp__inv_16.v
2,001
module MODULE1 ( VAR3 , VAR4 , VAR5, VAR8, VAR6 , VAR7 ); output VAR3 ; input VAR4 ; input VAR5; input VAR8; input VAR6 ; input VAR7 ; VAR2 VAR1 ( .VAR3(VAR3), .VAR4(VAR4), .VAR5(VAR5), .VAR8(VAR8), .VAR6(VAR6), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR3, VAR4 ); output VAR3; input VAR4; supply1 VAR5; supply0 VAR8; supply1 VAR6 ; supply0 VAR7 ; VAR2 VAR1 ( .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/fah/sky130_fd_sc_hs__fah.behavioral.pp.v
2,494
module MODULE1 ( VAR22, VAR23 , VAR16 , VAR3 , VAR18 , VAR10, VAR19 ); output VAR22; output VAR23 ; input VAR16 ; input VAR3 ; input VAR18 ; input VAR10; input VAR19; wire VAR7 ; wire VAR6 ; wire VAR21 ; wire VAR17 ; wire VAR8 ; wire VAR14 ; wire VAR24; xor VAR15 (VAR7 , VAR16, VAR3, VAR18 ); VAR20 VAR2 (VAR6 , VAR7, VAR10, VAR19); buf VAR1 (VAR23 , VAR6 ); and VAR13 (VAR21 , VAR16, VAR3 ); and VAR12 (VAR17 , VAR16, VAR18 ); and VAR11 (VAR8 , VAR3, VAR18 ); or VAR9 (VAR14 , VAR21, VAR17, VAR8 ); VAR20 VAR4 (VAR24, VAR14, VAR10, VAR19); buf VAR5 (VAR22 , VAR24 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp.blackbox.v
1,452
module MODULE1 ( VAR8 , VAR6 , VAR9 , VAR10 , VAR4 , VAR2 ); output VAR8 ; input VAR6 ; input VAR9 ; input VAR10 ; input VAR4 ; input VAR2; supply1 VAR7; supply0 VAR3; supply1 VAR1 ; supply0 VAR5 ; endmodule
apache-2.0
tmatsuya/milkymist-ml401
cores/pfpu/rtl/pfpu_faddsub.v
3,603
module MODULE1( input VAR34, input VAR33, input [31:0] VAR4, input [31:0] VAR27, input VAR15, input VAR10, output [31:0] VAR28, output reg VAR19 ); wire VAR37 = VAR4[31]; wire [7:0] VAR7 = VAR4[30:23]; wire [22:0] VAR3 = VAR4[22:0]; wire VAR30 = VAR27[31] ^ VAR15; wire [7:0] VAR18 = VAR27[30:23]; wire [22:0] VAR8 = VAR27[22:0]; reg VAR6; reg VAR39; reg VAR16; reg [7:0] VAR11; reg [7:0] VAR2; reg [22:0] VAR21; reg [22:0] VAR17; reg VAR32; wire VAR23 = VAR7 > VAR18; wire VAR38 = VAR7 == VAR18; wire VAR22 = VAR3 > VAR8; always @(posedge VAR34) begin if(VAR33) VAR32 <= 1'b0; end else VAR32 <= VAR10; VAR16 <= VAR37 ^ VAR30; if(VAR23) VAR39 <= VAR37; else begin if(VAR38) begin if(VAR22) VAR39 <= VAR37; end else VAR39 <= VAR30; end else VAR39 <= VAR30; end if(VAR23) begin VAR11 <= VAR7; VAR2 <= VAR7 - VAR18; end else begin VAR11 <= VAR18; VAR2 <= VAR18 - VAR7; end if(VAR38) begin if(VAR22) begin VAR21 <= VAR3; VAR17 <= VAR8; end else begin VAR21 <= VAR8; VAR17 <= VAR3; end end else begin if(VAR23) begin VAR21 <= VAR3; VAR17 <= VAR8; end else begin VAR21 <= VAR8; VAR17 <= VAR3; end end VAR6 <= (VAR7 == 8'd0)|(VAR18 == 8'd0); end reg VAR25; reg [7:0] VAR12; reg [25:0] VAR20; reg VAR13; wire [24:0] VAR14 = {1'b1, VAR21, 1'b0}; wire [24:0] VAR5 = {1'b1, VAR17, 1'b0} >> VAR2; always @(posedge VAR34) begin if(VAR33) VAR13 <= 1'b0; end else VAR13 <= VAR32; VAR25 <= VAR39; VAR12 <= VAR11; if(VAR6) VAR20 <= {2'b01, VAR21, 1'b0}; end else begin if(VAR16) VAR20 <= VAR14 - VAR5; end else VAR20 <= VAR14 + VAR5; end end reg VAR24; reg [7:0] VAR29; reg [25:0] VAR31; wire [4:0] VAR35; VAR1 VAR26( .VAR36({VAR20, 6'VAR9}), .VAR35(VAR35) ); always @(posedge VAR34) begin if(VAR33) VAR19 <= 1'b0; end else VAR19 <= VAR13; VAR24 <= VAR25; VAR31 <= VAR20 << VAR35; VAR29 <= VAR12 - VAR35 + 8'd1; end assign VAR28 = {VAR24, VAR29, VAR31[24:2]}; endmodule
lgpl-3.0
cr1901/HDMI2USB-litex-firmware
gateware/encoder/verilog/wb_async_reg.v
7,782
module MODULE1 # ( parameter VAR21 = 32, parameter VAR28 = 32, parameter VAR34 = (VAR21/8) ) ( input wire VAR27, input wire VAR8, input wire [VAR28-1:0] VAR38, input wire [VAR21-1:0] VAR47, output wire [VAR21-1:0] VAR54, input wire VAR15, input wire [VAR34-1:0] VAR49, input wire VAR20, output wire VAR33, output wire VAR51, output wire VAR32, input wire VAR39, input wire VAR18, input wire VAR45, output wire [VAR28-1:0] VAR10, input wire [VAR21-1:0] VAR41, output wire [VAR21-1:0] VAR29, output wire VAR23, output wire [VAR34-1:0] VAR50, output wire VAR30, input wire VAR52, input wire VAR1, input wire VAR42, output wire VAR44 ); reg [VAR28-1:0] VAR31 = 0; reg [VAR21-1:0] VAR26 = 0; reg [VAR21-1:0] VAR3 = 0; reg VAR55 = 0; reg [VAR34-1:0] VAR6 = 0; reg VAR25 = 0; reg VAR53 = 0; reg VAR24 = 0; reg VAR37 = 0; reg VAR22 = 0; reg VAR14 = 0; reg VAR11 = 0; reg VAR7 = 0; reg [VAR28-1:0] VAR48 = 0; reg [VAR21-1:0] VAR35 = 0; reg [VAR21-1:0] VAR16 = 0; reg VAR40 = 0; reg [VAR34-1:0] VAR19 = 0; reg VAR4 = 0; reg VAR43 = 0; reg VAR36 = 0; reg VAR56 = 0; reg VAR9 = 0; reg VAR17 = 0; reg VAR13 = 0; reg VAR2 = 0; reg VAR46 = 0; reg VAR57 = 0; reg VAR12 = 0; reg VAR5 = 0; assign VAR54 = VAR3; assign VAR33 = VAR53; assign VAR51 = VAR24; assign VAR32 = VAR37; assign VAR10 = VAR48; assign VAR29 = VAR16; assign VAR23 = VAR40; assign VAR50 = VAR19; assign VAR30 = VAR4; assign VAR44 = VAR9; always @(posedge VAR27) begin if (VAR8) begin VAR31 <= 0; VAR26 <= 0; VAR3 <= 0; VAR55 <= 0; VAR6 <= 0; VAR25 <= 0; VAR53 <= 0; VAR24 <= 0; VAR37 <= 0; VAR22 <= 0; end else begin if (VAR22 & VAR25) begin if (VAR11 & ~VAR7) begin VAR3 <= VAR35; VAR53 <= VAR43; VAR24 <= VAR36; VAR37 <= VAR56; VAR55 <= 0; VAR25 <= 0; end end else begin VAR31 <= VAR38; VAR26 <= VAR47; VAR3 <= 0; VAR55 <= VAR15 & ~(VAR33 | VAR51 | VAR32); VAR6 <= VAR49; VAR25 <= VAR20 & ~(VAR33 | VAR51 | VAR32); VAR53 <= 0; VAR24 <= 0; VAR37 <= 0; VAR22 <= VAR39; end end VAR14 <= VAR5; VAR11 <= VAR14; VAR7 <= VAR11; end always @(posedge VAR18) begin if (VAR45) begin VAR48 <= 0; VAR35 <= 0; VAR16 <= 0; VAR40 <= 0; VAR19 <= 0; VAR4 <= 0; VAR43 <= 0; VAR36 <= 0; VAR56 <= 0; VAR9 <= 0; VAR5 <= 0; end else begin if (VAR52 | VAR1 | VAR42) begin VAR35 <= VAR41; VAR43 <= VAR52; VAR36 <= VAR1; VAR56 <= VAR42; VAR40 <= 0; VAR4 <= 0; VAR5 <= 1; end else if (VAR57 & ~VAR12) begin VAR48 <= VAR31; VAR35 <= 0; VAR16 <= VAR26; VAR40 <= VAR55; VAR19 <= VAR6; VAR4 <= VAR25; VAR43 <= 0; VAR36 <= 0; VAR56 <= 0; VAR9 <= VAR22; VAR5 <= 0; end else if (~VAR13 & VAR2) begin VAR48 <= 0; VAR35 <= 0; VAR16 <= 0; VAR40 <= 0; VAR19 <= 0; VAR4 <= 0; VAR43 <= 0; VAR36 <= 0; VAR56 <= 0; VAR9 <= 0; VAR5 <= 0; end end VAR17 <= VAR22; VAR13 <= VAR17; VAR2 <= VAR13; VAR46 <= VAR25; VAR57 <= VAR46; VAR12 <= VAR57; end endmodule
bsd-2-clause
flycrow/pyxdl
logicanalyzer/serial_wb_program.v
52,629
module MODULE1(VAR1, VAR2, VAR3); input VAR1; input [9:0] VAR2; output [15:0] VAR3; wire VAR1; wire [9:0] VAR2; reg [15:0] VAR3; always @(posedge VAR1) case(VAR2) 10'h000: VAR3 <= 16'h4f00; 10'h001: VAR3 <= 16'hffff; 10'h002: VAR3 <= 16'hffff; 10'h003: VAR3 <= 16'h4000; 10'h004: VAR3 <= 16'h4100; 10'h005: VAR3 <= 16'h40ff; 10'h006: VAR3 <= 16'h41ff; 10'h007: VAR3 <= 16'h0001; 10'h008: VAR3 <= 16'h8407; 10'h009: VAR3 <= 16'hffff; 10'h00a: VAR3 <= 16'h4040; 10'h00b: VAR3 <= 16'h8625; 10'h00c: VAR3 <= 16'h4300; 10'h00d: VAR3 <= 16'h4405; 10'h00e: VAR3 <= 16'h87d3; 10'h00f: VAR3 <= 16'h400d; 10'h010: VAR3 <= 16'h8625; 10'h011: VAR3 <= 16'h400a; 10'h012: VAR3 <= 16'h8625; 10'h013: VAR3 <= 16'h403e; 10'h014: VAR3 <= 16'h8625; 10'h015: VAR3 <= 16'h8631; 10'h016: VAR3 <= 16'h4144; 10'h017: VAR3 <= 16'h1101; 10'h018: VAR3 <= 16'h82cd; 10'h019: VAR3 <= 16'hffff; 10'h01a: VAR3 <= 16'h4154; 10'h01b: VAR3 <= 16'h1101; 10'h01c: VAR3 <= 16'h8380; 10'h01d: VAR3 <= 16'hffff; 10'h01e: VAR3 <= 16'h4174; 10'h01f: VAR3 <= 16'h1101; 10'h020: VAR3 <= 16'h8334; 10'h021: VAR3 <= 16'hffff; 10'h022: VAR3 <= 16'h4007; 10'h023: VAR3 <= 16'h8625; 10'h024: VAR3 <= 16'h800f; 10'h025: VAR3 <= 16'h4101; 10'h026: VAR3 <= 16'h9010; 10'h027: VAR3 <= 16'h4202; 10'h028: VAR3 <= 16'hffff; 10'h029: VAR3 <= 16'h5100; 10'h02a: VAR3 <= 16'h2112; 10'h02b: VAR3 <= 16'h8229; 10'h02c: VAR3 <= 16'hffff; 10'h02d: VAR3 <= 16'h4100; 10'h02e: VAR3 <= 16'h9010; 10'h02f: VAR3 <= 16'h9100; 10'h030: VAR3 <= 16'h8800; 10'h031: VAR3 <= 16'h4101; 10'h032: VAR3 <= 16'h9010; 10'h033: VAR3 <= 16'h4201; 10'h034: VAR3 <= 16'hffff; 10'h035: VAR3 <= 16'h5100; 10'h036: VAR3 <= 16'h2112; 10'h037: VAR3 <= 16'h8235; 10'h038: VAR3 <= 16'hffff; 10'h039: VAR3 <= 16'h4100; 10'h03a: VAR3 <= 16'h9010; 10'h03b: VAR3 <= 16'hffff; 10'h03c: VAR3 <= 16'hffff; 10'h03d: VAR3 <= 16'h5000; 10'h03e: VAR3 <= 16'h4103; 10'h03f: VAR3 <= 16'h1101; 10'h040: VAR3 <= 16'h8200; 10'h041: VAR3 <= 16'hffff; 10'h042: VAR3 <= 16'h8025; 10'h043: VAR3 <= 16'h4101; 10'h044: VAR3 <= 16'h9010; 10'h045: VAR3 <= 16'h4201; 10'h046: VAR3 <= 16'hffff; 10'h047: VAR3 <= 16'h5100; 10'h048: VAR3 <= 16'h2112; 10'h049: VAR3 <= 16'h8247; 10'h04a: VAR3 <= 16'hffff; 10'h04b: VAR3 <= 16'h4100; 10'h04c: VAR3 <= 16'h9010; 10'h04d: VAR3 <= 16'hffff; 10'h04e: VAR3 <= 16'hffff; 10'h04f: VAR3 <= 16'h5000; 10'h050: VAR3 <= 16'h4103; 10'h051: VAR3 <= 16'h1101; 10'h052: VAR3 <= 16'h8200; 10'h053: VAR3 <= 16'hffff; 10'h054: VAR3 <= 16'h8800; 10'h055: VAR3 <= 16'h4101; 10'h056: VAR3 <= 16'h9000; 10'h057: VAR3 <= 16'h9140; 10'h058: VAR3 <= 16'h0001; 10'h059: VAR3 <= 16'h9000; 10'h05a: VAR3 <= 16'h9150; 10'h05b: VAR3 <= 16'h0001; 10'h05c: VAR3 <= 16'h9000; 10'h05d: VAR3 <= 16'h9160; 10'h05e: VAR3 <= 16'h0001; 10'h05f: VAR3 <= 16'h9000; 10'h060: VAR3 <= 16'h9170; 10'h061: VAR3 <= 16'h8800; 10'h062: VAR3 <= 16'h4101; 10'h063: VAR3 <= 16'h9000; 10'h064: VAR3 <= 16'hffff; 10'h065: VAR3 <= 16'hffff; 10'h066: VAR3 <= 16'h5400; 10'h067: VAR3 <= 16'h0001; 10'h068: VAR3 <= 16'h9000; 10'h069: VAR3 <= 16'hffff; 10'h06a: VAR3 <= 16'hffff; 10'h06b: VAR3 <= 16'h5500; 10'h06c: VAR3 <= 16'h0001; 10'h06d: VAR3 <= 16'h9000; 10'h06e: VAR3 <= 16'hffff; 10'h06f: VAR3 <= 16'hffff; 10'h070: VAR3 <= 16'h5600; 10'h071: VAR3 <= 16'h0001; 10'h072: VAR3 <= 16'h9000; 10'h073: VAR3 <= 16'hffff; 10'h074: VAR3 <= 16'hffff; 10'h075: VAR3 <= 16'h5700; 10'h076: VAR3 <= 16'h8800; 10'h077: VAR3 <= 16'h8643; 10'h078: VAR3 <= 16'h41d0; 10'h079: VAR3 <= 16'h0101; 10'h07a: VAR3 <= 16'h847f; 10'h07b: VAR3 <= 16'hffff; 10'h07c: VAR3 <= 16'h4007; 10'h07d: VAR3 <= 16'h8625; 10'h07e: VAR3 <= 16'h8077; 10'h07f: VAR3 <= 16'h41c6; 10'h080: VAR3 <= 16'h0101; 10'h081: VAR3 <= 16'h8486; 10'h082: VAR3 <= 16'hffff; 10'h083: VAR3 <= 16'h41d0; 10'h084: VAR3 <= 16'h0301; 10'h085: VAR3 <= 16'h8025; 10'h086: VAR3 <= 16'h419f; 10'h087: VAR3 <= 16'h0101; 10'h088: VAR3 <= 16'h848d; 10'h089: VAR3 <= 16'hffff; 10'h08a: VAR3 <= 16'h4007; 10'h08b: VAR3 <= 16'h8625; 10'h08c: VAR3 <= 16'h8077; 10'h08d: VAR3 <= 16'h4199; 10'h08e: VAR3 <= 16'h0101; 10'h08f: VAR3 <= 16'h8494; 10'h090: VAR3 <= 16'hffff; 10'h091: VAR3 <= 16'h41a9; 10'h092: VAR3 <= 16'h0301; 10'h093: VAR3 <= 16'h8025; 10'h094: VAR3 <= 16'h4007; 10'h095: VAR3 <= 16'h8625; 10'h096: VAR3 <= 16'h8077; 10'h097: VAR3 <= 16'h420f; 10'h098: VAR3 <= 16'h2202; 10'h099: VAR3 <= 16'h43f6; 10'h09a: VAR3 <= 16'h0323; 10'h09b: VAR3 <= 16'h84a0; 10'h09c: VAR3 <= 16'hffff; 10'h09d: VAR3 <= 16'h4330; 10'h09e: VAR3 <= 16'h0123; 10'h09f: VAR3 <= 16'h80a2; 10'h0a0: VAR3 <= 16'h4357; 10'h0a1: VAR3 <= 16'h0123; 10'h0a2: VAR3 <= 16'h420f; 10'h0a3: VAR3 <= 16'h7000; 10'h0a4: VAR3 <= 16'h2202; 10'h0a5: VAR3 <= 16'h43f6; 10'h0a6: VAR3 <= 16'h0323; 10'h0a7: VAR3 <= 16'h84ac; 10'h0a8: VAR3 <= 16'hffff; 10'h0a9: VAR3 <= 16'h4330; 10'h0aa: VAR3 <= 16'h0023; 10'h0ab: VAR3 <= 16'h80ae; 10'h0ac: VAR3 <= 16'h4357; 10'h0ad: VAR3 <= 16'h0023; 10'h0ae: VAR3 <= 16'h3311; 10'h0af: VAR3 <= 16'h8800; 10'h0b0: VAR3 <= 16'h400d; 10'h0b1: VAR3 <= 16'h8625; 10'h0b2: VAR3 <= 16'h400a; 10'h0b3: VAR3 <= 16'h8625; 10'h0b4: VAR3 <= 16'h4001; 10'h0b5: VAR3 <= 16'h0c0c; 10'h0b6: VAR3 <= 16'h0b0b; 10'h0b7: VAR3 <= 16'h84bb; 10'h0b8: VAR3 <= 16'hffff; 10'h0b9: VAR3 <= 16'h40ff; 10'h0ba: VAR3 <= 16'h0c0c; 10'h0bb: VAR3 <= 16'h4508; 10'h0bc: VAR3 <= 16'h4400; 10'h0bd: VAR3 <= 16'h155c; 10'h0be: VAR3 <= 16'h144b; 10'h0bf: VAR3 <= 16'h3454; 10'h0c0: VAR3 <= 16'h820f; 10'h0c1: VAR3 <= 16'hffff; 10'h0c2: VAR3 <= 16'h4d00; 10'h0c3: VAR3 <= 16'h4700; 10'h0c4: VAR3 <= 16'h4600; 10'h0c5: VAR3 <= 16'h4500; 10'h0c6: VAR3 <= 16'h4414; 10'h0c7: VAR3 <= 16'h8730; 10'h0c8: VAR3 <= 16'h35cc; 10'h0c9: VAR3 <= 16'h34bb; 10'h0ca: VAR3 <= 16'h8732; 10'h0cb: VAR3 <= 16'h872b; 10'h0cc: VAR3 <= 16'h80d3; 10'h0cd: VAR3 <= 16'h4300; 10'h0ce: VAR3 <= 16'h4406; 10'h0cf: VAR3 <= 16'h87d3; 10'h0d0: VAR3 <= 16'h4b00; 10'h0d1: VAR3 <= 16'h4c00; 10'h0d2: VAR3 <= 16'h80c2; 10'h0d3: VAR3 <= 16'h4009; 10'h0d4: VAR3 <= 16'h8625; 10'h0d5: VAR3 <= 16'h4104; 10'h0d6: VAR3 <= 16'h6e1d; 10'h0d7: VAR3 <= 16'h6e1d; 10'h0d8: VAR3 <= 16'h4001; 10'h0d9: VAR3 <= 16'h0d0d; 10'h0da: VAR3 <= 16'h6f1d; 10'h0db: VAR3 <= 16'h6f1d; 10'h0dc: VAR3 <= 16'h0d0d; 10'h0dd: VAR3 <= 16'h3eee; 10'h0de: VAR3 <= 16'h82b0; 10'h0df: VAR3 <= 16'hffff; 10'h0e0: VAR3 <= 16'h4900; 10'h0e1: VAR3 <= 16'h38ff; 10'h0e2: VAR3 <= 16'h8702; 10'h0e3: VAR3 <= 16'h0999; 10'h0e4: VAR3 <= 16'h3989; 10'h0e5: VAR3 <= 16'h40ff; 10'h0e6: VAR3 <= 16'h0f0f; 10'h0e7: VAR3 <= 16'h0e0e; 10'h0e8: VAR3 <= 16'h4003; 10'h0e9: VAR3 <= 16'h200e; 10'h0ea: VAR3 <= 16'h82f0; 10'h0eb: VAR3 <= 16'hffff; 10'h0ec: VAR3 <= 16'h3eee; 10'h0ed: VAR3 <= 16'h82d3; 10'h0ee: VAR3 <= 16'hffff; 10'h0ef: VAR3 <= 16'h80e1; 10'h0f0: VAR3 <= 16'h3099; 10'h0f1: VAR3 <= 16'h8697; 10'h0f2: VAR3 <= 16'h3033; 10'h0f3: VAR3 <= 16'h8625; 10'h0f4: VAR3 <= 16'h4900; 10'h0f5: VAR3 <= 16'h80ec; 10'h0f6: VAR3 <= 16'h4018; 10'h0f7: VAR3 <= 16'h9000; 10'h0f8: VAR3 <= 16'h45f1; 10'h0f9: VAR3 <= 16'h9150; 10'h0fa: VAR3 <= 16'h8800; 10'h0fb: VAR3 <= 16'h4101; 10'h0fc: VAR3 <= 16'h0000; 10'h0fd: VAR3 <= 16'h8500; 10'h0fe: VAR3 <= 16'hffff; 10'h0ff: VAR3 <= 16'h8800; 10'h100: VAR3 <= 16'h0001; 10'h101: VAR3 <= 16'h8800; 10'h102: VAR3 <= 16'h41e0; 10'h103: VAR3 <= 16'h2081; 10'h104: VAR3 <= 16'h86fb; 10'h105: VAR3 <= 16'h86fb; 10'h106: VAR3 <= 16'h86fb; 10'h107: VAR3 <= 16'h0000; 10'h108: VAR3 <= 16'h0000; 10'h109: VAR3 <= 16'h4140; 10'h10a: VAR3 <= 16'h0401; 10'h10b: VAR3 <= 16'h4500; 10'h10c: VAR3 <= 16'h4600; 10'h10d: VAR3 <= 16'h4700; 10'h10e: VAR3 <= 16'h4010; 10'h10f: VAR3 <= 16'h8655; 10'h110: VAR3 <= 16'h86f6; 10'h111: VAR3 <= 16'h4118; 10'h112: VAR3 <= 16'h2081; 10'h113: VAR3 <= 16'h86fb; 10'h114: VAR3 <= 16'h86fb; 10'h115: VAR3 <= 16'h86fb; 10'h116: VAR3 <= 16'h86fb; 10'h117: VAR3 <= 16'h86fb; 10'h118: VAR3 <= 16'h4114; 10'h119: VAR3 <= 16'h0101; 10'h11a: VAR3 <= 16'h9010; 10'h11b: VAR3 <= 16'h4107; 10'h11c: VAR3 <= 16'h2081; 10'h11d: VAR3 <= 16'h5800; 10'h11e: VAR3 <= 16'h43ff; 10'h11f: VAR3 <= 16'h4201; 10'h120: VAR3 <= 16'h3000; 10'h121: VAR3 <= 16'h8326; 10'h122: VAR3 <= 16'hffff; 10'h123: VAR3 <= 16'h0003; 10'h124: VAR3 <= 16'h0222; 10'h125: VAR3 <= 16'h8120; 10'h126: VAR3 <= 16'h2828; 10'h127: VAR3 <= 16'h832a; 10'h128: VAR3 <= 16'hffff; 10'h129: VAR3 <= 16'h4801; 10'h12a: VAR3 <= 16'h8800; 10'h12b: VAR3 <= 16'h4018; 10'h12c: VAR3 <= 16'h41f9; 10'h12d: VAR3 <= 16'h9000; 10'h12e: VAR3 <= 16'h9110; 10'h12f: VAR3 <= 16'h8800; 10'h130: VAR3 <= 16'h4010; 10'h131: VAR3 <= 16'h8055; 10'h132: VAR3 <= 16'h4014; 10'h133: VAR3 <= 16'h8055; 10'h134: VAR3 <= 16'h4700; 10'h135: VAR3 <= 16'h4600; 10'h136: VAR3 <= 16'h4500; 10'h137: VAR3 <= 16'h4420; 10'h138: VAR3 <= 16'h8730; 10'h139: VAR3 <= 16'h4400; 10'h13a: VAR3 <= 16'h8732; 10'h13b: VAR3 <= 16'h872b; 10'h13c: VAR3 <= 16'h4700; 10'h13d: VAR3 <= 16'h4600; 10'h13e: VAR3 <= 16'h4500; 10'h13f: VAR3 <= 16'h4400; 10'h140: VAR3 <= 16'h8730; 10'h141: VAR3 <= 16'h4401; 10'h142: VAR3 <= 16'h8732; 10'h143: VAR3 <= 16'h872b; 10'h144: VAR3 <= 16'h86f6; 10'h145: VAR3 <= 16'h4014; 10'h146: VAR3 <= 16'h8662; 10'h147: VAR3 <= 16'h3444; 10'h148: VAR3 <= 16'h8344; 10'h149: VAR3 <= 16'h4330; 10'h14a: VAR3 <= 16'h4405; 10'h14b: VAR3 <= 16'h800e; 10'h14c: VAR3 <= 16'h4201; 10'h14d: VAR3 <= 16'h43ff; 10'h14e: VAR3 <= 16'h3000; 10'h14f: VAR3 <= 16'h8354; 10'h150: VAR3 <= 16'hffff; 10'h151: VAR3 <= 16'h0003; 10'h152: VAR3 <= 16'h0222; 10'h153: VAR3 <= 16'h814e; 10'h154: VAR3 <= 16'h8800; 10'h155: VAR3 <= 16'h4700; 10'h156: VAR3 <= 16'h4600; 10'h157: VAR3 <= 16'h4500; 10'h158: VAR3 <= 16'h34bb; 10'h159: VAR3 <= 16'h41e0; 10'h15a: VAR3 <= 16'h2081; 10'h15b: VAR3 <= 16'h86fb; 10'h15c: VAR3 <= 16'h86fb; 10'h15d: VAR3 <= 16'h86fb; 10'h15e: VAR3 <= 16'h0000; 10'h15f: VAR3 <= 16'h0000; 10'h160: VAR3 <= 16'h0404; 10'h161: VAR3 <= 16'h8730; 10'h162: VAR3 <= 16'h86f6; 10'h163: VAR3 <= 16'h4118; 10'h164: VAR3 <= 16'h2081; 10'h165: VAR3 <= 16'h86fb; 10'h166: VAR3 <= 16'h86fb; 10'h167: VAR3 <= 16'h86fb; 10'h168: VAR3 <= 16'h86fb; 10'h169: VAR3 <= 16'h86fb; 10'h16a: VAR3 <= 16'h4114; 10'h16b: VAR3 <= 16'h0a01; 10'h16c: VAR3 <= 16'h90a0; 10'h16d: VAR3 <= 16'h5400; 10'h16e: VAR3 <= 16'h4107; 10'h16f: VAR3 <= 16'h2081; 10'h170: VAR3 <= 16'h874c; 10'h171: VAR3 <= 16'h43ff; 10'h172: VAR3 <= 16'h1323; 10'h173: VAR3 <= 16'h2443; 10'h174: VAR3 <= 16'h3999; 10'h175: VAR3 <= 16'h8378; 10'h176: VAR3 <= 16'hffff; 10'h177: VAR3 <= 16'h3442; 10'h178: VAR3 <= 16'h3944; 10'h179: VAR3 <= 16'h4014; 10'h17a: VAR3 <= 16'h8662; 10'h17b: VAR3 <= 16'h4014; 10'h17c: VAR3 <= 16'h8655; 10'h17d: VAR3 <= 16'h90a0; 10'h17e: VAR3 <= 16'h9190; 10'h17f: VAR3 <= 16'h812b; 10'h180: VAR3 <= 16'h4300; 10'h181: VAR3 <= 16'h4406; 10'h182: VAR3 <= 16'h87d3; 10'h183: VAR3 <= 16'h4b00; 10'h184: VAR3 <= 16'h4000; 10'h185: VAR3 <= 16'h10b0; 10'h186: VAR3 <= 16'h8390; 10'h187: VAR3 <= 16'h400c; 10'h188: VAR3 <= 16'h10b0; 10'h189: VAR3 <= 16'h838b; 10'h18a: VAR3 <= 16'h800f; 10'h18b: VAR3 <= 16'h4b04; 10'h18c: VAR3 <= 16'h4322; 10'h18d: VAR3 <= 16'h4405; 10'h18e: VAR3 <= 16'h87d3; 10'h18f: VAR3 <= 16'h8194; 10'h190: VAR3 <= 16'h4314; 10'h191: VAR3 <= 16'h4405; 10'h192: VAR3 <= 16'h87d3; 10'h193: VAR3 <= 16'h4b0c; 10'h194: VAR3 <= 16'h4d00; 10'h195: VAR3 <= 16'h4009; 10'h196: VAR3 <= 16'h8625; 10'h197: VAR3 <= 16'h4104; 10'h198: VAR3 <= 16'h6e1d; 10'h199: VAR3 <= 16'h6e1d; 10'h19a: VAR3 <= 16'h3eee; 10'h19b: VAR3 <= 16'h8384; 10'h19c: VAR3 <= 16'hffff; 10'h19d: VAR3 <= 16'h4001; 10'h19e: VAR3 <= 16'h0d0d; 10'h19f: VAR3 <= 16'h6f1d; 10'h1a0: VAR3 <= 16'h6f1d; 10'h1a1: VAR3 <= 16'h0d0d; 10'h1a2: VAR3 <= 16'h3eee; 10'h1a3: VAR3 <= 16'h8395; 10'h1a4: VAR3 <= 16'hffff; 10'h1a5: VAR3 <= 16'h8677; 10'h1a6: VAR3 <= 16'h3c33; 10'h1a7: VAR3 <= 16'h4203; 10'h1a8: VAR3 <= 16'h222e; 10'h1a9: VAR3 <= 16'h4900; 10'h1aa: VAR3 <= 16'h1992; 10'h1ab: VAR3 <= 16'h83b5; 10'h1ac: VAR3 <= 16'h4903; 10'h1ad: VAR3 <= 16'h1992; 10'h1ae: VAR3 <= 16'h83bc; 10'h1af: VAR3 <= 16'h4902; 10'h1b0: VAR3 <= 16'h1992; 10'h1b1: VAR3 <= 16'h83c3; 10'h1b2: VAR3 <= 16'h4901; 10'h1b3: VAR3 <= 16'h1992; 10'h1b4: VAR3 <= 16'h83ca; 10'h1b5: VAR3 <= 16'h4008; 10'h1b6: VAR3 <= 16'h290c; 10'h1b7: VAR3 <= 16'h38ff; 10'h1b8: VAR3 <= 16'h8755; 10'h1b9: VAR3 <= 16'h4aff; 10'h1ba: VAR3 <= 16'h0eea; 10'h1bb: VAR3 <= 16'h0ffa; 10'h1bc: VAR3 <= 16'h4004; 10'h1bd: VAR3 <= 16'h290c; 10'h1be: VAR3 <= 16'h38ff; 10'h1bf: VAR3 <= 16'h8755; 10'h1c0: VAR3 <= 16'h4aff; 10'h1c1: VAR3 <= 16'h0eea; 10'h1c2: VAR3 <= 16'h0ffa; 10'h1c3: VAR3 <= 16'h4002; 10'h1c4: VAR3 <= 16'h290c; 10'h1c5: VAR3 <= 16'h38ff; 10'h1c6: VAR3 <= 16'h8755; 10'h1c7: VAR3 <= 16'h4aff; 10'h1c8: VAR3 <= 16'h0eea; 10'h1c9: VAR3 <= 16'h0ffa; 10'h1ca: VAR3 <= 16'h4001; 10'h1cb: VAR3 <= 16'h290c; 10'h1cc: VAR3 <= 16'h38ff; 10'h1cd: VAR3 <= 16'h8755; 10'h1ce: VAR3 <= 16'h4aff; 10'h1cf: VAR3 <= 16'h0eea; 10'h1d0: VAR3 <= 16'h0ffa; 10'h1d1: VAR3 <= 16'h81a2; 10'h1d2: VAR3 <= 16'h800f; 10'h1d3: VAR3 <= 16'h6043; 10'h1d4: VAR3 <= 16'h6043; 10'h1d5: VAR3 <= 16'h3000; 10'h1d6: VAR3 <= 16'h83dc; 10'h1d7: VAR3 <= 16'hffff; 10'h1d8: VAR3 <= 16'h8625; 10'h1d9: VAR3 <= 16'h4001; 10'h1da: VAR3 <= 16'h0303; 10'h1db: VAR3 <= 16'h81d3; 10'h1dc: VAR3 <= 16'h8800; 10'h1dd: VAR3 <= 16'h0000; 10'h1de: VAR3 <= 16'h0000; 10'h1df: VAR3 <= 16'h0000; 10'h1e0: VAR3 <= 16'h0000; 10'h1e1: VAR3 <= 16'h0000; 10'h1e2: VAR3 <= 16'h0000; 10'h1e3: VAR3 <= 16'h0000; 10'h1e4: VAR3 <= 16'h0000; 10'h1e5: VAR3 <= 16'h0000; 10'h1e6: VAR3 <= 16'h0000; 10'h1e7: VAR3 <= 16'h0000; 10'h1e8: VAR3 <= 16'h0000; 10'h1e9: VAR3 <= 16'h0000; 10'h1ea: VAR3 <= 16'h0000; 10'h1eb: VAR3 <= 16'h0000; 10'h1ec: VAR3 <= 16'h0000; 10'h1ed: VAR3 <= 16'h0000; 10'h1ee: VAR3 <= 16'h0000; 10'h1ef: VAR3 <= 16'h0000; 10'h1f0: VAR3 <= 16'h0000; 10'h1f1: VAR3 <= 16'h0000; 10'h1f2: VAR3 <= 16'h0000; 10'h1f3: VAR3 <= 16'h0000; 10'h1f4: VAR3 <= 16'h0000; 10'h1f5: VAR3 <= 16'h0000; 10'h1f6: VAR3 <= 16'h0000; 10'h1f7: VAR3 <= 16'h0000; 10'h1f8: VAR3 <= 16'h0000; 10'h1f9: VAR3 <= 16'h0000; 10'h1fa: VAR3 <= 16'h0000; 10'h1fb: VAR3 <= 16'h0000; 10'h1fc: VAR3 <= 16'h0000; 10'h1fd: VAR3 <= 16'h0000; 10'h1fe: VAR3 <= 16'h0000; 10'h1ff: VAR3 <= 16'h0000; 10'h200: VAR3 <= 16'h070e; 10'h201: VAR3 <= 16'h0807; 10'h202: VAR3 <= 16'h0000; 10'h203: VAR3 <= 16'h0000; 10'h204: VAR3 <= 16'h0000; 10'h205: VAR3 <= 16'h0000; 10'h206: VAR3 <= 16'h0000; 10'h207: VAR3 <= 16'h0000; 10'h208: VAR3 <= 16'h0000; 10'h209: VAR3 <= 16'h0000; 10'h20a: VAR3 <= 16'h0000; 10'h20b: VAR3 <= 16'h0000; 10'h20c: VAR3 <= 16'h0000; 10'h20d: VAR3 <= 16'h0000; 10'h20e: VAR3 <= 16'h0000; 10'h20f: VAR3 <= 16'h0000; 10'h210: VAR3 <= 16'h0000; 10'h211: VAR3 <= 16'h0000; 10'h212: VAR3 <= 16'h0000; 10'h213: VAR3 <= 16'h0000; 10'h214: VAR3 <= 16'h0000; 10'h215: VAR3 <= 16'h0000; 10'h216: VAR3 <= 16'h0000; 10'h217: VAR3 <= 16'h0000; 10'h218: VAR3 <= 16'h0000; 10'h219: VAR3 <= 16'h0000; 10'h21a: VAR3 <= 16'h0000; 10'h21b: VAR3 <= 16'h0000; 10'h21c: VAR3 <= 16'h0000; 10'h21d: VAR3 <= 16'h0000; 10'h21e: VAR3 <= 16'h0000; 10'h21f: VAR3 <= 16'h0000; 10'h220: VAR3 <= 16'h0000; 10'h221: VAR3 <= 16'h0000; 10'h222: VAR3 <= 16'h0000; 10'h223: VAR3 <= 16'h0000; 10'h224: VAR3 <= 16'h0000; 10'h225: VAR3 <= 16'h0000; 10'h226: VAR3 <= 16'h0000; 10'h227: VAR3 <= 16'h0000; 10'h228: VAR3 <= 16'h0000; 10'h229: VAR3 <= 16'h0000; 10'h22a: VAR3 <= 16'h0000; 10'h22b: VAR3 <= 16'h0000; 10'h22c: VAR3 <= 16'h0000; 10'h22d: VAR3 <= 16'h0000; 10'h22e: VAR3 <= 16'h0000; 10'h22f: VAR3 <= 16'h0000; 10'h230: VAR3 <= 16'h0000; 10'h231: VAR3 <= 16'h0000; 10'h232: VAR3 <= 16'h0000; 10'h233: VAR3 <= 16'h0000; 10'h234: VAR3 <= 16'h0000; 10'h235: VAR3 <= 16'h0000; 10'h236: VAR3 <= 16'h0000; 10'h237: VAR3 <= 16'h0000; 10'h238: VAR3 <= 16'h0000; 10'h239: VAR3 <= 16'h0000; 10'h23a: VAR3 <= 16'h0000; 10'h23b: VAR3 <= 16'h0000; 10'h23c: VAR3 <= 16'h0000; 10'h23d: VAR3 <= 16'h0000; 10'h23e: VAR3 <= 16'h0000; 10'h23f: VAR3 <= 16'h0000; 10'h240: VAR3 <= 16'h0000; 10'h241: VAR3 <= 16'h0000; 10'h242: VAR3 <= 16'h0000; 10'h243: VAR3 <= 16'h0000; 10'h244: VAR3 <= 16'h0000; 10'h245: VAR3 <= 16'h0000; 10'h246: VAR3 <= 16'h0000; 10'h247: VAR3 <= 16'h0000; 10'h248: VAR3 <= 16'h0000; 10'h249: VAR3 <= 16'h0000; 10'h24a: VAR3 <= 16'h0000; 10'h24b: VAR3 <= 16'h0000; 10'h24c: VAR3 <= 16'h0000; 10'h24d: VAR3 <= 16'h0000; 10'h24e: VAR3 <= 16'h0000; 10'h24f: VAR3 <= 16'h0000; 10'h250: VAR3 <= 16'h0000; 10'h251: VAR3 <= 16'h0000; 10'h252: VAR3 <= 16'h0000; 10'h253: VAR3 <= 16'h0000; 10'h254: VAR3 <= 16'h0000; 10'h255: VAR3 <= 16'h0000; 10'h256: VAR3 <= 16'h0000; 10'h257: VAR3 <= 16'h0000; 10'h258: VAR3 <= 16'h0000; 10'h259: VAR3 <= 16'h0000; 10'h25a: VAR3 <= 16'h0000; 10'h25b: VAR3 <= 16'h0000; 10'h25c: VAR3 <= 16'h0000; 10'h25d: VAR3 <= 16'h0000; 10'h25e: VAR3 <= 16'h0000; 10'h25f: VAR3 <= 16'h0000; 10'h260: VAR3 <= 16'h0000; 10'h261: VAR3 <= 16'h0000; 10'h262: VAR3 <= 16'h0000; 10'h263: VAR3 <= 16'h0000; 10'h264: VAR3 <= 16'h0000; 10'h265: VAR3 <= 16'h0000; 10'h266: VAR3 <= 16'h0000; 10'h267: VAR3 <= 16'h0000; 10'h268: VAR3 <= 16'h0000; 10'h269: VAR3 <= 16'h0000; 10'h26a: VAR3 <= 16'h0000; 10'h26b: VAR3 <= 16'h0000; 10'h26c: VAR3 <= 16'h0000; 10'h26d: VAR3 <= 16'h0000; 10'h26e: VAR3 <= 16'h0000; 10'h26f: VAR3 <= 16'h0000; 10'h270: VAR3 <= 16'h0000; 10'h271: VAR3 <= 16'h0000; 10'h272: VAR3 <= 16'h0000; 10'h273: VAR3 <= 16'h0000; 10'h274: VAR3 <= 16'h0000; 10'h275: VAR3 <= 16'h0000; 10'h276: VAR3 <= 16'h0000; 10'h277: VAR3 <= 16'h0000; 10'h278: VAR3 <= 16'h0000; 10'h279: VAR3 <= 16'h0000; 10'h27a: VAR3 <= 16'h0000; 10'h27b: VAR3 <= 16'h0000; 10'h27c: VAR3 <= 16'h0000; 10'h27d: VAR3 <= 16'h0000; 10'h27e: VAR3 <= 16'h0000; 10'h27f: VAR3 <= 16'h0000; 10'h280: VAR3 <= 16'h0d0a; 10'h281: VAR3 <= 16'h0d0a; 10'h282: VAR3 <= 16'h2a2a; 10'h283: VAR3 <= 16'h2a20; 10'h284: VAR3 <= 16'h4145; 10'h285: VAR3 <= 16'h2044; 10'h286: VAR3 <= 16'h6562; 10'h287: VAR3 <= 16'h7567; 10'h288: VAR3 <= 16'h2049; 10'h289: VAR3 <= 16'h4600; 10'h28a: VAR3 <= 16'h0d0a; 10'h28b: VAR3 <= 16'h5472; 10'h28c: VAR3 <= 16'h6967; 10'h28d: VAR3 <= 16'h7661; 10'h28e: VAR3 <= 16'h6c3a; 10'h28f: VAR3 <= 16'h0d0a; 10'h290: VAR3 <= 16'h0000; 10'h291: VAR3 <= 16'h0d0a; 10'h292: VAR3 <= 16'h4d61; 10'h293: VAR3 <= 16'h736b; 10'h294: VAR3 <= 16'h7661; 10'h295: VAR3 <= 16'h6c3a; 10'h296: VAR3 <= 16'h0d0a; 10'h297: VAR3 <= 16'h0000; 10'h298: VAR3 <= 16'h5761; 10'h299: VAR3 <= 16'h6974; 10'h29a: VAR3 <= 16'h696e; 10'h29b: VAR3 <= 16'h6720; 10'h29c: VAR3 <= 16'h666f; 10'h29d: VAR3 <= 16'h7220; 10'h29e: VAR3 <= 16'h7472; 10'h29f: VAR3 <= 16'h6967; 10'h2a0: VAR3 <= 16'h6765; 10'h2a1: VAR3 <= 16'h722e; 10'h2a2: VAR3 <= 16'h2e2e; 10'h2a3: VAR3 <= 16'h0d0a; 10'h2a4: VAR3 <= 16'h0000; 10'h2a5: VAR3 <= 16'h0000; 10'h2a6: VAR3 <= 16'h0000; 10'h2a7: VAR3 <= 16'h0000; 10'h2a8: VAR3 <= 16'h0000; 10'h2a9: VAR3 <= 16'h0000; 10'h2aa: VAR3 <= 16'h0000; 10'h2ab: VAR3 <= 16'h0000; 10'h2ac: VAR3 <= 16'h0000; 10'h2ad: VAR3 <= 16'h0000; 10'h2ae: VAR3 <= 16'h0000; 10'h2af: VAR3 <= 16'h0000; 10'h2b0: VAR3 <= 16'h0000; 10'h2b1: VAR3 <= 16'h0000; 10'h2b2: VAR3 <= 16'h0000; 10'h2b3: VAR3 <= 16'h0000; 10'h2b4: VAR3 <= 16'h0000; 10'h2b5: VAR3 <= 16'h0000; 10'h2b6: VAR3 <= 16'h0000; 10'h2b7: VAR3 <= 16'h0000; 10'h2b8: VAR3 <= 16'h0000; 10'h2b9: VAR3 <= 16'h0000; 10'h2ba: VAR3 <= 16'h0000; 10'h2bb: VAR3 <= 16'h0000; 10'h2bc: VAR3 <= 16'h0000; 10'h2bd: VAR3 <= 16'h0000; 10'h2be: VAR3 <= 16'h0000; 10'h2bf: VAR3 <= 16'h0000; 10'h2c0: VAR3 <= 16'h0000; 10'h2c1: VAR3 <= 16'h0000; 10'h2c2: VAR3 <= 16'h0000; 10'h2c3: VAR3 <= 16'h0000; 10'h2c4: VAR3 <= 16'h0000; 10'h2c5: VAR3 <= 16'h0000; 10'h2c6: VAR3 <= 16'h0000; 10'h2c7: VAR3 <= 16'h0000; 10'h2c8: VAR3 <= 16'h0000; 10'h2c9: VAR3 <= 16'h0000; 10'h2ca: VAR3 <= 16'h0000; 10'h2cb: VAR3 <= 16'h0000; 10'h2cc: VAR3 <= 16'h0000; 10'h2cd: VAR3 <= 16'h0000; 10'h2ce: VAR3 <= 16'h0000; 10'h2cf: VAR3 <= 16'h0000; 10'h2d0: VAR3 <= 16'h0000; 10'h2d1: VAR3 <= 16'h0000; 10'h2d2: VAR3 <= 16'h0000; 10'h2d3: VAR3 <= 16'h0000; 10'h2d4: VAR3 <= 16'h0000; 10'h2d5: VAR3 <= 16'h0000; 10'h2d6: VAR3 <= 16'h0000; 10'h2d7: VAR3 <= 16'h0000; 10'h2d8: VAR3 <= 16'h0000; 10'h2d9: VAR3 <= 16'h0000; 10'h2da: VAR3 <= 16'h0000; 10'h2db: VAR3 <= 16'h0000; 10'h2dc: VAR3 <= 16'h0000; 10'h2dd: VAR3 <= 16'h0000; 10'h2de: VAR3 <= 16'h0000; 10'h2df: VAR3 <= 16'h0000; 10'h2e0: VAR3 <= 16'h0000; 10'h2e1: VAR3 <= 16'h0000; 10'h2e2: VAR3 <= 16'h0000; 10'h2e3: VAR3 <= 16'h0000; 10'h2e4: VAR3 <= 16'h0000; 10'h2e5: VAR3 <= 16'h0000; 10'h2e6: VAR3 <= 16'h0000; 10'h2e7: VAR3 <= 16'h0000; 10'h2e8: VAR3 <= 16'h0000; 10'h2e9: VAR3 <= 16'h0000; 10'h2ea: VAR3 <= 16'h0000; 10'h2eb: VAR3 <= 16'h0000; 10'h2ec: VAR3 <= 16'h0000; 10'h2ed: VAR3 <= 16'h0000; 10'h2ee: VAR3 <= 16'h0000; 10'h2ef: VAR3 <= 16'h0000; 10'h2f0: VAR3 <= 16'h0000; 10'h2f1: VAR3 <= 16'h0000; 10'h2f2: VAR3 <= 16'h0000; 10'h2f3: VAR3 <= 16'h0000; 10'h2f4: VAR3 <= 16'h0000; 10'h2f5: VAR3 <= 16'h0000; 10'h2f6: VAR3 <= 16'h0000; 10'h2f7: VAR3 <= 16'h0000; 10'h2f8: VAR3 <= 16'h0000; 10'h2f9: VAR3 <= 16'h0000; 10'h2fa: VAR3 <= 16'h0000; 10'h2fb: VAR3 <= 16'h0000; 10'h2fc: VAR3 <= 16'h0000; 10'h2fd: VAR3 <= 16'h0000; 10'h2fe: VAR3 <= 16'h0000; 10'h2ff: VAR3 <= 16'h0000; 10'h300: VAR3 <= 16'h0d0a; 10'h301: VAR3 <= 16'h4145; 10'h302: VAR3 <= 16'h0000; 10'h303: VAR3 <= 16'h0000; 10'h304: VAR3 <= 16'h0000; 10'h305: VAR3 <= 16'h0000; 10'h306: VAR3 <= 16'h0000; 10'h307: VAR3 <= 16'h0000; 10'h308: VAR3 <= 16'h0000; 10'h309: VAR3 <= 16'h0000; 10'h30a: VAR3 <= 16'h0000; 10'h30b: VAR3 <= 16'h0000; 10'h30c: VAR3 <= 16'h0000; 10'h30d: VAR3 <= 16'h0000; 10'h30e: VAR3 <= 16'h0000; 10'h30f: VAR3 <= 16'h0000; 10'h310: VAR3 <= 16'h0000; 10'h311: VAR3 <= 16'h0000; 10'h312: VAR3 <= 16'h0000; 10'h313: VAR3 <= 16'h0000; 10'h314: VAR3 <= 16'h0000; 10'h315: VAR3 <= 16'h0000; 10'h316: VAR3 <= 16'h0000; 10'h317: VAR3 <= 16'h0000; 10'h318: VAR3 <= 16'h0000; 10'h319: VAR3 <= 16'h0000; 10'h31a: VAR3 <= 16'h0000; 10'h31b: VAR3 <= 16'h0000; 10'h31c: VAR3 <= 16'h0000; 10'h31d: VAR3 <= 16'h0000; 10'h31e: VAR3 <= 16'h0000; 10'h31f: VAR3 <= 16'h0000; 10'h320: VAR3 <= 16'h0000; 10'h321: VAR3 <= 16'h0000; 10'h322: VAR3 <= 16'h0000; 10'h323: VAR3 <= 16'h0000; 10'h324: VAR3 <= 16'h0000; 10'h325: VAR3 <= 16'h0000; 10'h326: VAR3 <= 16'h0000; 10'h327: VAR3 <= 16'h0000; 10'h328: VAR3 <= 16'h0000; 10'h329: VAR3 <= 16'h0000; 10'h32a: VAR3 <= 16'h0000; 10'h32b: VAR3 <= 16'h0000; 10'h32c: VAR3 <= 16'h0000; 10'h32d: VAR3 <= 16'h0000; 10'h32e: VAR3 <= 16'h0000; 10'h32f: VAR3 <= 16'h0000; 10'h330: VAR3 <= 16'h0000; 10'h331: VAR3 <= 16'h0000; 10'h332: VAR3 <= 16'h0000; 10'h333: VAR3 <= 16'h0000; 10'h334: VAR3 <= 16'h0000; 10'h335: VAR3 <= 16'h0000; 10'h336: VAR3 <= 16'h0000; 10'h337: VAR3 <= 16'h0000; 10'h338: VAR3 <= 16'h0000; 10'h339: VAR3 <= 16'h0000; 10'h33a: VAR3 <= 16'h0000; 10'h33b: VAR3 <= 16'h0000; 10'h33c: VAR3 <= 16'h0000; 10'h33d: VAR3 <= 16'h0000; 10'h33e: VAR3 <= 16'h0000; 10'h33f: VAR3 <= 16'h0000; 10'h340: VAR3 <= 16'h0000; 10'h341: VAR3 <= 16'h0000; 10'h342: VAR3 <= 16'h0000; 10'h343: VAR3 <= 16'h0000; 10'h344: VAR3 <= 16'h0000; 10'h345: VAR3 <= 16'h0000; 10'h346: VAR3 <= 16'h0000; 10'h347: VAR3 <= 16'h0000; 10'h348: VAR3 <= 16'h0000; 10'h349: VAR3 <= 16'h0000; 10'h34a: VAR3 <= 16'h0000; 10'h34b: VAR3 <= 16'h0000; 10'h34c: VAR3 <= 16'h0000; 10'h34d: VAR3 <= 16'h0000; 10'h34e: VAR3 <= 16'h0000; 10'h34f: VAR3 <= 16'h0000; 10'h350: VAR3 <= 16'h0000; 10'h351: VAR3 <= 16'h0000; 10'h352: VAR3 <= 16'h0000; 10'h353: VAR3 <= 16'h0000; 10'h354: VAR3 <= 16'h0000; 10'h355: VAR3 <= 16'h0000; 10'h356: VAR3 <= 16'h0000; 10'h357: VAR3 <= 16'h0000; 10'h358: VAR3 <= 16'h0000; 10'h359: VAR3 <= 16'h0000; 10'h35a: VAR3 <= 16'h0000; 10'h35b: VAR3 <= 16'h0000; 10'h35c: VAR3 <= 16'h0000; 10'h35d: VAR3 <= 16'h0000; 10'h35e: VAR3 <= 16'h0000; 10'h35f: VAR3 <= 16'h0000; 10'h360: VAR3 <= 16'h0000; 10'h361: VAR3 <= 16'h0000; 10'h362: VAR3 <= 16'h0000; 10'h363: VAR3 <= 16'h0000; 10'h364: VAR3 <= 16'h0000; 10'h365: VAR3 <= 16'h0000; 10'h366: VAR3 <= 16'h0000; 10'h367: VAR3 <= 16'h0000; 10'h368: VAR3 <= 16'h0000; 10'h369: VAR3 <= 16'h0000; 10'h36a: VAR3 <= 16'h0000; 10'h36b: VAR3 <= 16'h0000; 10'h36c: VAR3 <= 16'h0000; 10'h36d: VAR3 <= 16'h0000; 10'h36e: VAR3 <= 16'h0000; 10'h36f: VAR3 <= 16'h0000; 10'h370: VAR3 <= 16'h0000; 10'h371: VAR3 <= 16'h0000; 10'h372: VAR3 <= 16'h0000; 10'h373: VAR3 <= 16'h0000; 10'h374: VAR3 <= 16'h0000; 10'h375: VAR3 <= 16'h0000; 10'h376: VAR3 <= 16'h0000; 10'h377: VAR3 <= 16'h0000; 10'h378: VAR3 <= 16'h0000; 10'h379: VAR3 <= 16'h0000; 10'h37a: VAR3 <= 16'h0000; 10'h37b: VAR3 <= 16'h0000; 10'h37c: VAR3 <= 16'h0000; 10'h37d: VAR3 <= 16'h0000; 10'h37e: VAR3 <= 16'h0000; 10'h37f: VAR3 <= 16'h0000; 10'h380: VAR3 <= 16'h0000; 10'h381: VAR3 <= 16'h0000; 10'h382: VAR3 <= 16'h0000; 10'h383: VAR3 <= 16'h0000; 10'h384: VAR3 <= 16'h0000; 10'h385: VAR3 <= 16'h0000; 10'h386: VAR3 <= 16'h0000; 10'h387: VAR3 <= 16'h0000; 10'h388: VAR3 <= 16'h0000; 10'h389: VAR3 <= 16'h0000; 10'h38a: VAR3 <= 16'h0000; 10'h38b: VAR3 <= 16'h0000; 10'h38c: VAR3 <= 16'h0000; 10'h38d: VAR3 <= 16'h0000; 10'h38e: VAR3 <= 16'h0000; 10'h38f: VAR3 <= 16'h0000; 10'h390: VAR3 <= 16'h0000; 10'h391: VAR3 <= 16'h0000; 10'h392: VAR3 <= 16'h0000; 10'h393: VAR3 <= 16'h0000; 10'h394: VAR3 <= 16'h0000; 10'h395: VAR3 <= 16'h0000; 10'h396: VAR3 <= 16'h0000; 10'h397: VAR3 <= 16'h0000; 10'h398: VAR3 <= 16'h0000; 10'h399: VAR3 <= 16'h0000; 10'h39a: VAR3 <= 16'h0000; 10'h39b: VAR3 <= 16'h0000; 10'h39c: VAR3 <= 16'h0000; 10'h39d: VAR3 <= 16'h0000; 10'h39e: VAR3 <= 16'h0000; 10'h39f: VAR3 <= 16'h0000; 10'h3a0: VAR3 <= 16'h0000; 10'h3a1: VAR3 <= 16'h0000; 10'h3a2: VAR3 <= 16'h0000; 10'h3a3: VAR3 <= 16'h0000; 10'h3a4: VAR3 <= 16'h0000; 10'h3a5: VAR3 <= 16'h0000; 10'h3a6: VAR3 <= 16'h0000; 10'h3a7: VAR3 <= 16'h0000; 10'h3a8: VAR3 <= 16'h0000; 10'h3a9: VAR3 <= 16'h0000; 10'h3aa: VAR3 <= 16'h0000; 10'h3ab: VAR3 <= 16'h0000; 10'h3ac: VAR3 <= 16'h0000; 10'h3ad: VAR3 <= 16'h0000; 10'h3ae: VAR3 <= 16'h0000; 10'h3af: VAR3 <= 16'h0000; 10'h3b0: VAR3 <= 16'h0000; 10'h3b1: VAR3 <= 16'h0000; 10'h3b2: VAR3 <= 16'h0000; 10'h3b3: VAR3 <= 16'h0000; 10'h3b4: VAR3 <= 16'h0000; 10'h3b5: VAR3 <= 16'h0000; 10'h3b6: VAR3 <= 16'h0000; 10'h3b7: VAR3 <= 16'h0000; 10'h3b8: VAR3 <= 16'h0000; 10'h3b9: VAR3 <= 16'h0000; 10'h3ba: VAR3 <= 16'h0000; 10'h3bb: VAR3 <= 16'h0000; 10'h3bc: VAR3 <= 16'h0000; 10'h3bd: VAR3 <= 16'h0000; 10'h3be: VAR3 <= 16'h0000; 10'h3bf: VAR3 <= 16'h0000; 10'h3c0: VAR3 <= 16'h0000; 10'h3c1: VAR3 <= 16'h0000; 10'h3c2: VAR3 <= 16'h0000; 10'h3c3: VAR3 <= 16'h0000; 10'h3c4: VAR3 <= 16'h0000; 10'h3c5: VAR3 <= 16'h0000; 10'h3c6: VAR3 <= 16'h0000; 10'h3c7: VAR3 <= 16'h0000; 10'h3c8: VAR3 <= 16'h0000; 10'h3c9: VAR3 <= 16'h0000; 10'h3ca: VAR3 <= 16'h0000; 10'h3cb: VAR3 <= 16'h0000; 10'h3cc: VAR3 <= 16'h0000; 10'h3cd: VAR3 <= 16'h0000; 10'h3ce: VAR3 <= 16'h0000; 10'h3cf: VAR3 <= 16'h0000; 10'h3d0: VAR3 <= 16'h0000; 10'h3d1: VAR3 <= 16'h0000; 10'h3d2: VAR3 <= 16'h0000; 10'h3d3: VAR3 <= 16'h0000; 10'h3d4: VAR3 <= 16'h0000; 10'h3d5: VAR3 <= 16'h0000; 10'h3d6: VAR3 <= 16'h0000; 10'h3d7: VAR3 <= 16'h0000; 10'h3d8: VAR3 <= 16'h0000; 10'h3d9: VAR3 <= 16'h0000; 10'h3da: VAR3 <= 16'h0000; 10'h3db: VAR3 <= 16'h0000; 10'h3dc: VAR3 <= 16'h0000; 10'h3dd: VAR3 <= 16'h0000; 10'h3de: VAR3 <= 16'h0000; 10'h3df: VAR3 <= 16'h0000; 10'h3e0: VAR3 <= 16'h0000; 10'h3e1: VAR3 <= 16'h0000; 10'h3e2: VAR3 <= 16'h0000; 10'h3e3: VAR3 <= 16'h0000; 10'h3e4: VAR3 <= 16'h0000; 10'h3e5: VAR3 <= 16'h0000; 10'h3e6: VAR3 <= 16'h0000; 10'h3e7: VAR3 <= 16'h0000; 10'h3e8: VAR3 <= 16'h0000; 10'h3e9: VAR3 <= 16'h0000; 10'h3ea: VAR3 <= 16'h0000; 10'h3eb: VAR3 <= 16'h0000; 10'h3ec: VAR3 <= 16'h0000; 10'h3ed: VAR3 <= 16'h0000; 10'h3ee: VAR3 <= 16'h0000; 10'h3ef: VAR3 <= 16'h0000; 10'h3f0: VAR3 <= 16'h0000; 10'h3f1: VAR3 <= 16'h0000; 10'h3f2: VAR3 <= 16'h0000; 10'h3f3: VAR3 <= 16'h0000; 10'h3f4: VAR3 <= 16'h0000; 10'h3f5: VAR3 <= 16'h0000; 10'h3f6: VAR3 <= 16'h0000; 10'h3f7: VAR3 <= 16'h0000; 10'h3f8: VAR3 <= 16'h0000; 10'h3f9: VAR3 <= 16'h0000; 10'h3fa: VAR3 <= 16'h0000; 10'h3fb: VAR3 <= 16'h0000; 10'h3fc: VAR3 <= 16'h0000; 10'h3fd: VAR3 <= 16'h0000; 10'h3fe: VAR3 <= 16'h0000; 10'h3ff: VAR3 <= 16'hab54; default: VAR3 <= 16'h0000; endcase endmodule
gpl-2.0
Obijuan/open-fpga-verilog-tutorial
tutorial/Alhambra_II/T30-microbio/microbio.v
5,415
module MODULE1 (input wire clk, input wire VAR35, output wire [3:0] VAR13, output wire VAR32); parameter VAR26 = VAR1; parameter VAR33 = "VAR30.VAR9"; localparam VAR21 = 6; localparam VAR22 = 8; localparam VAR6 = 2'b00; localparam VAR19 = 2'b01; localparam VAR10 = 2'b10; localparam VAR34 = 2'b11; wire [VAR22-1: 0] VAR5; wire [VAR21-1: 0] addr; VAR25 .VAR21(VAR21), .VAR22(VAR22)) VAR14 ( .clk(clk), .addr(addr), .VAR5(VAR5) ); reg VAR3 = 0; always @(posedge clk) VAR3 <= VAR35; reg VAR27 = 0; reg VAR36 = 0; reg VAR17 = 0; reg VAR2 = 0; reg VAR20 = 0; reg [VAR21-1: 0] VAR31; always @(posedge clk) if (!VAR3) VAR31 <= 0; else if (VAR36) VAR31 <= VAR7; else if (VAR27) VAR31 <= VAR31 + 1; assign addr = VAR31; reg [VAR22-1: 0] VAR37; wire [1:0] VAR29 = VAR37[7:6]; wire [5:0] VAR7 = VAR37[5:0]; always @(posedge clk) if (!VAR3) VAR37 <= 0; else if (VAR17) VAR37 <= VAR5; reg VAR16; always @(posedge clk) if (!VAR3) VAR16 <= 0; else if (VAR2) VAR16 <= 1; reg [3:0] VAR11; always @(posedge clk) if (!VAR3) VAR11 <= 0; else if (VAR20) VAR11 <= VAR7[3:0]; assign VAR13 = VAR11; assign VAR32 = VAR16; localparam VAR4 = 0; localparam VAR18 = 1; localparam VAR12 = 2; reg [1:0] state; reg [1:0] VAR24; wire VAR28; always @(posedge clk) if (!VAR3) state <= VAR4; else state <= VAR24; always @(*) begin VAR24 = state; VAR27 = 0; VAR36 = 0; VAR17 = 0; VAR2 = 0; VAR20 = 0; case (state) VAR4: VAR24 = VAR18; VAR18: begin VAR27 = 1; VAR17 = 1; VAR24 = VAR12; end VAR12: begin VAR24 = VAR18; case (VAR29) VAR19: begin VAR2 = 1; VAR24 = VAR12; end VAR6: begin if (VAR28) VAR24 = VAR18; end else VAR24 = VAR12; end VAR10: VAR20 = 1; VAR34: begin VAR36 = 1; VAR24 = VAR4; end endcase end endcase end VAR23 #(VAR26) VAR8 ( .clk(clk), .VAR15(VAR28) ); endmodule
gpl-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_120.v
1,435
module MODULE1 ( VAR7, VAR1 ); input [31:0] VAR7; output [31:0] VAR1; wire [31:0] VAR4, VAR10, VAR9, VAR8, VAR5, VAR3, VAR6; assign VAR4 = VAR7; assign VAR5 = VAR8 - VAR9; assign VAR8 = VAR9 << 6; assign VAR9 = VAR10 - VAR4; assign VAR6 = VAR3 - VAR5; assign VAR3 = VAR4 << 15; assign VAR10 = VAR4 << 2; assign VAR1 = VAR6; endmodule module MODULE2( VAR7, VAR1, clk ); input [31:0] VAR7; output [31:0] VAR1; reg [31:0] VAR1; input clk; reg [31:0] VAR12; wire [30:0] VAR11; always @(posedge clk) begin VAR12 <= VAR7; VAR1 <= VAR11; end MODULE1 MODULE1( .VAR7(VAR12), .VAR1(VAR11) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a211o/sky130_fd_sc_lp__a211o.pp.blackbox.v
1,389
module MODULE1 ( VAR3 , VAR4 , VAR7 , VAR6 , VAR9 , VAR5, VAR8, VAR1 , VAR2 ); output VAR3 ; input VAR4 ; input VAR7 ; input VAR6 ; input VAR9 ; input VAR5; input VAR8; input VAR1 ; input VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlygate4sd1/sky130_fd_sc_hd__dlygate4sd1_1.v
2,119
module MODULE2 ( VAR6 , VAR2 , VAR5, VAR8, VAR3 , VAR4 ); output VAR6 ; input VAR2 ; input VAR5; input VAR8; input VAR3 ; input VAR4 ; VAR1 VAR7 ( .VAR6(VAR6), .VAR2(VAR2), .VAR5(VAR5), .VAR8(VAR8), .VAR3(VAR3), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR6, VAR2 ); output VAR6; input VAR2; supply1 VAR5; supply0 VAR8; supply1 VAR3 ; supply0 VAR4 ; VAR1 VAR7 ( .VAR6(VAR6), .VAR2(VAR2) ); endmodule
apache-2.0
marqs85/de2-vd
rtl/scanconverter.v
20,588
module MODULE1 ( input [7:0] VAR16, input [7:0] VAR98, input [7:0] VAR160, input VAR34, input VAR61, input VAR40, input VAR90, input [31:0] VAR32, input [31:0] VAR97, output reg [7:0] VAR153, output reg [7:0] VAR151, output reg [7:0] VAR96, output reg VAR25, output reg VAR41, output VAR125, output reg VAR133, output [1:0] VAR145, output VAR74, output reg [1:0] VAR72, output [2:0] VAR144, output [2:0] VAR101, output [10:0] VAR64 ); wire VAR71, VAR131, VAR150, VAR68, VAR37, VAR66, VAR132; wire VAR7, VAR73, VAR21, VAR112, VAR80, VAR5; wire VAR9; wire VAR48; wire [1:0] VAR87; wire VAR111, VAR2, VAR138; wire VAR134, VAR49; reg VAR143, VAR8, VAR100, VAR27, VAR105; reg VAR15, VAR113, VAR122; reg [11:0] VAR10; wire VAR95; reg VAR108; reg VAR18; wire [11:0] VAR84; wire [11:0] VAR124; reg [11:0] VAR44, VAR79, VAR156, VAR139, VAR36, VAR127, VAR140; wire [10:0] VAR120; reg [10:0] VAR91, VAR63, VAR141, VAR146, VAR99; reg [9:0] VAR69, VAR115, VAR3, VAR135; reg VAR31, VAR20, VAR166; reg [1:0] VAR35; reg [1:0] VAR59; reg VAR38, VAR106, VAR109; reg [1:0] VAR30, VAR136; reg [3:0] VAR56; reg VAR17, VAR78; reg VAR94, VAR53; reg VAR137, VAR162, VAR57, VAR67; reg VAR89, VAR159; reg [11:0] VAR24[0:1]; reg VAR110; reg [23:0] VAR60, VAR70, VAR39, VAR23; reg [10:0] VAR119; reg [7:0] VAR83; reg [10:0] VAR52; reg [5:0] VAR82; reg VAR129; reg VAR147; reg VAR163; reg VAR54; reg [7:0] VAR75; reg [5:0] VAR121; reg [1:0] VAR123; reg [1:0] VAR165; reg [5:0] VAR149; reg [7:0] VAR155, VAR50, VAR77, VAR4, VAR104, VAR1; wire [7:0] VAR42, VAR102, VAR157; wire [7:0] VAR164, VAR116, VAR128; assign VAR71 = VAR90; assign VAR144 = {VAR111, VAR2, VAR138}; assign VAR7 = VAR90; assign VAR73 = VAR131; assign VAR21 = VAR150; assign VAR112 = VAR68; assign VAR80 = VAR66; assign VAR5 = VAR132; assign VAR145[1] = ~VAR34; assign VAR145[0] = VAR34; function [8:0] VAR93; input enable; input VAR148; input [8:0] VAR81; input [8:0] VAR86; input [1:0] VAR22; input [1:0] VAR14; input VAR13; begin if (enable & (VAR148 == 1'b0) & (VAR22 == VAR14)) VAR93 = (VAR81 > VAR86) ? (VAR81-VAR86) : 8'h00; end else if (enable & (VAR148 == 1'b1) & (VAR22 == VAR13)) VAR93 = (VAR81 > VAR86) ? (VAR81-VAR86) : 8'h00; else VAR93 = VAR81; end endfunction function [8:0] VAR167; input enable; input [8:0] VAR81; input [11:0] VAR45; input [11:0] VAR43; input [11:0] VAR168; input [10:0] VAR103; input [10:0] VAR55; input [10:0] VAR65; begin if (enable & ((VAR45 < VAR43) | (VAR45 >= VAR168) | (VAR103 < VAR55) | (VAR103 >= VAR65))) VAR167 = 8'h00; end else VAR167 = VAR81; end endfunction always @(*) begin case (VAR123) VAR164 = VAR155; VAR116 = VAR50; VAR128 = VAR77; VAR95 = (VAR17 & VAR78); VAR125 = VAR7; VAR134 = VAR143; VAR49 = VAR15; VAR64 = VAR146; VAR9 = 0; VAR84 = 0; VAR48 = VAR71; VAR87 = {1'b0, VAR91[0]}; VAR124 = VAR44; VAR120 = VAR91; end VAR164 = VAR42; VAR116 = VAR102; VAR128 = VAR157; VAR95 = (VAR94 & VAR53); VAR125 = VAR73; VAR134 = VAR8; VAR49 = VAR113; VAR64 = VAR99; VAR9 = VAR131; VAR84 = VAR79; VAR48 = VAR131; VAR87 = {1'b0, VAR63[0]}; VAR124 = VAR79; VAR120 = VAR63>>1; end VAR164 = VAR42; VAR116 = VAR102; VAR128 = VAR157; VAR49 = VAR15; case (VAR165) VAR95 = (VAR137 & VAR57); VAR125 = VAR21; VAR134 = VAR100; VAR64 = {1'b0, VAR3}; VAR9 = VAR150; VAR84 = VAR156; VAR48 = VAR150; VAR124 = VAR156; VAR120 = VAR69/2'h3; VAR87 = (VAR69 % 2'h3); end VAR95 = (VAR137 & VAR57); VAR125 = VAR112; VAR134 = VAR100; VAR64 = {1'b0, VAR3}; VAR9 = VAR68; VAR84 = VAR139; VAR48 = VAR68; VAR124 = VAR139; VAR120 = VAR69/2'h3; VAR87 = (VAR69 % 2'h3); end VAR95 = (VAR162 & VAR67); VAR125 = VAR80; VAR134 = VAR27; VAR64 = {1'b0, VAR135}; VAR9 = VAR66; VAR84 = VAR127; VAR48 = VAR66; VAR124 = VAR127; VAR120 = VAR115/2'h3; VAR87 = (VAR115 % 2'h3); end VAR95 = (VAR162 & VAR67); VAR125 = VAR5; VAR134 = VAR27; VAR64 = {1'b0, VAR135}; VAR9 = VAR132; VAR84 = VAR140; VAR48 = VAR132; VAR124 = VAR140; VAR120 = VAR115/2'h3; VAR87 = (VAR115 % 2'h3); end endcase end default: begin VAR164 = 0; VAR116 = 0; VAR128 = 0; VAR95 = 0; VAR125 = 0; VAR134 = 0; VAR49 = VAR15; VAR64 = 0; VAR9 = 0; VAR84 = 0; VAR48 = 0; VAR87 = 0; VAR124 = 0; VAR120 = 0; end endcase end VAR85 VAR107 ( .VAR142 ( (VAR123 != VAR114) ), .VAR117 ( VAR90 ), .VAR58 ( VAR131 ), .VAR88 ( VAR111 ) ); VAR76 VAR6 ( .VAR142 ( ((VAR123 != VAR62) | VAR165[1]) ), .VAR117 ( VAR90 ), .VAR58 ( VAR150 ), .VAR51 ( VAR68 ), .VAR88 ( VAR2 ) ); VAR12 VAR161 ( .VAR142 ( (VAR123 != VAR62) | ~VAR165[1]), .VAR117 ( VAR90 ), .VAR58 ( VAR37 ), .VAR51 ( VAR66 ), .VAR26 ( VAR132 ), .VAR88 ( VAR138 ) ); VAR152 VAR29 ( .VAR81 ( {VAR155, VAR50, VAR77} ), .VAR158 ( VAR84 + (~VAR110 << 11) ), .VAR154 ( VAR9 ), .VAR11 ( VAR44 + (VAR110 << 11) ), .VAR118 ( VAR71 ), .VAR19 ( 1'b1 ), .VAR28 ( {VAR42, VAR102, VAR157} ) ); always @(posedge VAR48 ) begin begin VAR4 <= VAR167(1, VAR164, VAR124, VAR83+VAR149, VAR83+VAR119-VAR149, VAR120, VAR82+VAR121, VAR82+VAR52-VAR121); VAR104 <= VAR167(1, VAR116, VAR124, VAR83+VAR149, VAR83+VAR119-VAR149, VAR120, VAR82+VAR121, VAR82+VAR52-VAR121); VAR1 <= VAR167(1, VAR128, VAR124, VAR83+VAR149, VAR83+VAR119-VAR149, VAR120, VAR82+VAR121, VAR82+VAR52-VAR121); VAR105 <= VAR134; VAR122 <= VAR49; VAR108 <= VAR95; VAR153 <= VAR93(VAR147, VAR163, VAR4, VAR75, {1'b0, VAR54}, VAR87, VAR124[0]); VAR151 <= VAR93(VAR147, VAR163, VAR104, VAR75, {1'b0, VAR54}, VAR87, VAR124[0]); VAR96 <= VAR93(VAR147, VAR163, VAR1, VAR75, {1'b0, VAR54}, VAR87, VAR124[0]); VAR25 <= VAR105; VAR41 <= VAR122; VAR133 <= VAR108; end end always @(posedge VAR71 ) begin begin if (VAR24[0] != VAR24[1]) VAR60 <= 1; end else if (VAR60 != 0) VAR60 <= VAR60 + 1'b1; if ((VAR123 == VAR114) & ~VAR111) VAR70 <= 1; end else if (VAR70 != 0) VAR70 <= VAR70 + 1'b1; if ((VAR123 == VAR62) & ~VAR165[1] & ~VAR2) VAR39 <= 1; else if (VAR39 != 0) VAR39 <= VAR39 + 1'b1; if ((VAR123 == VAR62) & VAR165[1] & ~VAR138) VAR23 <= 1; else if (VAR23 != 0) VAR23 <= VAR23 + 1'b1; end end assign VAR74 = (VAR60 != 0); assign VAR101 = {(VAR70 != 0), (VAR39 != 0), (VAR23 != 0)}; always @(posedge VAR71 ) begin begin if ((VAR89 == 1'b0) & (VAR40 == 1'b1)) begin VAR44 <= 0; VAR24[VAR110] <= VAR44; VAR110 <= VAR110 ^ 1'b1; VAR91 <= VAR91 + 1'b1; VAR141 <= VAR141 + 1'b1; end else begin VAR44 <= VAR44 + 1'b1; end if ((VAR159 == VAR46) & (VAR61 == VAR130)) begin VAR141 <= 0; VAR18 <= VAR34; if (VAR34 == VAR18) VAR72[VAR92] <= 1'b0; end else if (VAR34 == VAR47) VAR72[VAR92] <= (VAR141 < 200) ? 1'b1 : 1'b0; end if ((VAR159 == 1'b0) & (VAR61 == 1'b1) & (VAR129 ? (VAR34 == 1'b0) : 1'b1)) begin VAR91 <= 0; VAR146 <= VAR91; VAR119 <= VAR32[26:16]; VAR83 <= VAR32[7:0]; VAR123 <= VAR32[31:30]; VAR165 <= VAR32[29:28]; VAR149 <= {VAR32[11:8], 2'b00}; VAR52 <= VAR97[23:13]; VAR82 <= VAR97[5:0]; VAR129 <= VAR97[31]; VAR147 <= VAR97[29]; VAR163 <= VAR97[28]; VAR54 <= VAR97[27]; VAR75 <= ((VAR97[26:24]+8'h01)<<5)-1'b1; VAR121 <= {VAR97[9:6], 2'b00}; end VAR89 <= VAR40; VAR159 <= VAR61; if ((VAR89 == 1'b1) & (VAR40 == 1'b0)) VAR10 <= VAR44; VAR155 <= VAR16; VAR50 <= VAR98; VAR77 <= VAR160; VAR143 <= VAR40; if (VAR91 > VAR52) VAR15 <= VAR61; VAR72[VAR33] <= (VAR146 > ({1'b0, VAR52} << 1)) ? 1'b1 : 1'b0; VAR17 <= ((VAR44 >= VAR83) & (VAR44 < VAR83 + VAR119)); VAR78 <= ((VAR91 >= VAR82) & (VAR91 < VAR82 + VAR52)); end end always @(posedge VAR131 ) begin begin if ((VAR71 == 1'b0) & (VAR89 == 1'b0) & (VAR40 == 1'b1)) VAR79 <= 0; end else if (VAR79 == VAR24[~VAR110]) VAR79 <= 0; end else VAR79 <= VAR79 + 1'b1; if (VAR79 == 0) VAR63 <= VAR63 + 1'b1; if ((VAR71 == 1'b0) & (VAR72[VAR33] == 1'b1)) begin if ((VAR159 == 1'b0) & (VAR61 == 1'b1)) VAR63 <= 0; end else if (VAR63 == VAR146) begin VAR63 <= 0; VAR99 <= VAR63; end end else if ((VAR71 == 1'b0) & (VAR159 == 1'b0) & (VAR61 == 1'b1) & (VAR129 ? (VAR34 == 1'b0) : 1'b1)) begin VAR63 <= 0; VAR99 <= VAR63; end if (VAR71 == 1'b0) begin if (VAR72[VAR33] == 1'b1) VAR113 <= (VAR63 >= VAR146 - VAR126) ? 1'b0 : 1'b1; end else if (VAR91 > VAR52) VAR113 <= VAR61; end VAR8 <= ~(VAR79 >= VAR10); VAR94 <= ((VAR79 >= VAR83) & (VAR79 < VAR83 + VAR119)); VAR53 <= ((VAR63 >= (VAR82<<1)) & (VAR63 < ((VAR82 + VAR52)<<1))); end end always @(posedge VAR150 ) begin begin if ((VAR30 == 0) & (VAR89 == 1'b0) & (VAR40 == 1'b1)) VAR156 <= 0; end else if (VAR156 == VAR24[~VAR110]) VAR156 <= 0; end else VAR156 <= VAR156 + 1'b1; if (VAR156 == 0) VAR69 <= VAR69 + 1'b1; if ((VAR30 == 0) & (VAR159 == 1'b0) & (VAR61 == 1'b1) & (VAR129 ? (VAR34 == 1'b0) : 1'b1)) begin VAR69 <= 0; VAR3 <= VAR69; end VAR100 <= ~(VAR156 >= VAR10); VAR137 <= ((VAR156 >= VAR83) & (VAR156 < VAR83 + VAR119)); VAR57 <= ((VAR69 >= (3*VAR82)) & (VAR69 < (3*(VAR82 + VAR52)))); if (((VAR38 == 1'b1) & (VAR71 == 1'b0)) | (VAR30 == 2'h2)) VAR30 <= 0; else VAR30 <= VAR30 + 1'b1; VAR38 <= VAR71; end end always @(posedge VAR68 ) begin begin if ((VAR137 == 1) & (VAR31 == 0)) VAR139 <= VAR156 - 160; end else VAR139 <= VAR139 + 1'b1; VAR31 <= VAR137; end end always @(posedge VAR37 ) begin begin if ((VAR136 == 0) & (VAR89 == 1'b0) & (VAR40 == 1'b1)) VAR36 <= 0; end else if (VAR36 == VAR24[~VAR110]) VAR36 <= 0; end else VAR36 <= VAR36 + 1'b1; if (VAR36 == 0) VAR115 <= VAR115 + 1'b1; if ((VAR136 == 0) & (VAR159 == 1'b0) & (VAR61 == 1'b1) & (VAR129 ? (VAR34 == 1'b0) : 1'b1)) begin VAR115 <= 0; VAR135 <= VAR115; end VAR27 <= ~(VAR36 >= VAR10); VAR162 <= ((VAR36 >= VAR83) & (VAR36 < VAR83 + VAR119)); VAR67 <= ((VAR115 >= (3*VAR82)) & (VAR115 < (3*(VAR82 + VAR52)))); if (((VAR106 == 1'b1) & (VAR71 == 1'b0)) | (VAR136 == 2'h2)) VAR136 <= 0; else VAR136 <= VAR136 + 1'b1; VAR106 <= VAR71; end end always @(posedge VAR66 ) begin begin if ((VAR162 == 1) & (VAR20 == 0)) VAR127 <= VAR36 - (160/3); end else if (VAR35 == 2'h0) VAR127 <= VAR127 + 1'b1; if ((VAR162 == 1) & (VAR20 == 0)) VAR35 <= 2'h1; end else if (VAR35 == 2'h2) VAR35 <= 2'h0; else VAR35 <= VAR35 + 1'b1; VAR20 <= VAR162; end end always @(posedge VAR132 ) begin begin if ((VAR162 == 1) & (VAR166 == 0)) VAR140 <= VAR36 - (128/4); end else if (VAR59 == 2'h0) VAR140 <= VAR140 + 1'b1; if ((VAR162 == 1) & (VAR166 == 0)) VAR59 <= 2'h2; end else VAR59 <= VAR59 + 1'b1; VAR166 <= VAR162; end end endmodule
gpl-3.0
antmicro/yosys
techlibs/ice40/brams_map.v
8,116
module \VAR2 ( output [15:0] VAR12, input VAR25, VAR50, VAR57, input [10:0] VAR3, input VAR41, VAR44, VAR10, input [10:0] VAR16, input [15:0] VAR43, VAR13 ); parameter [1:0] VAR56 = 0; parameter [1:0] VAR39 = 0; parameter [0:0] VAR53 = 0; parameter [0:0] VAR38 = 0; parameter [255:0] VAR6 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR52 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR51 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR1 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR54 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR55 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR48 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; parameter [255:0] VAR61 = 256'h0000000000000000000000000000000000000000000000000000000000000000; generate case ({VAR53, VAR38}) 2'b00: VAR58 #( .VAR56(VAR56), .VAR39(VAR39), .VAR6(VAR6), .VAR52(VAR52), .VAR34(VAR34), .VAR19(VAR19), .VAR11(VAR11), .VAR31(VAR31), .VAR51(VAR51), .VAR1(VAR1), .VAR54(VAR54), .VAR55(VAR55), .VAR48(VAR48), .VAR23(VAR23), .VAR28(VAR28), .VAR27(VAR27), .VAR29(VAR29), .VAR61(VAR61) ) VAR40 ( .VAR12(VAR12), .VAR25 (VAR25 ), .VAR50(VAR50), .VAR57 (VAR57 ), .VAR3(VAR3), .VAR41 (VAR41 ), .VAR44(VAR44), .VAR10 (VAR10 ), .VAR16(VAR16), .VAR43 (VAR43 ), .VAR13(VAR13) ); 2'b01: VAR5 #( .VAR56(VAR56), .VAR39(VAR39), .VAR6(VAR6), .VAR52(VAR52), .VAR34(VAR34), .VAR19(VAR19), .VAR11(VAR11), .VAR31(VAR31), .VAR51(VAR51), .VAR1(VAR1), .VAR54(VAR54), .VAR55(VAR55), .VAR48(VAR48), .VAR23(VAR23), .VAR28(VAR28), .VAR27(VAR27), .VAR29(VAR29), .VAR61(VAR61) ) VAR40 ( .VAR12(VAR12), .VAR25 (VAR25 ), .VAR50(VAR50), .VAR57 (VAR57 ), .VAR3(VAR3), .VAR9(VAR41 ), .VAR44(VAR44), .VAR10 (VAR10 ), .VAR16(VAR16), .VAR43 (VAR43 ), .VAR13(VAR13) ); 2'b10: VAR18 #( .VAR56(VAR56), .VAR39(VAR39), .VAR6(VAR6), .VAR52(VAR52), .VAR34(VAR34), .VAR19(VAR19), .VAR11(VAR11), .VAR31(VAR31), .VAR51(VAR51), .VAR1(VAR1), .VAR54(VAR54), .VAR55(VAR55), .VAR48(VAR48), .VAR23(VAR23), .VAR28(VAR28), .VAR27(VAR27), .VAR29(VAR29), .VAR61(VAR61) ) VAR40 ( .VAR12(VAR12), .VAR32(VAR25 ), .VAR50(VAR50), .VAR57 (VAR57 ), .VAR3(VAR3), .VAR41 (VAR41 ), .VAR44(VAR44), .VAR10 (VAR10 ), .VAR16(VAR16), .VAR43 (VAR43 ), .VAR13(VAR13) ); 2'b11: VAR36 #( .VAR56(VAR56), .VAR39(VAR39), .VAR6(VAR6), .VAR52(VAR52), .VAR34(VAR34), .VAR19(VAR19), .VAR11(VAR11), .VAR31(VAR31), .VAR51(VAR51), .VAR1(VAR1), .VAR54(VAR54), .VAR55(VAR55), .VAR48(VAR48), .VAR23(VAR23), .VAR28(VAR28), .VAR27(VAR27), .VAR29(VAR29), .VAR61(VAR61) ) VAR40 ( .VAR12(VAR12), .VAR32(VAR25 ), .VAR50(VAR50), .VAR57 (VAR57 ), .VAR3(VAR3), .VAR9(VAR41 ), .VAR44(VAR44), .VAR10 (VAR10 ), .VAR16(VAR16), .VAR43 (VAR43 ), .VAR13(VAR13) ); endcase endgenerate endmodule module \VAR42 (VAR22, VAR15, VAR17, VAR33, VAR30, VAR7, VAR47, VAR8); parameter [0:0] VAR26 = 1; parameter [0:0] VAR24 = 1; parameter [4095:0] VAR60 = 4096'VAR59; input VAR22; input VAR15; input [7:0] VAR17; output [15:0] VAR33; input VAR30; input [7:0] VAR7; input [15:0] VAR47; input [15:0] VAR8; wire [10:0] VAR35 = VAR17; wire [10:0] VAR14 = VAR7; \VAR2 #( .VAR56(0), .VAR39(0), .VAR53(!VAR26), .VAR38(!VAR24), .VAR6(VAR60[ 0*256 +: 256]), .VAR52(VAR60[ 1*256 +: 256]), .VAR34(VAR60[ 2*256 +: 256]), .VAR19(VAR60[ 3*256 +: 256]), .VAR11(VAR60[ 4*256 +: 256]), .VAR31(VAR60[ 5*256 +: 256]), .VAR51(VAR60[ 6*256 +: 256]), .VAR1(VAR60[ 7*256 +: 256]), .VAR54(VAR60[ 8*256 +: 256]), .VAR55(VAR60[ 9*256 +: 256]), .VAR48(VAR60[10*256 +: 256]), .VAR23(VAR60[11*256 +: 256]), .VAR28(VAR60[12*256 +: 256]), .VAR27(VAR60[13*256 +: 256]), .VAR29(VAR60[14*256 +: 256]), .VAR61(VAR60[15*256 +: 256]) ) VAR40 ( .VAR12(VAR33), .VAR3(VAR35), .VAR25(VAR22), .VAR50(VAR30), .VAR57(1'b1), .VAR13(VAR47), .VAR16(VAR14), .VAR43(~VAR8), .VAR41(VAR15), .VAR44(|VAR8), .VAR10(1'b1) ); endmodule module \VAR37 (VAR22, VAR15, VAR17, VAR33, VAR30, VAR7, VAR47, VAR8); parameter VAR4 = 9; parameter VAR45 = 8; parameter [0:0] VAR26 = 1; parameter [0:0] VAR24 = 1; parameter [4095:0] VAR60 = 4096'VAR59; localparam VAR21 = VAR4 == 9 ? 1 : VAR4 == 10 ? 2 : VAR4 == 11 ? 3 : 'VAR59; input VAR22; input VAR15; input [VAR4-1:0] VAR17; output [VAR45-1:0] VAR33; input VAR30; input [VAR4-1:0] VAR7; input [VAR45-1:0] VAR47; input VAR8; wire [10:0] VAR35 = VAR17; wire [10:0] VAR14 = VAR7; wire [15:0] VAR49, VAR46; \VAR2 #( \ .VAR56(VAR21), \ .VAR39(VAR21), \ .VAR53(!VAR26), \ .VAR38(!VAR24), \ .VAR6(VAR6), \ .VAR52(VAR52), \ .VAR34(VAR34), \ .VAR19(VAR19), \ .VAR11(VAR11), \ .VAR31(VAR31), \ .VAR51(VAR51), \ .VAR1(VAR1), \ .VAR54(VAR54), \ .VAR55(VAR55), \ .VAR48(VAR48), \ .VAR23(VAR23), \ .VAR28(VAR28), \ .VAR27(VAR27), \ .VAR29(VAR29), \ .VAR61(VAR61) \ ) VAR40 ( \ .VAR12(VAR49), \ .VAR3(VAR35), \ .VAR25(VAR22), \ .VAR50(VAR30), \ .VAR57(1'b1), \ .VAR13(VAR46), \ .VAR16(VAR14), \ .VAR41(VAR15), \ .VAR44(|VAR8), \ .VAR10(1'b1) \ ); generate if (VAR21 == 1) begin assign VAR33 = {VAR49[14], VAR49[12], VAR49[10], VAR49[ 8], VAR49[ 6], VAR49[ 4], VAR49[ 2], VAR49[ 0]}; assign {VAR46[14], VAR46[12], VAR46[10], VAR46[ 8], VAR46[ 6], VAR46[ 4], VAR46[ 2], VAR46[ 0]} = VAR47; VAR20 end if (VAR21 == 2) begin assign VAR33 = {VAR49[13], VAR49[9], VAR49[5], VAR49[1]}; assign {VAR46[13], VAR46[9], VAR46[5], VAR46[1]} = VAR47; VAR20 end if (VAR21 == 3) begin assign VAR33 = {VAR49[11], VAR49[3]}; assign {VAR46[11], VAR46[3]} = VAR47; VAR20 end endgenerate endmodule
isc
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_3L_023bits.v
1,917
module MODULE2 ( clk, VAR15, VAR8, VAR21, VAR25, VAR2, VAR19, VAR20, VAR22, sum, ); input clk; input [VAR27+0-1:0] VAR15, VAR8, VAR21, VAR25, VAR2, VAR19, VAR20, VAR22; output [VAR27 :0] sum; reg [VAR27 :0] sum; wire [VAR27+3-1:0] VAR28; wire [VAR27+2-1:0] VAR34, VAR10; wire [VAR27+1-1:0] VAR11, VAR3, VAR14, VAR30; reg [VAR27+0-1:0] VAR16, VAR18, VAR9, VAR1, VAR33, VAR32, VAR29, VAR7; MODULE1 VAR23(VAR34, VAR10, VAR28 ); MODULE1 VAR13(VAR11, VAR3, VAR34 ); MODULE1 VAR12(VAR14, VAR30, VAR10 ); MODULE1 VAR31(VAR16, VAR18, VAR11); MODULE1 VAR24(VAR9, VAR1, VAR3); MODULE1 VAR5(VAR33, VAR32, VAR14); MODULE1 VAR6(VAR29, VAR7, VAR30); always @(posedge clk) begin VAR16 <= VAR15; VAR18 <= VAR8; VAR9 <= VAR21; VAR1 <= VAR25; VAR33 <= VAR2; VAR32 <= VAR19; VAR29 <= VAR20; VAR7 <= VAR22; sum <= VAR28; sum <= VAR34; end endmodule module MODULE1(VAR4,VAR17,sum); parameter VAR26 = 0; input [VAR27+VAR26-1:0] VAR4; input [VAR27+VAR26-1:0] VAR17; output [VAR27+VAR26:0] sum; assign sum = VAR4 + VAR17; endmodule
mit
danbone/core
riscv_core_if.v
2,365
module MODULE1 ( input clk, input VAR22, output [31:0] VAR11; output VAR19; output output [31:0] VAR13; input [5:0] VAR18, input [31:0] VAR9, input [1:0] VAR5, input [31:0] VAR17, input [31:0] VAR2 ); localparam VAR7 = 7'b0000000; localparam VAR10 = 7'b0000001; localparam VAR15 = 7'b0000010; localparam VAR1 = 7'b0000100; localparam VAR16 = 7'b0001000; localparam VAR8 = 7'b0010000; localparam VAR12 = 7'b0100000; localparam VAR6 = 7'b1000000; reg VAR4; reg VAR14; reg [31:0] VAR3; wire [31:0] VAR21; always @ (*) begin case (VAR18) begin VAR10 : VAR4 = (VAR17 == VAR2) ? 1'b1 : 1'b0; VAR15 : VAR4 = (VAR17 != VAR2) ? 1'b1 : 1'b0; VAR1 : VAR4 = ((VAR17) < (VAR2)) ? 1'b1 : 1'b0; VAR16 : VAR4 = ((VAR17) > (VAR2)) ? 1'b1 : 1'b0; VAR8 : VAR4 = (VAR17 < VAR2) ? 1'b1 : 1'b0; VAR12 : VAR4 = (VAR17 > VAR2) ? 1'b1 : 1'b0; VAR6 : VAR4 = 1'b1; default : VAR4 = 1'b0;; endcase end assign VAR21 = (VAR4) ? VAR9 : VAR20 + 4; always @ (posedge clk, negedge VAR22) begin if (~VAR22) begin VAR3 <= 'h0; VAR14 <= 1'b0; end else begin VAR3 <= VAR21; VAR14 <= VAR4; end end assign VAR19 = VAR14; assign VAR13 = VAR3; assign VAR11 = VAR3; endmodule
mit
hpeng2/ECE492_Group4_Project
Ryans_stuff/tracking_camera/db/ip/tracking_camera_system/submodules/tracking_camera_system_nios2_qsys_0_jtag_debug_module_tck.v
8,885
module MODULE1 ( VAR1, VAR9, VAR30, VAR39, VAR16, VAR11, VAR19, VAR27, VAR25, VAR32, VAR2, VAR36, VAR28, VAR8, VAR40, VAR35, VAR24, VAR18, VAR38, VAR5, VAR37, VAR22, VAR21, VAR31, VAR3, VAR14, VAR29, VAR34, VAR10, VAR15, VAR6 ) ; output [ 1: 0] VAR29; output VAR34; output [ 37: 0] VAR10; output VAR15; output VAR6; input [ 31: 0] VAR1; input [ 31: 0] VAR9; input VAR30; input VAR39; input VAR16; input VAR11; input VAR19; input [ 1: 0] VAR27; input VAR25; input VAR32; input VAR2; input VAR36; input VAR28; input VAR8; input VAR40; input VAR35; input [ 35: 0] VAR24; input VAR18; input [ 6: 0] VAR38; input VAR5; input VAR37; input VAR22; input VAR21; input VAR31; input VAR3; input VAR14; reg [ 2: 0] VAR17 ; wire VAR12; reg [ 1: 0] VAR29; wire VAR34; wire VAR23; reg [ 37: 0] VAR10 ; wire VAR15; wire VAR6; wire VAR33; wire VAR7; always @(posedge VAR8) begin if (VAR31) case (VAR27) 2'b00: begin VAR10[35] <= VAR12; VAR10[34] <= VAR32; VAR10[33] <= VAR28; VAR10[32 : 1] <= VAR1; VAR10[0] <= VAR23; end 2'b01: begin VAR10[35 : 0] <= VAR24; VAR10[37] <= VAR18; VAR10[36] <= VAR35; end 2'b10: begin VAR10[37] <= VAR21; VAR10[36] <= VAR11; VAR10[35] <= VAR16; VAR10[34] <= VAR39; VAR10[33] <= VAR30; VAR10[32 : 1] <= VAR9; VAR10[0] <= VAR22; end 2'b11: begin VAR10[15 : 12] <= 1'b0; VAR10[11 : 2] <= VAR38; VAR10[1] <= VAR37; VAR10[0] <= VAR5; end endcase if (VAR3) case (VAR17) 3'b000: begin VAR10 <= {VAR40, VAR10[37 : 2], VAR40}; end 3'b001: begin VAR10 <= {VAR40, VAR10[37 : 9], VAR40, VAR10[7 : 1]}; end 3'b010: begin VAR10 <= {VAR40, VAR10[37 : 17], VAR40, VAR10[15 : 1]}; end 3'b011: begin VAR10 <= {VAR40, VAR10[37 : 33], VAR40, VAR10[31 : 1]}; end 3'b100: begin VAR10 <= {VAR40, VAR10[37], VAR40, VAR10[35 : 1]}; end 3'b101: begin VAR10 <= {VAR40, VAR10[37 : 1]}; end default: begin VAR10 <= {VAR40, VAR10[37 : 2], VAR40}; end endcase if (VAR14) case (VAR27) 2'b00: begin VAR17 <= 3'b100; end 2'b01: begin VAR17 <= 3'b101; end 2'b10: begin VAR17 <= 3'b101; end 2'b11: begin VAR17 <= 3'b010; end endcase end assign VAR6 = VAR10[0]; assign VAR15 = VAR25; assign VAR33 = VAR34; VAR20 VAR4 ( .clk (VAR8), .din (VAR19), .dout (VAR12), .VAR36 (VAR33) ); assign VAR7 = VAR34; VAR20 VAR13 ( .clk (VAR8), .din (VAR2), .dout (VAR23), .VAR36 (VAR7) ); always @(posedge VAR8 or negedge VAR34) begin if (VAR34 == 0) VAR29 <= 2'b0; end else VAR29 <= {VAR12, VAR23}; end assign VAR34 = VAR36; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/inv/sky130_fd_sc_hs__inv_2.v
1,868
module MODULE2 ( VAR6 , VAR4 , VAR2, VAR5 ); output VAR6 ; input VAR4 ; input VAR2; input VAR5; VAR1 VAR3 ( .VAR6(VAR6), .VAR4(VAR4), .VAR2(VAR2), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR6, VAR4 ); output VAR6; input VAR4; supply1 VAR2; supply0 VAR5; VAR1 VAR3 ( .VAR6(VAR6), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a2111oi/sky130_fd_sc_lp__a2111oi.functional.v
1,503
module MODULE1 ( VAR5 , VAR8, VAR9, VAR7, VAR11, VAR10 ); output VAR5 ; input VAR8; input VAR9; input VAR7; input VAR11; input VAR10; wire VAR4 ; wire VAR3; and VAR6 (VAR4 , VAR8, VAR9 ); nor VAR2 (VAR3, VAR7, VAR11, VAR10, VAR4); buf VAR1 (VAR5 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a21boi/sky130_fd_sc_ls__a21boi_1.v
2,332
module MODULE2 ( VAR3 , VAR7 , VAR8 , VAR2, VAR5, VAR6, VAR1 , VAR10 ); output VAR3 ; input VAR7 ; input VAR8 ; input VAR2; input VAR5; input VAR6; input VAR1 ; input VAR10 ; VAR9 VAR4 ( .VAR3(VAR3), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2), .VAR5(VAR5), .VAR6(VAR6), .VAR1(VAR1), .VAR10(VAR10) ); endmodule module MODULE2 ( VAR3 , VAR7 , VAR8 , VAR2 ); output VAR3 ; input VAR7 ; input VAR8 ; input VAR2; supply1 VAR5; supply0 VAR6; supply1 VAR1 ; supply0 VAR10 ; VAR9 VAR4 ( .VAR3(VAR3), .VAR7(VAR7), .VAR8(VAR8), .VAR2(VAR2) ); endmodule
apache-2.0
eda-globetrotter/MarcheProcessor
processor/spare/build2/alu.v
168,962
module MODULE1 (VAR2,VAR3,VAR4,VAR8,VAR1,VAR5,VAR6); output [0:127] VAR5; input [0:127] VAR2; input [0:127] VAR3; input [0:2] VAR4; input [0:1] VAR8; input [0:4] VAR1; input [15:0] VAR6; parameter VAR7 = 128'hffffffffffffffffffffffffffffffff; reg [0:127] VAR5; always @(VAR2 or VAR3 or VAR4 or VAR8 or VAR1 or VAR6) begin case(VAR1) begin case(VAR8) VAR5[0:7]<=VAR2[0:7]<<VAR3[5:7]; VAR5[8:15]<=VAR2[8:15]<<VAR3[13:15]; VAR5[16:23]<=VAR2[16:23]<<VAR3[21:23]; VAR5[24:31]<=VAR2[24:31]<<VAR3[29:31]; VAR5[32:39]<=VAR2[32:39]<<VAR3[37:39]; VAR5[40:47]<=VAR2[40:47]<<VAR3[45:47]; VAR5[48:55]<=VAR2[48:55]<<VAR3[53:55]; VAR5[56:63]<=VAR2[56:63]<<VAR3[61:63]; VAR5[64:71]<=VAR2[64:71]<<VAR3[69:71]; VAR5[72:79]<=VAR2[72:79]<<VAR3[77:79]; VAR5[80:87]<=VAR2[80:87]<<VAR3[85:87]; VAR5[88:95]<=VAR2[88:95]<<VAR3[93:95]; VAR5[96:103]<=VAR2[96:103]<<VAR3[101:103]; VAR5[104:111]<=VAR2[104:111]<<VAR3[109:111]; VAR5[112:119]<=VAR2[112:119]<<VAR3[117:119]; VAR5[120:127]<=VAR2[120:127]<<VAR3[125:127]; end VAR5[0:15]<=VAR2[0:15]<<VAR3[12:15]; VAR5[16:31]<=VAR2[16:31]<<VAR3[28:31]; VAR5[32:47]<=VAR2[32:47]<<VAR3[44:47]; VAR5[48:63]<=VAR2[48:63]<<VAR3[60:63]; VAR5[64:79]<=VAR2[64:79]<<VAR3[76:79]; VAR5[80:95]<=VAR2[80:95]<<VAR3[92:95]; VAR5[96:111]<=VAR2[96:111]<<VAR3[108:111]; VAR5[112:127]<=VAR2[112:127]<<VAR3[124:127]; end VAR5[0:31]<=VAR2[0:31]<<VAR3[27:31]; VAR5[32:63]<=VAR2[32:63]<<VAR3[59:63]; VAR5[64:95]<=VAR2[64:95]<<VAR3[91:95]; VAR5[96:127]<=VAR2[96:127]<<VAR3[123:127]; end default: begin VAR5<=128'd0; end endcase end begin case(VAR4) case(VAR8) VAR5[0:7]<=VAR2[0:7]>>VAR3[5:7]; VAR5[8:15]<=VAR2[8:15]>>VAR3[13:15]; VAR5[16:23]<=VAR2[16:23]>>VAR3[21:23]; VAR5[24:31]<=VAR2[24:31]>>VAR3[29:31]; VAR5[32:39]<=VAR2[32:39]>>VAR3[37:39]; VAR5[40:47]<=VAR2[40:47]>>VAR3[45:47]; VAR5[48:55]<=VAR2[48:55]>>VAR3[53:55]; VAR5[56:63]<=VAR2[56:63]>>VAR3[61:63]; VAR5[64:71]<=VAR2[64:71]>>VAR3[69:71]; VAR5[72:79]<=VAR2[72:79]>>VAR3[77:79]; VAR5[80:87]<=VAR2[80:87]>>VAR3[85:87]; VAR5[88:95]<=VAR2[88:95]>>VAR3[93:95]; VAR5[96:103]<=VAR2[96:103]>>VAR3[101:103]; VAR5[104:111]<=VAR2[104:111]>>VAR3[109:111]; VAR5[112:119]<=VAR2[112:119]>>VAR3[117:119]; VAR5[120:127]<=VAR2[120:127]>>VAR3[125:127]; end VAR5[0:15]<=VAR2[0:15]>>VAR3[12:15]; VAR5[16:31]<=VAR2[16:31]>>VAR3[28:31]; VAR5[32:47]<=VAR2[32:47]>>VAR3[44:47]; VAR5[48:63]<=VAR2[48:63]>>VAR3[60:63]; VAR5[64:79]<=VAR2[64:79]>>VAR3[76:79]; VAR5[80:95]<=VAR2[80:95]>>VAR3[92:95]; VAR5[96:111]<=VAR2[96:111]>>VAR3[108:111]; VAR5[112:127]<=VAR2[112:127]>>VAR3[124:127]; end VAR5[0:31]<=VAR2[0:31]>>VAR3[27:31]; VAR5[32:63]<=VAR2[32:63]>>VAR3[59:63]; VAR5[64:95]<=VAR2[64:95]>>VAR3[91:95]; VAR5[96:127]<=VAR2[96:127]>>VAR3[123:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]>>VAR3[5:7]; VAR5[8:15]<=VAR2[8:15]>>VAR3[13:15]; VAR5[16:23]<=VAR2[16:23]>>VAR3[21:23]; VAR5[24:31]<=VAR2[24:31]>>VAR3[29:31]; VAR5[32:39]<=VAR2[32:39]>>VAR3[37:39]; VAR5[40:47]<=VAR2[40:47]>>VAR3[45:47]; VAR5[48:55]<=VAR2[48:55]>>VAR3[53:55]; VAR5[56:63]<=VAR2[56:63]>>VAR3[61:63]; end VAR5[0:15]<=VAR2[0:15]>>VAR3[12:15]; VAR5[16:31]<=VAR2[16:31]>>VAR3[28:31]; VAR5[32:47]<=VAR2[32:47]>>VAR3[44:47]; VAR5[48:63]<=VAR2[48:63]>>VAR3[60:63]; end VAR5[0:31]<=VAR2[0:31]>>VAR3[27:31]; VAR5[32:63]<=VAR2[32:63]>>VAR3[59:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[64:71]<=VAR2[64:71]>>VAR3[69:71]; VAR5[72:79]<=VAR2[72:79]>>VAR3[77:79]; VAR5[80:87]<=VAR2[80:87]>>VAR3[85:87]; VAR5[88:95]<=VAR2[88:95]>>VAR3[93:95]; VAR5[96:103]<=VAR2[96:103]>>VAR3[101:103]; VAR5[104:111]<=VAR2[104:111]>>VAR3[109:111]; VAR5[112:119]<=VAR2[112:119]>>VAR3[117:119]; VAR5[120:127]<=VAR2[120:127]>>VAR3[125:127]; end VAR5[64:79]<=VAR2[64:79]>>VAR3[76:79]; VAR5[80:95]<=VAR2[80:95]>>VAR3[92:95]; VAR5[96:111]<=VAR2[96:111]>>VAR3[108:111]; VAR5[112:127]<=VAR2[112:127]>>VAR3[124:127]; end VAR5[64:95]<=VAR2[64:95]>>VAR3[91:95]; VAR5[96:127]<=VAR2[96:127]>>VAR3[123:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]>>VAR3[5:7]; VAR5[16:23]<=VAR2[16:23]>>VAR3[21:23]; VAR5[32:39]<=VAR2[32:39]>>VAR3[37:39]; VAR5[48:55]<=VAR2[48:55]>>VAR3[53:55]; VAR5[64:71]<=VAR2[64:71]>>VAR3[69:71]; VAR5[80:87]<=VAR2[80:87]>>VAR3[85:87]; VAR5[96:103]<=VAR2[96:103]>>VAR3[101:103]; VAR5[112:119]<=VAR2[112:119]>>VAR3[117:119]; end VAR5[0:15]<=VAR2[0:15]>>VAR3[12:15]; VAR5[32:47]<=VAR2[32:47]>>VAR3[44:47]; VAR5[64:79]<=VAR2[64:79]>>VAR3[76:79]; VAR5[96:111]<=VAR2[96:111]>>VAR3[108:111]; end VAR5[0:31]<=VAR2[0:31]>>VAR3[27:31]; VAR5[64:95]<=VAR2[64:95]>>VAR3[91:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[8:15]<=VAR2[8:15]>>VAR3[13:15]; VAR5[24:31]<=VAR2[24:31]>>VAR3[29:31]; VAR5[40:47]<=VAR2[40:47]>>VAR3[45:47]; VAR5[56:63]<=VAR2[56:63]>>VAR3[61:63]; VAR5[72:79]<=VAR2[72:79]>>VAR3[77:79]; VAR5[88:95]<=VAR2[88:95]>>VAR3[93:95]; VAR5[104:111]<=VAR2[104:111]>>VAR3[109:111]; VAR5[120:127]<=VAR2[120:127]>>VAR3[125:127]; end VAR5[16:31]<=VAR2[16:31]>>VAR3[28:31]; VAR5[48:63]<=VAR2[48:63]>>VAR3[60:63]; VAR5[80:95]<=VAR2[80:95]>>VAR3[92:95]; VAR5[112:127]<=VAR2[112:127]>>VAR3[124:127]; end VAR5[32:63]<=VAR2[32:63]>>VAR3[59:63]; VAR5[96:127]<=VAR2[96:127]>>VAR3[123:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]>>VAR3[5:7]; end VAR5[0:15]<=VAR2[0:15]>>VAR3[12:15]; end VAR5[0:31]<=VAR2[0:31]>>VAR3[27:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[120:127]<=VAR2[120:127]>>VAR3[125:127]; end VAR5[112:127]<=VAR2[112:127]>>VAR3[124:127]; end VAR5[96:127]<=VAR2[96:127]>>VAR3[123:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR4) case(VAR8) VAR5[0:7]<=VAR2[0:7]+VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]+VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]+VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]+VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]+VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]+VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]+VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]+VAR3[56:63]; VAR5[64:71]<=VAR2[64:71]+VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]+VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]+VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]+VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]+VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]+VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]+VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]+VAR3[120:127]; end VAR5[0:15]<=VAR2[0:15]+VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]+VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]+VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]+VAR3[48:63]; VAR5[64:79]<=VAR2[64:79]+VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]+VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]+VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]+VAR3[112:127]; end VAR5[0:31]<=VAR2[0:31]+VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]+VAR3[32:63]; VAR5[64:95]<=VAR2[64:95]+VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]+VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]+VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]+VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]+VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]+VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]+VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]+VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]+VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]+VAR3[56:63]; end VAR5[0:15]<=VAR2[0:15]+VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]+VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]+VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]+VAR3[48:63]; end VAR5[0:31]<=VAR2[0:31]+VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]+VAR3[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[64:71]<=VAR2[64:71]+VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]+VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]+VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]+VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]+VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]+VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]+VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]+VAR3[120:127]; end VAR5[64:79]<=VAR2[64:79]+VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]+VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]+VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]+VAR3[112:127]; end VAR5[64:95]<=VAR2[64:95]+VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]+VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]+VAR3[0:7]; VAR5[16:23]<=VAR2[16:23]+VAR3[16:23]; VAR5[32:39]<=VAR2[32:39]+VAR3[32:39]; VAR5[48:55]<=VAR2[48:55]+VAR3[48:55]; VAR5[64:71]<=VAR2[64:71]+VAR3[64:71]; VAR5[80:87]<=VAR2[80:87]+VAR3[80:87]; VAR5[96:103]<=VAR2[96:103]+VAR3[96:103]; VAR5[112:119]<=VAR2[112:119]+VAR3[112:119]; end VAR5[0:15]<=VAR2[0:15]+VAR3[0:15]; VAR5[32:47]<=VAR2[32:47]+VAR3[32:47]; VAR5[64:79]<=VAR2[64:79]+VAR3[64:79]; VAR5[96:111]<=VAR2[96:111]+VAR3[96:111]; end VAR5[0:31]<=VAR2[0:31]+VAR3[0:31]; VAR5[64:95]<=VAR2[64:95]+VAR3[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[8:15]<=VAR2[8:15]+VAR3[8:15]; VAR5[24:31]<=VAR2[24:31]+VAR3[24:31]; VAR5[40:47]<=VAR2[40:47]+VAR3[40:47]; VAR5[56:63]<=VAR2[56:63]+VAR3[56:63]; VAR5[72:79]<=VAR2[72:79]+VAR3[72:79]; VAR5[88:95]<=VAR2[88:95]+VAR3[88:95]; VAR5[104:111]<=VAR2[104:111]+VAR3[104:111]; VAR5[120:127]<=VAR2[120:127]+VAR3[120:127]; end VAR5[16:31]<=VAR2[16:31]+VAR3[16:31]; VAR5[48:63]<=VAR2[48:63]+VAR3[48:63]; VAR5[80:95]<=VAR2[80:95]+VAR3[80:95]; VAR5[112:127]<=VAR2[112:127]+VAR3[112:127]; end VAR5[32:63]<=VAR2[32:63]+VAR3[32:63]; VAR5[96:127]<=VAR2[96:127]+VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]+VAR3[0:7]; end VAR5[0:15]<=VAR2[0:15]+VAR3[0:15]; end VAR5[0:31]<=VAR2[0:31]+VAR3[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[120:127]<=VAR2[120:127]+VAR3[120:127]; end VAR5[112:127]<=VAR2[112:127]+VAR3[112:127]; end VAR5[96:127]<=VAR2[96:127]+VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR4) case(VAR8) VAR5[0:7]<=VAR2[0:7]&VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]&VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]&VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]&VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]&VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]&VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]&VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]&VAR3[56:63]; VAR5[64:71]<=VAR2[64:71]&VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]&VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]&VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]&VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]&VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]&VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]&VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]&VAR3[120:127]; end VAR5[0:15]<=VAR2[0:15]&VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]&VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]&VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]&VAR3[48:63]; VAR5[64:79]<=VAR2[64:79]&VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]&VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]&VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]&VAR3[112:127]; end VAR5[0:31]<=VAR2[0:31]&VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]&VAR3[32:63]; VAR5[64:95]<=VAR2[64:95]&VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]&VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]&VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]&VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]&VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]&VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]&VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]&VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]&VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]&VAR3[56:63]; end VAR5[0:15]<=VAR2[0:15]&VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]&VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]&VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]&VAR3[48:63]; end VAR5[0:31]<=VAR2[0:31]&VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]&VAR3[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[64:71]<=VAR2[64:71]&VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]&VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]&VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]&VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]&VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]&VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]&VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]&VAR3[120:127]; end VAR5[64:79]<=VAR2[64:79]&VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]&VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]&VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]&VAR3[112:127]; end VAR5[64:95]<=VAR2[64:95]&VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]&VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]&VAR3[0:7]; VAR5[16:23]<=VAR2[16:23]&VAR3[16:23]; VAR5[32:39]<=VAR2[32:39]&VAR3[32:39]; VAR5[48:55]<=VAR2[48:55]&VAR3[48:55]; VAR5[64:71]<=VAR2[64:71]&VAR3[64:71]; VAR5[80:87]<=VAR2[80:87]&VAR3[80:87]; VAR5[96:103]<=VAR2[96:103]&VAR3[96:103]; VAR5[112:119]<=VAR2[112:119]&VAR3[112:119]; end VAR5[0:15]<=VAR2[0:15]&VAR3[0:15]; VAR5[32:47]<=VAR2[32:47]&VAR3[32:47]; VAR5[64:79]<=VAR2[64:79]&VAR3[64:79]; VAR5[96:111]<=VAR2[96:111]&VAR3[96:111]; end VAR5[0:31]<=VAR2[0:31]&VAR3[0:31]; VAR5[64:95]<=VAR2[64:95]&VAR3[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[8:15]<=VAR2[8:15]&VAR3[8:15]; VAR5[24:31]<=VAR2[24:31]&VAR3[24:31]; VAR5[40:47]<=VAR2[40:47]&VAR3[40:47]; VAR5[56:63]<=VAR2[56:63]&VAR3[56:63]; VAR5[72:79]<=VAR2[72:79]&VAR3[72:79]; VAR5[88:95]<=VAR2[88:95]&VAR3[88:95]; VAR5[104:111]<=VAR2[104:111]&VAR3[104:111]; VAR5[120:127]<=VAR2[120:127]&VAR3[120:127]; end VAR5[16:31]<=VAR2[16:31]&VAR3[16:31]; VAR5[48:63]<=VAR2[48:63]&VAR3[48:63]; VAR5[80:95]<=VAR2[80:95]&VAR3[80:95]; VAR5[112:127]<=VAR2[112:127]&VAR3[112:127]; end VAR5[32:63]<=VAR2[32:63]&VAR3[32:63]; VAR5[96:127]<=VAR2[96:127]&VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]&VAR3[0:7]; end VAR5[0:15]<=VAR2[0:15]&VAR3[0:15]; end VAR5[0:31]<=VAR2[0:31]&VAR3[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[120:127]<=VAR2[120:127]&VAR3[120:127]; end VAR5[112:127]<=VAR2[112:127]&VAR3[112:127]; end VAR5[96:127]<=VAR2[96:127]&VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR4) case(VAR8) VAR5[0:7]<=~VAR2[0:7]; VAR5[8:15]<=~VAR2[8:15]; VAR5[16:23]<=~VAR2[16:23]; VAR5[24:31]<=~VAR2[24:31]; VAR5[32:39]<=~VAR2[32:39]; VAR5[40:47]<=~VAR2[40:47]; VAR5[48:55]<=~VAR2[48:55]; VAR5[56:63]<=~VAR2[56:63]; VAR5[64:71]<=~VAR2[64:71]; VAR5[72:79]<=~VAR2[72:79]; VAR5[80:87]<=~VAR2[80:87]; VAR5[88:95]<=~VAR2[88:95]; VAR5[96:103]<=~VAR2[96:103]; VAR5[104:111]<=~VAR2[104:111]; VAR5[112:119]<=~VAR2[112:119]; VAR5[120:127]<=~VAR2[120:127]; end VAR5[0:15]<=~VAR2[0:15]; VAR5[16:31]<=~VAR2[16:31]; VAR5[32:47]<=~VAR2[32:47]; VAR5[48:63]<=~VAR2[48:63]; VAR5[64:79]<=~VAR2[64:79]; VAR5[80:95]<=~VAR2[80:95]; VAR5[96:111]<=~VAR2[96:111]; VAR5[112:127]<=~VAR2[112:127]; end VAR5[0:31]<=~VAR2[0:31]; VAR5[32:63]<=~VAR2[32:63]; VAR5[64:95]<=~VAR2[64:95]; VAR5[96:127]<=~VAR2[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=~VAR2[0:7]; VAR5[8:15]<=~VAR2[8:15]; VAR5[16:23]<=~VAR2[16:23]; VAR5[24:31]<=~VAR2[24:31]; VAR5[32:39]<=~VAR2[32:39]; VAR5[40:47]<=~VAR2[40:47]; VAR5[48:55]<=~VAR2[48:55]; VAR5[56:63]<=~VAR2[56:63]; end VAR5[0:15]<=~VAR2[0:15]; VAR5[16:31]<=~VAR2[16:31]; VAR5[32:47]<=~VAR2[32:47]; VAR5[48:63]<=~VAR2[48:63]; end VAR5[0:31]<=~VAR2[0:31]; VAR5[32:63]<=~VAR2[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[64:71]<=~VAR2[64:71]; VAR5[72:79]<=~VAR2[72:79]; VAR5[80:87]<=~VAR2[80:87]; VAR5[88:95]<=~VAR2[88:95]; VAR5[96:103]<=~VAR2[96:103]; VAR5[104:111]<=~VAR2[104:111]; VAR5[112:119]<=~VAR2[112:119]; VAR5[120:127]<=~VAR2[120:127]; end VAR5[64:79]<=~VAR2[64:79]; VAR5[80:95]<=~VAR2[80:95]; VAR5[96:111]<=~VAR2[96:111]; VAR5[112:127]<=~VAR2[112:127]; end VAR5[64:95]<=~VAR2[64:95]; VAR5[96:127]<=~VAR2[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=~VAR2[0:7]; VAR5[16:23]<=~VAR2[16:23]; VAR5[32:39]<=~VAR2[32:39]; VAR5[48:55]<=~VAR2[48:55]; VAR5[64:71]<=~VAR2[64:71]; VAR5[80:87]<=~VAR2[80:87]; VAR5[96:103]<=~VAR2[96:103]; VAR5[112:119]<=~VAR2[112:119]; end VAR5[0:15]<=~VAR2[0:15]; VAR5[32:47]<=~VAR2[32:47]; VAR5[64:79]<=~VAR2[64:79]; VAR5[96:111]<=~VAR2[96:111]; end VAR5[0:31]<=~VAR2[0:31]; VAR5[64:95]<=~VAR2[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[8:15]<=~VAR2[8:15]; VAR5[24:31]<=~VAR2[24:31]; VAR5[40:47]<=~VAR2[40:47]; VAR5[56:63]<=~VAR2[56:63]; VAR5[72:79]<=~VAR2[72:79]; VAR5[88:95]<=~VAR2[88:95]; VAR5[104:111]<=~VAR2[104:111]; VAR5[120:127]<=~VAR2[120:127]; end VAR5[16:31]<=~VAR2[16:31]; VAR5[48:63]<=~VAR2[48:63]; VAR5[80:95]<=~VAR2[80:95]; VAR5[112:127]<=~VAR2[112:127]; end VAR5[32:63]<=~VAR2[32:63]; VAR5[96:127]<=~VAR2[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=~VAR2[0:7]; end VAR5[0:15]<=~VAR2[0:15]; end VAR5[0:31]<=~VAR2[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[120:127]<=~VAR2[120:127]; end VAR5[112:127]<=~VAR2[112:127]; end VAR5[96:127]<=~VAR2[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR4) case(VAR8) VAR5[0:7]<=VAR2[0:7]|VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]|VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]|VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]|VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]|VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]|VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]|VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]|VAR3[56:63]; VAR5[64:71]<=VAR2[64:71]|VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]|VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]|VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]|VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]|VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]|VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]|VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]|VAR3[120:127]; end VAR5[0:15]<=VAR2[0:15]|VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]|VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]|VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]|VAR3[48:63]; VAR5[64:79]<=VAR2[64:79]|VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]|VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]|VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]|VAR3[112:127]; end VAR5[0:31]<=VAR2[0:31]|VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]|VAR3[32:63]; VAR5[64:95]<=VAR2[64:95]|VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]|VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]|VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]|VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]|VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]|VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]|VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]|VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]|VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]|VAR3[56:63]; end VAR5[0:15]<=VAR2[0:15]|VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]|VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]|VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]|VAR3[48:63]; end VAR5[0:31]<=VAR2[0:31]|VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]|VAR3[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[64:71]<=VAR2[64:71]|VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]|VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]|VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]|VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]|VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]|VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]|VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]|VAR3[120:127]; end VAR5[64:79]<=VAR2[64:79]|VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]|VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]|VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]|VAR3[112:127]; end VAR5[64:95]<=VAR2[64:95]|VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]|VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]|VAR3[0:7]; VAR5[16:23]<=VAR2[16:23]|VAR3[16:23]; VAR5[32:39]<=VAR2[32:39]|VAR3[32:39]; VAR5[48:55]<=VAR2[48:55]|VAR3[48:55]; VAR5[64:71]<=VAR2[64:71]|VAR3[64:71]; VAR5[80:87]<=VAR2[80:87]|VAR3[80:87]; VAR5[96:103]<=VAR2[96:103]|VAR3[96:103]; VAR5[112:119]<=VAR2[112:119]|VAR3[112:119]; end VAR5[0:15]<=VAR2[0:15]|VAR3[0:15]; VAR5[32:47]<=VAR2[32:47]|VAR3[32:47]; VAR5[64:79]<=VAR2[64:79]|VAR3[64:79]; VAR5[96:111]<=VAR2[96:111]|VAR3[96:111]; end VAR5[0:31]<=VAR2[0:31]|VAR3[0:31]; VAR5[64:95]<=VAR2[64:95]|VAR3[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[8:15]<=VAR2[8:15]|VAR3[8:15]; VAR5[24:31]<=VAR2[24:31]|VAR3[24:31]; VAR5[40:47]<=VAR2[40:47]|VAR3[40:47]; VAR5[56:63]<=VAR2[56:63]|VAR3[56:63]; VAR5[72:79]<=VAR2[72:79]|VAR3[72:79]; VAR5[88:95]<=VAR2[88:95]|VAR3[88:95]; VAR5[104:111]<=VAR2[104:111]|VAR3[104:111]; VAR5[120:127]<=VAR2[120:127]|VAR3[120:127]; end VAR5[16:31]<=VAR2[16:31]|VAR3[16:31]; VAR5[48:63]<=VAR2[48:63]|VAR3[48:63]; VAR5[80:95]<=VAR2[80:95]|VAR3[80:95]; VAR5[112:127]<=VAR2[112:127]|VAR3[112:127]; end VAR5[32:63]<=VAR2[32:63]|VAR3[32:63]; VAR5[96:127]<=VAR2[96:127]|VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]|VAR3[0:7]; end VAR5[0:15]<=VAR2[0:15]|VAR3[0:15]; end VAR5[0:31]<=VAR2[0:31]|VAR3[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[120:127]<=VAR2[120:127]|VAR3[120:127]; end VAR5[112:127]<=VAR2[112:127]|VAR3[112:127]; end VAR5[96:127]<=VAR2[96:127]|VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR4) case(VAR8) VAR5[0:7]<=VAR2[0:7]^VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]^VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]^VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]^VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]^VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]^VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]^VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]^VAR3[56:63]; VAR5[64:71]<=VAR2[64:71]^VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]^VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]^VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]^VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]^VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]^VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]^VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]^VAR3[120:127]; end VAR5[0:15]<=VAR2[0:15]^VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]^VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]^VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]^VAR3[48:63]; VAR5[64:79]<=VAR2[64:79]^VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]^VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]^VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]^VAR3[112:127]; end VAR5[0:31]<=VAR2[0:31]^VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]^VAR3[32:63]; VAR5[64:95]<=VAR2[64:95]^VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]^VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]^VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]^VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]^VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]^VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]^VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]^VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]^VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]^VAR3[56:63]; end VAR5[0:15]<=VAR2[0:15]^VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]^VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]^VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]^VAR3[48:63]; end VAR5[0:31]<=VAR2[0:31]^VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]^VAR3[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[64:71]<=VAR2[64:71]^VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]^VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]^VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]^VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]^VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]^VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]^VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]^VAR3[120:127]; end VAR5[64:79]<=VAR2[64:79]^VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]^VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]^VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]^VAR3[112:127]; end VAR5[64:95]<=VAR2[64:95]^VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]^VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]^VAR3[0:7]; VAR5[16:23]<=VAR2[16:23]^VAR3[16:23]; VAR5[32:39]<=VAR2[32:39]^VAR3[32:39]; VAR5[48:55]<=VAR2[48:55]^VAR3[48:55]; VAR5[64:71]<=VAR2[64:71]^VAR3[64:71]; VAR5[80:87]<=VAR2[80:87]^VAR3[80:87]; VAR5[96:103]<=VAR2[96:103]^VAR3[96:103]; VAR5[112:119]<=VAR2[112:119]^VAR3[112:119]; end VAR5[0:15]<=VAR2[0:15]^VAR3[0:15]; VAR5[32:47]<=VAR2[32:47]^VAR3[32:47]; VAR5[64:79]<=VAR2[64:79]^VAR3[64:79]; VAR5[96:111]<=VAR2[96:111]^VAR3[96:111]; end VAR5[0:31]<=VAR2[0:31]^VAR3[0:31]; VAR5[64:95]<=VAR2[64:95]^VAR3[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[8:15]<=VAR2[8:15]^VAR3[8:15]; VAR5[24:31]<=VAR2[24:31]^VAR3[24:31]; VAR5[40:47]<=VAR2[40:47]^VAR3[40:47]; VAR5[56:63]<=VAR2[56:63]^VAR3[56:63]; VAR5[72:79]<=VAR2[72:79]^VAR3[72:79]; VAR5[88:95]<=VAR2[88:95]^VAR3[88:95]; VAR5[104:111]<=VAR2[104:111]^VAR3[104:111]; VAR5[120:127]<=VAR2[120:127]^VAR3[120:127]; end VAR5[16:31]<=VAR2[16:31]^VAR3[16:31]; VAR5[48:63]<=VAR2[48:63]^VAR3[48:63]; VAR5[80:95]<=VAR2[80:95]^VAR3[80:95]; VAR5[112:127]<=VAR2[112:127]^VAR3[112:127]; end VAR5[32:63]<=VAR2[32:63]^VAR3[32:63]; VAR5[96:127]<=VAR2[96:127]^VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]^VAR3[0:7]; end VAR5[0:15]<=VAR2[0:15]^VAR3[0:15]; end VAR5[0:31]<=VAR2[0:31]^VAR3[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[120:127]<=VAR2[120:127]^VAR3[120:127]; end VAR5[112:127]<=VAR2[112:127]^VAR3[112:127]; end VAR5[96:127]<=VAR2[96:127]^VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR4) case(VAR8) VAR5[0:7]<=VAR2[0:7]-VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]-VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]-VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]-VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]-VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]-VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]-VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]-VAR3[56:63]; VAR5[64:71]<=VAR2[64:71]-VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]-VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]-VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]-VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]-VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]-VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]-VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]-VAR3[120:127]; end VAR5[0:15]<=VAR2[0:15]-VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]-VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]-VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]-VAR3[48:63]; VAR5[64:79]<=VAR2[64:79]-VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]-VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]-VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]-VAR3[112:127]; end VAR5[0:31]<=VAR2[0:31]-VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]-VAR3[32:63]; VAR5[64:95]<=VAR2[64:95]-VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]-VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]-VAR3[0:7]; VAR5[8:15]<=VAR2[8:15]-VAR3[8:15]; VAR5[16:23]<=VAR2[16:23]-VAR3[16:23]; VAR5[24:31]<=VAR2[24:31]-VAR3[24:31]; VAR5[32:39]<=VAR2[32:39]-VAR3[32:39]; VAR5[40:47]<=VAR2[40:47]-VAR3[40:47]; VAR5[48:55]<=VAR2[48:55]-VAR3[48:55]; VAR5[56:63]<=VAR2[56:63]-VAR3[56:63]; end VAR5[0:15]<=VAR2[0:15]-VAR3[0:15]; VAR5[16:31]<=VAR2[16:31]-VAR3[16:31]; VAR5[32:47]<=VAR2[32:47]-VAR3[32:47]; VAR5[48:63]<=VAR2[48:63]-VAR3[48:63]; end VAR5[0:31]<=VAR2[0:31]-VAR3[0:31]; VAR5[32:63]<=VAR2[32:63]-VAR3[32:63]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[64:71]<=VAR2[64:71]-VAR3[64:71]; VAR5[72:79]<=VAR2[72:79]-VAR3[72:79]; VAR5[80:87]<=VAR2[80:87]-VAR3[80:87]; VAR5[88:95]<=VAR2[88:95]-VAR3[88:95]; VAR5[96:103]<=VAR2[96:103]-VAR3[96:103]; VAR5[104:111]<=VAR2[104:111]-VAR3[104:111]; VAR5[112:119]<=VAR2[112:119]-VAR3[112:119]; VAR5[120:127]<=VAR2[120:127]-VAR3[120:127]; end VAR5[64:79]<=VAR2[64:79]-VAR3[64:79]; VAR5[80:95]<=VAR2[80:95]-VAR3[80:95]; VAR5[96:111]<=VAR2[96:111]-VAR3[96:111]; VAR5[112:127]<=VAR2[112:127]-VAR3[112:127]; end VAR5[64:95]<=VAR2[64:95]-VAR3[64:95]; VAR5[96:127]<=VAR2[96:127]-VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]-VAR3[0:7]; VAR5[16:23]<=VAR2[16:23]-VAR3[16:23]; VAR5[32:39]<=VAR2[32:39]-VAR3[32:39]; VAR5[48:55]<=VAR2[48:55]-VAR3[48:55]; VAR5[64:71]<=VAR2[64:71]-VAR3[64:71]; VAR5[80:87]<=VAR2[80:87]-VAR3[80:87]; VAR5[96:103]<=VAR2[96:103]-VAR3[96:103]; VAR5[112:119]<=VAR2[112:119]-VAR3[112:119]; end VAR5[0:15]<=VAR2[0:15]-VAR3[0:15]; VAR5[32:47]<=VAR2[32:47]-VAR3[32:47]; VAR5[64:79]<=VAR2[64:79]-VAR3[64:79]; VAR5[96:111]<=VAR2[96:111]-VAR3[96:111]; end VAR5[0:31]<=VAR2[0:31]-VAR3[0:31]; VAR5[64:95]<=VAR2[64:95]-VAR3[64:95]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[8:15]<=VAR2[8:15]-VAR3[8:15]; VAR5[24:31]<=VAR2[24:31]-VAR3[24:31]; VAR5[40:47]<=VAR2[40:47]-VAR3[40:47]; VAR5[56:63]<=VAR2[56:63]-VAR3[56:63]; VAR5[72:79]<=VAR2[72:79]-VAR3[72:79]; VAR5[88:95]<=VAR2[88:95]-VAR3[88:95]; VAR5[104:111]<=VAR2[104:111]-VAR3[104:111]; VAR5[120:127]<=VAR2[120:127]-VAR3[120:127]; end VAR5[16:31]<=VAR2[16:31]-VAR3[16:31]; VAR5[48:63]<=VAR2[48:63]-VAR3[48:63]; VAR5[80:95]<=VAR2[80:95]-VAR3[80:95]; VAR5[112:127]<=VAR2[112:127]-VAR3[112:127]; end VAR5[32:63]<=VAR2[32:63]-VAR3[32:63]; VAR5[96:127]<=VAR2[96:127]-VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[0:7]<=VAR2[0:7]-VAR3[0:7]; end VAR5[0:15]<=VAR2[0:15]-VAR3[0:15]; end VAR5[0:31]<=VAR2[0:31]-VAR3[0:31]; end default: begin VAR5<=128'd0; end endcase end case(VAR8) VAR5[120:127]<=VAR2[120:127]-VAR3[120:127]; end VAR5[112:127]<=VAR2[112:127]-VAR3[112:127]; end VAR5[96:127]<=VAR2[96:127]-VAR3[96:127]; end default: begin VAR5<=128'd0; end endcase end default: begin VAR5<=128'd0; end endcase end begin case(VAR3[4:7]) 4'd0: VAR5[0:7]<=VAR2[0:7]; 4'd1: VAR5[0:7]<=VAR2[8:15]; 4'd2: VAR5[0:7]<=VAR2[16:23]; 4'd3: VAR5[0:7]<=VAR2[24:31]; 4'd4: VAR5[0:7]<=VAR2[32:39]; 4'd5: VAR5[0:7]<=VAR2[40:47]; 4'd6: VAR5[0:7]<=VAR2[48:55]; 4'd7: VAR5[0:7]<=VAR2[56:63]; 4'd8: VAR5[0:7]<=VAR2[64:71]; 4'd9: VAR5[0:7]<=VAR2[72:79]; 4'd10: VAR5[0:7]<=VAR2[80:87]; 4'd11: VAR5[0:7]<=VAR2[88:95]; 4'd12: VAR5[0:7]<=VAR2[96:103]; 4'd13: VAR5[0:7]<=VAR2[104:111]; 4'd14: VAR5[0:7]<=VAR2[112:119]; 4'd15: VAR5[0:7]<=VAR2[120:127]; endcase case(VAR3[12:15]) 4'd0: VAR5[8:15]<=VAR2[0:7]; 4'd1: VAR5[8:15]<=VAR2[8:15]; 4'd2: VAR5[8:15]<=VAR2[16:23]; 4'd3: VAR5[8:15]<=VAR2[24:31]; 4'd4: VAR5[8:15]<=VAR2[32:39]; 4'd5: VAR5[8:15]<=VAR2[40:47]; 4'd6: VAR5[8:15]<=VAR2[48:55]; 4'd7: VAR5[8:15]<=VAR2[56:63]; 4'd8: VAR5[8:15]<=VAR2[64:71]; 4'd9: VAR5[8:15]<=VAR2[72:79]; 4'd10: VAR5[8:15]<=VAR2[80:87]; 4'd11: VAR5[8:15]<=VAR2[88:95]; 4'd12: VAR5[8:15]<=VAR2[96:103]; 4'd13: VAR5[8:15]<=VAR2[104:111]; 4'd14: VAR5[8:15]<=VAR2[112:119]; 4'd15: VAR5[8:15]<=VAR2[120:127]; endcase case(VAR3[20:23]) 4'd0: VAR5[16:23]<=VAR2[0:7]; 4'd1: VAR5[16:23]<=VAR2[8:15]; 4'd2: VAR5[16:23]<=VAR2[16:23]; 4'd3: VAR5[16:23]<=VAR2[24:31]; 4'd4: VAR5[16:23]<=VAR2[32:39]; 4'd5: VAR5[16:23]<=VAR2[40:47]; 4'd6: VAR5[16:23]<=VAR2[48:55]; 4'd7: VAR5[16:23]<=VAR2[56:63]; 4'd8: VAR5[16:23]<=VAR2[64:71]; 4'd9: VAR5[16:23]<=VAR2[72:79]; 4'd10: VAR5[16:23]<=VAR2[80:87]; 4'd11: VAR5[16:23]<=VAR2[88:95]; 4'd12: VAR5[16:23]<=VAR2[96:103]; 4'd13: VAR5[16:23]<=VAR2[104:111]; 4'd14: VAR5[16:23]<=VAR2[112:119]; 4'd15: VAR5[16:23]<=VAR2[120:127]; endcase case(VAR3[28:31]) 4'd0: VAR5[24:31]<=VAR2[0:7]; 4'd1: VAR5[24:31]<=VAR2[8:15]; 4'd2: VAR5[24:31]<=VAR2[16:23]; 4'd3: VAR5[24:31]<=VAR2[24:31]; 4'd4: VAR5[24:31]<=VAR2[32:39]; 4'd5: VAR5[24:31]<=VAR2[40:47]; 4'd6: VAR5[24:31]<=VAR2[48:55]; 4'd7: VAR5[24:31]<=VAR2[56:63]; 4'd8: VAR5[24:31]<=VAR2[64:71]; 4'd9: VAR5[24:31]<=VAR2[72:79]; 4'd10: VAR5[24:31]<=VAR2[80:87]; 4'd11: VAR5[24:31]<=VAR2[88:95]; 4'd12: VAR5[24:31]<=VAR2[96:103]; 4'd13: VAR5[24:31]<=VAR2[104:111]; 4'd14: VAR5[24:31]<=VAR2[112:119]; 4'd15: VAR5[24:31]<=VAR2[120:127]; endcase case(VAR3[36:39]) 4'd0: VAR5[32:39]<=VAR2[0:7]; 4'd1: VAR5[32:39]<=VAR2[8:15]; 4'd2: VAR5[32:39]<=VAR2[16:23]; 4'd3: VAR5[32:39]<=VAR2[24:31]; 4'd4: VAR5[32:39]<=VAR2[32:39]; 4'd5: VAR5[32:39]<=VAR2[40:47]; 4'd6: VAR5[32:39]<=VAR2[48:55]; 4'd7: VAR5[32:39]<=VAR2[56:63]; 4'd8: VAR5[32:39]<=VAR2[64:71]; 4'd9: VAR5[32:39]<=VAR2[72:79]; 4'd10: VAR5[32:39]<=VAR2[80:87]; 4'd11: VAR5[32:39]<=VAR2[88:95]; 4'd12: VAR5[32:39]<=VAR2[96:103]; 4'd13: VAR5[32:39]<=VAR2[104:111]; 4'd14: VAR5[32:39]<=VAR2[112:119]; 4'd15: VAR5[32:39]<=VAR2[120:127]; endcase case(VAR3[44:47]) 4'd0: VAR5[40:47]<=VAR2[0:7]; 4'd1: VAR5[40:47]<=VAR2[8:15]; 4'd2: VAR5[40:47]<=VAR2[16:23]; 4'd3: VAR5[40:47]<=VAR2[24:31]; 4'd4: VAR5[40:47]<=VAR2[32:39]; 4'd5: VAR5[40:47]<=VAR2[40:47]; 4'd6: VAR5[40:47]<=VAR2[48:55]; 4'd7: VAR5[40:47]<=VAR2[56:63]; 4'd8: VAR5[40:47]<=VAR2[64:71]; 4'd9: VAR5[40:47]<=VAR2[72:79]; 4'd10: VAR5[40:47]<=VAR2[80:87]; 4'd11: VAR5[40:47]<=VAR2[88:95]; 4'd12: VAR5[40:47]<=VAR2[96:103]; 4'd13: VAR5[40:47]<=VAR2[104:111]; 4'd14: VAR5[40:47]<=VAR2[112:119]; 4'd15: VAR5[40:47]<=VAR2[120:127]; endcase case(VAR3[52:55]) 4'd0: VAR5[48:55]<=VAR2[0:7]; 4'd1: VAR5[48:55]<=VAR2[8:15]; 4'd2: VAR5[48:55]<=VAR2[16:23]; 4'd3: VAR5[48:55]<=VAR2[24:31]; 4'd4: VAR5[48:55]<=VAR2[32:39]; 4'd5: VAR5[48:55]<=VAR2[40:47]; 4'd6: VAR5[48:55]<=VAR2[48:55]; 4'd7: VAR5[48:55]<=VAR2[56:63]; 4'd8: VAR5[48:55]<=VAR2[64:71]; 4'd9: VAR5[48:55]<=VAR2[72:79]; 4'd10: VAR5[48:55]<=VAR2[80:87]; 4'd11: VAR5[48:55]<=VAR2[88:95]; 4'd12: VAR5[48:55]<=VAR2[96:103]; 4'd13: VAR5[48:55]<=VAR2[104:111]; 4'd14: VAR5[48:55]<=VAR2[112:119]; 4'd15: VAR5[48:55]<=VAR2[120:127]; endcase case(VAR3[60:63]) 4'd0: VAR5[56:63]<=VAR2[0:7]; 4'd1: VAR5[56:63]<=VAR2[8:15]; 4'd2: VAR5[56:63]<=VAR2[16:23]; 4'd3: VAR5[56:63]<=VAR2[24:31]; 4'd4: VAR5[56:63]<=VAR2[32:39]; 4'd5: VAR5[56:63]<=VAR2[40:47]; 4'd6: VAR5[56:63]<=VAR2[48:55]; 4'd7: VAR5[56:63]<=VAR2[56:63]; 4'd8: VAR5[56:63]<=VAR2[64:71]; 4'd9: VAR5[56:63]<=VAR2[72:79]; 4'd10: VAR5[56:63]<=VAR2[80:87]; 4'd11: VAR5[56:63]<=VAR2[88:95]; 4'd12: VAR5[56:63]<=VAR2[96:103]; 4'd13: VAR5[56:63]<=VAR2[104:111]; 4'd14: VAR5[56:63]<=VAR2[112:119]; 4'd15: VAR5[56:63]<=VAR2[120:127]; endcase case(VAR3[68:71]) 4'd0: VAR5[64:71]<=VAR2[0:7]; 4'd1: VAR5[64:71]<=VAR2[8:15]; 4'd2: VAR5[64:71]<=VAR2[16:23]; 4'd3: VAR5[64:71]<=VAR2[24:31]; 4'd4: VAR5[64:71]<=VAR2[32:39]; 4'd5: VAR5[64:71]<=VAR2[40:47]; 4'd6: VAR5[64:71]<=VAR2[48:55]; 4'd7: VAR5[64:71]<=VAR2[56:63]; 4'd8: VAR5[64:71]<=VAR2[64:71]; 4'd9: VAR5[64:71]<=VAR2[72:79]; 4'd10: VAR5[64:71]<=VAR2[80:87]; 4'd11: VAR5[64:71]<=VAR2[88:95]; 4'd12: VAR5[64:71]<=VAR2[96:103]; 4'd13: VAR5[64:71]<=VAR2[104:111]; 4'd14: VAR5[64:71]<=VAR2[112:119]; 4'd15: VAR5[64:71]<=VAR2[120:127]; endcase case(VAR3[76:79]) 4'd0: VAR5[72:79]<=VAR2[0:7]; 4'd1: VAR5[72:79]<=VAR2[8:15]; 4'd2: VAR5[72:79]<=VAR2[16:23]; 4'd3: VAR5[72:79]<=VAR2[24:31]; 4'd4: VAR5[72:79]<=VAR2[32:39]; 4'd5: VAR5[72:79]<=VAR2[40:47]; 4'd6: VAR5[72:79]<=VAR2[48:55]; 4'd7: VAR5[72:79]<=VAR2[56:63]; 4'd8: VAR5[72:79]<=VAR2[64:71]; 4'd9: VAR5[72:79]<=VAR2[72:79]; 4'd10: VAR5[72:79]<=VAR2[80:87]; 4'd11: VAR5[72:79]<=VAR2[88:95]; 4'd12: VAR5[72:79]<=VAR2[96:103]; 4'd13: VAR5[72:79]<=VAR2[104:111]; 4'd14: VAR5[72:79]<=VAR2[112:119]; 4'd15: VAR5[72:79]<=VAR2[120:127]; endcase case(VAR3[84:87]) 4'd0: VAR5[80:87]<=VAR2[0:7]; 4'd1: VAR5[80:87]<=VAR2[8:15]; 4'd2: VAR5[80:87]<=VAR2[16:23]; 4'd3: VAR5[80:87]<=VAR2[24:31]; 4'd4: VAR5[80:87]<=VAR2[32:39]; 4'd5: VAR5[80:87]<=VAR2[40:47]; 4'd6: VAR5[80:87]<=VAR2[48:55]; 4'd7: VAR5[80:87]<=VAR2[56:63]; 4'd8: VAR5[80:87]<=VAR2[64:71]; 4'd9: VAR5[80:87]<=VAR2[72:79]; 4'd10: VAR5[80:87]<=VAR2[80:87]; 4'd11: VAR5[80:87]<=VAR2[88:95]; 4'd12: VAR5[80:87]<=VAR2[96:103]; 4'd13: VAR5[80:87]<=VAR2[104:111]; 4'd14: VAR5[80:87]<=VAR2[112:119]; 4'd15: VAR5[80:87]<=VAR2[120:127]; endcase case(VAR3[92:95]) 4'd0: VAR5[88:95]<=VAR2[0:7]; 4'd1: VAR5[88:95]<=VAR2[8:15]; 4'd2: VAR5[88:95]<=VAR2[16:23]; 4'd3: VAR5[88:95]<=VAR2[24:31]; 4'd4: VAR5[88:95]<=VAR2[32:39]; 4'd5: VAR5[88:95]<=VAR2[40:47]; 4'd6: VAR5[88:95]<=VAR2[48:55]; 4'd7: VAR5[88:95]<=VAR2[56:63]; 4'd8: VAR5[88:95]<=VAR2[64:71]; 4'd9: VAR5[88:95]<=VAR2[72:79]; 4'd10: VAR5[88:95]<=VAR2[80:87]; 4'd11: VAR5[88:95]<=VAR2[88:95]; 4'd12: VAR5[88:95]<=VAR2[96:103]; 4'd13: VAR5[88:95]<=VAR2[104:111]; 4'd14: VAR5[88:95]<=VAR2[112:119]; 4'd15: VAR5[88:95]<=VAR2[120:127]; endcase case(VAR3[100:103]) 4'd0: VAR5[96:103]<=VAR2[0:7]; 4'd1: VAR5[96:103]<=VAR2[8:15]; 4'd2: VAR5[96:103]<=VAR2[16:23]; 4'd3: VAR5[96:103]<=VAR2[24:31]; 4'd4: VAR5[96:103]<=VAR2[32:39]; 4'd5: VAR5[96:103]<=VAR2[40:47]; 4'd6: VAR5[96:103]<=VAR2[48:55]; 4'd7: VAR5[96:103]<=VAR2[56:63]; 4'd8: VAR5[96:103]<=VAR2[64:71]; 4'd9: VAR5[96:103]<=VAR2[72:79]; 4'd10: VAR5[96:103]<=VAR2[80:87]; 4'd11: VAR5[96:103]<=VAR2[88:95]; 4'd12: VAR5[96:103]<=VAR2[96:103]; 4'd13: VAR5[96:103]<=VAR2[104:111]; 4'd14: VAR5[96:103]<=VAR2[112:119]; 4'd15: VAR5[96:103]<=VAR2[120:127]; endcase case(VAR3[108:111]) 4'd0: VAR5[104:111]<=VAR2[0:7]; 4'd1: VAR5[104:111]<=VAR2[8:15]; 4'd2: VAR5[104:111]<=VAR2[16:23]; 4'd3: VAR5[104:111]<=VAR2[24:31]; 4'd4: VAR5[104:111]<=VAR2[32:39]; 4'd5: VAR5[104:111]<=VAR2[40:47]; 4'd6: VAR5[104:111]<=VAR2[48:55]; 4'd7: VAR5[104:111]<=VAR2[56:63]; 4'd8: VAR5[104:111]<=VAR2[64:71]; 4'd9: VAR5[104:111]<=VAR2[72:79]; 4'd10: VAR5[104:111]<=VAR2[80:87]; 4'd11: VAR5[104:111]<=VAR2[88:95]; 4'd12: VAR5[104:111]<=VAR2[96:103]; 4'd13: VAR5[104:111]<=VAR2[104:111]; 4'd14: VAR5[104:111]<=VAR2[112:119]; 4'd15: VAR5[104:111]<=VAR2[120:127]; endcase case(VAR3[116:119]) 4'd0: VAR5[112:119]<=VAR2[112:119]; 4'd1: VAR5[112:119]<=VAR2[8:15]; 4'd2: VAR5[112:119]<=VAR2[16:23]; 4'd3: VAR5[112:119]<=VAR2[24:31]; 4'd4: VAR5[112:119]<=VAR2[32:39]; 4'd5: VAR5[112:119]<=VAR2[40:47]; 4'd6: VAR5[112:119]<=VAR2[48:55]; 4'd7: VAR5[112:119]<=VAR2[56:63]; 4'd8: VAR5[112:119]<=VAR2[64:71]; 4'd9: VAR5[112:119]<=VAR2[72:79]; 4'd10: VAR5[112:119]<=VAR2[80:87]; 4'd11: VAR5[112:119]<=VAR2[88:95]; 4'd12: VAR5[112:119]<=VAR2[96:103]; 4'd13: VAR5[112:119]<=VAR2[104:111]; 4'd14: VAR5[112:119]<=VAR2[112:119]; 4'd15: VAR5[112:119]<=VAR2[120:127]; endcase case(VAR3[124:127]) 4'd0: VAR5[120:127]<=VAR2[0:7]; 4'd1: VAR5[120:127]<=VAR2[8:15]; 4'd2: VAR5[120:127]<=VAR2[16:23]; 4'd3: VAR5[120:127]<=VAR2[24:31]; 4'd4: VAR5[120:127]<=VAR2[32:39]; 4'd5: VAR5[120:127]<=VAR2[40:47]; 4'd6: VAR5[120:127]<=VAR2[48:55]; 4'd7: VAR5[120:127]<=VAR2[56:63]; 4'd8: VAR5[120:127]<=VAR2[64:71]; 4'd9: VAR5[120:127]<=VAR2[72:79]; 4'd10: VAR5[120:127]<=VAR2[80:87]; 4'd11: VAR5[120:127]<=VAR2[88:95]; 4'd12: VAR5[120:127]<=VAR2[96:103]; 4'd13: VAR5[120:127]<=VAR2[104:111]; 4'd14: VAR5[120:127]<=VAR2[112:119]; 4'd15: VAR5[120:127]<=VAR2[120:127]; endcase end begin case(VAR8) begin case(VAR3[2:4]) 3'd0: begin VAR5[0:127]<=VAR2[0:127]; end 3'd1: begin VAR5[0:7]<={VAR2[1:7],{1'b0}}; VAR5[8:15]<={VAR2[9:15],{1'b0}}; VAR5[16:23]<={VAR2[17:23],{1'b0}}; VAR5[24:31]<={VAR2[25:31],{1'b0}}; VAR5[32:39]<={VAR2[33:39],{1'b0}}; VAR5[40:47]<={VAR2[41:47],{1'b0}}; VAR5[48:55]<={VAR2[49:55],{1'b0}}; VAR5[56:63]<={VAR2[57:63],{1'b0}}; VAR5[64:71]<={VAR2[65:71],{1'b0}}; VAR5[72:79]<={VAR2[73:79],{1'b0}}; VAR5[80:87]<={VAR2[81:87],{1'b0}}; VAR5[88:95]<={VAR2[89:95],{1'b0}}; VAR5[96:103]<={VAR2[97:103],{1'b0}}; VAR5[104:111]<={VAR2[105:111],{1'b0}}; VAR5[112:119]<={VAR2[113:119],{1'b0}}; VAR5[120:127]<={VAR2[121:127],{1'b0}}; end 3'd2: begin VAR5[0:7]<={VAR2[2:7],{2{1'b0}}}; VAR5[8:15]<={VAR2[10:15],{2{1'b0}}}; VAR5[16:23]<={VAR2[18:23],{2{1'b0}}}; VAR5[24:31]<={VAR2[26:31],{2{1'b0}}}; VAR5[32:39]<={VAR2[34:39],{2{1'b0}}}; VAR5[40:47]<={VAR2[42:47],{2{1'b0}}}; VAR5[48:55]<={VAR2[50:55],{2{1'b0}}}; VAR5[56:63]<={VAR2[58:63],{2{1'b0}}}; VAR5[64:71]<={VAR2[66:71],{2{1'b0}}}; VAR5[72:79]<={VAR2[74:79],{2{1'b0}}}; VAR5[80:87]<={VAR2[82:87],{2{1'b0}}}; VAR5[88:95]<={VAR2[90:95],{2{1'b0}}}; VAR5[96:103]<={VAR2[98:103],{2{1'b0}}}; VAR5[104:111]<={VAR2[106:111],{2{1'b0}}}; VAR5[112:119]<={VAR2[114:119],{2{1'b0}}}; VAR5[120:127]<={VAR2[122:127],{2{1'b0}}}; end 3'd3: begin VAR5[0:7]<={VAR2[3:7],{3{1'b0}}}; VAR5[8:15]<={VAR2[11:15],{3{1'b0}}}; VAR5[16:23]<={VAR2[19:23],{3{1'b0}}}; VAR5[24:31]<={VAR2[27:31],{3{1'b0}}}; VAR5[32:39]<={VAR2[35:39],{3{1'b0}}}; VAR5[40:47]<={VAR2[43:47],{3{1'b0}}}; VAR5[48:55]<={VAR2[51:55],{3{1'b0}}}; VAR5[56:63]<={VAR2[59:63],{3{1'b0}}}; VAR5[64:71]<={VAR2[67:71],{3{1'b0}}}; VAR5[72:79]<={VAR2[75:79],{3{1'b0}}}; VAR5[80:87]<={VAR2[83:87],{3{1'b0}}}; VAR5[88:95]<={VAR2[91:95],{3{1'b0}}}; VAR5[96:103]<={VAR2[99:103],{3{1'b0}}}; VAR5[104:111]<={VAR2[107:111],{3{1'b0}}}; VAR5[112:119]<={VAR2[115:119],{3{1'b0}}}; VAR5[120:127]<={VAR2[123:127],{3{1'b0}}}; end 3'd4: begin VAR5[0:7]<={VAR2[4:7],{4{1'b0}}}; VAR5[8:15]<={VAR2[12:15],{4{1'b0}}}; VAR5[16:23]<={VAR2[20:23],{4{1'b0}}}; VAR5[24:31]<={VAR2[28:31],{4{1'b0}}}; VAR5[32:39]<={VAR2[36:39],{4{1'b0}}}; VAR5[40:47]<={VAR2[44:47],{4{1'b0}}}; VAR5[48:55]<={VAR2[52:55],{4{1'b0}}}; VAR5[56:63]<={VAR2[60:63],{4{1'b0}}}; VAR5[64:71]<={VAR2[68:71],{4{1'b0}}}; VAR5[72:79]<={VAR2[76:79],{4{1'b0}}}; VAR5[80:87]<={VAR2[84:87],{4{1'b0}}}; VAR5[88:95]<={VAR2[92:95],{4{1'b0}}}; VAR5[96:103]<={VAR2[100:103],{4{1'b0}}}; VAR5[104:111]<={VAR2[108:111],{4{1'b0}}}; VAR5[112:119]<={VAR2[116:119],{4{1'b0}}}; VAR5[120:127]<={VAR2[124:127],{4{1'b0}}}; end 3'd5: begin VAR5[0:7]<={VAR2[5:7],{5{1'b0}}}; VAR5[8:15]<={VAR2[13:15],{5{1'b0}}}; VAR5[16:23]<={VAR2[21:23],{5{1'b0}}}; VAR5[24:31]<={VAR2[29:31],{5{1'b0}}}; VAR5[32:39]<={VAR2[37:39],{5{1'b0}}}; VAR5[40:47]<={VAR2[45:47],{5{1'b0}}}; VAR5[48:55]<={VAR2[53:55],{5{1'b0}}}; VAR5[56:63]<={VAR2[61:63],{5{1'b0}}}; VAR5[64:71]<={VAR2[69:71],{5{1'b0}}}; VAR5[72:79]<={VAR2[77:79],{5{1'b0}}}; VAR5[80:87]<={VAR2[85:87],{5{1'b0}}}; VAR5[88:95]<={VAR2[93:95],{5{1'b0}}}; VAR5[96:103]<={VAR2[101:103],{5{1'b0}}}; VAR5[104:111]<={VAR2[109:111],{5{1'b0}}}; VAR5[112:119]<={VAR2[117:119],{5{1'b0}}}; VAR5[120:127]<={VAR2[125:127],{5{1'b0}}}; end 3'd6: begin VAR5[0:7]<={VAR2[6:7],{6{1'b0}}}; VAR5[8:15]<={VAR2[14:15],{6{1'b0}}}; VAR5[16:23]<={VAR2[22:23],{6{1'b0}}}; VAR5[24:31]<={VAR2[30:31],{6{1'b0}}}; VAR5[32:39]<={VAR2[38:39],{6{1'b0}}}; VAR5[40:47]<={VAR2[46:47],{6{1'b0}}}; VAR5[48:55]<={VAR2[54:55],{6{1'b0}}}; VAR5[56:63]<={VAR2[62:63],{6{1'b0}}}; VAR5[64:71]<={VAR2[70:71],{6{1'b0}}}; VAR5[72:79]<={VAR2[78:79],{6{1'b0}}}; VAR5[80:87]<={VAR2[86:87],{6{1'b0}}}; VAR5[88:95]<={VAR2[94:95],{6{1'b0}}}; VAR5[96:103]<={VAR2[102:103],{6{1'b0}}}; VAR5[104:111]<={VAR2[110:111],{6{1'b0}}}; VAR5[112:119]<={VAR2[118:119],{6{1'b0}}}; VAR5[120:127]<={VAR2[126:127],{6{1'b0}}}; end 3'd7: begin VAR5[0:7]<={VAR2[7],{7{1'b0}}}; VAR5[8:15]<={VAR2[15],{7{1'b0}}}; VAR5[16:23]<={VAR2[23],{7{1'b0}}}; VAR5[24:31]<={VAR2[31],{7{1'b0}}}; VAR5[32:39]<={VAR2[39],{7{1'b0}}}; VAR5[40:47]<={VAR2[47],{7{1'b0}}}; VAR5[48:55]<={VAR2[55],{7{1'b0}}}; VAR5[56:63]<={VAR2[63],{7{1'b0}}}; VAR5[64:71]<={VAR2[71],{7{1'b0}}}; VAR5[72:79]<={VAR2[79],{7{1'b0}}}; VAR5[80:87]<={VAR2[87],{7{1'b0}}}; VAR5[88:95]<={VAR2[95],{7{1'b0}}}; VAR5[96:103]<={VAR2[103],{7{1'b0}}}; VAR5[104:111]<={VAR2[111],{7{1'b0}}}; VAR5[112:119]<={VAR2[119],{7{1'b0}}}; VAR5[120:127]<={VAR2[127],{7{1'b0}}}; end endcase end begin case(VAR3[1:4]) 4'd0: begin VAR5[0:127]<=VAR2[0:127]; end 4'd1: begin VAR5[0:15]<={VAR2[1:15],{1'b0}}; VAR5[16:31]<={VAR2[17:31],{1'b0}}; VAR5[32:47]<={VAR2[33:47],{1'b0}}; VAR5[48:63]<={VAR2[49:63],{1'b0}}; VAR5[64:79]<={VAR2[65:79],{1'b0}}; VAR5[80:95]<={VAR2[81:95],{1'b0}}; VAR5[96:111]<={VAR2[97:111],{1'b0}}; VAR5[112:127]<={VAR2[113:127],{1'b0}}; end 4'd2: begin VAR5[0:15]<={VAR2[2:15],{2{1'b0}}}; VAR5[16:31]<={VAR2[18:31],{2{1'b0}}}; VAR5[32:47]<={VAR2[34:47],{2{1'b0}}}; VAR5[48:63]<={VAR2[50:63],{2{1'b0}}}; VAR5[64:79]<={VAR2[66:79],{2{1'b0}}}; VAR5[80:95]<={VAR2[82:95],{2{1'b0}}}; VAR5[96:111]<={VAR2[98:111],{2{1'b0}}}; VAR5[112:127]<={VAR2[114:127],{2{1'b0}}}; end 4'd3: begin VAR5[0:15]<={VAR2[3:15],{3{1'b0}}}; VAR5[16:31]<={VAR2[19:31],{3{1'b0}}}; VAR5[32:47]<={VAR2[35:47],{3{1'b0}}}; VAR5[48:63]<={VAR2[51:63],{3{1'b0}}}; VAR5[64:79]<={VAR2[67:79],{3{1'b0}}}; VAR5[80:95]<={VAR2[83:95],{3{1'b0}}}; VAR5[96:111]<={VAR2[99:111],{3{1'b0}}}; VAR5[112:127]<={VAR2[115:127],{3{1'b0}}}; end 4'd4: begin VAR5[0:15]<={VAR2[4:15],{4{1'b0}}}; VAR5[16:31]<={VAR2[20:31],{4{1'b0}}}; VAR5[32:47]<={VAR2[36:47],{4{1'b0}}}; VAR5[48:63]<={VAR2[52:63],{4{1'b0}}}; VAR5[64:79]<={VAR2[68:79],{4{1'b0}}}; VAR5[80:95]<={VAR2[84:95],{4{1'b0}}}; VAR5[96:111]<={VAR2[100:111],{4{1'b0}}}; VAR5[112:127]<={VAR2[116:127],{4{1'b0}}}; end 4'd5: begin VAR5[0:15]<={VAR2[5:15],{5{1'b0}}}; VAR5[16:31]<={VAR2[21:31],{5{1'b0}}}; VAR5[32:47]<={VAR2[37:47],{5{1'b0}}}; VAR5[48:63]<={VAR2[52:63],{5{1'b0}}}; VAR5[64:79]<={VAR2[69:79],{5{1'b0}}}; VAR5[80:95]<={VAR2[85:95],{5{1'b0}}}; VAR5[96:111]<={VAR2[101:111],{5{1'b0}}}; VAR5[112:127]<={VAR2[117:127],{5{1'b0}}}; end 4'd6: begin VAR5[0:15]<={VAR2[6:15],{6{1'b0}}}; VAR5[16:31]<={VAR2[22:31],{6{1'b0}}}; VAR5[32:47]<={VAR2[38:47],{6{1'b0}}}; VAR5[48:63]<={VAR2[53:63],{6{1'b0}}}; VAR5[64:79]<={VAR2[70:79],{6{1'b0}}}; VAR5[80:95]<={VAR2[86:95],{6{1'b0}}}; VAR5[96:111]<={VAR2[102:111],{6{1'b0}}}; VAR5[112:127]<={VAR2[118:127],{6{1'b0}}}; end 4'd7: begin VAR5[0:15]<={VAR2[7:15],{7{1'b0}}}; VAR5[16:31]<={VAR2[23:31],{7{1'b0}}}; VAR5[32:47]<={VAR2[39:47],{7{1'b0}}}; VAR5[48:63]<={VAR2[54:63],{7{1'b0}}}; VAR5[64:79]<={VAR2[71:79],{7{1'b0}}}; VAR5[80:95]<={VAR2[87:95],{7{1'b0}}}; VAR5[96:111]<={VAR2[103:111],{7{1'b0}}}; VAR5[112:127]<={VAR2[119:127],{7{1'b0}}}; end 4'd8: begin VAR5[0:15]<={VAR2[8:15],{8{1'b0}}}; VAR5[16:31]<={VAR2[24:31],{8{1'b0}}}; VAR5[32:47]<={VAR2[40:47],{8{1'b0}}}; VAR5[48:63]<={VAR2[55:63],{8{1'b0}}}; VAR5[64:79]<={VAR2[72:79],{8{1'b0}}}; VAR5[80:95]<={VAR2[88:95],{8{1'b0}}}; VAR5[96:111]<={VAR2[104:111],{8{1'b0}}}; VAR5[112:127]<={VAR2[120:127],{8{1'b0}}}; end 4'd9: begin VAR5[0:15]<={VAR2[9:15],{9{1'b0}}}; VAR5[16:31]<={VAR2[25:31],{9{1'b0}}}; VAR5[32:47]<={VAR2[41:47],{9{1'b0}}}; VAR5[48:63]<={VAR2[56:63],{9{1'b0}}}; VAR5[64:79]<={VAR2[73:79],{9{1'b0}}}; VAR5[80:95]<={VAR2[89:95],{9{1'b0}}}; VAR5[96:111]<={VAR2[105:111],{9{1'b0}}}; VAR5[112:127]<={VAR2[121:127],{9{1'b0}}}; end 4'd10: begin VAR5[0:15]<={VAR2[10:15],{10{1'b0}}}; VAR5[16:31]<={VAR2[26:31],{10{1'b0}}}; VAR5[32:47]<={VAR2[42:47],{10{1'b0}}}; VAR5[48:63]<={VAR2[58:63],{10{1'b0}}}; VAR5[64:79]<={VAR2[74:79],{10{1'b0}}}; VAR5[80:95]<={VAR2[90:95],{10{1'b0}}}; VAR5[96:111]<={VAR2[106:111],{10{1'b0}}}; VAR5[112:127]<={VAR2[122:127],{10{1'b0}}}; end 4'd11: begin VAR5[0:15]<={VAR2[11:15],{11{1'b0}}}; VAR5[16:31]<={VAR2[27:31],{11{1'b0}}}; VAR5[32:47]<={VAR2[43:47],{11{1'b0}}}; VAR5[48:63]<={VAR2[59:63],{11{1'b0}}}; VAR5[64:79]<={VAR2[75:79],{11{1'b0}}}; VAR5[80:95]<={VAR2[91:95],{11{1'b0}}}; VAR5[96:111]<={VAR2[107:111],{11{1'b0}}}; VAR5[112:127]<={VAR2[123:127],{11{1'b0}}}; end 4'd12: begin VAR5[0:15]<={VAR2[12:15],{12{1'b0}}}; VAR5[16:31]<={VAR2[28:31],{12{1'b0}}}; VAR5[32:47]<={VAR2[44:47],{12{1'b0}}}; VAR5[48:63]<={VAR2[60:63],{12{1'b0}}}; VAR5[64:79]<={VAR2[76:79],{12{1'b0}}}; VAR5[80:95]<={VAR2[92:95],{12{1'b0}}}; VAR5[96:111]<={VAR2[108:111],{12{1'b0}}}; VAR5[112:127]<={VAR2[124:127],{12{1'b0}}}; end 4'd13: begin VAR5[0:15]<={VAR2[13:15],{13{1'b0}}}; VAR5[16:31]<={VAR2[29:31],{13{1'b0}}}; VAR5[32:47]<={VAR2[45:47],{13{1'b0}}}; VAR5[48:63]<={VAR2[61:63],{13{1'b0}}}; VAR5[64:79]<={VAR2[77:79],{13{1'b0}}}; VAR5[80:95]<={VAR2[93:95],{13{1'b0}}}; VAR5[96:111]<={VAR2[109:111],{13{1'b0}}}; VAR5[112:127]<={VAR2[125:127],{13{1'b0}}}; end 4'd14: begin VAR5[0:15]<={VAR2[14:15],{14{1'b0}}}; VAR5[16:31]<={VAR2[30:31],{14{1'b0}}}; VAR5[32:47]<={VAR2[46:47],{14{1'b0}}}; VAR5[48:63]<={VAR2[62:63],{14{1'b0}}}; VAR5[64:79]<={VAR2[78:79],{14{1'b0}}}; VAR5[80:95]<={VAR2[94:95],{14{1'b0}}}; VAR5[96:111]<={VAR2[110:111],{14{1'b0}}}; VAR5[112:127]<={VAR2[126:127],{14{1'b0}}}; end 4'd15: begin VAR5[0:15]<={VAR2[15],{15{1'b0}}}; VAR5[16:31]<={VAR2[31],{15{1'b0}}}; VAR5[32:47]<={VAR2[47],{15{1'b0}}}; VAR5[48:63]<={VAR2[63],{15{1'b0}}}; VAR5[64:79]<={VAR2[79],{15{1'b0}}}; VAR5[80:95]<={VAR2[95],{15{1'b0}}}; VAR5[96:111]<={VAR2[111],{15{1'b0}}}; VAR5[112:127]<={VAR2[127],{15{1'b0}}}; end endcase end begin case(VAR3[0:4]) 5'd0: begin VAR5[0:127]<=VAR2[0:127]; end 5'd1: begin VAR5[0:31]<={VAR2[1:31],{1'b0}}; VAR5[32:63]<={VAR2[33:63],{1'b0}}; VAR5[64:95]<={VAR2[65:95],{1'b0}}; VAR5[96:127]<={VAR2[97:127],{1'b0}}; end 5'd2: begin VAR5[0:31]<={VAR2[2:31],{2{1'b0}}}; VAR5[32:63]<={VAR2[34:63],{2{1'b0}}}; VAR5[64:95]<={VAR2[66:95],{2{1'b0}}}; VAR5[96:127]<={VAR2[98:127],{2{1'b0}}}; end 5'd3: begin VAR5[0:31]<={VAR2[3:31],{3{1'b0}}}; VAR5[32:63]<={VAR2[35:63],{3{1'b0}}}; VAR5[64:95]<={VAR2[67:95],{3{1'b0}}}; VAR5[96:127]<={VAR2[99:127],{3{1'b0}}}; end 5'd4: begin VAR5[0:31]<={VAR2[4:31],{4{1'b0}}}; VAR5[32:63]<={VAR2[36:63],{4{1'b0}}}; VAR5[64:95]<={VAR2[68:95],{4{1'b0}}}; VAR5[96:127]<={VAR2[100:127],{4{1'b0}}}; end 5'd5: begin VAR5[0:31]<={VAR2[5:31],{5{1'b0}}}; VAR5[32:63]<={VAR2[37:63],{5{1'b0}}}; VAR5[64:95]<={VAR2[69:95],{5{1'b0}}}; VAR5[96:127]<={VAR2[101:127],{5{1'b0}}}; end 5'd6: begin VAR5[0:31]<={VAR2[6:31],{6{1'b0}}}; VAR5[32:63]<={VAR2[38:63],{6{1'b0}}}; VAR5[64:95]<={VAR2[70:95],{6{1'b0}}}; VAR5[96:127]<={VAR2[102:127],{6{1'b0}}}; end 5'd7: begin VAR5[0:31]<={VAR2[7:31],{7{1'b0}}}; VAR5[32:63]<={VAR2[39:63],{7{1'b0}}}; VAR5[64:95]<={VAR2[71:95],{7{1'b0}}}; VAR5[96:127]<={VAR2[103:127],{7{1'b0}}}; end 5'd8: begin VAR5[0:31]<={VAR2[8:31],{8{1'b0}}}; VAR5[32:63]<={VAR2[40:63],{8{1'b0}}}; VAR5[64:95]<={VAR2[72:95],{8{1'b0}}}; VAR5[96:127]<={VAR2[104:127],{8{1'b0}}}; end 5'd9: begin VAR5[0:31]<={VAR2[9:31],{9{1'b0}}}; VAR5[32:63]<={VAR2[41:63],{9{1'b0}}}; VAR5[64:95]<={VAR2[73:95],{9{1'b0}}}; VAR5[96:127]<={VAR2[105:127],{9{1'b0}}}; end 5'd10: begin VAR5[0:31]<={VAR2[10:31],{10{1'b0}}}; VAR5[32:63]<={VAR2[42:63],{10{1'b0}}}; VAR5[64:95]<={VAR2[74:95],{10{1'b0}}}; VAR5[96:127]<={VAR2[106:127],{10{1'b0}}}; end 5'd11: begin VAR5[0:31]<={VAR2[11:31],{11{1'b0}}}; VAR5[32:63]<={VAR2[43:63],{11{1'b0}}}; VAR5[64:95]<={VAR2[75:95],{11{1'b0}}}; VAR5[96:127]<={VAR2[107:127],{11{1'b0}}}; end 5'd12: begin VAR5[0:31]<={VAR2[12:31],{12{1'b0}}}; VAR5[32:63]<={VAR2[44:63],{12{1'b0}}}; VAR5[64:95]<={VAR2[76:95],{12{1'b0}}}; VAR5[96:127]<={VAR2[108:127],{12{1'b0}}}; end 5'd13: begin VAR5[0:31]<={VAR2[13:31],{13{1'b0}}}; VAR5[32:63]<={VAR2[45:63],{13{1'b0}}}; VAR5[64:95]<={VAR2[77:95],{13{1'b0}}}; VAR5[96:127]<={VAR2[109:127],{13{1'b0}}}; end 5'd14: begin VAR5[0:31]<={VAR2[14:31],{14{1'b0}}}; VAR5[32:63]<={VAR2[46:63],{14{1'b0}}}; VAR5[64:95]<={VAR2[78:95],{14{1'b0}}}; VAR5[96:127]<={VAR2[110:127],{14{1'b0}}}; end 5'd15: begin VAR5[0:31]<={VAR2[15:31],{15{1'b0}}}; VAR5[32:63]<={VAR2[47:63],{15{1'b0}}}; VAR5[64:95]<={VAR2[79:95],{15{1'b0}}}; VAR5[96:127]<={VAR2[111:127],{15{1'b0}}}; end 5'd16: begin VAR5[0:31]<={VAR2[16:31],{16{1'b0}}}; VAR5[32:63]<={VAR2[48:63],{16{1'b0}}}; VAR5[64:95]<={VAR2[80:95],{16{1'b0}}}; VAR5[96:127]<={VAR2[112:127],{16{1'b0}}}; end 5'd17: begin VAR5[0:31]<={VAR2[17:31],{17{1'b0}}}; VAR5[32:63]<={VAR2[49:63],{17{1'b0}}}; VAR5[64:95]<={VAR2[81:95],{17{1'b0}}}; VAR5[96:127]<={VAR2[113:127],{17{1'b0}}}; end 5'd18: begin VAR5[0:31]<={VAR2[18:31],{18{1'b0}}}; VAR5[32:63]<={VAR2[50:63],{18{1'b0}}}; VAR5[64:95]<={VAR2[82:95],{18{1'b0}}}; VAR5[96:127]<={VAR2[114:127],{18{1'b0}}}; end 5'd19: begin VAR5[0:31]<={VAR2[19:31],{19{1'b0}}}; VAR5[32:63]<={VAR2[51:63],{19{1'b0}}}; VAR5[64:95]<={VAR2[83:95],{19{1'b0}}}; VAR5[96:127]<={VAR2[115:127],{19{1'b0}}}; end 5'd20: begin VAR5[0:31]<={VAR2[20:31],{20{1'b0}}}; VAR5[32:63]<={VAR2[52:63],{20{1'b0}}}; VAR5[64:95]<={VAR2[84:95],{20{1'b0}}}; VAR5[96:127]<={VAR2[116:127],{20{1'b0}}}; end 5'd21: begin VAR5[0:31]<={VAR2[21:31],{21{1'b0}}}; VAR5[32:63]<={VAR2[53:63],{21{1'b0}}}; VAR5[64:95]<={VAR2[85:95],{21{1'b0}}}; VAR5[96:127]<={VAR2[117:127],{21{1'b0}}}; end 5'd22: begin VAR5[0:31]<={VAR2[22:31],{22{1'b0}}}; VAR5[32:63]<={VAR2[54:63],{22{1'b0}}}; VAR5[64:95]<={VAR2[86:95],{22{1'b0}}}; VAR5[96:127]<={VAR2[118:127],{22{1'b0}}}; end 5'd23: begin VAR5[0:31]<={VAR2[23:31],{23{1'b0}}}; VAR5[32:63]<={VAR2[55:63],{23{1'b0}}}; VAR5[64:95]<={VAR2[87:95],{23{1'b0}}}; VAR5[96:127]<={VAR2[119:127],{23{1'b0}}}; end 5'd24: begin VAR5[0:31]<={VAR2[24:31],{24{1'b0}}}; VAR5[32:63]<={VAR2[56:63],{24{1'b0}}}; VAR5[64:95]<={VAR2[88:95],{24{1'b0}}}; VAR5[96:127]<={VAR2[120:127],{24{1'b0}}}; end 5'd25: begin VAR5[0:31]<={VAR2[25:31],{25{1'b0}}}; VAR5[32:63]<={VAR2[57:63],{25{1'b0}}}; VAR5[64:95]<={VAR2[89:95],{25{1'b0}}}; VAR5[96:127]<={VAR2[121:127],{25{1'b0}}}; end 5'd26: begin VAR5[0:31]<={VAR2[26:31],{26{1'b0}}}; VAR5[32:63]<={VAR2[58:63],{26{1'b0}}}; VAR5[64:95]<={VAR2[90:95],{26{1'b0}}}; VAR5[96:127]<={VAR2[122:127],{26{1'b0}}}; end 5'd27: begin VAR5[0:31]<={VAR2[27:31],{27{1'b0}}}; VAR5[32:63]<={VAR2[59:63],{27{1'b0}}}; VAR5[64:95]<={VAR2[91:95],{27{1'b0}}}; VAR5[96:127]<={VAR2[123:127],{27{1'b0}}}; end 5'd28: begin VAR5[0:31]<={VAR2[28:31],{28{1'b0}}}; VAR5[32:63]<={VAR2[60:63],{28{1'b0}}}; VAR5[64:95]<={VAR2[92:95],{28{1'b0}}}; VAR5[96:127]<={VAR2[124:127],{28{1'b0}}}; end 5'd29: begin VAR5[0:31]<={VAR2[29:31],{29{1'b0}}}; VAR5[32:63]<={VAR2[61:63],{29{1'b0}}}; VAR5[64:95]<={VAR2[93:95],{29{1'b0}}}; VAR5[96:127]<={VAR2[125:127],{29{1'b0}}}; end 5'd30: begin VAR5[0:31]<={VAR2[30:31],{30{1'b0}}}; VAR5[32:63]<={VAR2[62:63],{30{1'b0}}}; VAR5[64:95]<={VAR2[94:95],{30{1'b0}}}; VAR5[96:127]<={VAR2[126:127],{30{1'b0}}}; end 5'd31: begin VAR5[0:31]<={VAR2[31],{31{1'b0}}}; VAR5[32:63]<={VAR2[63],{31{1'b0}}}; VAR5[64:95]<={VAR2[95],{31{1'b0}}}; VAR5[96:127]<={VAR2[127],{31{1'b0}}}; end endcase end endcase end begin case(VAR8) begin case(VAR3[2:4]) 3'd0: begin VAR5[0:127]<=VAR2[0:127]; end 3'd1: begin VAR5[0:7]<={{1'b0},VAR2[0:6]}; VAR5[8:15]<={{1'b0},VAR2[8:14]}; VAR5[16:23]<={{1'b0},VAR2[16:22]}; VAR5[24:31]<={{1'b0},VAR2[24:30]}; VAR5[32:39]<={{1'b0},VAR2[32:38]}; VAR5[40:47]<={{1'b0},VAR2[40:46]}; VAR5[48:55]<={{1'b0},VAR2[48:54]}; VAR5[56:63]<={{1'b0},VAR2[56:62]}; VAR5[64:71]<={{1'b0},VAR2[64:70]}; VAR5[72:79]<={{1'b0},VAR2[72:78]}; VAR5[80:87]<={{1'b0},VAR2[80:86]}; VAR5[88:95]<={{1'b0},VAR2[88:94]}; VAR5[96:103]<={{1'b0},VAR2[96:102]}; VAR5[104:111]<={{1'b0},VAR2[104:110]}; VAR5[112:119]<={{1'b0},VAR2[112:118]}; VAR5[120:127]<={{1'b0},VAR2[120:126]}; end 3'd2: begin VAR5[0:7]<={{2{1'b0}},VAR2[0:5]}; VAR5[8:15]<={{2{1'b0}},VAR2[8:13]}; VAR5[16:23]<={{2{1'b0}},VAR2[16:21]}; VAR5[24:31]<={{2{1'b0}},VAR2[24:29]}; VAR5[32:39]<={{2{1'b0}},VAR2[32:37]}; VAR5[40:47]<={{2{1'b0}},VAR2[40:45]}; VAR5[48:55]<={{2{1'b0}},VAR2[48:53]}; VAR5[56:63]<={{2{1'b0}},VAR2[56:61]}; VAR5[64:71]<={{2{1'b0}},VAR2[64:69]}; VAR5[72:79]<={{2{1'b0}},VAR2[72:77]}; VAR5[80:87]<={{2{1'b0}},VAR2[80:85]}; VAR5[88:95]<={{2{1'b0}},VAR2[88:93]}; VAR5[96:103]<={{2{1'b0}},VAR2[96:101]}; VAR5[104:111]<={{2{1'b0}},VAR2[104:109]}; VAR5[112:119]<={{2{1'b0}},VAR2[112:117]}; VAR5[120:127]<={{2{1'b0}},VAR2[120:125]}; end 3'd3: begin VAR5[0:7]<={{3{1'b0}},VAR2[0:4]}; VAR5[8:15]<={{3{1'b0}},VAR2[8:12]}; VAR5[16:23]<={{3{1'b0}},VAR2[16:20]}; VAR5[24:31]<={{3{1'b0}},VAR2[24:28]}; VAR5[32:39]<={{3{1'b0}},VAR2[32:36]}; VAR5[40:47]<={{3{1'b0}},VAR2[40:44]}; VAR5[48:55]<={{3{1'b0}},VAR2[48:52]}; VAR5[56:63]<={{3{1'b0}},VAR2[56:60]}; VAR5[64:71]<={{3{1'b0}},VAR2[64:68]}; VAR5[72:79]<={{3{1'b0}},VAR2[72:76]}; VAR5[80:87]<={{3{1'b0}},VAR2[80:84]}; VAR5[88:95]<={{3{1'b0}},VAR2[88:92]}; VAR5[96:103]<={{3{1'b0}},VAR2[96:100]}; VAR5[104:111]<={{3{1'b0}},VAR2[104:108]}; VAR5[112:119]<={{3{1'b0}},VAR2[112:116]}; VAR5[120:127]<={{3{1'b0}},VAR2[120:124]}; end 3'd4: begin VAR5[0:7]<={{4{1'b0}},VAR2[0:3]}; VAR5[8:15]<={{4{1'b0}},VAR2[8:11]}; VAR5[16:23]<={{4{1'b0}},VAR2[16:19]}; VAR5[24:31]<={{4{1'b0}},VAR2[24:27]}; VAR5[32:39]<={{4{1'b0}},VAR2[32:35]}; VAR5[40:47]<={{4{1'b0}},VAR2[40:43]}; VAR5[48:55]<={{4{1'b0}},VAR2[48:51]}; VAR5[56:63]<={{4{1'b0}},VAR2[56:69]}; VAR5[64:71]<={{4{1'b0}},VAR2[64:67]}; VAR5[72:79]<={{4{1'b0}},VAR2[72:75]}; VAR5[80:87]<={{4{1'b0}},VAR2[80:83]}; VAR5[88:95]<={{4{1'b0}},VAR2[88:91]}; VAR5[96:103]<={{4{1'b0}},VAR2[96:99]}; VAR5[104:111]<={{4{1'b0}},VAR2[104:107]}; VAR5[112:119]<={{4{1'b0}},VAR2[112:115]}; VAR5[120:127]<={{4{1'b0}},VAR2[120:123]}; end 3'd5: begin VAR5[0:7]<={{5{1'b0}},VAR2[0:2]}; VAR5[8:15]<={{5{1'b0}},VAR2[8:10]}; VAR5[16:23]<={{5{1'b0}},VAR2[16:18]}; VAR5[24:31]<={{5{1'b0}},VAR2[24:26]}; VAR5[32:39]<={{5{1'b0}},VAR2[32:34]}; VAR5[40:47]<={{5{1'b0}},VAR2[40:42]}; VAR5[48:55]<={{5{1'b0}},VAR2[48:50]}; VAR5[56:63]<={{5{1'b0}},VAR2[56:68]}; VAR5[64:71]<={{5{1'b0}},VAR2[64:66]}; VAR5[72:79]<={{5{1'b0}},VAR2[72:74]}; VAR5[80:87]<={{5{1'b0}},VAR2[80:82]}; VAR5[88:95]<={{5{1'b0}},VAR2[88:90]}; VAR5[96:103]<={{5{1'b0}},VAR2[96:98]}; VAR5[104:111]<={{5{1'b0}},VAR2[104:106]}; VAR5[112:119]<={{5{1'b0}},VAR2[112:114]}; VAR5[120:127]<={{5{1'b0}},VAR2[120:122]}; end 3'd6: begin VAR5[0:7]<={{6{1'b0}},VAR2[0:1]}; VAR5[8:15]<={{6{1'b0}},VAR2[8:9]}; VAR5[16:23]<={{6{1'b0}},VAR2[16:17]}; VAR5[24:31]<={{6{1'b0}},VAR2[24:25]}; VAR5[32:39]<={{6{1'b0}},VAR2[32:33]}; VAR5[40:47]<={{6{1'b0}},VAR2[40:41]}; VAR5[48:55]<={{6{1'b0}},VAR2[48:49]}; VAR5[56:63]<={{6{1'b0}},VAR2[56:67]}; VAR5[64:71]<={{6{1'b0}},VAR2[64:65]}; VAR5[72:79]<={{6{1'b0}},VAR2[72:73]}; VAR5[80:87]<={{6{1'b0}},VAR2[80:81]}; VAR5[88:95]<={{6{1'b0}},VAR2[88:89]}; VAR5[96:103]<={{6{1'b0}},VAR2[96:97]}; VAR5[104:111]<={{6{1'b0}},VAR2[104:105]}; VAR5[112:119]<={{6{1'b0}},VAR2[112:113]}; VAR5[120:127]<={{6{1'b0}},VAR2[120:121]}; end 3'd7: begin VAR5[0:7]<={{7{1'b0}},VAR2[0]}; VAR5[8:15]<={{7{1'b0}},VAR2[8]}; VAR5[16:23]<={{7{1'b0}},VAR2[16]}; VAR5[24:31]<={{7{1'b0}},VAR2[24]}; VAR5[32:39]<={{7{1'b0}},VAR2[32]}; VAR5[40:47]<={{7{1'b0}},VAR2[40]}; VAR5[48:55]<={{7{1'b0}},VAR2[48]}; VAR5[56:63]<={{7{1'b0}},VAR2[56]}; VAR5[64:71]<={{7{1'b0}},VAR2[64]}; VAR5[72:79]<={{7{1'b0}},VAR2[72]}; VAR5[80:87]<={{7{1'b0}},VAR2[80]}; VAR5[88:95]<={{7{1'b0}},VAR2[88]}; VAR5[96:103]<={{7{1'b0}},VAR2[96]}; VAR5[104:111]<={{7{1'b0}},VAR2[104]}; VAR5[112:119]<={{7{1'b0}},VAR2[112]}; VAR5[120:127]<={{7{1'b0}},VAR2[120]}; end endcase end begin case(VAR3[1:4]) 4'd0: begin VAR5[0:127]<=VAR2[0:127]; end 4'd1: begin VAR5[0:15]<={{1'b0},VAR2[0:14]}; VAR5[16:31]<={{1'b0},VAR2[16:30]}; VAR5[32:47]<={{1'b0},VAR2[32:46]}; VAR5[48:63]<={{1'b0},VAR2[48:62]}; VAR5[64:79]<={{1'b0},VAR2[64:78]}; VAR5[80:95]<={{1'b0},VAR2[80:94]}; VAR5[96:111]<={{1'b0},VAR2[96:110]}; VAR5[112:127]<={{1'b0},VAR2[112:126]}; end 4'd2: begin VAR5[0:15]<={{2{1'b0}},VAR2[0:13]}; VAR5[16:31]<={{2{1'b0}},VAR2[16:29]}; VAR5[32:47]<={{2{1'b0}},VAR2[32:45]}; VAR5[48:63]<={{2{1'b0}},VAR2[48:61]}; VAR5[64:79]<={{2{1'b0}},VAR2[64:77]}; VAR5[80:95]<={{2{1'b0}},VAR2[80:93]}; VAR5[96:111]<={{2{1'b0}},VAR2[96:109]}; VAR5[112:127]<={{2{1'b0}},VAR2[112:125]}; end 4'd3: begin VAR5[0:15]<={{3{1'b0}},VAR2[0:12]}; VAR5[16:31]<={{3{1'b0}},VAR2[16:28]}; VAR5[32:47]<={{3{1'b0}},VAR2[32:44]}; VAR5[48:63]<={{3{1'b0}},VAR2[48:60]}; VAR5[64:79]<={{3{1'b0}},VAR2[64:76]}; VAR5[80:95]<={{3{1'b0}},VAR2[80:92]}; VAR5[96:111]<={{3{1'b0}},VAR2[96:108]}; VAR5[112:127]<={{3{1'b0}},VAR2[112:124]}; end 4'd4: begin VAR5[0:15]<={{4{1'b0}},VAR2[0:11]}; VAR5[16:31]<={{4{1'b0}},VAR2[16:27]}; VAR5[32:47]<={{4{1'b0}},VAR2[32:43]}; VAR5[48:63]<={{4{1'b0}},VAR2[48:59]}; VAR5[64:79]<={{4{1'b0}},VAR2[64:75]}; VAR5[80:95]<={{4{1'b0}},VAR2[80:91]}; VAR5[96:111]<={{4{1'b0}},VAR2[96:107]}; VAR5[112:127]<={{4{1'b0}},VAR2[112:123]}; end 4'd5: begin VAR5[0:15]<={{5{1'b0}},VAR2[0:10]}; VAR5[16:31]<={{5{1'b0}},VAR2[16:26]}; VAR5[32:47]<={{5{1'b0}},VAR2[32:42]}; VAR5[48:63]<={{5{1'b0}},VAR2[48:58]}; VAR5[64:79]<={{5{1'b0}},VAR2[64:74]}; VAR5[80:95]<={{5{1'b0}},VAR2[80:90]}; VAR5[96:111]<={{5{1'b0}},VAR2[96:106]}; VAR5[112:127]<={{5{1'b0}},VAR2[112:122]}; end 4'd6: begin VAR5[0:15]<={{6{1'b0}},VAR2[0:9]}; VAR5[16:31]<={{6{1'b0}},VAR2[16:25]}; VAR5[32:47]<={{6{1'b0}},VAR2[32:41]}; VAR5[48:63]<={{6{1'b0}},VAR2[48:57]}; VAR5[64:79]<={{6{1'b0}},VAR2[64:73]}; VAR5[80:95]<={{6{1'b0}},VAR2[80:89]}; VAR5[96:111]<={{6{1'b0}},VAR2[96:105]}; VAR5[112:127]<={{6{1'b0}},VAR2[112:121]}; end 4'd7: begin VAR5[0:15]<={{7{1'b0}},VAR2[0:8]}; VAR5[16:31]<={{7{1'b0}},VAR2[16:24]}; VAR5[32:47]<={{7{1'b0}},VAR2[32:40]}; VAR5[48:63]<={{7{1'b0}},VAR2[48:56]}; VAR5[64:79]<={{7{1'b0}},VAR2[64:72]}; VAR5[80:95]<={{7{1'b0}},VAR2[80:88]}; VAR5[96:111]<={{7{1'b0}},VAR2[96:104]}; VAR5[112:127]<={{7{1'b0}},VAR2[112:120]}; end 4'd8: begin VAR5[0:15]<={{8{1'b0}},VAR2[0:7]}; VAR5[16:31]<={{8{1'b0}},VAR2[16:23]}; VAR5[32:47]<={{8{1'b0}},VAR2[32:39]}; VAR5[48:63]<={{8{1'b0}},VAR2[48:55]}; VAR5[64:79]<={{8{1'b0}},VAR2[64:71]}; VAR5[80:95]<={{8{1'b0}},VAR2[80:87]}; VAR5[96:111]<={{8{1'b0}},VAR2[96:103]}; VAR5[112:127]<={{8{1'b0}},VAR2[112:119]}; end 4'd9: begin VAR5[0:15]<={{9{1'b0}},VAR2[0:6]}; VAR5[16:31]<={{9{1'b0}},VAR2[16:22]}; VAR5[32:47]<={{9{1'b0}},VAR2[32:38]}; VAR5[48:63]<={{9{1'b0}},VAR2[48:54]}; VAR5[64:79]<={{9{1'b0}},VAR2[64:70]}; VAR5[80:95]<={{9{1'b0}},VAR2[80:86]}; VAR5[96:111]<={{9{1'b0}},VAR2[96:102]}; VAR5[112:127]<={{9{1'b0}},VAR2[112:118]}; end 4'd10: begin VAR5[0:15]<={{10{1'b0}},VAR2[0:5]}; VAR5[16:31]<={{10{1'b0}},VAR2[16:21]}; VAR5[32:47]<={{10{1'b0}},VAR2[32:37]}; VAR5[48:63]<={{10{1'b0}},VAR2[48:53]}; VAR5[64:79]<={{10{1'b0}},VAR2[64:69]}; VAR5[80:95]<={{10{1'b0}},VAR2[80:85]}; VAR5[96:111]<={{10{1'b0}},VAR2[96:101]}; VAR5[112:127]<={{10{1'b0}},VAR2[112:117]}; end 4'd11: begin VAR5[0:15]<={{11{1'b0}},VAR2[0:4]}; VAR5[16:31]<={{11{1'b0}},VAR2[16:20]}; VAR5[32:47]<={{11{1'b0}},VAR2[32:36]}; VAR5[48:63]<={{11{1'b0}},VAR2[48:52]}; VAR5[64:79]<={{11{1'b0}},VAR2[64:68]}; VAR5[80:95]<={{11{1'b0}},VAR2[80:84]}; VAR5[96:111]<={{11{1'b0}},VAR2[96:100]}; VAR5[112:127]<={{11{1'b0}},VAR2[112:116]}; end 4'd12: begin VAR5[0:15]<={{12{1'b0}},VAR2[0:3]}; VAR5[16:31]<={{12{1'b0}},VAR2[16:19]}; VAR5[32:47]<={{12{1'b0}},VAR2[32:35]}; VAR5[48:63]<={{12{1'b0}},VAR2[48:51]}; VAR5[64:79]<={{12{1'b0}},VAR2[64:67]}; VAR5[80:95]<={{12{1'b0}},VAR2[80:83]}; VAR5[96:111]<={{12{1'b0}},VAR2[96:99]}; VAR5[112:127]<={{12{1'b0}},VAR2[112:115]}; end 4'd13: begin VAR5[0:15]<={{13{1'b0}},VAR2[0:2]}; VAR5[16:31]<={{13{1'b0}},VAR2[16:18]}; VAR5[32:47]<={{13{1'b0}},VAR2[32:34]}; VAR5[48:63]<={{13{1'b0}},VAR2[48:50]}; VAR5[64:79]<={{13{1'b0}},VAR2[64:66]}; VAR5[80:95]<={{13{1'b0}},VAR2[80:82]}; VAR5[96:111]<={{13{1'b0}},VAR2[96:98]}; VAR5[112:127]<={{13{1'b0}},VAR2[112:114]}; end 4'd14: begin VAR5[0:15]<={{14{1'b0}},VAR2[0:1]}; VAR5[16:31]<={{14{1'b0}},VAR2[16:17]}; VAR5[32:47]<={{14{1'b0}},VAR2[32:33]}; VAR5[48:63]<={{14{1'b0}},VAR2[48:49]}; VAR5[64:79]<={{14{1'b0}},VAR2[64:65]}; VAR5[80:95]<={{14{1'b0}},VAR2[80:81]}; VAR5[96:111]<={{14{1'b0}},VAR2[96:97]}; VAR5[112:127]<={{14{1'b0}},VAR2[112:113]}; end 4'd15: begin VAR5[0:15]<={{15{1'b0}},VAR2[0]}; VAR5[16:31]<={{15{1'b0}},VAR2[16]}; VAR5[32:47]<={{15{1'b0}},VAR2[32]}; VAR5[48:63]<={{15{1'b0}},VAR2[48]}; VAR5[64:79]<={{15{1'b0}},VAR2[64]}; VAR5[80:95]<={{15{1'b0}},VAR2[80]}; VAR5[96:111]<={{15{1'b0}},VAR2[96]}; VAR5[112:127]<={{15{1'b0}},VAR2[112]}; end endcase end begin case(VAR3[0:4]) 5'd0: begin VAR5[0:127]<=VAR2[0:127]; end 5'd1: begin VAR5[0:31]<={{1'b0},VAR2[0:30]}; VAR5[32:63]<={{1'b0},VAR2[32:62]}; VAR5[64:95]<={{1'b0},VAR2[64:94]}; VAR5[96:127]<={{1'b0},VAR2[96:126]}; end 5'd2: begin VAR5[0:31]<={{2{1'b0}},VAR2[0:29]}; VAR5[32:63]<={{2{1'b0}},VAR2[32:61]}; VAR5[64:95]<={{2{1'b0}},VAR2[64:93]}; VAR5[96:127]<={{2{1'b0}},VAR2[96:125]}; end 5'd3: begin VAR5[0:31]<={{3{1'b0}},VAR2[0:28]}; VAR5[32:63]<={{3{1'b0}},VAR2[32:60]}; VAR5[64:95]<={{3{1'b0}},VAR2[64:92]}; VAR5[96:127]<={{3{1'b0}},VAR2[96:124]}; end 5'd4: begin VAR5[0:31]<={{4{1'b0}},VAR2[0:27]}; VAR5[32:63]<={{4{1'b0}},VAR2[32:59]}; VAR5[64:95]<={{4{1'b0}},VAR2[64:91]}; VAR5[96:127]<={{4{1'b0}},VAR2[96:123]}; end 5'd5: begin VAR5[0:31]<={{5{1'b0}},VAR2[0:26]}; VAR5[32:63]<={{5{1'b0}},VAR2[32:58]}; VAR5[64:95]<={{5{1'b0}},VAR2[64:90]}; VAR5[96:127]<={{5{1'b0}},VAR2[96:122]}; end 5'd6: begin VAR5[0:31]<={{6{1'b0}},VAR2[0:25]}; VAR5[32:63]<={{6{1'b0}},VAR2[32:57]}; VAR5[64:95]<={{6{1'b0}},VAR2[64:89]}; VAR5[96:127]<={{6{1'b0}},VAR2[96:121]}; end 5'd7: begin VAR5[0:31]<={{7{1'b0}},VAR2[0:24]}; VAR5[32:63]<={{7{1'b0}},VAR2[32:56]}; VAR5[64:95]<={{7{1'b0}},VAR2[64:88]}; VAR5[96:127]<={{7{1'b0}},VAR2[96:120]}; end 5'd8: begin VAR5[0:31]<={{8{1'b0}},VAR2[0:23]}; VAR5[32:63]<={{8{1'b0}},VAR2[32:55]}; VAR5[64:95]<={{8{1'b0}},VAR2[64:87]}; VAR5[96:127]<={{8{1'b0}},VAR2[96:119]}; end 5'd9: begin VAR5[0:31]<={{9{1'b0}},VAR2[0:22]}; VAR5[32:63]<={{9{1'b0}},VAR2[32:54]}; VAR5[64:95]<={{9{1'b0}},VAR2[64:86]}; VAR5[96:127]<={{9{1'b0}},VAR2[96:118]}; end 5'd10: begin VAR5[0:31]<={{10{1'b0}},VAR2[0:21]}; VAR5[32:63]<={{10{1'b0}},VAR2[32:53]}; VAR5[64:95]<={{10{1'b0}},VAR2[64:85]}; VAR5[96:127]<={{10{1'b0}},VAR2[96:117]}; end 5'd11: begin VAR5[0:31]<={{11{1'b0}},VAR2[0:20]}; VAR5[32:63]<={{11{1'b0}},VAR2[32:52]}; VAR5[64:95]<={{11{1'b0}},VAR2[64:84]}; VAR5[96:127]<={{11{1'b0}},VAR2[96:116]}; end 5'd12: begin VAR5[0:31]<={{12{1'b0}},VAR2[0:19]}; VAR5[32:63]<={{12{1'b0}},VAR2[32:51]}; VAR5[64:95]<={{12{1'b0}},VAR2[64:83]}; VAR5[96:127]<={{12{1'b0}},VAR2[96:115]}; end 5'd13: begin VAR5[0:31]<={{13{1'b0}},VAR2[0:18]}; VAR5[32:63]<={{13{1'b0}},VAR2[32:50]}; VAR5[64:95]<={{13{1'b0}},VAR2[64:82]}; VAR5[96:127]<={{13{1'b0}},VAR2[96:114]}; end 5'd14: begin VAR5[0:31]<={{14{1'b0}},VAR2[0:17]}; VAR5[32:63]<={{14{1'b0}},VAR2[32:49]}; VAR5[64:95]<={{14{1'b0}},VAR2[64:81]}; VAR5[96:127]<={{14{1'b0}},VAR2[96:113]}; end 5'd15: begin VAR5[0:31]<={{15{1'b0}},VAR2[0:16]}; VAR5[32:63]<={{15{1'b0}},VAR2[32:48]}; VAR5[64:95]<={{15{1'b0}},VAR2[64:80]}; VAR5[96:127]<={{15{1'b0}},VAR2[96:112]}; end 5'd16: begin VAR5[0:31]<={{16{1'b0}},VAR2[0:15]}; VAR5[32:63]<={{16{1'b0}},VAR2[32:47]}; VAR5[64:95]<={{16{1'b0}},VAR2[64:79]}; VAR5[96:127]<={{16{1'b0}},VAR2[96:111]}; end 5'd17: begin VAR5[0:31]<={{17{1'b0}},VAR2[0:14]}; VAR5[32:63]<={{17{1'b0}},VAR2[32:46]}; VAR5[64:95]<={{17{1'b0}},VAR2[64:78]}; VAR5[96:127]<={{17{1'b0}},VAR2[96:110]}; end 5'd18: begin VAR5[0:31]<={{18{1'b0}},VAR2[0:13]}; VAR5[32:63]<={{18{1'b0}},VAR2[32:45]}; VAR5[64:95]<={{18{1'b0}},VAR2[64:77]}; VAR5[96:127]<={{18{1'b0}},VAR2[96:109]}; end 5'd19: begin VAR5[0:31]<={{19{1'b0}},VAR2[0:12]}; VAR5[32:63]<={{19{1'b0}},VAR2[32:44]}; VAR5[64:95]<={{19{1'b0}},VAR2[64:76]}; VAR5[96:127]<={{19{1'b0}},VAR2[96:108]}; end 5'd20: begin VAR5[0:31]<={{20{1'b0}},VAR2[0:11]}; VAR5[32:63]<={{20{1'b0}},VAR2[32:43]}; VAR5[64:95]<={{20{1'b0}},VAR2[64:75]}; VAR5[96:127]<={{20{1'b0}},VAR2[96:107]}; end 5'd21: begin VAR5[0:31]<={{21{1'b0}},VAR2[0:10]}; VAR5[32:63]<={{21{1'b0}},VAR2[32:42]}; VAR5[64:95]<={{21{1'b0}},VAR2[64:74]}; VAR5[96:127]<={{21{1'b0}},VAR2[96:106]}; end 5'd22: begin VAR5[0:31]<={{22{1'b0}},VAR2[0:9]}; VAR5[32:63]<={{22{1'b0}},VAR2[32:41]}; VAR5[64:95]<={{22{1'b0}},VAR2[64:73]}; VAR5[96:127]<={{22{1'b0}},VAR2[96:105]}; end 5'd23: begin VAR5[0:31]<={{23{1'b0}},VAR2[0:8]}; VAR5[32:63]<={{23{1'b0}},VAR2[32:40]}; VAR5[64:95]<={{23{1'b0}},VAR2[64:72]}; VAR5[96:127]<={{23{1'b0}},VAR2[96:104]}; end 5'd24: begin VAR5[0:31]<={{24{1'b0}},VAR2[0:7]}; VAR5[32:63]<={{24{1'b0}},VAR2[32:39]}; VAR5[64:95]<={{24{1'b0}},VAR2[64:71]}; VAR5[96:127]<={{24{1'b0}},VAR2[96:103]}; end 5'd25: begin VAR5[0:31]<={{25{1'b0}},VAR2[0:6]}; VAR5[32:63]<={{25{1'b0}},VAR2[32:38]}; VAR5[64:95]<={{25{1'b0}},VAR2[64:70]}; VAR5[96:127]<={{25{1'b0}},VAR2[96:102]}; end 5'd26: begin VAR5[0:31]<={{26{1'b0}},VAR2[0:5]}; VAR5[32:63]<={{26{1'b0}},VAR2[32:37]}; VAR5[64:95]<={{26{1'b0}},VAR2[64:69]}; VAR5[96:127]<={{26{1'b0}},VAR2[96:101]}; end 5'd27: begin VAR5[0:31]<={{27{1'b0}},VAR2[0:4]}; VAR5[32:63]<={{27{1'b0}},VAR2[32:36]}; VAR5[64:95]<={{27{1'b0}},VAR2[64:68]}; VAR5[96:127]<={{27{1'b0}},VAR2[96:100]}; end 5'd28: begin VAR5[0:31]<={{28{1'b0}},VAR2[0:3]}; VAR5[32:63]<={{28{1'b0}},VAR2[32:35]}; VAR5[64:95]<={{28{1'b0}},VAR2[64:67]}; VAR5[96:127]<={{28{1'b0}},VAR2[96:99]}; end 5'd29: begin VAR5[0:31]<={{29{1'b0}},VAR2[0:2]}; VAR5[32:63]<={{29{1'b0}},VAR2[32:34]}; VAR5[64:95]<={{29{1'b0}},VAR2[64:66]}; VAR5[96:127]<={{29{1'b0}},VAR2[96:98]}; end 5'd30: begin VAR5[0:31]<={{30{1'b0}},VAR2[0:1]}; VAR5[32:63]<={{30{1'b0}},VAR2[32:33]}; VAR5[64:95]<={{30{1'b0}},VAR2[64:65]}; VAR5[96:127]<={{30{1'b0}},VAR2[96:97]}; end 5'd31: begin VAR5[0:31]<={{31{1'b0}},VAR2[0]}; VAR5[32:63]<={{31{1'b0}},VAR2[32]}; VAR5[64:95]<={{31{1'b0}},VAR2[64]}; VAR5[96:127]<={{31{1'b0}},VAR2[96]}; end endcase end endcase end begin case(VAR8) begin case(VAR3[2:4]) 3'd0: begin VAR5[0:127]<=VAR2[0:127]; end 3'd1: begin VAR5[0:7]<={{VAR2[0]},VAR2[0:6]}; VAR5[8:15]<={{VAR2[8]},VAR2[8:14]}; VAR5[16:23]<={{VAR2[16]},VAR2[16:22]}; VAR5[24:31]<={{VAR2[24]},VAR2[24:30]}; VAR5[32:39]<={{VAR2[32]},VAR2[32:38]}; VAR5[40:47]<={{VAR2[40]},VAR2[40:46]}; VAR5[48:55]<={{VAR2[48]},VAR2[48:54]}; VAR5[56:63]<={{VAR2[56]},VAR2[56:62]}; VAR5[64:71]<={{VAR2[64]},VAR2[64:70]}; VAR5[72:79]<={{VAR2[72]},VAR2[72:78]}; VAR5[80:87]<={{VAR2[80]},VAR2[80:86]}; VAR5[88:95]<={{VAR2[88]},VAR2[88:94]}; VAR5[96:103]<={{VAR2[96]},VAR2[96:102]}; VAR5[104:111]<={{VAR2[104]},VAR2[104:110]}; VAR5[112:119]<={{VAR2[112]},VAR2[112:118]}; VAR5[120:127]<={{VAR2[120]},VAR2[120:126]}; end 3'd2: begin VAR5[0:7]<={{2{VAR2[0]}},VAR2[0:5]}; VAR5[8:15]<={{2{VAR2[8]}},VAR2[8:13]}; VAR5[16:23]<={{2{VAR2[16]}},VAR2[16:21]}; VAR5[24:31]<={{2{VAR2[24]}},VAR2[24:29]}; VAR5[32:39]<={{2{VAR2[32]}},VAR2[32:37]}; VAR5[40:47]<={{2{VAR2[40]}},VAR2[40:45]}; VAR5[48:55]<={{2{VAR2[48]}},VAR2[48:53]}; VAR5[56:63]<={{2{VAR2[56]}},VAR2[56:61]}; VAR5[64:71]<={{2{VAR2[64]}},VAR2[64:69]}; VAR5[72:79]<={{2{VAR2[72]}},VAR2[72:77]}; VAR5[80:87]<={{2{VAR2[80]}},VAR2[80:85]}; VAR5[88:95]<={{2{VAR2[88]}},VAR2[88:93]}; VAR5[96:103]<={{2{VAR2[96]}},VAR2[96:101]}; VAR5[104:111]<={{2{VAR2[104]}},VAR2[104:109]}; VAR5[112:119]<={{2{VAR2[112]}},VAR2[112:117]}; VAR5[120:127]<={{2{VAR2[120]}},VAR2[120:125]}; end 3'd3: begin VAR5[0:7]<={{3{VAR2[0]}},VAR2[0:4]}; VAR5[8:15]<={{3{VAR2[8]}},VAR2[8:12]}; VAR5[16:23]<={{3{VAR2[16]}},VAR2[16:20]}; VAR5[24:31]<={{3{VAR2[24]}},VAR2[24:28]}; VAR5[32:39]<={{3{VAR2[32]}},VAR2[32:36]}; VAR5[40:47]<={{3{VAR2[40]}},VAR2[40:44]}; VAR5[48:55]<={{3{VAR2[48]}},VAR2[48:52]}; VAR5[56:63]<={{3{VAR2[56]}},VAR2[56:60]}; VAR5[64:71]<={{3{VAR2[64]}},VAR2[64:68]}; VAR5[72:79]<={{3{VAR2[72]}},VAR2[72:76]}; VAR5[80:87]<={{3{VAR2[80]}},VAR2[80:84]}; VAR5[88:95]<={{3{VAR2[88]}},VAR2[88:92]}; VAR5[96:103]<={{3{VAR2[96]}},VAR2[96:100]}; VAR5[104:111]<={{3{VAR2[104]}},VAR2[104:108]}; VAR5[112:119]<={{3{VAR2[112]}},VAR2[112:116]}; VAR5[120:127]<={{3{VAR2[120]}},VAR2[120:124]}; end 3'd4: begin VAR5[0:7]<={{4{VAR2[0]}},VAR2[0:3]}; VAR5[8:15]<={{4{VAR2[8]}},VAR2[8:11]}; VAR5[16:23]<={{4{VAR2[16]}},VAR2[16:19]}; VAR5[24:31]<={{4{VAR2[24]}},VAR2[24:27]}; VAR5[32:39]<={{4{VAR2[32]}},VAR2[32:35]}; VAR5[40:47]<={{4{VAR2[40]}},VAR2[40:43]}; VAR5[48:55]<={{4{VAR2[48]}},VAR2[48:51]}; VAR5[56:63]<={{4{VAR2[56]}},VAR2[56:69]}; VAR5[64:71]<={{4{VAR2[64]}},VAR2[64:67]}; VAR5[72:79]<={{4{VAR2[72]}},VAR2[72:75]}; VAR5[80:87]<={{4{VAR2[80]}},VAR2[80:83]}; VAR5[88:95]<={{4{VAR2[88]}},VAR2[88:91]}; VAR5[96:103]<={{4{VAR2[96]}},VAR2[96:99]}; VAR5[104:111]<={{4{VAR2[104]}},VAR2[104:107]}; VAR5[112:119]<={{4{VAR2[112]}},VAR2[112:115]}; VAR5[120:127]<={{4{VAR2[120]}},VAR2[120:123]}; end 3'd5: begin VAR5[0:7]<={{5{VAR2[0]}},VAR2[0:2]}; VAR5[8:15]<={{5{VAR2[8]}},VAR2[8:10]}; VAR5[16:23]<={{5{VAR2[16]}},VAR2[16:18]}; VAR5[24:31]<={{5{VAR2[24]}},VAR2[24:26]}; VAR5[32:39]<={{5{VAR2[32]}},VAR2[32:34]}; VAR5[40:47]<={{5{VAR2[40]}},VAR2[40:42]}; VAR5[48:55]<={{5{VAR2[48]}},VAR2[48:50]}; VAR5[56:63]<={{5{VAR2[56]}},VAR2[56:68]}; VAR5[64:71]<={{5{VAR2[64]}},VAR2[64:66]}; VAR5[72:79]<={{5{VAR2[72]}},VAR2[72:74]}; VAR5[80:87]<={{5{VAR2[80]}},VAR2[80:82]}; VAR5[88:95]<={{5{VAR2[88]}},VAR2[88:90]}; VAR5[96:103]<={{5{VAR2[96]}},VAR2[96:98]}; VAR5[104:111]<={{5{VAR2[104]}},VAR2[104:106]}; VAR5[112:119]<={{5{VAR2[112]}},VAR2[112:114]}; VAR5[120:127]<={{5{VAR2[120]}},VAR2[120:122]}; end 3'd6: begin VAR5[0:7]<={{6{VAR2[0]}},VAR2[0:1]}; VAR5[8:15]<={{6{VAR2[8]}},VAR2[8:9]}; VAR5[16:23]<={{6{VAR2[16]}},VAR2[16:17]}; VAR5[24:31]<={{6{VAR2[24]}},VAR2[24:25]}; VAR5[32:39]<={{6{VAR2[32]}},VAR2[32:33]}; VAR5[40:47]<={{6{VAR2[40]}},VAR2[40:41]}; VAR5[48:55]<={{6{VAR2[48]}},VAR2[48:49]}; VAR5[56:63]<={{6{VAR2[56]}},VAR2[56:67]}; VAR5[64:71]<={{6{VAR2[64]}},VAR2[64:65]}; VAR5[72:79]<={{6{VAR2[72]}},VAR2[72:73]}; VAR5[80:87]<={{6{VAR2[80]}},VAR2[80:81]}; VAR5[88:95]<={{6{VAR2[88]}},VAR2[88:89]}; VAR5[96:103]<={{6{VAR2[96]}},VAR2[96:97]}; VAR5[104:111]<={{6{VAR2[104]}},VAR2[104:105]}; VAR5[112:119]<={{6{VAR2[112]}},VAR2[112:113]}; VAR5[120:127]<={{6{VAR2[120]}},VAR2[120:121]}; end 3'd7: begin VAR5[0:7]<={{7{VAR2[0]}},VAR2[0]}; VAR5[8:15]<={{7{VAR2[8]}},VAR2[8]}; VAR5[16:23]<={{7{VAR2[16]}},VAR2[16]}; VAR5[24:31]<={{7{VAR2[24]}},VAR2[24]}; VAR5[32:39]<={{7{VAR2[32]}},VAR2[32]}; VAR5[40:47]<={{7{VAR2[40]}},VAR2[40]}; VAR5[48:55]<={{7{VAR2[48]}},VAR2[48]}; VAR5[56:63]<={{7{VAR2[56]}},VAR2[56]}; VAR5[64:71]<={{7{VAR2[64]}},VAR2[64]}; VAR5[72:79]<={{7{VAR2[72]}},VAR2[72]}; VAR5[80:87]<={{7{VAR2[80]}},VAR2[80]}; VAR5[88:95]<={{7{VAR2[88]}},VAR2[88]}; VAR5[96:103]<={{7{VAR2[96]}},VAR2[96]}; VAR5[104:111]<={{7{VAR2[104]}},VAR2[104]}; VAR5[112:119]<={{7{VAR2[112]}},VAR2[112]}; VAR5[120:127]<={{7{VAR2[120]}},VAR2[120]}; end endcase end begin case(VAR3[1:4]) 4'd0: begin VAR5[0:127]<=VAR2[0:127]; end 4'd1: begin VAR5[0:15]<={{VAR2[0]},VAR2[0:14]}; VAR5[16:31]<={{VAR2[16]},VAR2[16:30]}; VAR5[32:47]<={{VAR2[32]},VAR2[32:46]}; VAR5[48:63]<={{VAR2[48]},VAR2[48:62]}; VAR5[64:79]<={{VAR2[64]},VAR2[64:78]}; VAR5[80:95]<={{VAR2[80]},VAR2[80:94]}; VAR5[96:111]<={{VAR2[96]},VAR2[96:110]}; VAR5[112:127]<={{VAR2[112]},VAR2[112:126]}; end 4'd2: begin VAR5[0:15]<={{2{VAR2[0]}},VAR2[0:13]}; VAR5[16:31]<={{2{VAR2[16]}},VAR2[16:29]}; VAR5[32:47]<={{2{VAR2[32]}},VAR2[32:45]}; VAR5[48:63]<={{2{VAR2[48]}},VAR2[48:61]}; VAR5[64:79]<={{2{VAR2[64]}},VAR2[64:77]}; VAR5[80:95]<={{2{VAR2[80]}},VAR2[80:93]}; VAR5[96:111]<={{2{VAR2[96]}},VAR2[96:109]}; VAR5[112:127]<={{2{VAR2[112]}},VAR2[112:125]}; end 4'd3: begin VAR5[0:15]<={{3{VAR2[0]}},VAR2[0:12]}; VAR5[16:31]<={{3{VAR2[16]}},VAR2[16:28]}; VAR5[32:47]<={{3{VAR2[32]}},VAR2[32:44]}; VAR5[48:63]<={{3{VAR2[48]}},VAR2[48:60]}; VAR5[64:79]<={{3{VAR2[64]}},VAR2[64:76]}; VAR5[80:95]<={{3{VAR2[80]}},VAR2[80:92]}; VAR5[96:111]<={{3{VAR2[96]}},VAR2[96:108]}; VAR5[112:127]<={{3{VAR2[112]}},VAR2[112:124]}; end 4'd4: begin VAR5[0:15]<={{4{VAR2[0]}},VAR2[0:11]}; VAR5[16:31]<={{4{VAR2[8]}},VAR2[16:27]}; VAR5[32:47]<={{4{VAR2[16]}},VAR2[32:43]}; VAR5[48:63]<={{4{VAR2[32]}},VAR2[48:59]}; VAR5[64:79]<={{4{VAR2[48]}},VAR2[64:75]}; VAR5[80:95]<={{4{VAR2[64]}},VAR2[80:91]}; VAR5[96:111]<={{4{VAR2[80]}},VAR2[96:107]}; VAR5[112:127]<={{4{VAR2[112]}},VAR2[112:123]}; end 4'd5: begin VAR5[0:15]<={{5{VAR2[0]}},VAR2[0:10]}; VAR5[16:31]<={{5{VAR2[16]}},VAR2[16:26]}; VAR5[32:47]<={{5{VAR2[32]}},VAR2[32:42]}; VAR5[48:63]<={{5{VAR2[48]}},VAR2[48:58]}; VAR5[64:79]<={{5{VAR2[64]}},VAR2[64:74]}; VAR5[80:95]<={{5{VAR2[80]}},VAR2[80:90]}; VAR5[96:111]<={{5{VAR2[96]}},VAR2[96:106]}; VAR5[112:127]<={{5{VAR2[112]}},VAR2[112:122]}; end 4'd6: begin VAR5[0:15]<={{6{VAR2[0]}},VAR2[0:9]}; VAR5[16:31]<={{6{VAR2[16]}},VAR2[16:25]}; VAR5[32:47]<={{6{VAR2[32]}},VAR2[32:41]}; VAR5[48:63]<={{6{VAR2[48]}},VAR2[48:57]}; VAR5[64:79]<={{6{VAR2[64]}},VAR2[64:73]}; VAR5[80:95]<={{6{VAR2[80]}},VAR2[80:89]}; VAR5[96:111]<={{6{VAR2[96]}},VAR2[96:105]}; VAR5[112:127]<={{6{VAR2[112]}},VAR2[112:121]}; end 4'd7: begin VAR5[0:15]<={{7{VAR2[0]}},VAR2[0:8]}; VAR5[16:31]<={{7{VAR2[16]}},VAR2[16:24]}; VAR5[32:47]<={{7{VAR2[32]}},VAR2[32:40]}; VAR5[48:63]<={{7{VAR2[48]}},VAR2[48:56]}; VAR5[64:79]<={{7{VAR2[64]}},VAR2[64:72]}; VAR5[80:95]<={{7{VAR2[80]}},VAR2[80:88]}; VAR5[96:111]<={{7{VAR2[96]}},VAR2[96:104]}; VAR5[112:127]<={{7{VAR2[112]}},VAR2[112:120]}; end 4'd8: begin VAR5[0:15]<={{8{VAR2[0]}},VAR2[0:7]}; VAR5[16:31]<={{8{VAR2[16]}},VAR2[16:23]}; VAR5[32:47]<={{8{VAR2[32]}},VAR2[32:39]}; VAR5[48:63]<={{8{VAR2[48]}},VAR2[48:55]}; VAR5[64:79]<={{8{VAR2[64]}},VAR2[64:71]}; VAR5[80:95]<={{8{VAR2[80]}},VAR2[80:87]}; VAR5[96:111]<={{8{VAR2[96]}},VAR2[96:103]}; VAR5[112:127]<={{8{VAR2[112]}},VAR2[112:119]}; end 4'd9: begin VAR5[0:15]<={{9{VAR2[0]}},VAR2[0:6]}; VAR5[16:31]<={{9{VAR2[16]}},VAR2[16:22]}; VAR5[32:47]<={{9{VAR2[32]}},VAR2[32:38]}; VAR5[48:63]<={{9{VAR2[48]}},VAR2[48:54]}; VAR5[64:79]<={{9{VAR2[64]}},VAR2[64:70]}; VAR5[80:95]<={{9{VAR2[80]}},VAR2[80:86]}; VAR5[96:111]<={{9{VAR2[96]}},VAR2[96:102]}; VAR5[112:127]<={{9{VAR2[112]}},VAR2[112:118]}; end 4'd10: begin VAR5[0:15]<={{10{VAR2[0]}},VAR2[0:5]}; VAR5[16:31]<={{10{VAR2[16]}},VAR2[16:21]}; VAR5[32:47]<={{10{VAR2[32]}},VAR2[32:37]}; VAR5[48:63]<={{10{VAR2[48]}},VAR2[48:53]}; VAR5[64:79]<={{10{VAR2[64]}},VAR2[64:69]}; VAR5[80:95]<={{10{VAR2[80]}},VAR2[80:85]}; VAR5[96:111]<={{10{VAR2[96]}},VAR2[96:101]}; VAR5[112:127]<={{10{VAR2[112]}},VAR2[112:117]}; end 4'd11: begin VAR5[0:15]<={{11{VAR2[0]}},VAR2[0:4]}; VAR5[16:31]<={{11{VAR2[16]}},VAR2[16:20]}; VAR5[32:47]<={{11{VAR2[32]}},VAR2[32:36]}; VAR5[48:63]<={{11{VAR2[48]}},VAR2[48:52]}; VAR5[64:79]<={{11{VAR2[64]}},VAR2[64:68]}; VAR5[80:95]<={{11{VAR2[80]}},VAR2[80:84]}; VAR5[96:111]<={{11{VAR2[96]}},VAR2[96:100]}; VAR5[112:127]<={{11{VAR2[112]}},VAR2[112:116]}; end 4'd12: begin VAR5[0:15]<={{12{VAR2[0]}},VAR2[0:3]}; VAR5[16:31]<={{12{VAR2[16]}},VAR2[16:19]}; VAR5[32:47]<={{12{VAR2[32]}},VAR2[32:35]}; VAR5[48:63]<={{12{VAR2[48]}},VAR2[48:51]}; VAR5[64:79]<={{12{VAR2[64]}},VAR2[64:67]}; VAR5[80:95]<={{12{VAR2[80]}},VAR2[80:83]}; VAR5[96:111]<={{12{VAR2[96]}},VAR2[96:99]}; VAR5[112:127]<={{12{VAR2[112]}},VAR2[112:115]}; end 4'd13: begin VAR5[0:15]<={{13{VAR2[0]}},VAR2[0:2]}; VAR5[16:31]<={{13{VAR2[16]}},VAR2[16:18]}; VAR5[32:47]<={{13{VAR2[32]}},VAR2[32:34]}; VAR5[48:63]<={{13{VAR2[48]}},VAR2[48:50]}; VAR5[64:79]<={{13{VAR2[64]}},VAR2[64:66]}; VAR5[80:95]<={{13{VAR2[80]}},VAR2[80:82]}; VAR5[96:111]<={{13{VAR2[96]}},VAR2[96:98]}; VAR5[112:127]<={{13{VAR2[112]}},VAR2[112:114]}; end 4'd14: begin VAR5[0:15]<={{14{VAR2[0]}},VAR2[0:1]}; VAR5[16:31]<={{14{VAR2[16]}},VAR2[16:17]}; VAR5[32:47]<={{14{VAR2[32]}},VAR2[32:33]}; VAR5[48:63]<={{14{VAR2[48]}},VAR2[48:49]}; VAR5[64:79]<={{14{VAR2[64]}},VAR2[64:65]}; VAR5[80:95]<={{14{VAR2[80]}},VAR2[80:81]}; VAR5[96:111]<={{14{VAR2[96]}},VAR2[96:97]}; VAR5[112:127]<={{14{VAR2[112]}},VAR2[112:113]}; end 4'd15: begin VAR5[0:15]<={{15{VAR2[0]}},VAR2[0]}; VAR5[16:31]<={{15{VAR2[16]}},VAR2[16]}; VAR5[32:47]<={{15{VAR2[32]}},VAR2[32]}; VAR5[48:63]<={{15{VAR2[48]}},VAR2[48]}; VAR5[64:79]<={{15{VAR2[64]}},VAR2[64]}; VAR5[80:95]<={{15{VAR2[80]}},VAR2[80]}; VAR5[96:111]<={{15{VAR2[96]}},VAR2[96]}; VAR5[112:127]<={{15{VAR2[112]}},VAR2[112]}; end endcase end begin case(VAR3[0:4]) 5'd0: begin VAR5[0:127]<=VAR2[0:127]; end 5'd1: begin VAR5[0:31]<={{VAR2[0]},VAR2[0:30]}; VAR5[32:63]<={{VAR2[32]},VAR2[32:62]}; VAR5[64:95]<={{VAR2[64]},VAR2[64:94]}; VAR5[96:127]<={{VAR2[96]},VAR2[96:126]}; end 5'd2: begin VAR5[0:31]<={{2{VAR2[0]}},VAR2[0:29]}; VAR5[32:63]<={{2{VAR2[32]}},VAR2[32:61]}; VAR5[64:95]<={{2{VAR2[64]}},VAR2[64:93]}; VAR5[96:127]<={{2{VAR2[96]}},VAR2[96:125]}; end 5'd3: begin VAR5[0:31]<={{3{VAR2[0]}},VAR2[0:28]}; VAR5[32:63]<={{3{VAR2[32]}},VAR2[32:60]}; VAR5[64:95]<={{3{VAR2[64]}},VAR2[64:92]}; VAR5[96:127]<={{3{VAR2[96]}},VAR2[96:124]}; end 5'd4: begin VAR5[0:31]<={{4{VAR2[0]}},VAR2[0:27]}; VAR5[32:63]<={{4{VAR2[32]}},VAR2[32:59]}; VAR5[64:95]<={{4{VAR2[64]}},VAR2[64:91]}; VAR5[96:127]<={{4{VAR2[96]}},VAR2[96:123]}; end 5'd5: begin VAR5[0:31]<={{5{VAR2[0]}},VAR2[0:26]}; VAR5[32:63]<={{5{VAR2[32]}},VAR2[32:58]}; VAR5[64:95]<={{5{VAR2[64]}},VAR2[64:90]}; VAR5[96:127]<={{5{VAR2[96]}},VAR2[96:122]}; end 5'd6: begin VAR5[0:31]<={{6{VAR2[0]}},VAR2[0:25]}; VAR5[32:63]<={{6{VAR2[32]}},VAR2[32:57]}; VAR5[64:95]<={{6{VAR2[64]}},VAR2[64:89]}; VAR5[96:127]<={{6{VAR2[96]}},VAR2[96:121]}; end 5'd7: begin VAR5[0:31]<={{7{VAR2[0]}},VAR2[0:24]}; VAR5[32:63]<={{7{VAR2[32]}},VAR2[32:56]}; VAR5[64:95]<={{7{VAR2[64]}},VAR2[64:88]}; VAR5[96:127]<={{7{VAR2[96]}},VAR2[96:120]}; end 5'd8: begin VAR5[0:31]<={{8{VAR2[0]}},VAR2[0:23]}; VAR5[32:63]<={{8{VAR2[32]}},VAR2[32:55]}; VAR5[64:95]<={{8{VAR2[64]}},VAR2[64:87]}; VAR5[96:127]<={{8{VAR2[96]}},VAR2[96:119]}; end 5'd9: begin VAR5[0:31]<={{9{VAR2[0]}},VAR2[0:22]}; VAR5[32:63]<={{9{VAR2[32]}},VAR2[32:54]}; VAR5[64:95]<={{9{VAR2[64]}},VAR2[64:86]}; VAR5[96:127]<={{9{VAR2[96]}},VAR2[96:118]}; end 5'd10: begin VAR5[0:31]<={{10{VAR2[0]}},VAR2[0:21]}; VAR5[32:63]<={{10{VAR2[32]}},VAR2[32:53]}; VAR5[64:95]<={{10{VAR2[64]}},VAR2[64:85]}; VAR5[96:127]<={{10{VAR2[96]}},VAR2[96:117]}; end 5'd11: begin VAR5[0:31]<={{11{VAR2[0]}},VAR2[0:20]}; VAR5[32:63]<={{11{VAR2[32]}},VAR2[32:52]}; VAR5[64:95]<={{11{VAR2[64]}},VAR2[64:84]}; VAR5[96:127]<={{11{VAR2[96]}},VAR2[96:116]}; end 5'd12: begin VAR5[0:31]<={{12{VAR2[0]}},VAR2[0:19]}; VAR5[32:63]<={{12{VAR2[32]}},VAR2[32:51]}; VAR5[64:95]<={{12{VAR2[64]}},VAR2[64:83]}; VAR5[96:127]<={{12{VAR2[96]}},VAR2[96:115]}; end 5'd13: begin VAR5[0:31]<={{13{VAR2[0]}},VAR2[0:18]}; VAR5[32:63]<={{13{VAR2[32]}},VAR2[32:50]}; VAR5[64:95]<={{13{VAR2[64]}},VAR2[64:82]}; VAR5[96:127]<={{13{VAR2[96]}},VAR2[96:114]}; end 5'd14: begin VAR5[0:31]<={{14{VAR2[0]}},VAR2[0:17]}; VAR5[32:63]<={{14{VAR2[32]}},VAR2[32:49]}; VAR5[64:95]<={{14{VAR2[64]}},VAR2[64:81]}; VAR5[96:127]<={{14{VAR2[96]}},VAR2[96:113]}; end 5'd15: begin VAR5[0:31]<={{15{VAR2[0]}},VAR2[0:16]}; VAR5[32:63]<={{15{VAR2[32]}},VAR2[32:48]}; VAR5[64:95]<={{15{VAR2[64]}},VAR2[64:80]}; VAR5[96:127]<={{15{VAR2[96]}},VAR2[96:112]}; end 5'd16: begin VAR5[0:31]<={{16{VAR2[0]}},VAR2[0:15]}; VAR5[32:63]<={{16{VAR2[32]}},VAR2[32:47]}; VAR5[64:95]<={{16{VAR2[64]}},VAR2[64:79]}; VAR5[96:127]<={{16{VAR2[96]}},VAR2[96:111]}; end 5'd17: begin VAR5[0:31]<={{17{VAR2[0]}},VAR2[0:14]}; VAR5[32:63]<={{17{VAR2[32]}},VAR2[32:46]}; VAR5[64:95]<={{17{VAR2[64]}},VAR2[64:78]}; VAR5[96:127]<={{17{VAR2[96]}},VAR2[96:110]}; end 5'd18: begin VAR5[0:31]<={{18{VAR2[0]}},VAR2[0:13]}; VAR5[32:63]<={{18{VAR2[32]}},VAR2[32:45]}; VAR5[64:95]<={{18{VAR2[64]}},VAR2[64:77]}; VAR5[96:127]<={{18{VAR2[96]}},VAR2[96:109]}; end 5'd19: begin VAR5[0:31]<={{19{VAR2[0]}},VAR2[0:12]}; VAR5[32:63]<={{19{VAR2[32]}},VAR2[32:44]}; VAR5[64:95]<={{19{VAR2[64]}},VAR2[64:76]}; VAR5[96:127]<={{19{VAR2[96]}},VAR2[96:108]}; end 5'd20: begin VAR5[0:31]<={{20{VAR2[0]}},VAR2[0:11]}; VAR5[32:63]<={{20{VAR2[32]}},VAR2[32:43]}; VAR5[64:95]<={{20{VAR2[64]}},VAR2[64:75]}; VAR5[96:127]<={{20{VAR2[96]}},VAR2[96:107]}; end 5'd21: begin VAR5[0:31]<={{21{VAR2[0]}},VAR2[0:10]}; VAR5[32:63]<={{21{VAR2[32]}},VAR2[32:42]}; VAR5[64:95]<={{21{VAR2[64]}},VAR2[64:74]}; VAR5[96:127]<={{21{VAR2[96]}},VAR2[96:106]}; end 5'd22: begin VAR5[0:31]<={{22{VAR2[0]}},VAR2[0:9]}; VAR5[32:63]<={{22{VAR2[32]}},VAR2[32:41]}; VAR5[64:95]<={{22{VAR2[64]}},VAR2[64:73]}; VAR5[96:127]<={{22{VAR2[96]}},VAR2[96:105]}; end 5'd23: begin VAR5[0:31]<={{23{VAR2[0]}},VAR2[0:8]}; VAR5[32:63]<={{23{VAR2[32]}},VAR2[32:40]}; VAR5[64:95]<={{23{VAR2[64]}},VAR2[64:72]}; VAR5[96:127]<={{23{VAR2[96]}},VAR2[96:104]}; end 5'd24: begin VAR5[0:31]<={{24{VAR2[0]}},VAR2[0:7]}; VAR5[32:63]<={{24{VAR2[32]}},VAR2[32:39]}; VAR5[64:95]<={{24{VAR2[64]}},VAR2[64:71]}; VAR5[96:127]<={{24{VAR2[96]}},VAR2[96:103]}; end 5'd25: begin VAR5[0:31]<={{25{VAR2[0]}},VAR2[0:6]}; VAR5[32:63]<={{25{VAR2[32]}},VAR2[32:38]}; VAR5[64:95]<={{25{VAR2[64]}},VAR2[64:70]}; VAR5[96:127]<={{25{VAR2[96]}},VAR2[96:102]}; end 5'd26: begin VAR5[0:31]<={{26{VAR2[0]}},VAR2[0:5]}; VAR5[32:63]<={{26{VAR2[32]}},VAR2[32:37]}; VAR5[64:95]<={{26{VAR2[64]}},VAR2[64:69]}; VAR5[96:127]<={{26{VAR2[96]}},VAR2[96:101]}; end 5'd27: begin VAR5[0:31]<={{27{VAR2[0]}},VAR2[0:4]}; VAR5[32:63]<={{27{VAR2[32]}},VAR2[32:36]}; VAR5[64:95]<={{27{VAR2[64]}},VAR2[64:68]}; VAR5[96:127]<={{27{VAR2[96]}},VAR2[96:100]}; end 5'd28: begin VAR5[0:31]<={{28{VAR2[0]}},VAR2[0:3]}; VAR5[32:63]<={{28{VAR2[32]}},VAR2[32:35]}; VAR5[64:95]<={{28{VAR2[64]}},VAR2[64:67]}; VAR5[96:127]<={{28{VAR2[96]}},VAR2[96:99]}; end 5'd29: begin VAR5[0:31]<={{29{VAR2[0]}},VAR2[0:2]}; VAR5[32:63]<={{29{VAR2[32]}},VAR2[32:34]}; VAR5[64:95]<={{29{VAR2[64]}},VAR2[64:66]}; VAR5[96:127]<={{29{VAR2[96]}},VAR2[96:98]}; end 5'd30: begin VAR5[0:31]<={{30{VAR2[0]}},VAR2[0:1]}; VAR5[32:63]<={{30{VAR2[32]}},VAR2[32:33]}; VAR5[64:95]<={{30{VAR2[64]}},VAR2[64:65]}; VAR5[96:127]<={{30{VAR2[96]}},VAR2[96:97]}; end 5'd31: begin VAR5[0:31]<={{31{VAR2[0]}},VAR2[0]}; VAR5[32:63]<={{31{VAR2[32]}},VAR2[32]}; VAR5[64:95]<={{31{VAR2[64]}},VAR2[64]}; VAR5[96:127]<={{31{VAR2[96]}},VAR2[96]}; end endcase end endcase end begin case(VAR8) begin case(VAR3[5:7]) 3'd0: VAR5[0:7]<=VAR2[0:7]; 3'd1: VAR5[0:7]<={{1{VAR2[0]}},VAR2[0:6]}; 3'd2: VAR5[0:7]<={{2{VAR2[0]}},VAR2[0:5]}; 3'd3: VAR5[0:7]<={{3{VAR2[0]}},VAR2[0:4]}; 3'd4: VAR5[0:7]<={{4{VAR2[0]}},VAR2[0:3]}; 3'd5: VAR5[0:7]<={{5{VAR2[0]}},VAR2[0:2]}; 3'd6: VAR5[0:7]<={{6{VAR2[0]}},VAR2[0:1]}; 3'd7: VAR5[0:7]<={{7{VAR2[0]}},VAR2[0]}; endcase case(VAR3[13:15]) 3'd0: VAR5[8:15]<=VAR2[8:15]; 3'd1: VAR5[8:15]<={{1{VAR2[8]}},VAR2[8:14]}; 3'd2: VAR5[8:15]<={{2{VAR2[8]}},VAR2[8:13]}; 3'd3: VAR5[8:15]<={{3{VAR2[8]}},VAR2[8:12]}; 3'd4: VAR5[8:15]<={{4{VAR2[8]}},VAR2[8:11]}; 3'd5: VAR5[8:15]<={{5{VAR2[8]}},VAR2[8:10]}; 3'd6: VAR5[8:15]<={{6{VAR2[8]}},VAR2[8:9]}; 3'd7: VAR5[8:15]<={{7{VAR2[8]}},VAR2[8]}; endcase case(VAR3[21:23]) 3'd0: VAR5[16:23]<=VAR2[16:23]; 3'd1: VAR5[16:23]<={{1{VAR2[16]}},VAR2[16:22]}; 3'd2: VAR5[16:23]<={{2{VAR2[16]}},VAR2[16:21]}; 3'd3: VAR5[16:23]<={{3{VAR2[16]}},VAR2[16:20]}; 3'd4: VAR5[16:23]<={{4{VAR2[16]}},VAR2[16:19]}; 3'd5: VAR5[16:23]<={{5{VAR2[16]}},VAR2[16:18]}; 3'd6: VAR5[16:23]<={{6{VAR2[16]}},VAR2[16:17]}; 3'd7: VAR5[16:23]<={{7{VAR2[16]}},VAR2[16]}; endcase case(VAR3[29:31]) 3'd0: VAR5[24:31]<=VAR2[24:31]; 3'd1: VAR5[24:31]<={{1{VAR2[24]}},VAR2[24:30]}; 3'd2: VAR5[24:31]<={{2{VAR2[24]}},VAR2[24:29]}; 3'd3: VAR5[24:31]<={{3{VAR2[24]}},VAR2[24:28]}; 3'd4: VAR5[24:31]<={{4{VAR2[24]}},VAR2[24:27]}; 3'd5: VAR5[24:31]<={{5{VAR2[24]}},VAR2[24:26]}; 3'd6: VAR5[24:31]<={{6{VAR2[24]}},VAR2[24:25]}; 3'd7: VAR5[24:31]<={{7{VAR2[24]}},VAR2[24]}; endcase case(VAR3[37:39]) 3'd0: VAR5[32:39]<=VAR2[32:39]; 3'd1: VAR5[32:39]<={{1{VAR2[32]}},VAR2[32:38]}; 3'd2: VAR5[32:39]<={{2{VAR2[32]}},VAR2[32:37]}; 3'd3: VAR5[32:39]<={{3{VAR2[32]}},VAR2[32:36]}; 3'd4: VAR5[32:39]<={{4{VAR2[32]}},VAR2[32:35]}; 3'd5: VAR5[32:39]<={{5{VAR2[32]}},VAR2[32:34]}; 3'd6: VAR5[32:39]<={{6{VAR2[32]}},VAR2[32:33]}; 3'd7: VAR5[32:39]<={{7{VAR2[32]}},VAR2[32]}; endcase case(VAR3[45:47]) 3'd0: VAR5[40:47]<=VAR2[40:47]; 3'd1: VAR5[40:47]<={{1{VAR2[40]}},VAR2[40:46]}; 3'd2: VAR5[40:47]<={{2{VAR2[40]}},VAR2[40:45]}; 3'd3: VAR5[40:47]<={{3{VAR2[40]}},VAR2[40:44]}; 3'd4: VAR5[40:47]<={{4{VAR2[40]}},VAR2[40:43]}; 3'd5: VAR5[40:47]<={{5{VAR2[40]}},VAR2[40:42]}; 3'd6: VAR5[40:47]<={{6{VAR2[40]}},VAR2[40:41]}; 3'd7: VAR5[40:47]<={{7{VAR2[40]}},VAR2[40]}; endcase case(VAR3[53:55]) 3'd0: VAR5[48:55]<=VAR2[48:55]; 3'd1: VAR5[48:55]<={{1{VAR2[48]}},VAR2[48:54]}; 3'd2: VAR5[48:55]<={{2{VAR2[48]}},VAR2[48:53]}; 3'd3: VAR5[48:55]<={{3{VAR2[48]}},VAR2[48:52]}; 3'd4: VAR5[48:55]<={{4{VAR2[48]}},VAR2[48:51]}; 3'd5: VAR5[48:55]<={{5{VAR2[48]}},VAR2[48:50]}; 3'd6: VAR5[48:55]<={{6{VAR2[48]}},VAR2[48:49]}; 3'd7: VAR5[48:55]<={{7{VAR2[48]}},VAR2[48]}; endcase case(VAR3[61:63]) 3'd0: VAR5[56:63]<=VAR2[56:63]; 3'd1: VAR5[56:63]<={{1{VAR2[56]}},VAR2[56:62]}; 3'd2: VAR5[56:63]<={{2{VAR2[56]}},VAR2[56:61]}; 3'd3: VAR5[56:63]<={{3{VAR2[56]}},VAR2[56:60]}; 3'd4: VAR5[56:63]<={{4{VAR2[56]}},VAR2[56:59]}; 3'd5: VAR5[56:63]<={{5{VAR2[56]}},VAR2[56:58]}; 3'd6: VAR5[56:63]<={{6{VAR2[56]}},VAR2[56:57]}; 3'd7: VAR5[56:63]<={{7{VAR2[56]}},VAR2[56]}; endcase case(VAR3[69:71]) 3'd0: VAR5[64:71]<=VAR2[64:71]; 3'd1: VAR5[64:71]<={{1{VAR2[64]}},VAR2[64:70]}; 3'd2: VAR5[64:71]<={{2{VAR2[64]}},VAR2[64:69]}; 3'd3: VAR5[64:71]<={{3{VAR2[64]}},VAR2[64:68]}; 3'd4: VAR5[64:71]<={{4{VAR2[64]}},VAR2[64:67]}; 3'd5: VAR5[64:71]<={{5{VAR2[64]}},VAR2[64:66]}; 3'd6: VAR5[64:71]<={{6{VAR2[64]}},VAR2[64:65]}; 3'd7: VAR5[64:71]<={{7{VAR2[64]}},VAR2[64]}; endcase case(VAR3[77:79]) 3'd0: VAR5[72:79]<=VAR2[72:79]; 3'd1: VAR5[72:79]<={{1{VAR2[72]}},VAR2[72:78]}; 3'd2: VAR5[72:79]<={{2{VAR2[72]}},VAR2[72:77]}; 3'd3: VAR5[72:79]<={{3{VAR2[72]}},VAR2[72:76]}; 3'd4: VAR5[72:79]<={{4{VAR2[72]}},VAR2[72:75]}; 3'd5: VAR5[72:79]<={{5{VAR2[72]}},VAR2[72:74]}; 3'd6: VAR5[72:79]<={{6{VAR2[72]}},VAR2[72:73]}; 3'd7: VAR5[72:79]<={{7{VAR2[72]}},VAR2[72]}; endcase case(VAR3[85:87]) 3'd0: VAR5[80:87]<=VAR2[80:87]; 3'd1: VAR5[80:87]<={{1{VAR2[80]}},VAR2[80:86]}; 3'd2: VAR5[80:87]<={{2{VAR2[80]}},VAR2[80:85]}; 3'd3: VAR5[80:87]<={{3{VAR2[80]}},VAR2[80:84]}; 3'd4: VAR5[80:87]<={{4{VAR2[80]}},VAR2[80:83]}; 3'd5: VAR5[80:87]<={{5{VAR2[80]}},VAR2[80:82]}; 3'd6: VAR5[80:87]<={{6{VAR2[80]}},VAR2[80:81]}; 3'd7: VAR5[80:87]<={{7{VAR2[80]}},VAR2[80]}; endcase case(VAR3[93:95]) 3'd0: VAR5[88:95]<=VAR2[88:95]; 3'd1: VAR5[88:95]<={{1{VAR2[88]}},VAR2[88:94]}; 3'd2: VAR5[88:95]<={{2{VAR2[88]}},VAR2[88:93]}; 3'd3: VAR5[88:95]<={{3{VAR2[88]}},VAR2[88:92]}; 3'd4: VAR5[88:95]<={{4{VAR2[88]}},VAR2[88:91]}; 3'd5: VAR5[88:95]<={{5{VAR2[88]}},VAR2[88:90]}; 3'd6: VAR5[88:95]<={{6{VAR2[88]}},VAR2[88:89]}; 3'd7: VAR5[88:95]<={{7{VAR2[88]}},VAR2[88]}; endcase case(VAR3[101:103]) 3'd0: VAR5[96:103]<=VAR2[96:103]; 3'd1: VAR5[96:103]<={{1{VAR2[96]}},VAR2[96:102]}; 3'd2: VAR5[96:103]<={{2{VAR2[96]}},VAR2[96:101]}; 3'd3: VAR5[96:103]<={{3{VAR2[96]}},VAR2[96:100]}; 3'd4: VAR5[96:103]<={{4{VAR2[96]}},VAR2[96:99]}; 3'd5: VAR5[96:103]<={{5{VAR2[96]}},VAR2[96:98]}; 3'd6: VAR5[96:103]<={{6{VAR2[96]}},VAR2[96:97]}; 3'd7: VAR5[96:103]<={{7{VAR2[96]}},VAR2[96]}; endcase case(VAR3[109:111]) 3'd0: VAR5[104:111]<=VAR2[104:111]; 3'd1: VAR5[104:111]<={{1{VAR2[104]}},VAR2[104:110]}; 3'd2: VAR5[104:111]<={{2{VAR2[104]}},VAR2[104:109]}; 3'd3: VAR5[104:111]<={{3{VAR2[104]}},VAR2[104:108]}; 3'd4: VAR5[104:111]<={{4{VAR2[104]}},VAR2[104:107]}; 3'd5: VAR5[104:111]<={{5{VAR2[104]}},VAR2[104:106]}; 3'd6: VAR5[104:111]<={{6{VAR2[104]}},VAR2[104:105]}; 3'd7: VAR5[104:111]<={{7{VAR2[104]}},VAR2[104]}; endcase case(VAR3[117:119]) 3'd0: VAR5[112:119]<=VAR2[112:119]; 3'd1: VAR5[112:119]<={{1{VAR2[112]}},VAR2[112:118]}; 3'd2: VAR5[112:119]<={{2{VAR2[112]}},VAR2[112:117]}; 3'd3: VAR5[112:119]<={{3{VAR2[112]}},VAR2[112:116]}; 3'd4: VAR5[112:119]<={{4{VAR2[112]}},VAR2[112:115]}; 3'd5: VAR5[112:119]<={{5{VAR2[112]}},VAR2[112:114]}; 3'd6: VAR5[112:119]<={{6{VAR2[112]}},VAR2[112:113]}; 3'd7: VAR5[112:119]<={{7{VAR2[112]}},VAR2[112]}; endcase case(VAR3[125:127]) 3'd0: VAR5[120:127]<=VAR2[120:127]; 3'd1: VAR5[120:127]<={{1{VAR2[120]}},VAR2[120:126]}; 3'd2: VAR5[120:127]<={{2{VAR2[120]}},VAR2[120:125]}; 3'd3: VAR5[120:127]<={{3{VAR2[120]}},VAR2[120:124]}; 3'd4: VAR5[120:127]<={{4{VAR2[120]}},VAR2[120:123]}; 3'd5: VAR5[120:127]<={{5{VAR2[120]}},VAR2[120:122]}; 3'd6: VAR5[120:127]<={{6{VAR2[120]}},VAR2[120:121]}; 3'd7: VAR5[120:127]<={{7{VAR2[120]}},VAR2[120]}; endcase end begin case(VAR3[12:15]) 4'd0: VAR5[0:15]<=VAR2[0:15]; 4'd1: VAR5[0:15]<={{1{VAR2[0]}},VAR2[0:14]}; 4'd2: VAR5[0:15]<={{2{VAR2[0]}},VAR2[0:13]}; 4'd3: VAR5[0:15]<={{3{VAR2[0]}},VAR2[0:12]}; 4'd4: VAR5[0:15]<={{4{VAR2[0]}},VAR2[0:11]}; 4'd5: VAR5[0:15]<={{5{VAR2[0]}},VAR2[0:10]}; 4'd6: VAR5[0:15]<={{6{VAR2[0]}},VAR2[0:9]}; 4'd7: VAR5[0:15]<={{7{VAR2[0]}},VAR2[0:8]}; 4'd8: VAR5[0:15]<={{8{VAR2[0]}},VAR2[0:7]}; 4'd9: VAR5[0:15]<={{9{VAR2[0]}},VAR2[0:6]}; 4'd10: VAR5[0:15]<={{10{VAR2[0]}},VAR2[0:5]}; 4'd11: VAR5[0:15]<={{11{VAR2[0]}},VAR2[0:4]}; 4'd12: VAR5[0:15]<={{12{VAR2[0]}},VAR2[0:3]}; 4'd13: VAR5[0:15]<={{13{VAR2[0]}},VAR2[0:2]}; 4'd14: VAR5[0:15]<={{14{VAR2[0]}},VAR2[0:1]}; 4'd15: VAR5[0:15]<={{15{VAR2[0]}},VAR2[0]}; endcase case(VAR3[28:31]) 4'd0: VAR5[16:31]<=VAR2[16:31]; 4'd1: VAR5[16:31]<={{1{VAR2[16]}},VAR2[16:30]}; 4'd2: VAR5[16:31]<={{2{VAR2[16]}},VAR2[16:29]}; 4'd3: VAR5[16:31]<={{3{VAR2[16]}},VAR2[16:28]}; 4'd4: VAR5[16:31]<={{4{VAR2[16]}},VAR2[16:27]}; 4'd5: VAR5[16:31]<={{5{VAR2[16]}},VAR2[16:26]}; 4'd6: VAR5[16:31]<={{6{VAR2[16]}},VAR2[16:25]}; 4'd7: VAR5[16:31]<={{7{VAR2[16]}},VAR2[16:24]}; 4'd8: VAR5[16:31]<={{8{VAR2[16]}},VAR2[16:23]}; 4'd9: VAR5[16:31]<={{9{VAR2[16]}},VAR2[16:22]}; 4'd10: VAR5[16:31]<={{10{VAR2[16]}},VAR2[16:21]}; 4'd11: VAR5[16:31]<={{11{VAR2[16]}},VAR2[16:20]}; 4'd12: VAR5[16:31]<={{12{VAR2[16]}},VAR2[16:19]}; 4'd13: VAR5[16:31]<={{13{VAR2[16]}},VAR2[16:18]}; 4'd14: VAR5[16:31]<={{14{VAR2[16]}},VAR2[16:17]}; 4'd15: VAR5[16:31]<={{15{VAR2[16]}},VAR2[16]}; endcase case(VAR3[44:47]) 4'd0: VAR5[32:47]<=VAR2[32:47]; 4'd1: VAR5[32:47]<={{1{VAR2[32]}},VAR2[32:46]}; 4'd2: VAR5[32:47]<={{2{VAR2[32]}},VAR2[32:45]}; 4'd3: VAR5[32:47]<={{3{VAR2[32]}},VAR2[32:44]}; 4'd4: VAR5[32:47]<={{4{VAR2[32]}},VAR2[32:43]}; 4'd5: VAR5[32:47]<={{5{VAR2[32]}},VAR2[32:42]}; 4'd6: VAR5[32:47]<={{6{VAR2[32]}},VAR2[32:41]}; 4'd7: VAR5[32:47]<={{7{VAR2[32]}},VAR2[32:40]}; 4'd8: VAR5[32:47]<={{8{VAR2[32]}},VAR2[32:39]}; 4'd9: VAR5[32:47]<={{9{VAR2[32]}},VAR2[32:38]}; 4'd10: VAR5[32:47]<={{10{VAR2[32]}},VAR2[32:37]}; 4'd11: VAR5[32:47]<={{11{VAR2[32]}},VAR2[32:36]}; 4'd12: VAR5[32:47]<={{12{VAR2[32]}},VAR2[32:35]}; 4'd13: VAR5[32:47]<={{13{VAR2[32]}},VAR2[32:34]}; 4'd14: VAR5[32:47]<={{14{VAR2[32]}},VAR2[32:33]}; 4'd15: VAR5[32:47]<={{15{VAR2[32]}},VAR2[32]}; endcase case(VAR3[60:63]) 4'd0: VAR5[48:63]<=VAR2[48:63]; 4'd1: VAR5[48:63]<={{1{VAR2[48]}},VAR2[48:62]}; 4'd2: VAR5[48:63]<={{2{VAR2[48]}},VAR2[48:61]}; 4'd3: VAR5[48:63]<={{3{VAR2[48]}},VAR2[48:60]}; 4'd4: VAR5[48:63]<={{4{VAR2[48]}},VAR2[48:59]}; 4'd5: VAR5[48:63]<={{5{VAR2[48]}},VAR2[48:58]}; 4'd6: VAR5[48:63]<={{6{VAR2[48]}},VAR2[48:57]}; 4'd7: VAR5[48:63]<={{7{VAR2[48]}},VAR2[48:56]}; 4'd8: VAR5[48:63]<={{8{VAR2[48]}},VAR2[48:55]}; 4'd9: VAR5[48:63]<={{9{VAR2[48]}},VAR2[48:54]}; 4'd10: VAR5[48:63]<={{10{VAR2[48]}},VAR2[48:53]}; 4'd11: VAR5[48:63]<={{11{VAR2[48]}},VAR2[48:52]}; 4'd12: VAR5[48:63]<={{12{VAR2[48]}},VAR2[48:51]}; 4'd13: VAR5[48:63]<={{13{VAR2[48]}},VAR2[48:50]}; 4'd14: VAR5[48:63]<={{14{VAR2[48]}},VAR2[48:49]}; 4'd15: VAR5[48:63]<={{15{VAR2[48]}},VAR2[48]}; endcase case(VAR3[76:79]) 4'd0: VAR5[64:79]<=VAR2[64:79]; 4'd1: VAR5[64:79]<={{1{VAR2[64]}},VAR2[64:78]}; 4'd2: VAR5[64:79]<={{2{VAR2[64]}},VAR2[64:77]}; 4'd3: VAR5[64:79]<={{3{VAR2[64]}},VAR2[64:76]}; 4'd4: VAR5[64:79]<={{4{VAR2[64]}},VAR2[64:75]}; 4'd5: VAR5[64:79]<={{5{VAR2[64]}},VAR2[64:74]}; 4'd6: VAR5[64:79]<={{6{VAR2[64]}},VAR2[64:73]}; 4'd7: VAR5[64:79]<={{7{VAR2[64]}},VAR2[64:72]}; 4'd8: VAR5[64:79]<={{8{VAR2[64]}},VAR2[64:71]}; 4'd9: VAR5[64:79]<={{9{VAR2[64]}},VAR2[64:70]}; 4'd10: VAR5[64:79]<={{10{VAR2[64]}},VAR2[64:69]}; 4'd11: VAR5[64:79]<={{11{VAR2[64]}},VAR2[64:68]}; 4'd12: VAR5[64:79]<={{12{VAR2[64]}},VAR2[64:67]}; 4'd13: VAR5[64:79]<={{13{VAR2[64]}},VAR2[64:66]}; 4'd14: VAR5[64:79]<={{14{VAR2[64]}},VAR2[64:65]}; 4'd15: VAR5[64:79]<={{15{VAR2[64]}},VAR2[64]}; endcase case(VAR3[92:95]) 4'd0: VAR5[80:95]<=VAR2[80:95]; 4'd1: VAR5[80:95]<={{1{VAR2[80]}},VAR2[80:94]}; 4'd2: VAR5[80:95]<={{2{VAR2[80]}},VAR2[80:93]}; 4'd3: VAR5[80:95]<={{3{VAR2[80]}},VAR2[80:92]}; 4'd4: VAR5[80:95]<={{4{VAR2[80]}},VAR2[80:91]}; 4'd5: VAR5[80:95]<={{5{VAR2[80]}},VAR2[80:90]}; 4'd6: VAR5[80:95]<={{6{VAR2[80]}},VAR2[80:89]}; 4'd7: VAR5[80:95]<={{7{VAR2[80]}},VAR2[80:88]}; 4'd8: VAR5[80:95]<={{8{VAR2[80]}},VAR2[80:87]}; 4'd9: VAR5[80:95]<={{9{VAR2[80]}},VAR2[80:86]}; 4'd10: VAR5[80:95]<={{10{VAR2[80]}},VAR2[80:85]}; 4'd11: VAR5[80:95]<={{11{VAR2[80]}},VAR2[80:84]}; 4'd12: VAR5[80:95]<={{12{VAR2[80]}},VAR2[80:83]}; 4'd13: VAR5[80:95]<={{13{VAR2[80]}},VAR2[80:82]}; 4'd14: VAR5[80:95]<={{14{VAR2[80]}},VAR2[80:81]}; 4'd15: VAR5[80:95]<={{15{VAR2[80]}},VAR2[80]}; endcase case(VAR3[92:111]) 4'd0: VAR5[96:111]<=VAR2[96:111]; 4'd1: VAR5[96:111]<={{1{VAR2[96]}},VAR2[96:110]}; 4'd2: VAR5[96:111]<={{2{VAR2[96]}},VAR2[96:109]}; 4'd3: VAR5[96:111]<={{3{VAR2[96]}},VAR2[96:108]}; 4'd4: VAR5[96:111]<={{4{VAR2[96]}},VAR2[96:107]}; 4'd5: VAR5[96:111]<={{5{VAR2[96]}},VAR2[96:106]}; 4'd6: VAR5[96:111]<={{6{VAR2[96]}},VAR2[96:105]}; 4'd7: VAR5[96:111]<={{7{VAR2[96]}},VAR2[96:104]}; 4'd8: VAR5[96:111]<={{8{VAR2[96]}},VAR2[96:103]}; 4'd9: VAR5[96:111]<={{9{VAR2[96]}},VAR2[96:102]}; 4'd10: VAR5[96:111]<={{10{VAR2[96]}},VAR2[96:101]}; 4'd11: VAR5[96:111]<={{11{VAR2[96]}},VAR2[96:100]}; 4'd12: VAR5[96:111]<={{12{VAR2[96]}},VAR2[96:99]}; 4'd13: VAR5[96:111]<={{13{VAR2[96]}},VAR2[96:98]}; 4'd14: VAR5[96:111]<={{14{VAR2[96]}},VAR2[96:97]}; 4'd15: VAR5[96:111]<={{15{VAR2[96]}},VAR2[96]}; endcase case(VAR3[92:127]) 4'd0: VAR5[112:127]<=VAR2[112:127]; 4'd1: VAR5[112:127]<={{1{VAR2[112]}},VAR2[112:126]}; 4'd2: VAR5[112:127]<={{2{VAR2[112]}},VAR2[112:125]}; 4'd3: VAR5[112:127]<={{3{VAR2[112]}},VAR2[112:124]}; 4'd4: VAR5[112:127]<={{4{VAR2[112]}},VAR2[112:123]}; 4'd5: VAR5[112:127]<={{5{VAR2[112]}},VAR2[112:122]}; 4'd6: VAR5[112:127]<={{6{VAR2[112]}},VAR2[112:121]}; 4'd7: VAR5[112:127]<={{7{VAR2[112]}},VAR2[112:120]}; 4'd8: VAR5[112:127]<={{8{VAR2[112]}},VAR2[112:119]}; 4'd9: VAR5[112:127]<={{9{VAR2[112]}},VAR2[112:118]}; 4'd10: VAR5[112:127]<={{10{VAR2[112]}},VAR2[112:117]}; 4'd11: VAR5[112:127]<={{11{VAR2[112]}},VAR2[112:116]}; 4'd12: VAR5[112:127]<={{12{VAR2[112]}},VAR2[112:115]}; 4'd13: VAR5[112:127]<={{13{VAR2[112]}},VAR2[112:114]}; 4'd14: VAR5[112:127]<={{14{VAR2[112]}},VAR2[112:113]}; 4'd15: VAR5[112:127]<={{15{VAR2[112]}},VAR2[112]}; endcase end begin case(VAR3[27:31]) 5'd0: VAR5[0:31]<=VAR2[0:31]; 5'd1: VAR5[0:31]<={{1{VAR2[0]}},VAR2[0:30]}; 5'd2: VAR5[0:31]<={{2{VAR2[0]}},VAR2[0:29]}; 5'd3: VAR5[0:31]<={{3{VAR2[0]}},VAR2[0:28]}; 5'd4: VAR5[0:31]<={{4{VAR2[0]}},VAR2[0:27]}; 5'd5: VAR5[0:31]<={{5{VAR2[0]}},VAR2[0:26]}; 5'd6: VAR5[0:31]<={{6{VAR2[0]}},VAR2[0:25]}; 5'd7: VAR5[0:31]<={{7{VAR2[0]}},VAR2[0:24]}; 5'd8: VAR5[0:31]<={{8{VAR2[0]}},VAR2[0:23]}; 5'd9: VAR5[0:31]<={{9{VAR2[0]}},VAR2[0:22]}; 5'd10: VAR5[0:31]<={{10{VAR2[0]}},VAR2[0:21]}; 5'd11: VAR5[0:31]<={{11{VAR2[0]}},VAR2[0:20]}; 5'd12: VAR5[0:31]<={{12{VAR2[0]}},VAR2[0:19]}; 5'd13: VAR5[0:31]<={{13{VAR2[0]}},VAR2[0:18]}; 5'd14: VAR5[0:31]<={{14{VAR2[0]}},VAR2[0:17]}; 5'd15: VAR5[0:31]<={{15{VAR2[0]}},VAR2[0:16]}; 5'd16: VAR5[0:31]<={{16{VAR2[0]}},VAR2[0:15]}; 5'd17: VAR5[0:31]<={{17{VAR2[0]}},VAR2[0:14]}; 5'd18: VAR5[0:31]<={{18{VAR2[0]}},VAR2[0:13]}; 5'd19: VAR5[0:31]<={{19{VAR2[0]}},VAR2[0:12]}; 5'd20: VAR5[0:31]<={{20{VAR2[0]}},VAR2[0:11]}; 5'd21: VAR5[0:31]<={{21{VAR2[0]}},VAR2[0:10]}; 5'd22: VAR5[0:31]<={{22{VAR2[0]}},VAR2[0:9]}; 5'd23: VAR5[0:31]<={{23{VAR2[0]}},VAR2[0:8]}; 5'd24: VAR5[0:31]<={{24{VAR2[0]}},VAR2[0:7]}; 5'd25: VAR5[0:31]<={{25{VAR2[0]}},VAR2[0:6]}; 5'd26: VAR5[0:31]<={{26{VAR2[0]}},VAR2[0:5]}; 5'd27: VAR5[0:31]<={{27{VAR2[0]}},VAR2[0:4]}; 5'd28: VAR5[0:31]<={{28{VAR2[0]}},VAR2[0:3]}; 5'd29: VAR5[0:31]<={{29{VAR2[0]}},VAR2[0:2]}; 5'd30: VAR5[0:31]<={{30{VAR2[0]}},VAR2[0:1]}; 5'd31: VAR5[0:31]<={{31{VAR2[0]}},VAR2[0]}; endcase case(VAR3[59:63]) 5'd0: VAR5[32:63]<=VAR2[32:63]; 5'd1: VAR5[32:63]<={{1{VAR2[32]}},VAR2[32:62]}; 5'd2: VAR5[32:63]<={{2{VAR2[32]}},VAR2[32:61]}; 5'd3: VAR5[32:63]<={{3{VAR2[32]}},VAR2[32:60]}; 5'd4: VAR5[32:63]<={{4{VAR2[32]}},VAR2[32:59]}; 5'd5: VAR5[32:63]<={{5{VAR2[32]}},VAR2[32:58]}; 5'd6: VAR5[32:63]<={{6{VAR2[32]}},VAR2[32:57]}; 5'd7: VAR5[32:63]<={{7{VAR2[32]}},VAR2[32:56]}; 5'd8: VAR5[32:63]<={{8{VAR2[32]}},VAR2[32:55]}; 5'd9: VAR5[32:63]<={{9{VAR2[32]}},VAR2[32:54]}; 5'd10: VAR5[32:63]<={{10{VAR2[32]}},VAR2[32:53]}; 5'd11: VAR5[32:63]<={{11{VAR2[32]}},VAR2[32:52]}; 5'd12: VAR5[32:63]<={{12{VAR2[32]}},VAR2[32:51]}; 5'd13: VAR5[32:63]<={{13{VAR2[32]}},VAR2[32:50]}; 5'd14: VAR5[32:63]<={{14{VAR2[32]}},VAR2[32:49]}; 5'd15: VAR5[32:63]<={{15{VAR2[32]}},VAR2[32:48]}; 5'd16: VAR5[32:63]<={{16{VAR2[32]}},VAR2[32:47]}; 5'd17: VAR5[32:63]<={{17{VAR2[32]}},VAR2[32:46]}; 5'd18: VAR5[32:63]<={{18{VAR2[32]}},VAR2[32:45]}; 5'd19: VAR5[32:63]<={{19{VAR2[32]}},VAR2[32:44]}; 5'd20: VAR5[32:63]<={{20{VAR2[32]}},VAR2[32:43]}; 5'd21: VAR5[32:63]<={{21{VAR2[32]}},VAR2[32:42]}; 5'd22: VAR5[32:63]<={{22{VAR2[32]}},VAR2[32:41]}; 5'd23: VAR5[32:63]<={{23{VAR2[32]}},VAR2[32:40]}; 5'd24: VAR5[32:63]<={{24{VAR2[32]}},VAR2[32:39]}; 5'd25: VAR5[32:63]<={{25{VAR2[32]}},VAR2[32:38]}; 5'd26: VAR5[32:63]<={{26{VAR2[32]}},VAR2[32:37]}; 5'd27: VAR5[32:63]<={{27{VAR2[32]}},VAR2[32:36]}; 5'd28: VAR5[32:63]<={{28{VAR2[32]}},VAR2[32:35]}; 5'd29: VAR5[32:63]<={{29{VAR2[32]}},VAR2[32:34]}; 5'd30: VAR5[32:63]<={{30{VAR2[32]}},VAR2[32:33]}; 5'd31: VAR5[32:63]<={{31{VAR2[32]}},VAR2[32]}; endcase case(VAR3[91:95]) 5'd0: VAR5[64:95]<=VAR2[64:95]; 5'd1: VAR5[64:95]<={{1{VAR2[64]}},VAR2[64:94]}; 5'd2: VAR5[64:95]<={{2{VAR2[64]}},VAR2[64:93]}; 5'd3: VAR5[64:95]<={{3{VAR2[64]}},VAR2[64:92]}; 5'd4: VAR5[64:95]<={{4{VAR2[64]}},VAR2[64:91]}; 5'd5: VAR5[64:95]<={{5{VAR2[64]}},VAR2[64:90]}; 5'd6: VAR5[64:95]<={{6{VAR2[64]}},VAR2[64:89]}; 5'd7: VAR5[64:95]<={{7{VAR2[64]}},VAR2[64:88]}; 5'd8: VAR5[64:95]<={{8{VAR2[64]}},VAR2[64:87]}; 5'd9: VAR5[64:95]<={{9{VAR2[64]}},VAR2[64:86]}; 5'd10: VAR5[64:95]<={{10{VAR2[64]}},VAR2[64:85]}; 5'd11: VAR5[64:95]<={{11{VAR2[64]}},VAR2[64:84]}; 5'd12: VAR5[64:95]<={{12{VAR2[64]}},VAR2[64:83]}; 5'd13: VAR5[64:95]<={{13{VAR2[64]}},VAR2[64:82]}; 5'd14: VAR5[64:95]<={{14{VAR2[64]}},VAR2[64:81]}; 5'd15: VAR5[64:95]<={{15{VAR2[64]}},VAR2[64:80]}; 5'd16: VAR5[64:95]<={{16{VAR2[64]}},VAR2[64:79]}; 5'd17: VAR5[64:95]<={{17{VAR2[64]}},VAR2[64:78]}; 5'd18: VAR5[64:95]<={{18{VAR2[64]}},VAR2[64:77]}; 5'd19: VAR5[64:95]<={{19{VAR2[64]}},VAR2[64:76]}; 5'd20: VAR5[64:95]<={{20{VAR2[64]}},VAR2[64:75]}; 5'd21: VAR5[64:95]<={{21{VAR2[64]}},VAR2[64:74]}; 5'd22: VAR5[64:95]<={{22{VAR2[64]}},VAR2[64:73]}; 5'd23: VAR5[64:95]<={{23{VAR2[64]}},VAR2[64:72]}; 5'd24: VAR5[64:95]<={{24{VAR2[64]}},VAR2[64:71]}; 5'd25: VAR5[64:95]<={{25{VAR2[64]}},VAR2[64:70]}; 5'd26: VAR5[64:95]<={{26{VAR2[64]}},VAR2[64:69]}; 5'd27: VAR5[64:95]<={{27{VAR2[64]}},VAR2[64:68]}; 5'd28: VAR5[64:95]<={{28{VAR2[64]}},VAR2[64:67]}; 5'd29: VAR5[64:95]<={{29{VAR2[64]}},VAR2[64:66]}; 5'd30: VAR5[64:95]<={{30{VAR2[64]}},VAR2[64:65]}; 5'd31: VAR5[64:95]<={{31{VAR2[64]}},VAR2[64]}; endcase case(VAR3[123:127]) 5'd0: VAR5[96:127]<=VAR2[96:127]; 5'd1: VAR5[96:127]<={{1{VAR2[96]}},VAR2[96:126]}; 5'd2: VAR5[96:127]<={{2{VAR2[96]}},VAR2[96:125]}; 5'd3: VAR5[96:127]<={{3{VAR2[96]}},VAR2[96:124]}; 5'd4: VAR5[96:127]<={{4{VAR2[96]}},VAR2[96:123]}; 5'd5: VAR5[96:127]<={{5{VAR2[96]}},VAR2[96:122]}; 5'd6: VAR5[96:127]<={{6{VAR2[96]}},VAR2[96:121]}; 5'd7: VAR5[96:127]<={{7{VAR2[96]}},VAR2[96:120]}; 5'd8: VAR5[96:127]<={{8{VAR2[96]}},VAR2[96:119]}; 5'd9: VAR5[96:127]<={{9{VAR2[96]}},VAR2[96:118]}; 5'd10: VAR5[96:127]<={{10{VAR2[96]}},VAR2[96:117]}; 5'd11: VAR5[96:127]<={{11{VAR2[96]}},VAR2[96:116]}; 5'd12: VAR5[96:127]<={{12{VAR2[96]}},VAR2[96:115]}; 5'd13: VAR5[96:127]<={{13{VAR2[96]}},VAR2[96:114]}; 5'd14: VAR5[96:127]<={{14{VAR2[96]}},VAR2[96:113]}; 5'd15: VAR5[96:127]<={{15{VAR2[96]}},VAR2[96:112]}; 5'd16: VAR5[96:127]<={{16{VAR2[96]}},VAR2[96:111]}; 5'd17: VAR5[96:127]<={{17{VAR2[96]}},VAR2[96:110]}; 5'd18: VAR5[96:127]<={{18{VAR2[96]}},VAR2[96:109]}; 5'd19: VAR5[96:127]<={{19{VAR2[96]}},VAR2[96:108]}; 5'd20: VAR5[96:127]<={{20{VAR2[96]}},VAR2[96:107]}; 5'd21: VAR5[96:127]<={{21{VAR2[96]}},VAR2[96:106]}; 5'd22: VAR5[96:127]<={{22{VAR2[96]}},VAR2[96:105]}; 5'd23: VAR5[96:127]<={{23{VAR2[96]}},VAR2[96:104]}; 5'd24: VAR5[96:127]<={{24{VAR2[96]}},VAR2[96:103]}; 5'd25: VAR5[96:127]<={{25{VAR2[96]}},VAR2[96:102]}; 5'd26: VAR5[96:127]<={{26{VAR2[96]}},VAR2[96:101]}; 5'd27: VAR5[96:127]<={{27{VAR2[96]}},VAR2[96:100]}; 5'd28: VAR5[96:127]<={{28{VAR2[96]}},VAR2[96:99]}; 5'd29: VAR5[96:127]<={{29{VAR2[96]}},VAR2[96:98]}; 5'd30: VAR5[96:127]<={{30{VAR2[96]}},VAR2[96:97]}; 5'd31: VAR5[96:127]<={{31{VAR2[96]}},VAR2[96]}; endcase end endcase end default: begin VAR5<=128'd0; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/decap/sky130_fd_sc_lp__decap.pp.symbol.v
1,200
module MODULE1 ( input VAR2 , input VAR1, input VAR4, input VAR3 ); endmodule
apache-2.0
fallen/milkymist-mmu
cores/uart/rtl/uart_transceiver.v
3,956
module MODULE1( input VAR18, input VAR13, input VAR2, output reg VAR11, input [15:0] VAR23, output reg [7:0] VAR4, output reg VAR15, input [7:0] VAR6, input VAR7, output reg VAR1, output reg break ); reg [15:0] VAR5; wire VAR10; assign VAR10 = (VAR5 == 16'd0); always @(posedge VAR13) begin if(VAR18) VAR5 <= VAR23 - 16'b1; end else begin VAR5 <= VAR5 - 16'd1; if(VAR10) VAR5 <= VAR23 - 16'b1; end end reg VAR17; reg VAR14; always @(posedge VAR13) begin VAR17 <= VAR2; VAR14 <= VAR17; end reg VAR20; reg VAR19; reg [3:0] VAR9; reg [3:0] VAR22; reg [7:0] VAR8; always @(posedge VAR13) begin if(VAR18) begin VAR15 <= 1'b0; VAR20 <= 1'b0; VAR9 <= 4'd0; VAR22 <= 4'd0; break <= 1'b0; VAR19 <= 1'b0; end else begin VAR15 <= 1'b0; break <= 1'b0; if(VAR10) begin VAR19 <= VAR14; if(~VAR20) begin if(~VAR14 & VAR19) begin VAR20 <= 1'b1; VAR9 <= 4'd7; VAR22 <= 4'd0; end end else begin VAR9 <= VAR9 + 4'd1; if(VAR9 == 4'd0) begin VAR22 <= VAR22 + 4'd1; if(VAR22 == 4'd0) begin if(VAR14) VAR20 <= 1'b0; end else if(VAR22 == 4'd9) begin VAR20 <= 1'b0; if(VAR14) begin VAR4 <= VAR8; VAR15 <= 1'b1; end else if(VAR8 == 8'h00) break <= 1'b1; end else VAR8 <= {VAR14, VAR8[7:1]}; end end end end end reg VAR3; reg [3:0] VAR12; reg [3:0] VAR21; reg [7:0] VAR16; always @(posedge VAR13) begin if(VAR18) begin VAR1 <= 1'b0; VAR3 <= 1'b0; VAR11 <= 1'b1; end else begin VAR1 <= 1'b0; if(VAR7) begin VAR16 <= VAR6; VAR12 <= 4'd0; VAR21 <= 4'd1; VAR3 <= 1'b1; VAR11 <= 1'b0; end else if(VAR10 && VAR3) begin VAR21 <= VAR21 + 4'd1; if(VAR21 == 4'd0) begin VAR12 <= VAR12 + 4'd1; if(VAR12 == 4'd8) begin VAR11 <= 1'b1; end else if(VAR12 == 4'd9) begin VAR11 <= 1'b1; VAR3 <= 1'b0; VAR1 <= 1'b1; end else begin VAR11 <= VAR16[0]; VAR16 <= {1'b0, VAR16[7:1]}; end end end end end endmodule
lgpl-3.0
AngelTerrones/ADA
rtl/ada_memwb_stage.v
1,836
module MODULE1( input clk, input rst, input [31:0] VAR8, input [4:0] VAR2, input VAR4, input VAR1, input VAR3, input VAR5, output reg [31:0] VAR9, output reg [4:0] VAR6, output reg VAR7 ); always @(posedge clk) begin VAR9 <= (rst) ? 31'b0 : ((VAR5) ? VAR9 : VAR8); VAR6 <= (rst) ? 5'b0 : ((VAR5) ? VAR6 :((VAR3 | VAR1) ? 5'b0 : VAR2)); VAR7 <= (rst) ? 1'b0 : ((VAR5) ? VAR7 :((VAR3 | VAR1) ? 1'b0 : VAR4)); end endmodule
mit
asicguy/gplgpu
hdl/altera_ddr3_128/alt_mem_ddrx_ecc_decoder_64_syn.v
57,948
module MODULE3 ( VAR160, VAR33) ; input [6:0] VAR160; output [127:0] VAR33; tri0 [6:0] VAR160; wire [5:0] VAR182; wire VAR69; wire VAR125; wire [127:0] VAR192; wire [63:0] VAR120; wire [63:0] VAR196; wire [3:0] VAR64; wire [3:0] VAR208; wire [3:0] VAR214; wire [3:0] VAR195; wire [3:0] VAR3; wire [3:0] VAR142; wire [3:0] VAR245; wire [3:0] VAR188; wire [3:0] VAR35; wire [3:0] VAR84; wire [3:0] VAR131; wire [3:0] VAR1; wire [3:0] VAR228; wire [3:0] VAR62; wire [3:0] VAR249; wire [3:0] VAR190; wire [3:0] VAR20; wire [3:0] VAR170; wire [3:0] VAR229; wire [3:0] VAR207; wire [3:0] VAR151; wire [3:0] VAR112; wire [3:0] VAR201; wire [3:0] VAR128; wire [3:0] VAR76; wire [3:0] VAR32; wire [3:0] VAR129; wire [3:0] VAR121; wire [3:0] VAR220; wire [3:0] VAR197; wire [3:0] VAR204; wire [3:0] VAR58; wire [3:0] VAR127; wire [3:0] VAR155; wire [3:0] VAR157; wire [3:0] VAR104; wire [3:0] VAR39; wire [3:0] VAR4; wire [3:0] VAR47; wire [3:0] VAR166; wire [3:0] VAR217; wire [3:0] VAR185; wire [3:0] VAR140; wire [3:0] VAR213; wire [3:0] VAR219; wire [3:0] VAR43; wire [3:0] VAR59; wire [3:0] VAR10; wire [3:0] VAR247; wire [3:0] VAR101; wire [3:0] VAR31; wire [3:0] VAR250; wire [3:0] VAR241; wire [3:0] VAR211; wire [3:0] VAR230; wire [3:0] VAR85; wire [3:0] VAR233; wire [3:0] VAR27; wire [3:0] VAR108; wire [3:0] VAR132; wire [3:0] VAR134; wire [3:0] VAR237; wire [3:0] VAR30; wire [3:0] VAR52; wire [3:0] VAR110; wire [3:0] VAR66; wire [3:0] VAR103; wire [3:0] VAR44; wire [3:0] VAR183; wire [3:0] VAR137; wire [3:0] VAR231; wire [3:0] VAR186; wire [3:0] VAR173; wire [3:0] VAR100; wire [3:0] VAR48; wire [3:0] VAR89; wire [3:0] VAR74; wire [3:0] VAR226; wire [3:0] VAR86; wire [3:0] VAR178; wire [3:0] VAR210; wire [3:0] VAR23; wire [3:0] VAR119; wire [3:0] VAR107; wire [3:0] VAR42; wire [3:0] VAR153; wire [3:0] VAR36; wire [3:0] VAR9; wire [3:0] VAR106; wire [3:0] VAR122; wire [3:0] VAR67; wire [3:0] VAR152; wire [3:0] VAR251; wire [3:0] VAR180; wire [3:0] VAR162; wire [3:0] VAR72; wire [3:0] VAR156; wire [3:0] VAR223; wire [3:0] VAR161; wire [3:0] VAR78; wire [3:0] VAR14; wire [3:0] VAR224; wire [3:0] VAR40; wire [3:0] VAR11; wire [3:0] VAR53; wire [3:0] VAR114; wire [3:0] VAR218; wire [3:0] VAR177; wire [3:0] VAR167; wire [3:0] VAR87; wire [3:0] VAR115; wire [3:0] VAR175; wire [3:0] VAR205; wire [3:0] VAR200; wire [3:0] VAR21; wire [3:0] VAR236; wire [3:0] VAR203; wire [3:0] VAR148; wire [3:0] VAR172; wire [3:0] VAR248; wire [3:0] VAR136; wire [3:0] VAR235; wire [3:0] VAR164; wire [3:0] VAR46; wire [3:0] VAR113; wire [3:0] VAR7; wire [3:0] VAR138; wire [3:0] VAR96; wire [3:0] VAR68; wire [3:0] VAR41; wire [3:0] VAR75; wire [3:0] VAR73; wire [3:0] VAR202; wire [3:0] VAR159; wire [3:0] VAR133; wire [3:0] VAR244; wire [3:0] VAR63; wire [3:0] VAR123; wire [3:0] VAR82; wire [3:0] VAR169; wire [3:0] VAR16; wire [3:0] VAR232; wire [3:0] VAR176; wire [3:0] VAR158; wire [2:0] VAR6; wire [2:0] VAR70; assign VAR182 = VAR160[5:0], VAR69 = (~ VAR160[6]), VAR125 = VAR160[6], VAR33 = VAR192, VAR192 = {VAR196[63:0], VAR120}, VAR120 = {{VAR52[3], VAR30[3], VAR237[3], VAR134[3], VAR132[3], VAR108[3], VAR27[3], VAR233[3]}, {VAR230[3], VAR211[3], VAR241[3], VAR250[3], VAR31[3], VAR101[3], VAR247[3], VAR10[3]}, {VAR43[3], VAR219[3], VAR213[3], VAR140[3], VAR185[3], VAR217[3], VAR166[3], VAR47[3]}, {VAR39[3], VAR104[3], VAR157[3], VAR155[3], VAR127[3], VAR58[3], VAR204[3], VAR197[3]}, {VAR121[3], VAR129[3], VAR32[3], VAR76[3], VAR128[3], VAR201[3], VAR112[3], VAR151[3]}, {VAR229[3], VAR170[3], VAR20[3], VAR190[3], VAR249[3], VAR62[3], VAR228[3], VAR1[3]}, {VAR84[3], VAR35[3], VAR188[3], VAR245[3], VAR142[3], VAR3[3], VAR195[3], VAR214[3]}, {VAR64[3], VAR158[3], VAR176[3], VAR232[3], VAR16[3], VAR169[3], VAR82[3], VAR123[3]}}, VAR196 = {{VAR244[3], VAR133[3], VAR159[3], VAR202[3], VAR73[3], VAR75[3], VAR41[3], VAR68[3]}, {VAR138[3], VAR7[3], VAR113[3], VAR46[3], VAR164[3], VAR235[3], VAR136[3], VAR248[3]}, {VAR148[3], VAR203[3], VAR236[3], VAR21[3], VAR200[3], VAR205[3], VAR175[3], VAR115[3]}, {VAR167[3], VAR177[3], VAR218[3], VAR114[3], VAR53[3], VAR11[3], VAR40[3], VAR224[3]}, {VAR78[3], VAR161[3], VAR223[3], VAR156[3], VAR72[3], VAR162[3], VAR180[3], VAR251[3]}, {VAR67[3], VAR122[3], VAR106[3], VAR9[3], VAR36[3], VAR153[3], VAR42[3], VAR107[3]}, {VAR23[3], VAR210[3], VAR178[3], VAR86[3], VAR226[3], VAR74[3], VAR89[3], VAR48[3]}, {VAR173[3], VAR186[3], VAR231[3], VAR137[3], VAR183[3], VAR44[3], VAR103[3], VAR66[3]}}, VAR64 = {(VAR64[2] & VAR70[2]), (VAR64[1] & VAR70[1]), (VAR64[0] & VAR70[0]), VAR63[3]}, VAR208 = {(VAR208[2] & (~ VAR182[5])), (VAR208[1] & (~ VAR182[4])), (VAR208[0] & VAR182[3]), VAR69}, VAR214 = {(VAR214[2] & (~ VAR70[2])), (VAR214[1] & (~ VAR70[1])), (VAR214[0] & (~ VAR70[0])), VAR208[3]}, VAR195 = {(VAR195[2] & (~ VAR70[2])), (VAR195[1] & (~ VAR70[1])), (VAR195[0] & VAR70[0]), VAR208[3]}, VAR3 = {(VAR3[2] & (~ VAR70[2])), (VAR3[1] & VAR70[1]), (VAR3[0] & (~ VAR70[0])), VAR208[3]}, VAR142 = {(VAR142[2] & (~ VAR70[2])), (VAR142[1] & VAR70[1]), (VAR142[0] & VAR70[0]), VAR208[3]}, VAR245 = {(VAR245[2] & VAR70[2]), (VAR245[1] & (~ VAR70[1])), (VAR245[0] & (~ VAR70[0])), VAR208[3]}, VAR188 = {(VAR188[2] & VAR70[2]), (VAR188[1] & (~ VAR70[1])), (VAR188[0] & VAR70[0]), VAR208[3]}, VAR35 = {(VAR35[2] & VAR70[2]), (VAR35[1] & VAR70[1]), (VAR35[0] & (~ VAR70[0])), VAR208[3]}, VAR84 = {(VAR84[2] & VAR70[2]), (VAR84[1] & VAR70[1]), (VAR84[0] & VAR70[0]), VAR208[3]}, VAR131 = {(VAR131[2] & (~ VAR182[5])), (VAR131[1] & VAR182[4]), (VAR131[0] & (~ VAR182[3])), VAR69}, VAR1 = {(VAR1[2] & (~ VAR70[2])), (VAR1[1] & (~ VAR70[1])), (VAR1[0] & (~ VAR70[0])), VAR131[3]}, VAR228 = {(VAR228[2] & (~ VAR70[2])), (VAR228[1] & (~ VAR70[1])), (VAR228[0] & VAR70[0]), VAR131[3]}, VAR62 = {(VAR62[2] & (~ VAR70[2])), (VAR62[1] & VAR70[1]), (VAR62[0] & (~ VAR70[0])), VAR131[3]}, VAR249 = {(VAR249[2] & (~ VAR70[2])), (VAR249[1] & VAR70[1]), (VAR249[0] & VAR70[0]), VAR131[3]}, VAR190 = {(VAR190[2] & VAR70[2]), (VAR190[1] & (~ VAR70[1])), (VAR190[0] & (~ VAR70[0])), VAR131[3]}, VAR20 = {(VAR20[2] & VAR70[2]), (VAR20[1] & (~ VAR70[1])), (VAR20[0] & VAR70[0]), VAR131[3]}, VAR170 = {(VAR170[2] & VAR70[2]), (VAR170[1] & VAR70[1]), (VAR170[0] & (~ VAR70[0])), VAR131[3]}, VAR229 = {(VAR229[2] & VAR70[2]), (VAR229[1] & VAR70[1]), (VAR229[0] & VAR70[0]), VAR131[3]}, VAR207 = {(VAR207[2] & (~ VAR182[5])), (VAR207[1] & VAR182[4]), (VAR207[0] & VAR182[3]), VAR69}, VAR151 = {(VAR151[2] & (~ VAR70[2])), (VAR151[1] & (~ VAR70[1])), (VAR151[0] & (~ VAR70[0])), VAR207[3]}, VAR112 = {(VAR112[2] & (~ VAR70[2])), (VAR112[1] & (~ VAR70[1])), (VAR112[0] & VAR70[0]), VAR207[3]}, VAR201 = {(VAR201[2] & (~ VAR70[2])), (VAR201[1] & VAR70[1]), (VAR201[0] & (~ VAR70[0])), VAR207[3]}, VAR128 = {(VAR128[2] & (~ VAR70[2])), (VAR128[1] & VAR70[1]), (VAR128[0] & VAR70[0]), VAR207[3]}, VAR76 = {(VAR76[2] & VAR70[2]), (VAR76[1] & (~ VAR70[1])), (VAR76[0] & (~ VAR70[0])), VAR207[3]}, VAR32 = {(VAR32[2] & VAR70[2]), (VAR32[1] & (~ VAR70[1])), (VAR32[0] & VAR70[0]), VAR207[3]}, VAR129 = {(VAR129[2] & VAR70[2]), (VAR129[1] & VAR70[1]), (VAR129[0] & (~ VAR70[0])), VAR207[3]}, VAR121 = {(VAR121[2] & VAR70[2]), (VAR121[1] & VAR70[1]), (VAR121[0] & VAR70[0]), VAR207[3]}, VAR220 = {(VAR220[2] & VAR182[5]), (VAR220[1] & (~ VAR182[4])), (VAR220[0] & (~ VAR182[3])), VAR69}, VAR197 = {(VAR197[2] & (~ VAR70[2])), (VAR197[1] & (~ VAR70[1])), (VAR197[0] & (~ VAR70[0])), VAR220[3]}, VAR204 = {(VAR204[2] & (~ VAR70[2])), (VAR204[1] & (~ VAR70[1])), (VAR204[0] & VAR70[0]), VAR220[3]}, VAR58 = {(VAR58[2] & (~ VAR70[2])), (VAR58[1] & VAR70[1]), (VAR58[0] & (~ VAR70[0])), VAR220[3]}, VAR127 = {(VAR127[2] & (~ VAR70[2])), (VAR127[1] & VAR70[1]), (VAR127[0] & VAR70[0]), VAR220[3]}, VAR155 = {(VAR155[2] & VAR70[2]), (VAR155[1] & (~ VAR70[1])), (VAR155[0] & (~ VAR70[0])), VAR220[3]}, VAR157 = {(VAR157[2] & VAR70[2]), (VAR157[1] & (~ VAR70[1])), (VAR157[0] & VAR70[0]), VAR220[3]}, VAR104 = {(VAR104[2] & VAR70[2]), (VAR104[1] & VAR70[1]), (VAR104[0] & (~ VAR70[0])), VAR220[3]}, VAR39 = {(VAR39[2] & VAR70[2]), (VAR39[1] & VAR70[1]), (VAR39[0] & VAR70[0]), VAR220[3]}, VAR4 = {(VAR4[2] & VAR182[5]), (VAR4[1] & (~ VAR182[4])), (VAR4[0] & VAR182[3]), VAR69}, VAR47 = {(VAR47[2] & (~ VAR70[2])), (VAR47[1] & (~ VAR70[1])), (VAR47[0] & (~ VAR70[0])), VAR4[3]}, VAR166 = {(VAR166[2] & (~ VAR70[2])), (VAR166[1] & (~ VAR70[1])), (VAR166[0] & VAR70[0]), VAR4[3]}, VAR217 = {(VAR217[2] & (~ VAR70[2])), (VAR217[1] & VAR70[1]), (VAR217[0] & (~ VAR70[0])), VAR4[3]}, VAR185 = {(VAR185[2] & (~ VAR70[2])), (VAR185[1] & VAR70[1]), (VAR185[0] & VAR70[0]), VAR4[3]}, VAR140 = {(VAR140[2] & VAR70[2]), (VAR140[1] & (~ VAR70[1])), (VAR140[0] & (~ VAR70[0])), VAR4[3]}, VAR213 = {(VAR213[2] & VAR70[2]), (VAR213[1] & (~ VAR70[1])), (VAR213[0] & VAR70[0]), VAR4[3]}, VAR219 = {(VAR219[2] & VAR70[2]), (VAR219[1] & VAR70[1]), (VAR219[0] & (~ VAR70[0])), VAR4[3]}, VAR43 = {(VAR43[2] & VAR70[2]), (VAR43[1] & VAR70[1]), (VAR43[0] & VAR70[0]), VAR4[3]}, VAR59 = {(VAR59[2] & VAR182[5]), (VAR59[1] & VAR182[4]), (VAR59[0] & (~ VAR182[3])), VAR69}, VAR10 = {(VAR10[2] & (~ VAR70[2])), (VAR10[1] & (~ VAR70[1])), (VAR10[0] & (~ VAR70[0])), VAR59[3]}, VAR247 = {(VAR247[2] & (~ VAR70[2])), (VAR247[1] & (~ VAR70[1])), (VAR247[0] & VAR70[0]), VAR59[3]}, VAR101 = {(VAR101[2] & (~ VAR70[2])), (VAR101[1] & VAR70[1]), (VAR101[0] & (~ VAR70[0])), VAR59[3]}, VAR31 = {(VAR31[2] & (~ VAR70[2])), (VAR31[1] & VAR70[1]), (VAR31[0] & VAR70[0]), VAR59[3]}, VAR250 = {(VAR250[2] & VAR70[2]), (VAR250[1] & (~ VAR70[1])), (VAR250[0] & (~ VAR70[0])), VAR59[3]}, VAR241 = {(VAR241[2] & VAR70[2]), (VAR241[1] & (~ VAR70[1])), (VAR241[0] & VAR70[0]), VAR59[3]}, VAR211 = {(VAR211[2] & VAR70[2]), (VAR211[1] & VAR70[1]), (VAR211[0] & (~ VAR70[0])), VAR59[3]}, VAR230 = {(VAR230[2] & VAR70[2]), (VAR230[1] & VAR70[1]), (VAR230[0] & VAR70[0]), VAR59[3]}, VAR85 = {(VAR85[2] & VAR182[5]), (VAR85[1] & VAR182[4]), (VAR85[0] & VAR182[3]), VAR69}, VAR233 = {(VAR233[2] & (~ VAR70[2])), (VAR233[1] & (~ VAR70[1])), (VAR233[0] & (~ VAR70[0])), VAR85[3]}, VAR27 = {(VAR27[2] & (~ VAR70[2])), (VAR27[1] & (~ VAR70[1])), (VAR27[0] & VAR70[0]), VAR85[3]}, VAR108 = {(VAR108[2] & (~ VAR70[2])), (VAR108[1] & VAR70[1]), (VAR108[0] & (~ VAR70[0])), VAR85[3]}, VAR132 = {(VAR132[2] & (~ VAR70[2])), (VAR132[1] & VAR70[1]), (VAR132[0] & VAR70[0]), VAR85[3]}, VAR134 = {(VAR134[2] & VAR70[2]), (VAR134[1] & (~ VAR70[1])), (VAR134[0] & (~ VAR70[0])), VAR85[3]}, VAR237 = {(VAR237[2] & VAR70[2]), (VAR237[1] & (~ VAR70[1])), (VAR237[0] & VAR70[0]), VAR85[3]}, VAR30 = {(VAR30[2] & VAR70[2]), (VAR30[1] & VAR70[1]), (VAR30[0] & (~ VAR70[0])), VAR85[3]}, VAR52 = {(VAR52[2] & VAR70[2]), (VAR52[1] & VAR70[1]), (VAR52[0] & VAR70[0]), VAR85[3]}, VAR110 = {(VAR110[2] & (~ VAR182[5])), (VAR110[1] & (~ VAR182[4])), (VAR110[0] & (~ VAR182[3])), VAR125}, VAR66 = {(VAR66[2] & (~ VAR6[2])), (VAR66[1] & (~ VAR6[1])), (VAR66[0] & (~ VAR6[0])), VAR110[3]}, VAR103 = {(VAR103[2] & (~ VAR6[2])), (VAR103[1] & (~ VAR6[1])), (VAR103[0] & VAR6[0]), VAR110[3]}, VAR44 = {(VAR44[2] & (~ VAR6[2])), (VAR44[1] & VAR6[1]), (VAR44[0] & (~ VAR6[0])), VAR110[3]}, VAR183 = {(VAR183[2] & (~ VAR6[2])), (VAR183[1] & VAR6[1]), (VAR183[0] & VAR6[0]), VAR110[3]}, VAR137 = {(VAR137[2] & VAR6[2]), (VAR137[1] & (~ VAR6[1])), (VAR137[0] & (~ VAR6[0])), VAR110[3]}, VAR231 = {(VAR231[2] & VAR6[2]), (VAR231[1] & (~ VAR6[1])), (VAR231[0] & VAR6[0]), VAR110[3]}, VAR186 = {(VAR186[2] & VAR6[2]), (VAR186[1] & VAR6[1]), (VAR186[0] & (~ VAR6[0])), VAR110[3]}, VAR173 = {(VAR173[2] & VAR6[2]), (VAR173[1] & VAR6[1]), (VAR173[0] & VAR6[0]), VAR110[3]}, VAR100 = {(VAR100[2] & (~ VAR182[5])), (VAR100[1] & (~ VAR182[4])), (VAR100[0] & VAR182[3]), VAR125}, VAR48 = {(VAR48[2] & (~ VAR6[2])), (VAR48[1] & (~ VAR6[1])), (VAR48[0] & (~ VAR6[0])), VAR100[3]}, VAR89 = {(VAR89[2] & (~ VAR6[2])), (VAR89[1] & (~ VAR6[1])), (VAR89[0] & VAR6[0]), VAR100[3]}, VAR74 = {(VAR74[2] & (~ VAR6[2])), (VAR74[1] & VAR6[1]), (VAR74[0] & (~ VAR6[0])), VAR100[3]}, VAR226 = {(VAR226[2] & (~ VAR6[2])), (VAR226[1] & VAR6[1]), (VAR226[0] & VAR6[0]), VAR100[3]}, VAR86 = {(VAR86[2] & VAR6[2]), (VAR86[1] & (~ VAR6[1])), (VAR86[0] & (~ VAR6[0])), VAR100[3]}, VAR178 = {(VAR178[2] & VAR6[2]), (VAR178[1] & (~ VAR6[1])), (VAR178[0] & VAR6[0]), VAR100[3]}, VAR210 = {(VAR210[2] & VAR6[2]), (VAR210[1] & VAR6[1]), (VAR210[0] & (~ VAR6[0])), VAR100[3]}, VAR23 = {(VAR23[2] & VAR6[2]), (VAR23[1] & VAR6[1]), (VAR23[0] & VAR6[0]), VAR100[3]}, VAR119 = {(VAR119[2] & (~ VAR182[5])), (VAR119[1] & VAR182[4]), (VAR119[0] & (~ VAR182[3])), VAR125}, VAR107 = {(VAR107[2] & (~ VAR6[2])), (VAR107[1] & (~ VAR6[1])), (VAR107[0] & (~ VAR6[0])), VAR119[3]}, VAR42 = {(VAR42[2] & (~ VAR6[2])), (VAR42[1] & (~ VAR6[1])), (VAR42[0] & VAR6[0]), VAR119[3]}, VAR153 = {(VAR153[2] & (~ VAR6[2])), (VAR153[1] & VAR6[1]), (VAR153[0] & (~ VAR6[0])), VAR119[3]}, VAR36 = {(VAR36[2] & (~ VAR6[2])), (VAR36[1] & VAR6[1]), (VAR36[0] & VAR6[0]), VAR119[3]}, VAR9 = {(VAR9[2] & VAR6[2]), (VAR9[1] & (~ VAR6[1])), (VAR9[0] & (~ VAR6[0])), VAR119[3]}, VAR106 = {(VAR106[2] & VAR6[2]), (VAR106[1] & (~ VAR6[1])), (VAR106[0] & VAR6[0]), VAR119[3]}, VAR122 = {(VAR122[2] & VAR6[2]), (VAR122[1] & VAR6[1]), (VAR122[0] & (~ VAR6[0])), VAR119[3]}, VAR67 = {(VAR67[2] & VAR6[2]), (VAR67[1] & VAR6[1]), (VAR67[0] & VAR6[0]), VAR119[3]}, VAR152 = {(VAR152[2] & (~ VAR182[5])), (VAR152[1] & VAR182[4]), (VAR152[0] & VAR182[3]), VAR125}, VAR251 = {(VAR251[2] & (~ VAR6[2])), (VAR251[1] & (~ VAR6[1])), (VAR251[0] & (~ VAR6[0])), VAR152[3]}, VAR180 = {(VAR180[2] & (~ VAR6[2])), (VAR180[1] & (~ VAR6[1])), (VAR180[0] & VAR6[0]), VAR152[3]}, VAR162 = {(VAR162[2] & (~ VAR6[2])), (VAR162[1] & VAR6[1]), (VAR162[0] & (~ VAR6[0])), VAR152[3]}, VAR72 = {(VAR72[2] & (~ VAR6[2])), (VAR72[1] & VAR6[1]), (VAR72[0] & VAR6[0]), VAR152[3]}, VAR156 = {(VAR156[2] & VAR6[2]), (VAR156[1] & (~ VAR6[1])), (VAR156[0] & (~ VAR6[0])), VAR152[3]}, VAR223 = {(VAR223[2] & VAR6[2]), (VAR223[1] & (~ VAR6[1])), (VAR223[0] & VAR6[0]), VAR152[3]}, VAR161 = {(VAR161[2] & VAR6[2]), (VAR161[1] & VAR6[1]), (VAR161[0] & (~ VAR6[0])), VAR152[3]}, VAR78 = {(VAR78[2] & VAR6[2]), (VAR78[1] & VAR6[1]), (VAR78[0] & VAR6[0]), VAR152[3]}, VAR14 = {(VAR14[2] & VAR182[5]), (VAR14[1] & (~ VAR182[4])), (VAR14[0] & (~ VAR182[3])), VAR125}, VAR224 = {(VAR224[2] & (~ VAR6[2])), (VAR224[1] & (~ VAR6[1])), (VAR224[0] & (~ VAR6[0])), VAR14[3]}, VAR40 = {(VAR40[2] & (~ VAR6[2])), (VAR40[1] & (~ VAR6[1])), (VAR40[0] & VAR6[0]), VAR14[3]}, VAR11 = {(VAR11[2] & (~ VAR6[2])), (VAR11[1] & VAR6[1]), (VAR11[0] & (~ VAR6[0])), VAR14[3]}, VAR53 = {(VAR53[2] & (~ VAR6[2])), (VAR53[1] & VAR6[1]), (VAR53[0] & VAR6[0]), VAR14[3]}, VAR114 = {(VAR114[2] & VAR6[2]), (VAR114[1] & (~ VAR6[1])), (VAR114[0] & (~ VAR6[0])), VAR14[3]}, VAR218 = {(VAR218[2] & VAR6[2]), (VAR218[1] & (~ VAR6[1])), (VAR218[0] & VAR6[0]), VAR14[3]}, VAR177 = {(VAR177[2] & VAR6[2]), (VAR177[1] & VAR6[1]), (VAR177[0] & (~ VAR6[0])), VAR14[3]}, VAR167 = {(VAR167[2] & VAR6[2]), (VAR167[1] & VAR6[1]), (VAR167[0] & VAR6[0]), VAR14[3]}, VAR87 = {(VAR87[2] & VAR182[5]), (VAR87[1] & (~ VAR182[4])), (VAR87[0] & VAR182[3]), VAR125}, VAR115 = {(VAR115[2] & (~ VAR6[2])), (VAR115[1] & (~ VAR6[1])), (VAR115[0] & (~ VAR6[0])), VAR87[3]}, VAR175 = {(VAR175[2] & (~ VAR6[2])), (VAR175[1] & (~ VAR6[1])), (VAR175[0] & VAR6[0]), VAR87[3]}, VAR205 = {(VAR205[2] & (~ VAR6[2])), (VAR205[1] & VAR6[1]), (VAR205[0] & (~ VAR6[0])), VAR87[3]}, VAR200 = {(VAR200[2] & (~ VAR6[2])), (VAR200[1] & VAR6[1]), (VAR200[0] & VAR6[0]), VAR87[3]}, VAR21 = {(VAR21[2] & VAR6[2]), (VAR21[1] & (~ VAR6[1])), (VAR21[0] & (~ VAR6[0])), VAR87[3]}, VAR236 = {(VAR236[2] & VAR6[2]), (VAR236[1] & (~ VAR6[1])), (VAR236[0] & VAR6[0]), VAR87[3]}, VAR203 = {(VAR203[2] & VAR6[2]), (VAR203[1] & VAR6[1]), (VAR203[0] & (~ VAR6[0])), VAR87[3]}, VAR148 = {(VAR148[2] & VAR6[2]), (VAR148[1] & VAR6[1]), (VAR148[0] & VAR6[0]), VAR87[3]}, VAR172 = {(VAR172[2] & VAR182[5]), (VAR172[1] & VAR182[4]), (VAR172[0] & (~ VAR182[3])), VAR125}, VAR248 = {(VAR248[2] & (~ VAR6[2])), (VAR248[1] & (~ VAR6[1])), (VAR248[0] & (~ VAR6[0])), VAR172[3]}, VAR136 = {(VAR136[2] & (~ VAR6[2])), (VAR136[1] & (~ VAR6[1])), (VAR136[0] & VAR6[0]), VAR172[3]}, VAR235 = {(VAR235[2] & (~ VAR6[2])), (VAR235[1] & VAR6[1]), (VAR235[0] & (~ VAR6[0])), VAR172[3]}, VAR164 = {(VAR164[2] & (~ VAR6[2])), (VAR164[1] & VAR6[1]), (VAR164[0] & VAR6[0]), VAR172[3]}, VAR46 = {(VAR46[2] & VAR6[2]), (VAR46[1] & (~ VAR6[1])), (VAR46[0] & (~ VAR6[0])), VAR172[3]}, VAR113 = {(VAR113[2] & VAR6[2]), (VAR113[1] & (~ VAR6[1])), (VAR113[0] & VAR6[0]), VAR172[3]}, VAR7 = {(VAR7[2] & VAR6[2]), (VAR7[1] & VAR6[1]), (VAR7[0] & (~ VAR6[0])), VAR172[3]}, VAR138 = {(VAR138[2] & VAR6[2]), (VAR138[1] & VAR6[1]), (VAR138[0] & VAR6[0]), VAR172[3]}, VAR96 = {(VAR96[2] & VAR182[5]), (VAR96[1] & VAR182[4]), (VAR96[0] & VAR182[3]), VAR125}, VAR68 = {(VAR68[2] & (~ VAR6[2])), (VAR68[1] & (~ VAR6[1])), (VAR68[0] & (~ VAR6[0])), VAR96[3]}, VAR41 = {(VAR41[2] & (~ VAR6[2])), (VAR41[1] & (~ VAR6[1])), (VAR41[0] & VAR6[0]), VAR96[3]}, VAR75 = {(VAR75[2] & (~ VAR6[2])), (VAR75[1] & VAR6[1]), (VAR75[0] & (~ VAR6[0])), VAR96[3]}, VAR73 = {(VAR73[2] & (~ VAR6[2])), (VAR73[1] & VAR6[1]), (VAR73[0] & VAR6[0]), VAR96[3]}, VAR202 = {(VAR202[2] & VAR6[2]), (VAR202[1] & (~ VAR6[1])), (VAR202[0] & (~ VAR6[0])), VAR96[3]}, VAR159 = {(VAR159[2] & VAR6[2]), (VAR159[1] & (~ VAR6[1])), (VAR159[0] & VAR6[0]), VAR96[3]}, VAR133 = {(VAR133[2] & VAR6[2]), (VAR133[1] & VAR6[1]), (VAR133[0] & (~ VAR6[0])), VAR96[3]}, VAR244 = {(VAR244[2] & VAR6[2]), (VAR244[1] & VAR6[1]), (VAR244[0] & VAR6[0]), VAR96[3]}, VAR63 = {(VAR63[2] & (~ VAR182[5])), (VAR63[1] & (~ VAR182[4])), (VAR63[0] & (~ VAR182[3])), VAR69}, VAR123 = {(VAR123[2] & (~ VAR70[2])), (VAR123[1] & (~ VAR70[1])), (VAR123[0] & (~ VAR70[0])), VAR63[3]}, VAR82 = {(VAR82[2] & (~ VAR70[2])), (VAR82[1] & (~ VAR70[1])), (VAR82[0] & VAR70[0]), VAR63[3]}, VAR169 = {(VAR169[2] & (~ VAR70[2])), (VAR169[1] & VAR70[1]), (VAR169[0] & (~ VAR70[0])), VAR63[3]}, VAR16 = {(VAR16[2] & (~ VAR70[2])), (VAR16[1] & VAR70[1]), (VAR16[0] & VAR70[0]), VAR63[3]}, VAR232 = {(VAR232[2] & VAR70[2]), (VAR232[1] & (~ VAR70[1])), (VAR232[0] & (~ VAR70[0])), VAR63[3]}, VAR176 = {(VAR176[2] & VAR70[2]), (VAR176[1] & (~ VAR70[1])), (VAR176[0] & VAR70[0]), VAR63[3]}, VAR158 = {(VAR158[2] & VAR70[2]), (VAR158[1] & VAR70[1]), (VAR158[0] & (~ VAR70[0])), VAR63[3]}, VAR6 = VAR182[2:0], VAR70 = VAR182[2:0]; endmodule module MODULE1 ( VAR160, VAR77, VAR242, VAR65, VAR222, VAR227) ; input [71:0] VAR160; output VAR77; output VAR242; output VAR65; output VAR222; output [63:0] VAR227; wire [127:0] VAR79; wire VAR234; wire VAR26; wire VAR2; wire VAR81; wire VAR209; wire VAR17; wire VAR94; wire VAR143; wire VAR19; wire VAR57; wire VAR60; wire VAR55; wire VAR184; wire VAR135; wire VAR225; wire VAR34; wire VAR144; wire VAR51; wire VAR29; wire VAR238; wire VAR5; wire VAR28; wire VAR212; wire VAR95; wire VAR8; wire VAR56; wire VAR221; wire VAR145; wire VAR117; wire VAR141; wire VAR12; wire VAR165; wire VAR25; wire VAR18; wire VAR105; wire VAR124; wire VAR150; wire VAR240; wire VAR24; wire VAR118; wire VAR61; wire VAR88; wire VAR191; wire VAR181; wire VAR243; wire VAR49; wire VAR71; wire VAR45; wire VAR149; wire VAR246; wire VAR91; wire VAR239; wire VAR174; wire VAR216; wire VAR97; wire VAR13; wire VAR109; wire VAR168; wire VAR37; wire VAR179; wire VAR54; wire VAR139; wire VAR98; wire VAR102; wire VAR199; wire [63:0] VAR83; wire [71:0] VAR182; wire [127:0] VAR80; wire VAR252; wire VAR126; wire VAR146; wire [35:0] VAR90; wire [17:0] VAR215; wire [8:0] VAR163; wire [3:0] VAR111; wire [1:0] VAR116; wire [30:0] VAR147; wire [6:0] VAR193; wire VAR92; wire [70:0] VAR194; wire [6:0] VAR22; wire [63:0] VAR206; wire VAR130; wire VAR189; wire [5:0] VAR93; wire [7:0] VAR198; MODULE3 MODULE1 ( .VAR160(VAR198[6:0]), .VAR33(VAR79)); assign VAR234 = (VAR198[7] == 1'b1) ? (VAR80[3] ^ VAR182[0]) : VAR182[0]; assign VAR26 = (VAR198[7] == 1'b1) ? (VAR80[5] ^ VAR182[1]) : VAR182[1]; assign VAR2 = (VAR198[7] == 1'b1) ? (VAR80[15] ^ VAR182[10]) : VAR182[10]; assign VAR81 = (VAR198[7] == 1'b1) ? (VAR80[17] ^ VAR182[11]) : VAR182[11]; assign VAR209 = (VAR198[7] == 1'b1) ? (VAR80[18] ^ VAR182[12]) : VAR182[12]; assign VAR17 = (VAR198[7] == 1'b1) ? (VAR80[19] ^ VAR182[13]) : VAR182[13]; assign VAR94 = (VAR198[7] == 1'b1) ? (VAR80[20] ^ VAR182[14]) : VAR182[14]; assign VAR143 = (VAR198[7] == 1'b1) ? (VAR80[21] ^ VAR182[15]) : VAR182[15]; assign VAR19 = (VAR198[7] == 1'b1) ? (VAR80[22] ^ VAR182[16]) : VAR182[16]; assign VAR57 = (VAR198[7] == 1'b1) ? (VAR80[23] ^ VAR182[17]) : VAR182[17]; assign VAR60 = (VAR198[7] == 1'b1) ? (VAR80[24] ^ VAR182[18]) : VAR182[18]; assign VAR55 = (VAR198[7] == 1'b1) ? (VAR80[25] ^ VAR182[19]) : VAR182[19]; assign VAR184 = (VAR198[7] == 1'b1) ? (VAR80[6] ^ VAR182[2]) : VAR182[2]; assign VAR135 = (VAR198[7] == 1'b1) ? (VAR80[26] ^ VAR182[20]) : VAR182[20]; assign VAR225 = (VAR198[7] == 1'b1) ? (VAR80[27] ^ VAR182[21]) : VAR182[21]; assign VAR34 = (VAR198[7] == 1'b1) ? (VAR80[28] ^ VAR182[22]) : VAR182[22]; assign VAR144 = (VAR198[7] == 1'b1) ? (VAR80[29] ^ VAR182[23]) : VAR182[23]; assign VAR51 = (VAR198[7] == 1'b1) ? (VAR80[30] ^ VAR182[24]) : VAR182[24]; assign VAR29 = (VAR198[7] == 1'b1) ? (VAR80[31] ^ VAR182[25]) : VAR182[25]; assign VAR238 = (VAR198[7] == 1'b1) ? (VAR80[33] ^ VAR182[26]) : VAR182[26]; assign VAR5 = (VAR198[7] == 1'b1) ? (VAR80[34] ^ VAR182[27]) : VAR182[27]; assign VAR28 = (VAR198[7] == 1'b1) ? (VAR80[35] ^ VAR182[28]) : VAR182[28]; assign VAR212 = (VAR198[7] == 1'b1) ? (VAR80[36] ^ VAR182[29]) : VAR182[29]; assign VAR95 = (VAR198[7] == 1'b1) ? (VAR80[7] ^ VAR182[3]) : VAR182[3]; assign VAR8 = (VAR198[7] == 1'b1) ? (VAR80[37] ^ VAR182[30]) : VAR182[30]; assign VAR56 = (VAR198[7] == 1'b1) ? (VAR80[38] ^ VAR182[31]) : VAR182[31]; assign VAR221 = (VAR198[7] == 1'b1) ? (VAR80[39] ^ VAR182[32]) : VAR182[32]; assign VAR145 = (VAR198[7] == 1'b1) ? (VAR80[40] ^ VAR182[33]) : VAR182[33]; assign VAR117 = (VAR198[7] == 1'b1) ? (VAR80[41] ^ VAR182[34]) : VAR182[34]; assign VAR141 = (VAR198[7] == 1'b1) ? (VAR80[42] ^ VAR182[35]) : VAR182[35]; assign VAR12 = (VAR198[7] == 1'b1) ? (VAR80[43] ^ VAR182[36]) : VAR182[36]; assign VAR165 = (VAR198[7] == 1'b1) ? (VAR80[44] ^ VAR182[37]) : VAR182[37]; assign VAR25 = (VAR198[7] == 1'b1) ? (VAR80[45] ^ VAR182[38]) : VAR182[38]; assign VAR18 = (VAR198[7] == 1'b1) ? (VAR80[46] ^ VAR182[39]) : VAR182[39]; assign VAR105 = (VAR198[7] == 1'b1) ? (VAR80[9] ^ VAR182[4]) : VAR182[4]; assign VAR124 = (VAR198[7] == 1'b1) ? (VAR80[47] ^ VAR182[40]) : VAR182[40]; assign VAR150 = (VAR198[7] == 1'b1) ? (VAR80[48] ^ VAR182[41]) : VAR182[41]; assign VAR240 = (VAR198[7] == 1'b1) ? (VAR80[49] ^ VAR182[42]) : VAR182[42]; assign VAR24 = (VAR198[7] == 1'b1) ? (VAR80[50] ^ VAR182[43]) : VAR182[43]; assign VAR118 = (VAR198[7] == 1'b1) ? (VAR80[51] ^ VAR182[44]) : VAR182[44]; assign VAR61 = (VAR198[7] == 1'b1) ? (VAR80[52] ^ VAR182[45]) : VAR182[45]; assign VAR88 = (VAR198[7] == 1'b1) ? (VAR80[53] ^ VAR182[46]) : VAR182[46]; assign VAR191 = (VAR198[7] == 1'b1) ? (VAR80[54] ^ VAR182[47]) : VAR182[47]; assign VAR181 = (VAR198[7] == 1'b1) ? (VAR80[55] ^ VAR182[48]) : VAR182[48]; assign VAR243 = (VAR198[7] == 1'b1) ? (VAR80[56] ^ VAR182[49]) : VAR182[49]; assign VAR49 = (VAR198[7] == 1'b1) ? (VAR80[10] ^ VAR182[5]) : VAR182[5]; assign VAR71 = (VAR198[7] == 1'b1) ? (VAR80[57] ^ VAR182[50]) : VAR182[50]; assign VAR45 = (VAR198[7] == 1'b1) ? (VAR80[58] ^ VAR182[51]) : VAR182[51]; assign VAR149 = (VAR198[7] == 1'b1) ? (VAR80[59] ^ VAR182[52]) : VAR182[52]; assign VAR246 = (VAR198[7] == 1'b1) ? (VAR80[60] ^ VAR182[53]) : VAR182[53]; assign VAR91 = (VAR198[7] == 1'b1) ? (VAR80[61] ^ VAR182[54]) : VAR182[54]; assign VAR239 = (VAR198[7] == 1'b1) ? (VAR80[62] ^ VAR182[55]) : VAR182[55]; assign VAR174 = (VAR198[7] == 1'b1) ? (VAR80[63] ^ VAR182[56]) : VAR182[56]; assign VAR216 = (VAR198[7] == 1'b1) ? (VAR80[65] ^ VAR182[57]) : VAR182[57]; assign VAR97 = (VAR198[7] == 1'b1) ? (VAR80[66] ^ VAR182[58]) : VAR182[58]; assign VAR13 = (VAR198[7] == 1'b1) ? (VAR80[67] ^ VAR182[59]) : VAR182[59]; assign VAR109 = (VAR198[7] == 1'b1) ? (VAR80[11] ^ VAR182[6]) : VAR182[6]; assign VAR168 = (VAR198[7] == 1'b1) ? (VAR80[68] ^ VAR182[60]) : VAR182[60]; assign VAR37 = (VAR198[7] == 1'b1) ? (VAR80[69] ^ VAR182[61]) : VAR182[61]; assign VAR179 = (VAR198[7] == 1'b1) ? (VAR80[70] ^ VAR182[62]) : VAR182[62]; assign VAR54 = (VAR198[7] == 1'b1) ? (VAR80[71] ^ VAR182[63]) : VAR182[63]; assign VAR139 = (VAR198[7] == 1'b1) ? (VAR80[12] ^ VAR182[7]) : VAR182[7]; assign VAR98 = (VAR198[7] == 1'b1) ? (VAR80[13] ^ VAR182[8]) : VAR182[8]; assign VAR102 = (VAR198[7] == 1'b1) ? (VAR80[14] ^ VAR182[9]) : VAR182[9]; assign VAR199 = VAR83[63], VAR83 = {(VAR83[62] | VAR80[71]), (VAR83[61] | VAR80[70]), (VAR83[60] | VAR80[69]), (VAR83[59] | VAR80[68]), (VAR83[58] | VAR80[67]), (VAR83[57] | VAR80[66]), (VAR83[56] | VAR80[65]), (VAR83[55] | VAR80[63]), (VAR83[54] | VAR80[62]), (VAR83[53] | VAR80[61]), (VAR83[52] | VAR80[60]), (VAR83[51] | VAR80[59]), (VAR83[50] | VAR80[58]), (VAR83[49] | VAR80[57]), (VAR83[48] | VAR80[56]), (VAR83[47] | VAR80[55]), (VAR83[46] | VAR80[54]), (VAR83[45] | VAR80[53]), (VAR83[44] | VAR80[52]), (VAR83[43] | VAR80[51]), (VAR83[42] | VAR80[50]), (VAR83[41] | VAR80[49]), (VAR83[40] | VAR80[48]), (VAR83[39] | VAR80[47]), (VAR83[38] | VAR80[46]), (VAR83[37] | VAR80[45]), (VAR83[36] | VAR80[44]), (VAR83[35] | VAR80[43]), (VAR83[34] | VAR80[42]), (VAR83[33] | VAR80[41]), (VAR83[32] | VAR80[40]), (VAR83[31] | VAR80[39]), (VAR83[30] | VAR80[38]), (VAR83[29] | VAR80[37]), (VAR83[28] | VAR80[36]), (VAR83[27] | VAR80[35]), (VAR83[26] | VAR80[34]), (VAR83[25] | VAR80[33]), (VAR83[24] | VAR80[31]), (VAR83[23] | VAR80[30]), (VAR83[22] | VAR80[29]), (VAR83[21] | VAR80[28]), (VAR83[20] | VAR80[27]), (VAR83[19] | VAR80[26]), (VAR83[18] | VAR80[25]), (VAR83[17] | VAR80[24]), (VAR83[16] | VAR80[23]), (VAR83[15] | VAR80[22]), (VAR83[14] | VAR80[21]), (VAR83[13] | VAR80[20]), (VAR83[12] | VAR80[19]), (VAR83[11] | VAR80[18]), (VAR83[10] | VAR80[17]), (VAR83[9] | VAR80[15]), (VAR83[8] | VAR80[14]), (VAR83[7] | VAR80[13]), (VAR83[6] | VAR80[12]), (VAR83[5] | VAR80[11]), (VAR83[4] | VAR80[10]), (VAR83[3] | VAR80[9]), (VAR83[2] | VAR80[7]), (VAR83[1] | VAR80[6]), (VAR83[0] | VAR80[5]), VAR80[3]}, VAR182 = VAR160, VAR80 = VAR79, VAR77 = VAR252, VAR252 = ((VAR130 & VAR189) & VAR199), VAR242 = VAR126, VAR126 = (VAR130 & (~ (VAR189 & VAR92))), VAR65 = VAR146, VAR222 = VAR189, VAR146 = (VAR126 & (~ VAR252)), VAR90 = {(VAR182[63] ^ VAR90[34]), (VAR182[61] ^ VAR90[33]), (VAR182[59] ^ VAR90[32]), (VAR182[57] ^ VAR90[31]), (VAR182[56] ^ VAR90[30]), (VAR182[54] ^ VAR90[29]), (VAR182[52] ^ VAR90[28]), (VAR182[50] ^ VAR90[27]), (VAR182[48] ^ VAR90[26]), (VAR182[46] ^ VAR90[25]), (VAR182[44] ^ VAR90[24]), (VAR182[42] ^ VAR90[23]), (VAR182[40] ^ VAR90[22]), (VAR182[38] ^ VAR90[21]), (VAR182[36] ^ VAR90[20]), (VAR182[34] ^ VAR90[19]), (VAR182[32] ^ VAR90[18]), (VAR182[30] ^ VAR90[17]), (VAR182[28] ^ VAR90[16]), (VAR182[26] ^ VAR90[15]), (VAR182[25] ^ VAR90[14]), (VAR182[23] ^ VAR90[13]), (VAR182[21] ^ VAR90[12]), (VAR182[19] ^ VAR90[11]), (VAR182[17] ^ VAR90[10]), (VAR182[15] ^ VAR90[9]), (VAR182[13] ^ VAR90[8]), (VAR182[11] ^ VAR90[7]), (VAR182[10] ^ VAR90[6]), (VAR182[8] ^ VAR90[5]), (VAR182[6] ^ VAR90[4]), (VAR182[4] ^ VAR90[3]), (VAR182[3] ^ VAR90[2]), (VAR182[1] ^ VAR90[1]), (VAR182[0] ^ VAR90[0]), VAR182[64]}, VAR215 = {((VAR182[62] ^ VAR182[63]) ^ VAR215[16]), ((VAR182[58] ^ VAR182[59]) ^ VAR215[15]), ((VAR182[55] ^ VAR182[56]) ^ VAR215[14]), ((VAR182[51] ^ VAR182[52]) ^ VAR215[13]), ((VAR182[47] ^ VAR182[48]) ^ VAR215[12]), ((VAR182[43] ^ VAR182[44]) ^ VAR215[11]), ((VAR182[39] ^ VAR182[40]) ^ VAR215[10]), ((VAR182[35] ^ VAR182[36]) ^ VAR215[9]), ((VAR182[31] ^ VAR182[32]) ^ VAR215[8]), ((VAR182[27] ^ VAR182[28]) ^ VAR215[7]), ((VAR182[24] ^ VAR182[25]) ^ VAR215[6]), ((VAR182[20] ^ VAR182[21]) ^ VAR215[5]), ((VAR182[16] ^ VAR182[17]) ^ VAR215[4]), ((VAR182[12] ^ VAR182[13]) ^ VAR215[3]), ((VAR182[9] ^ VAR182[10]) ^ VAR215[2]), ((VAR182[5] ^ VAR182[6]) ^ VAR215[1]), ((VAR182[2] ^ VAR182[3]) ^ VAR215[0]), (VAR182[65] ^ VAR182[0])}, VAR163 = {((((VAR182[60] ^ VAR182[61]) ^ VAR182[62]) ^ VAR182[63]) ^ VAR163[7]), ((((VAR182[53] ^ VAR182[54]) ^ VAR182[55]) ^ VAR182[56]) ^ VAR163[6]), ((((VAR182[45] ^ VAR182[46]) ^ VAR182[47]) ^ VAR182[48]) ^ VAR163[5]), ((((VAR182[37] ^ VAR182[38]) ^ VAR182[39]) ^ VAR182[40]) ^ VAR163[4]), ((((VAR182[29] ^ VAR182[30]) ^ VAR182[31]) ^ VAR182[32]) ^ VAR163[3]), ((((VAR182[22] ^ VAR182[23]) ^ VAR182[24]) ^ VAR182[25]) ^ VAR163[2]), ((((VAR182[14] ^ VAR182[15]) ^ VAR182[16]) ^ VAR182[17]) ^ VAR163[1]), ((((VAR182[7] ^ VAR182[8]) ^ VAR182[9]) ^ VAR182[10]) ^ VAR163[0]), (((VAR182[66] ^ VAR182[1]) ^ VAR182[2]) ^ VAR182[3])}, VAR111 = {((((((((VAR182[49] ^ VAR182[50]) ^ VAR182[51]) ^ VAR182[52]) ^ VAR182[53]) ^ VAR182[54]) ^ VAR182[55]) ^ VAR182[56]) ^ VAR111[2]), ((((((((VAR182[33] ^ VAR182[34]) ^ VAR182[35]) ^ VAR182[36]) ^ VAR182[37]) ^ VAR182[38]) ^ VAR182[39]) ^ VAR182[40]) ^ VAR111[1]), ((((((((VAR182[18] ^ VAR182[19]) ^ VAR182[20]) ^ VAR182[21]) ^ VAR182[22]) ^ VAR182[23]) ^ VAR182[24]) ^ VAR182[25]) ^ VAR111[0]), (((((((VAR182[67] ^ VAR182[4]) ^ VAR182[5]) ^ VAR182[6]) ^ VAR182[7]) ^ VAR182[8]) ^ VAR182[9]) ^ VAR182[10])}, VAR116 = {((((((((((((((((VAR182[41] ^ VAR182[42]) ^ VAR182[43]) ^ VAR182[44]) ^ VAR182[45]) ^ VAR182[46]) ^ VAR182[47]) ^ VAR182[48]) ^ VAR182[49]) ^ VAR182[50]) ^ VAR182[51]) ^ VAR182[52]) ^ VAR182[53]) ^ VAR182[54]) ^ VAR182[55]) ^ VAR182[56]) ^ VAR116[0]), (((((((((((((((VAR182[68] ^ VAR182[11]) ^ VAR182[12]) ^ VAR182[13]) ^ VAR182[14]) ^ VAR182[15]) ^ VAR182[16]) ^ VAR182[17]) ^ VAR182[18]) ^ VAR182[19]) ^ VAR182[20]) ^ VAR182[21]) ^ VAR182[22]) ^ VAR182[23]) ^ VAR182[24]) ^ VAR182[25])}, VAR147 = {(VAR182[56] ^ VAR147[29]), (VAR182[55] ^ VAR147[28]), (VAR182[54] ^ VAR147[27]), (VAR182[53] ^ VAR147[26]), (VAR182[52] ^ VAR147[25]), (VAR182[51] ^ VAR147[24]), (VAR182[50] ^ VAR147[23]), (VAR182[49] ^ VAR147[22]), (VAR182[48] ^ VAR147[21]), (VAR182[47] ^ VAR147[20]), (VAR182[46] ^ VAR147[19]), (VAR182[45] ^ VAR147[18]), (VAR182[44] ^ VAR147[17]), (VAR182[43] ^ VAR147[16]), (VAR182[42] ^ VAR147[15]), (VAR182[41] ^ VAR147[14]), (VAR182[40] ^ VAR147[13]), (VAR182[39] ^ VAR147[12]), (VAR182[38] ^ VAR147[11]), (VAR182[37] ^ VAR147[10]), (VAR182[36] ^ VAR147[9]), (VAR182[35] ^ VAR147[8]), (VAR182[34] ^ VAR147[7]), (VAR182[33] ^ VAR147[6]), (VAR182[32] ^ VAR147[5]), (VAR182[31] ^ VAR147[4]), (VAR182[30] ^ VAR147[3]), (VAR182[29] ^ VAR147[2]), (VAR182[28] ^ VAR147[1]), (VAR182[27] ^ VAR147[0]), (VAR182[69] ^ VAR182[26])}, VAR193 = {(VAR182[63] ^ VAR193[5]), (VAR182[62] ^ VAR193[4]), (VAR182[61] ^ VAR193[3]), (VAR182[60] ^ VAR193[2]), (VAR182[59] ^ VAR193[1]), (VAR182[58] ^ VAR193[0]), (VAR182[70] ^ VAR182[57])}, VAR92 = VAR22[6], VAR194 = {(VAR182[70] ^ VAR194[69]), (VAR182[69] ^ VAR194[68]), (VAR182[68] ^ VAR194[67]), (VAR182[67] ^ VAR194[66]), (VAR182[66] ^ VAR194[65]), (VAR182[65] ^ VAR194[64]), (VAR182[64] ^ VAR194[63]), (VAR182[63] ^ VAR194[62]), (VAR182[62] ^ VAR194[61]), (VAR182[61] ^ VAR194[60]), (VAR182[60] ^ VAR194[59]), (VAR182[59] ^ VAR194[58]), (VAR182[58] ^ VAR194[57]), (VAR182[57] ^ VAR194[56]), (VAR182[56] ^ VAR194[55]), (VAR182[55] ^ VAR194[54]), (VAR182[54] ^ VAR194[53]), (VAR182[53] ^ VAR194[52]), (VAR182[52] ^ VAR194[51]), (VAR182[51] ^ VAR194[50]), (VAR182[50] ^ VAR194[49]), (VAR182[49] ^ VAR194[48]), (VAR182[48] ^ VAR194[47]), (VAR182[47] ^ VAR194[46]), (VAR182[46] ^ VAR194[45]), (VAR182[45] ^ VAR194[44]), (VAR182[44] ^ VAR194[43]), (VAR182[43] ^ VAR194[42]), (VAR182[42] ^ VAR194[41]), (VAR182[41] ^ VAR194[40]), (VAR182[40] ^ VAR194[39]), (VAR182[39] ^ VAR194[38]), (VAR182[38] ^ VAR194[37]), (VAR182[37] ^ VAR194[36]), (VAR182[36] ^ VAR194[35]), (VAR182[35] ^ VAR194[34]), (VAR182[34] ^ VAR194[33]), (VAR182[33] ^ VAR194[32]), (VAR182[32] ^ VAR194[31]), (VAR182[31] ^ VAR194[30]), (VAR182[30] ^ VAR194[29]), (VAR182[29] ^ VAR194[28]), (VAR182[28] ^ VAR194[27]), (VAR182[27] ^ VAR194[26]), (VAR182[26] ^ VAR194[25]), (VAR182[25] ^ VAR194[24]), (VAR182[24] ^ VAR194[23]), (VAR182[23] ^ VAR194[22]), (VAR182[22] ^ VAR194[21]), (VAR182[21] ^ VAR194[20]), (VAR182[20] ^ VAR194[19]), (VAR182[19] ^ VAR194[18]), (VAR182[18] ^ VAR194[17]), (VAR182[17] ^ VAR194[16]), (VAR182[16] ^ VAR194[15]), (VAR182[15] ^ VAR194[14]), (VAR182[14] ^ VAR194[13]), (VAR182[13] ^ VAR194[12]), (VAR182[12] ^ VAR194[11]), (VAR182[11] ^ VAR194[10]), (VAR182[10] ^ VAR194[9]), (VAR182[9] ^ VAR194[8]), (VAR182[8] ^ VAR194[7]), (VAR182[7] ^ VAR194[6]), (VAR182[6] ^ VAR194[5]), (VAR182[5] ^ VAR194[4]), (VAR182[4] ^ VAR194[3]), (VAR182[3] ^ VAR194[2]), (VAR182[2] ^ VAR194[1]), (VAR182[1] ^ VAR194[0]), (VAR182[71] ^ VAR182[0])}, VAR22 = {(VAR22[5] | VAR80[64]), (VAR22[4] | VAR80[32]), (VAR22[3] | VAR80[16]), (VAR22[2] | VAR80[8]), (VAR22[1] | VAR80[4]), (VAR22[0] | VAR80[2]), VAR80[1]}, VAR227 = VAR206, VAR206 = {VAR54, VAR179, VAR37, VAR168, VAR13, VAR97, VAR216, VAR174, VAR239, VAR91, VAR246, VAR149, VAR45, VAR71, VAR243, VAR181, VAR191, VAR88, VAR61, VAR118, VAR24, VAR240, VAR150, VAR124, VAR18, VAR25, VAR165, VAR12, VAR141, VAR117, VAR145, VAR221, VAR56, VAR8, VAR212, VAR28, VAR5, VAR238, VAR29, VAR51, VAR144, VAR34, VAR225, VAR135, VAR55, VAR60, VAR57, VAR19, VAR143, VAR94, VAR17, VAR209, VAR81, VAR2, VAR102, VAR98, VAR139, VAR109, VAR49, VAR105, VAR95, VAR184, VAR26, VAR234}, VAR130 = VAR93[5], VAR189 = VAR198[7], VAR93 = {(VAR93[4] | VAR198[6]), (VAR93[3] | VAR198[5]), (VAR93[2] | VAR198[4]), (VAR93[1] | VAR198[3]), (VAR93[0] | VAR198[2]), (VAR198[0] | VAR198[1])}, VAR198 = {VAR194[70], VAR193[6], VAR147[30], VAR116[1], VAR111[3], VAR163[8], VAR215[17], VAR90[35]}; endmodule module MODULE2 ( VAR160, VAR77, VAR242, VAR65, VAR222, VAR227); input [71:0] VAR160; output VAR77; output VAR242; output VAR65; output VAR222; output [63:0] VAR227; wire VAR99; wire VAR15; wire VAR38; wire VAR154; wire [63:0] VAR187; wire VAR242 = VAR99; wire VAR65 = VAR15; wire VAR77 = VAR38; wire VAR222 = VAR154; wire [63:0] VAR227 = VAR187[63:0]; MODULE1 MODULE2 ( .VAR160 (VAR160), .VAR242 (VAR99), .VAR65 (VAR15), .VAR77 (VAR38), .VAR222 (VAR154), .VAR227 (VAR187)); endmodule
gpl-3.0
Elphel/x353
control/camsync.v
21,262
module MODULE1 (VAR101, VAR20, VAR10, VAR44, VAR37, VAR78, VAR29, VAR25, VAR24, VAR40, VAR89, VAR56, VAR3, VAR21, VAR96, VAR60, VAR13, VAR12, VAR72, VAR46, VAR63); parameter VAR52= 6'b110100; parameter VAR61=6'b001101; input VAR101; input VAR20; input [15:0] VAR10; input [ 1:0] VAR44; input VAR37; input VAR78; input VAR29; input [11:0] VAR25; output [11:0] VAR24; output [11:0] VAR40; output VAR89; output VAR56; output VAR3; input VAR21; input VAR96; output VAR60; input [31:0] VAR13; input [19:0] VAR12; output [31:0] VAR72; output [19:0] VAR46; output VAR63; reg [1:0] VAR106; reg [31:0] VAR14; reg VAR95; reg [3:0] VAR53; reg VAR80; reg [11:0] VAR54; reg [11:0] VAR26; reg VAR77; reg VAR73; reg [31:0] VAR17; reg [11:0] VAR40; reg [11:0] VAR75; reg VAR1; reg VAR67; reg VAR18; reg [31:0] VAR27; reg VAR76,VAR70; reg VAR83; reg VAR59; wire VAR92; reg [2:0] VAR28; reg [31:0] VAR68; reg [1:0] VAR99; wire VAR50; reg VAR85; reg VAR34; reg VAR88; reg [6:0] VAR82; reg VAR89; wire VAR107; wire VAR56; reg VAR3; reg VAR49; reg [31:0] VAR9; wire VAR108; reg VAR81; wire VAR11; reg VAR57; reg [31:0] VAR86; reg [ 7:0] VAR8='hff; wire [ 7:0] VAR64; reg [ 7:0] VAR84; wire VAR23; reg VAR104; wire VAR91; reg VAR47; wire VAR41; reg VAR33; wire VAR5 ; reg [31:0] VAR103; reg [31:0] VAR97; reg [31:0] VAR31; reg [31:0] VAR58; reg [ 7:0] VAR100; reg [ 5:0] VAR7; reg [ 7:0] VAR94; reg VAR35; reg [ 6:0] VAR74; reg VAR62; reg VAR4; reg [31:0] VAR72; reg [19:0] VAR46; reg VAR55; wire VAR109; reg VAR43; reg VAR102; reg VAR22; reg VAR66; wire VAR93; reg VAR48; reg VAR38; reg VAR39; reg VAR60; reg VAR63; wire VAR16; reg [2:0] VAR69; assign VAR109=VAR55 && VAR74[6]; assign VAR64 [ 7:0] =VAR8[7:0]+1; assign VAR11=VAR73?(VAR81 && !VAR108):VAR5; assign VAR24[9: 0] = VAR18? VAR75[9: 0]: ~VAR75[9: 0]; assign VAR24[10] = (VAR1? VAR108: VAR18)? VAR75[10]: ~VAR75[10]; assign VAR24[11] = (VAR1? VAR56: VAR18)? VAR75[11]: ~VAR75[11]; assign VAR50= VAR99[1] && !VAR99[0]; assign VAR91= (|VAR14[31:8]==0) && |VAR14[7:1]; assign VAR23= |VAR14[31:0] && !VAR91; assign VAR41 = !VAR91; always @ (negedge VAR101) begin VAR95 <= VAR20; if (VAR20) VAR106[1:0] <= VAR44[1:0]; if (VAR20) VAR14[15: 0] <= VAR10[15:0]; if (VAR95) VAR14[31:16] <= VAR10[15:0]; VAR53[3:0] <= VAR95?{(VAR106[1:0]==2'h3),(VAR106[1:0]==2'h2),(VAR106[1:0]==2'h1),(VAR106[1:0]==2'h0)}:4'b0; if (VAR53[0]) VAR54[11:0] <= {VAR14[23],VAR14[21],VAR14[19],VAR14[17],VAR14[15],VAR14[13],VAR14[11],VAR14[9],VAR14[7],VAR14[5],VAR14[3],VAR14[1]}; VAR77 <= (VAR54[11:0]==12'h0); if (VAR53[0]) VAR26[11:0] <= {VAR14[22],VAR14[20],VAR14[18],VAR14[16],VAR14[14],VAR14[12],VAR14[10],VAR14[8],VAR14[6],VAR14[4],VAR14[2],VAR14[0]}; if (VAR53[1]) VAR17[31:0] <= VAR14[31:0]; if (VAR53[2]) VAR40[11:0] <= {VAR14[23],VAR14[21],VAR14[19],VAR14[17],VAR14[15],VAR14[13],VAR14[11],VAR14[9],VAR14[7],VAR14[5],VAR14[3],VAR14[1]}; if (VAR53[2]) VAR75[11:0] <= {VAR14[22],VAR14[20],VAR14[18],VAR14[16],VAR14[14],VAR14[12],VAR14[10],VAR14[8],VAR14[6],VAR14[4],VAR14[2],VAR14[0]}; if (VAR53[2]) VAR1 <= VAR14[24]; if (VAR53[3]) VAR86[31:0] <= VAR14[31:0]; if (VAR53[3]) VAR80 <= VAR14[31:8]==24'b0; VAR104 <= VAR53[3] && VAR23; VAR47 <= VAR53[3] && VAR91; VAR33 <= VAR53[3] && VAR41; if (VAR33) VAR27[31:0] <= VAR86[31:0]; if (VAR47) VAR8[7:0] <= VAR86[ 7:0]; VAR76 <= VAR104; VAR70 <= VAR76; VAR59 <= (VAR27[31:0]!=0); if (VAR33) VAR83 <= !VAR80; end VAR32 VAR30 (.VAR36(VAR5), .VAR42(4'hf), .VAR90(VAR37), .VAR6(VAR28[2])); VAR32 VAR19(.VAR36(VAR107), .VAR42(4'hf), .VAR90(VAR37), .VAR6(VAR89)); VAR87 VAR105 (.VAR15(VAR101), .VAR45(VAR70),.VAR79(VAR28[1] || !VAR59),.VAR6(1'b1),.VAR36(VAR92)); always @ (posedge VAR37) begin VAR60 <= (VAR28[2] && VAR4) || (VAR89 && !VAR38); VAR4<=VAR21; VAR73 <= VAR77; VAR38<= VAR96 && !VAR73; VAR28[2:0] <= {(VAR50 && VAR83) || (VAR28[1] && !VAR99[1] && !VAR99[0] && !VAR28[2]), VAR28[0], VAR92 && !VAR28[0]}; VAR99[1:0] <= {VAR99[0],VAR59 && (VAR28[2] || (VAR99[0] && (VAR68[31:2] !=0)))}; if (VAR99[0]) VAR68[31:0] <= VAR68[31:0] - 1; end else VAR68[31:0] <= VAR27[31:0]; VAR57 <= VAR11; VAR67 <= VAR59 && (VAR57 || (VAR67 && !((VAR100[7:0]==0) &&(VAR7[5:0]==0)))); if (!VAR67 || (VAR100[7:0]==0)) VAR100[7:0] <= VAR8[7:0]; else VAR100[7:0] <= VAR100[7:0] - 1; VAR62 <= VAR100[7:0]==8'h1; if (!VAR67) VAR7[5:0] <=VAR4?63:3; else if (VAR100[7:0]==0) VAR7[5:0] <= VAR7[5:0] -1; if (!VAR67) VAR103[31:0] <= {VAR52,VAR13[31:6]}; else if (VAR62) VAR103[31:0] <={VAR103[30:0],VAR97[31]}; if (!VAR67) VAR97[31:0] <= {VAR13[5:0], VAR12[19:0],VAR61}; else if (VAR62) VAR97[31:0] <={VAR97[30:0],1'b0}; VAR18 <=VAR67 && (VAR4?VAR103[31]:1'b1); end VAR51 VAR98 (.VAR15(VAR37),.VAR6(VAR78 && (VAR49 || (VAR108 && (VAR9[31:0]!=0)))),.VAR36(VAR108)); assign VAR93= (VAR31[31:26]!=VAR52) || (VAR58[5:0]!=VAR61); VAR51 VAR65 (.VAR15(VAR37),.VAR6(VAR29?1'b0:(VAR89 ^ VAR56)), .VAR36(VAR56)); always @ (posedge VAR37) begin if (VAR29) VAR3 <= 1'b0; end else if (VAR89) VAR3 <= VAR56; VAR39<= VAR78; VAR84[7:0] <= VAR8[7:0]-VAR64[7:2]-1; VAR85 <= (((VAR25[11:0] ^ VAR26[11:0]) & VAR54[11:0]) == 12'b0); VAR34 <= VAR85; if (!VAR78 || (VAR85 !=VAR34)) VAR82 <= {1'b0,VAR8[7:2]}; else if (!VAR82[6]) VAR82<=VAR82-1; if (VAR73) VAR88 <= 1'b0; else if (VAR82[6]) VAR88 <= VAR34; VAR55 <= VAR59 && (VAR88 || (VAR55 && !(VAR35 && (VAR74[6:0]==0)))); VAR43 <= VAR109; VAR49 <= VAR73 ? (VAR5 && VAR59) : (VAR109 && !VAR43); VAR81 <= VAR108; if (VAR108) VAR9[31:0] <= VAR9[31:0] -1; else VAR9[31:0] <= VAR17[31:0]; VAR89 <= VAR73 ? (VAR5 && VAR59):(VAR81 && !VAR108); if (!VAR55) VAR94[7:0] <= VAR84[7:0]; else if (VAR94[7:0]==0) VAR94[7:0] <= VAR8[7:0]; else VAR94[7:0] <= VAR94[7:0]-1; VAR35 <= VAR94[7:0]==8'h1; if (!VAR55) VAR74[6:0] <= 127; else if (VAR35) VAR74[6:0] <= VAR74[6:0] -1; if (VAR109 && VAR35) begin VAR31[31:0] <={VAR31[30:0],VAR58[31]}; VAR58[31:0] <={VAR58[30:0],VAR88}; end VAR102 <= VAR59 && ((VAR38 && VAR107) || (VAR102 && VAR109)); VAR22 <= VAR102; VAR66 <= VAR22 && !VAR102; VAR48 <= VAR93; if (VAR66) begin VAR72 [31:0] <= {VAR31[25:0],VAR58[31:26]}; VAR46 [19:0] <= VAR48?20'hfffff: VAR58[25:6]; end else if (!VAR39 || (!VAR38 && VAR107 )) begin VAR72 [31:0] <= VAR13 [31:0]; VAR46 [19:0] <= VAR12[19:0]; end end VAR2 VAR71 (.VAR15(VAR37), .VAR45(VAR66 || (!VAR38 && VAR107 )),.VAR79(VAR63),.VAR6(1'b1),.VAR36(VAR16)); always @ (negedge VAR101) begin VAR69[2:0] <= {VAR69[1:0],VAR16}; VAR63 <= VAR69[1] && !VAR69[2]; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a21bo/sky130_fd_sc_hd__a21bo.blackbox.v
1,383
module MODULE1 ( VAR2 , VAR4 , VAR5 , VAR6 ); output VAR2 ; input VAR4 ; input VAR5 ; input VAR6; supply1 VAR7; supply0 VAR3; supply1 VAR8 ; supply0 VAR1 ; endmodule
apache-2.0
ultraembedded/riscv
core/riscv/riscv_decode.v
6,185
module MODULE1 parameter VAR8 = 1 ,parameter VAR41 = 0 ) ( input VAR4 ,input VAR29 ,input VAR37 ,input [ 31:0] VAR10 ,input [ 31:0] VAR18 ,input VAR36 ,input VAR17 ,input VAR12 ,input VAR32 ,output VAR27 ,output VAR5 ,output [ 31:0] VAR24 ,output [ 31:0] VAR28 ,output VAR21 ,output VAR6 ,output VAR40 ,output VAR38 ,output VAR30 ,output VAR14 ,output VAR22 ,output VAR39 ,output VAR20 ,output VAR9 ); wire VAR13 = VAR8; generate if (VAR41) begin wire [31:0] VAR19 = (VAR17 | VAR36) ? 32'b0 : VAR10; reg [66:0] VAR33; always @(posedge VAR4 or posedge VAR29) if (VAR29) VAR33 <= 67'b0; end else if (VAR32) VAR33 <= 67'b0; else if (VAR12 || !VAR5) VAR33 <= {VAR37, VAR17, VAR36, VAR19, VAR18}; assign {VAR5, VAR6, VAR21, VAR24, VAR28} = VAR33; VAR26 VAR23 ( .VAR31(VAR5) ,.VAR34(VAR6 | VAR21) ,.VAR7(VAR13) ,.VAR15(VAR24) ,.VAR3(VAR9) ,.VAR16(VAR40) ,.VAR2(VAR38) ,.VAR1(VAR30) ,.VAR25(VAR14) ,.VAR35(VAR22) ,.VAR11(VAR39) ,.VAR42(VAR20) ); assign VAR27 = VAR12; end else begin wire [31:0] VAR19 = (VAR17 | VAR36) ? 32'b0 : VAR10; VAR26 VAR23 ( .VAR31(VAR37) ,.VAR34(VAR36 | VAR17) ,.VAR7(VAR13) ,.VAR15(VAR24) ,.VAR3(VAR9) ,.VAR16(VAR40) ,.VAR2(VAR38) ,.VAR1(VAR30) ,.VAR25(VAR14) ,.VAR35(VAR22) ,.VAR11(VAR39) ,.VAR42(VAR20) ); assign VAR5 = VAR37; assign VAR28 = VAR18; assign VAR24 = VAR19; assign VAR6 = VAR17; assign VAR21 = VAR36; assign VAR27 = VAR12; end endgenerate endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hvl
models/udp_dff_p_pp_pg_n/sky130_fd_sc_hvl__udp_dff_p_pp_pg_n.symbol.v
1,417
module MODULE1 ( input VAR4 , output VAR5 , input VAR6 , input VAR3, input VAR2 , input VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o211a/sky130_fd_sc_ms__o211a.pp.blackbox.v
1,389
module MODULE1 ( VAR8 , VAR6 , VAR5 , VAR7 , VAR9 , VAR4, VAR1, VAR2 , VAR3 ); output VAR8 ; input VAR6 ; input VAR5 ; input VAR7 ; input VAR9 ; input VAR4; input VAR1; input VAR2 ; input VAR3 ; endmodule
apache-2.0
rhalstea/cidr_15_fpga_join
build_engine/verilog/sync_2_fifo.v
1,380
module MODULE1 ( input clk, input rst, output [1:0] VAR4, input [1:0] VAR6, input [63:0] VAR1, input [63:0] VAR9, output VAR3, input VAR8, output [63:0] VAR7, output [63:0] VAR5 ); wire [1:0] VAR12; VAR2 VAR17 ( .clk (clk), .rst (rst), .din (VAR9), .VAR15 (VAR6[0]), .VAR11 (VAR8), .dout (VAR5), .VAR10 (), .VAR14 (VAR12[0]), .VAR16 (VAR4[0]) ); VAR2 VAR13 ( .clk (clk), .rst (rst), .din (VAR1), .VAR15 (VAR6[1]), .VAR11 (VAR8), .dout (VAR7), .VAR10 (), .VAR14 (VAR12[1]), .VAR16 (VAR4[1]) ); assign VAR3 = (VAR12 != 2'd0); endmodule
bsd-3-clause
CospanDesign/nysa-verilog
verilog/axi/slave/axi_nes/rtl/wram.v
2,336
module MODULE1( input VAR8, input VAR10, input VAR9, input [10:0] VAR14, input [ 7:0] din, output [ 7:0] dout ); wire VAR11; wire [7:0] VAR2; VAR7 #(.VAR13(11), .VAR5(8)) VAR6( .clk(VAR8), .VAR12(VAR11), .VAR4(VAR14), .VAR3(din), .VAR1(VAR2) ); assign VAR11 = (VAR10) ? ~VAR9 : 1'b0; assign dout = (VAR10) ? VAR2 : 8'h00; endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/xnor3/gf180mcu_fd_sc_mcu7t5v0__xnor3_2.functional.pp.v
1,611
module MODULE1( VAR18, VAR10, VAR12, VAR9, VAR7, VAR14 ); input VAR10, VAR18, VAR12; inout VAR7, VAR14; output VAR9; wire VAR6; not VAR16( VAR6, VAR12 ); wire VAR17; and VAR19( VAR17, VAR6, VAR10, VAR18 ); wire VAR1; not VAR2( VAR1, VAR18 ); wire VAR3; and VAR15( VAR3, VAR1, VAR10, VAR12 ); wire VAR21; not VAR4( VAR21, VAR10 ); wire VAR11; and VAR5( VAR11, VAR21, VAR18, VAR12 ); wire VAR8; and VAR13( VAR8, VAR21, VAR1, VAR6 ); or VAR20( VAR9, VAR17, VAR3, VAR11, VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o21bai/sky130_fd_sc_lp__o21bai.functional.v
1,558
module MODULE1 ( VAR1 , VAR2 , VAR9 , VAR7 ); output VAR1 ; input VAR2 ; input VAR9 ; input VAR7; wire VAR10 ; wire VAR5 ; wire VAR3; not VAR11 (VAR10 , VAR7 ); or VAR6 (VAR5 , VAR9, VAR2 ); nand VAR4 (VAR3, VAR10, VAR5 ); buf VAR8 (VAR1 , VAR3 ); endmodule
apache-2.0
andrewandrepowell/axiplasma
hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_mig_7series_0_0/mig_wrap_mig_7series_0_0/user_design/rtl/clocking/mig_7series_v4_0_tempmon.v
15,167
module MODULE1 # ( parameter VAR32 = 100, parameter VAR49 = "VAR7", parameter VAR66 = 5000, parameter VAR15 = 10000000 ) ( input clk, input VAR70, input rst, input [11:0] VAR92, output [11:0] VAR11 ); function integer VAR91 (input integer VAR17, input integer VAR36); begin VAR91 = (VAR17/VAR36) + (((VAR17%VAR36)>0) ? 1 : 0); end endfunction function integer VAR76 (input integer VAR21); begin VAR21 = VAR21 - 1; for (VAR76 = 1; VAR21 > 1; VAR76 = VAR76 + 1) VAR21 = VAR21 >> 1; end endfunction reg [11:0] VAR57; reg [11:0] VAR46; reg [11:0] VAR12 ; reg [11:0] VAR52; reg [11:0] VAR67; reg [11:0] VAR79; wire [11:0] VAR44; reg [3:0] VAR82 = 4'b0000; reg VAR63; always @(posedge clk) begin end always @(posedge clk) if(rst || (VAR63)) else if(~&VAR82) always @(posedge clk) if(&VAR82) assign VAR11 = VAR79; generate if(VAR49 == "VAR35") begin : VAR45 assign VAR44 = VAR92; end else begin : VAR87 localparam VAR30 = VAR91(VAR15, VAR66); localparam VAR5 = VAR30; localparam VAR59 = VAR30 - 6; localparam VAR81 = VAR76(VAR5); localparam VAR40 = 2'b00; localparam VAR33 = 2'b01; localparam VAR68 = 2'b10; localparam VAR74 = 2'b11; reg [VAR81-1:0] VAR51 = {VAR81{1'b0}}; reg VAR8 = 1'b0; reg VAR86 = 1'b0; reg VAR53 = 1'b0; reg [2:0] VAR13 = VAR40; reg [2:0] VAR88 = VAR40; reg VAR83 = 1'b0; wire VAR38; wire [15:0] VAR2; reg VAR64 = 1'b0; reg [15:0] VAR22 = 1'b0; reg [11:0] VAR28 = 12'b0; reg VAR60; reg VAR65; always @(posedge VAR70) begin VAR60 <= rst; VAR65 <= VAR60; end always @ (posedge VAR70) if(VAR65 || VAR86) end else if(VAR8) always @(posedge VAR70) if(VAR65) end else always @(posedge VAR70) always @(VAR13 or VAR53 or VAR64) begin VAR88 = VAR13; case(VAR13) VAR40: if(VAR53) VAR88 = VAR33; VAR33: VAR88 = VAR68; VAR68: if(VAR64) VAR88 = VAR74; VAR74: VAR88 = VAR40; default: VAR88 = VAR40; endcase end always @(posedge VAR70) if(VAR65 || (VAR13 == VAR68)) else if(VAR13 == VAR33) always @(posedge VAR70) if(VAR65 || (VAR13 == VAR33)) else if((VAR13 == VAR40) || (VAR13 == VAR74)) always @(posedge VAR70) if(VAR65 || (VAR13 == VAR68)) else if(VAR13 == VAR33) always @(posedge VAR70) if(VAR65) begin end else begin end always @(posedge VAR70) if(VAR65) else if(VAR13 == VAR74) assign VAR44 = VAR28; VAR47 #( .VAR19(16'h1000), .VAR94(16'h2fff), .VAR6(16'h0800), .VAR93(16'h0101), .VAR42(16'h0000), .VAR73(16'h0100), .VAR9(16'h0000), .VAR61(16'h0000), .VAR24(16'h0000), .VAR50(16'h0000), .VAR37(16'h0000), .VAR78(16'hb5ed), .VAR84(16'h57e4), .VAR80(16'ha147), .VAR71(16'hca33), .VAR85(16'ha93a), .VAR4(16'h52c6), .VAR89(16'h9555), .VAR29(16'hae4e), .VAR62(16'h5999), .VAR25(16'h5111), .VAR34("7SERIES") ) VAR27 ( .VAR20(), .VAR3(), .VAR18(VAR2), .VAR55(VAR38), .VAR69(), .VAR72(), .VAR1(), .VAR95(), .VAR23(), .VAR41(), .VAR54(), .VAR39(), .VAR75(16'b0), .VAR48(16'b0), .VAR77(1'b0), .VAR43(1'b0), .VAR56(1'b0), .VAR10(1'b0), .VAR16(1'b0), .VAR58(7'b0), .VAR90(VAR70), .VAR26(VAR83), .VAR31(16'b0), .VAR14(1'b0) ); end endgenerate endmodule
mit
bargei/NoC264
NoC264_2x2/mkInputArbiter.v
8,265
module MODULE1(VAR28, VAR41, VAR21, select, VAR27); input VAR28; input VAR41; input [4 : 0] VAR21; output [4 : 0] select; input VAR27; wire [4 : 0] select; reg [4 : 0] VAR14; wire [4 : 0] VAR7; wire VAR43; wire [1 : 0] VAR10, VAR18, VAR6, VAR1, VAR26, VAR37, VAR39, VAR9, VAR2, VAR5; wire VAR49, VAR22, VAR51, VAR46, VAR3, VAR31, VAR15, VAR45, VAR32, VAR12, VAR17, VAR11, VAR16, VAR33, VAR35, VAR20, VAR34, VAR30; assign select = { VAR10[1] || VAR37[1], !VAR10[1] && !VAR37[1] && (VAR18[1] || VAR39[1]), VAR49, !VAR10[1] && !VAR37[1] && VAR46, VAR22 } ; assign VAR7 = { VAR14[0], VAR14[4:1] } ; assign VAR43 = VAR27 ; VAR8 VAR13(.VAR25(1'd0), .VAR36(VAR21[0]), .VAR29(VAR33), .VAR42(VAR26)); VAR8 VAR48(.VAR25(VAR3), .VAR36(VAR21[1]), .VAR29(VAR35), .VAR42(VAR1)); VAR8 VAR4(.VAR25(VAR31), .VAR36(VAR21[2]), .VAR29(VAR20), .VAR42(VAR6)); VAR8 VAR50(.VAR25(VAR15), .VAR36(VAR21[3]), .VAR29(VAR34), .VAR42(VAR18)); VAR8 VAR44(.VAR25(VAR45), .VAR36(VAR21[4]), .VAR29(VAR30), .VAR42(VAR10)); VAR8 VAR23(.VAR25(VAR32), .VAR36(VAR21[0]), .VAR29(VAR33), .VAR42(VAR5)); VAR8 VAR47(.VAR25(VAR16), .VAR36(VAR21[1]), .VAR29(VAR35), .VAR42(VAR2)); VAR8 VAR40(.VAR25(VAR11), .VAR36(VAR21[2]), .VAR29(VAR20), .VAR42(VAR9)); VAR8 VAR38(.VAR25(VAR17), .VAR36(VAR21[3]), .VAR29(VAR34), .VAR42(VAR39)); VAR8 VAR19(.VAR25(VAR12), .VAR36(VAR21[4]), .VAR29(VAR30), .VAR42(VAR37)); assign VAR49 = !VAR10[1] && !VAR37[1] && !VAR18[1] && !VAR39[1] && (VAR6[1] || VAR9[1]) ; assign VAR22 = !VAR10[1] && !VAR37[1] && !VAR18[1] && !VAR39[1] && VAR51 ; assign VAR51 = !VAR6[1] && !VAR9[1] && !VAR1[1] && !VAR2[1] && (VAR26[1] || VAR5[1]) ; assign VAR46 = !VAR18[1] && !VAR39[1] && !VAR6[1] && !VAR9[1] && (VAR1[1] || VAR2[1]) ; assign VAR3 = VAR26[0] ; assign VAR31 = VAR1[0] ; assign VAR15 = VAR6[0] ; assign VAR45 = VAR18[0] ; assign VAR32 = VAR10[0] ; assign VAR12 = VAR39[0] ; assign VAR17 = VAR9[0] ; assign VAR11 = VAR2[0] ; assign VAR16 = VAR5[0] ; assign VAR33 = VAR14[0] ; assign VAR35 = VAR14[1] ; assign VAR20 = VAR14[2] ; assign VAR34 = VAR14[3] ; assign VAR30 = VAR14[4] ; always@(posedge VAR28) begin if (!VAR41) begin VAR14 <= VAR24 5'd1; end else begin if (VAR43) VAR14 <= VAR24 VAR7; end end begin VAR14 = 5'h0A; end
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor2/sky130_fd_sc_lp__nor2_lp.v
2,094
module MODULE1 ( VAR9 , VAR7 , VAR2 , VAR1, VAR8, VAR5 , VAR3 ); output VAR9 ; input VAR7 ; input VAR2 ; input VAR1; input VAR8; input VAR5 ; input VAR3 ; VAR4 VAR6 ( .VAR9(VAR9), .VAR7(VAR7), .VAR2(VAR2), .VAR1(VAR1), .VAR8(VAR8), .VAR5(VAR5), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR9, VAR7, VAR2 ); output VAR9; input VAR7; input VAR2; supply1 VAR1; supply0 VAR8; supply1 VAR5 ; supply0 VAR3 ; VAR4 VAR6 ( .VAR9(VAR9), .VAR7(VAR7), .VAR2(VAR2) ); endmodule
apache-2.0
zhangry868/MultiCycleCPU
Multiple_Cycles_CPU/Ram_Real.v
7,122
module MODULE1 ( address, VAR41, VAR51, VAR22, VAR10, VAR40); input [9:0] address; input [3:0] VAR41; input VAR51; input [31:0] VAR22; input VAR10; output [31:0] VAR40; tri1 [3:0] VAR41; tri1 VAR51; wire [31:0] VAR16; wire [31:0] VAR40 = VAR16[31:0]; VAR36 VAR49 ( .VAR52 (address), .VAR12 (VAR41), .VAR20 (VAR51), .VAR44 (VAR22), .VAR54 (VAR10), .VAR37 (VAR16), .VAR18 (1'b0), .VAR42 (1'b0), .VAR25 (1'b1), .VAR53 (1'b0), .VAR11 (1'b0), .VAR13 (1'b1), .VAR33 (1'b1), .VAR26 (1'b1), .VAR31 (1'b1), .VAR14 (1'b1), .VAR9 (1'b1), .VAR34 (1'b1), .VAR19 (), .VAR3 (), .VAR5 (1'b1), .VAR48 (1'b1), .VAR35 (1'b0)); VAR49.VAR29 = 8, VAR49.VAR47 = "VAR15", VAR49.VAR7 = "VAR15", VAR49.VAR28 = "VAR6 VAR23", VAR49.VAR17 = "VAR1=VAR32", VAR49.VAR30 = "VAR36", VAR49.VAR46 = 1024, VAR49.VAR4 = "VAR43", VAR49.VAR8 = "VAR38", VAR49.VAR45 = "VAR39", VAR49.VAR2 = "VAR50", VAR49.VAR27 = 10, VAR49.VAR21 = 32, VAR49.VAR24 = 4; endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/nor3/gf180mcu_fd_sc_mcu7t5v0__nor3_4.behavioral.pp.v
1,328
module MODULE1( VAR5, VAR4, VAR8, VAR2, VAR1, VAR9 ); input VAR2, VAR5, VAR8; inout VAR1, VAR9; output VAR4; VAR6 VAR7(.VAR5(VAR5),.VAR4(VAR4),.VAR8(VAR8),.VAR2(VAR2),.VAR1(VAR1),.VAR9(VAR9)); VAR6 VAR3(.VAR5(VAR5),.VAR4(VAR4),.VAR8(VAR8),.VAR2(VAR2),.VAR1(VAR1),.VAR9(VAR9));
apache-2.0
alanachtenberg/CSCE-350
Lab 7/lab7_3.v
1,579
module MODULE1 (VAR3,VAR21,VAR8,VAR22); output VAR3, VAR21; input VAR8, VAR22; wire VAR19, VAR16; wire VAR5, VAR11; wire VAR17, VAR1; wire VAR15, VAR6; not (VAR19, VAR22); not (VAR16, VAR8); nand VAR13(VAR5,VAR8, VAR22); nand VAR10(VAR11,VAR8, VAR19); nand VAR7(VAR6,VAR11,VAR15); nand VAR14(VAR15,VAR5,VAR6); nand VAR4(VAR17,VAR16, VAR15); nand VAR2(VAR1,VAR16, VAR6); nand VAR20(VAR21,VAR1,VAR3); nand VAR9(VAR3,VAR17,VAR21); endmodule module MODULE2(VAR8); parameter VAR18 = 10, VAR12 = 50, VAR23 = 50; output VAR8; reg VAR8;
gpl-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/trunk/systems/de1/rtl/verilog/wb_intercon.v
14,926
module MODULE1 (input VAR34, input VAR148, input [31:0] VAR126, input [31:0] VAR191, input [3:0] VAR158, input VAR106, input VAR53, input VAR30, input [2:0] VAR156, input [1:0] VAR3, output [31:0] VAR37, output VAR149, output VAR75, output VAR96, input [31:0] VAR43, input [31:0] VAR153, input [3:0] VAR68, input VAR52, input VAR89, input VAR50, input [2:0] VAR8, input [1:0] VAR80, output [31:0] VAR67, output VAR60, output VAR2, output VAR151, input [31:0] VAR113, input [31:0] VAR125, input [3:0] VAR150, input VAR120, input VAR137, input VAR170, input [2:0] VAR49, input [1:0] VAR64, output [31:0] VAR104, output VAR98, output VAR57, output VAR131, output [31:0] VAR14, output [31:0] VAR199, output [3:0] VAR167, output VAR135, output VAR35, output VAR91, output [2:0] VAR184, output [1:0] VAR33, input [31:0] VAR111, input VAR202, input VAR196, input VAR164, output [31:0] VAR180, output [31:0] VAR187, output [3:0] VAR139, output VAR65, output VAR130, output VAR143, output [2:0] VAR10, output [1:0] VAR48, input [31:0] VAR173, input VAR26, input VAR4, input VAR100, output [31:0] VAR81, output [31:0] VAR66, output [3:0] VAR95, output VAR163, output VAR161, output VAR18, output [2:0] VAR192, output [1:0] VAR84, input [31:0] VAR31, input VAR189, input VAR162, input VAR177, output [31:0] VAR147, output [31:0] VAR115, output [3:0] VAR157, output VAR7, output VAR168, output VAR107, output [2:0] VAR29, output [1:0] VAR132, input [31:0] VAR117, input VAR21, input VAR110, input VAR194, output [31:0] VAR179, output [31:0] VAR28, output [3:0] VAR152, output VAR39, output VAR201, output VAR90, output [2:0] VAR193, output [1:0] VAR6, input [31:0] VAR76, input VAR85, input VAR46, input VAR32); wire [31:0] VAR116; wire [31:0] VAR45; wire [3:0] VAR78; wire VAR77; wire VAR23; wire VAR181; wire [2:0] VAR154; wire [1:0] VAR82; wire [31:0] VAR92; wire VAR140; wire VAR62; wire VAR9; wire [31:0] VAR86; wire [31:0] VAR165; wire [3:0] VAR204; wire VAR20; wire VAR79; wire VAR144; wire [2:0] VAR19; wire [1:0] VAR178; wire [31:0] VAR119; wire VAR133; wire VAR51; wire VAR58; wire [31:0] VAR40; wire [31:0] VAR112; wire [3:0] VAR198; wire VAR172; wire VAR24; wire VAR44; wire [2:0] VAR185; wire [1:0] VAR123; wire [31:0] VAR171; wire VAR109; wire VAR69; wire VAR12; wire [31:0] VAR136; wire [31:0] VAR197; wire [3:0] VAR145; wire VAR1; wire VAR146; wire VAR141; wire [2:0] VAR47; wire [1:0] VAR188; wire [31:0] VAR200; wire VAR94; wire VAR42; wire VAR13; wire [31:0] VAR17; wire [31:0] VAR41; wire [3:0] VAR25; wire VAR129; wire VAR97; wire VAR108; wire [2:0] VAR122; wire [1:0] VAR99; wire [31:0] VAR138; wire VAR72; wire VAR54; wire VAR56; wire [31:0] VAR87; wire [31:0] VAR38; wire [3:0] VAR88; wire VAR190; wire VAR128; wire VAR182; wire [2:0] VAR16; wire [1:0] VAR114; wire [31:0] VAR155; wire VAR101; wire VAR160; wire VAR183; VAR102 .VAR71 ({32'h00000000, 32'hf0000100}), .VAR203 ({32'hfe000000, 32'hffffffc0})) VAR105 (.VAR34 (VAR34), .VAR148 (VAR148), .VAR70 (VAR126), .VAR174 (VAR191), .VAR121 (VAR158), .VAR176 (VAR106), .VAR22 (VAR53), .VAR186 (VAR30), .VAR159 (VAR156), .VAR124 (VAR3), .VAR134 (VAR37), .VAR103 (VAR149), .VAR55 (VAR75), .VAR83 (VAR96), .VAR175 ({VAR179, VAR147}), .VAR169 ({VAR28, VAR115}), .VAR195 ({VAR152, VAR157}), .VAR15 ({VAR39, VAR7}), .VAR73 ({VAR201, VAR168}), .VAR61 ({VAR90, VAR107}), .VAR93 ({VAR193, VAR29}), .VAR27 ({VAR6, VAR132}), .VAR36 ({VAR76, VAR117}), .VAR59 ({VAR85, VAR21}), .VAR127 ({VAR46, VAR110}), .VAR118 ({VAR32, VAR194})); VAR102 .VAR71 ({32'h00000000, 32'h90000000, 32'h91000000}), .VAR203 ({32'hfe000000, 32'hffffffe0, 32'hfffffffe})) VAR5 (.VAR34 (VAR34), .VAR148 (VAR148), .VAR70 (VAR43), .VAR174 (VAR153), .VAR121 (VAR68), .VAR176 (VAR52), .VAR22 (VAR89), .VAR186 (VAR50), .VAR159 (VAR8), .VAR124 (VAR80), .VAR134 (VAR67), .VAR103 (VAR60), .VAR55 (VAR2), .VAR83 (VAR151), .VAR175 ({VAR116, VAR86, VAR40}), .VAR169 ({VAR45, VAR165, VAR112}), .VAR195 ({VAR78, VAR204, VAR198}), .VAR15 ({VAR77, VAR20, VAR172}), .VAR73 ({VAR23, VAR79, VAR24}), .VAR61 ({VAR181, VAR144, VAR44}), .VAR93 ({VAR154, VAR19, VAR185}), .VAR27 ({VAR82, VAR178, VAR123}), .VAR36 ({VAR92, VAR119, VAR171}), .VAR59 ({VAR140, VAR133, VAR109}), .VAR127 ({VAR62, VAR51, VAR69}), .VAR118 ({VAR9, VAR58, VAR12})); VAR102 .VAR71 ({32'h00000000, 32'h90000000, 32'h91000000}), .VAR203 ({32'hfe000000, 32'hffffffe0, 32'hfffffffe})) VAR11 (.VAR34 (VAR34), .VAR148 (VAR148), .VAR70 (VAR113), .VAR174 (VAR125), .VAR121 (VAR150), .VAR176 (VAR120), .VAR22 (VAR137), .VAR186 (VAR170), .VAR159 (VAR49), .VAR124 (VAR64), .VAR134 (VAR104), .VAR103 (VAR98), .VAR55 (VAR57), .VAR83 (VAR131), .VAR175 ({VAR136, VAR17, VAR87}), .VAR169 ({VAR197, VAR41, VAR38}), .VAR195 ({VAR145, VAR25, VAR88}), .VAR15 ({VAR1, VAR129, VAR190}), .VAR73 ({VAR146, VAR97, VAR128}), .VAR61 ({VAR141, VAR108, VAR182}), .VAR93 ({VAR47, VAR122, VAR16}), .VAR27 ({VAR188, VAR99, VAR114}), .VAR36 ({VAR200, VAR138, VAR155}), .VAR59 ({VAR94, VAR72, VAR101}), .VAR127 ({VAR42, VAR54, VAR160}), .VAR118 ({VAR13, VAR56, VAR183})); VAR74 VAR142 (.VAR34 (VAR34), .VAR148 (VAR148), .VAR70 ({VAR86, VAR17}), .VAR174 ({VAR165, VAR41}), .VAR121 ({VAR204, VAR25}), .VAR176 ({VAR20, VAR129}), .VAR22 ({VAR79, VAR97}), .VAR186 ({VAR144, VAR108}), .VAR159 ({VAR19, VAR122}), .VAR124 ({VAR178, VAR99}), .VAR134 ({VAR119, VAR138}), .VAR103 ({VAR133, VAR72}), .VAR55 ({VAR51, VAR54}), .VAR83 ({VAR58, VAR56}), .VAR175 (VAR14), .VAR169 (VAR199), .VAR195 (VAR167), .VAR15 (VAR135), .VAR73 (VAR35), .VAR61 (VAR91), .VAR93 (VAR184), .VAR27 (VAR33), .VAR36 (VAR111), .VAR59 (VAR202), .VAR127 (VAR196), .VAR118 (VAR164)); VAR74 VAR63 (.VAR34 (VAR34), .VAR148 (VAR148), .VAR70 ({VAR116, VAR136}), .VAR174 ({VAR45, VAR197}), .VAR121 ({VAR78, VAR145}), .VAR176 ({VAR77, VAR1}), .VAR22 ({VAR23, VAR146}), .VAR186 ({VAR181, VAR141}), .VAR159 ({VAR154, VAR47}), .VAR124 ({VAR82, VAR188}), .VAR134 ({VAR92, VAR200}), .VAR103 ({VAR140, VAR94}), .VAR55 ({VAR62, VAR42}), .VAR83 ({VAR9, VAR13}), .VAR175 (VAR180), .VAR169 (VAR187), .VAR195 (VAR139), .VAR15 (VAR65), .VAR73 (VAR130), .VAR61 (VAR143), .VAR93 (VAR10), .VAR27 (VAR48), .VAR36 (VAR173), .VAR59 (VAR26), .VAR127 (VAR4), .VAR118 (VAR100)); VAR74 VAR166 (.VAR34 (VAR34), .VAR148 (VAR148), .VAR70 ({VAR40, VAR87}), .VAR174 ({VAR112, VAR38}), .VAR121 ({VAR198, VAR88}), .VAR176 ({VAR172, VAR190}), .VAR22 ({VAR24, VAR128}), .VAR186 ({VAR44, VAR182}), .VAR159 ({VAR185, VAR16}), .VAR124 ({VAR123, VAR114}), .VAR134 ({VAR171, VAR155}), .VAR103 ({VAR109, VAR101}), .VAR55 ({VAR69, VAR160}), .VAR83 ({VAR12, VAR183}), .VAR175 (VAR81), .VAR169 (VAR66), .VAR195 (VAR95), .VAR15 (VAR163), .VAR73 (VAR161), .VAR61 (VAR18), .VAR93 (VAR192), .VAR27 (VAR84), .VAR36 (VAR31), .VAR59 (VAR189), .VAR127 (VAR162), .VAR118 (VAR177)); endmodule
gpl-2.0
queq/just-stuff
pov/TopMobile/LEDS/control_leds.v
3,261
module MODULE1( input clk, input VAR21, output reg VAR10, output reg VAR3 ); reg [6:0] VAR11; reg [6:0] VAR15; parameter VAR4=7'b0000000, VAR2=7'b0000001, VAR19=7'b0000010, VAR12=7'b0000011, VAR6=7'b0000100, VAR22=7'b0000101; always @(VAR14 or VAR7 or VAR1 or VAR10 or VAR13 or VAR11)begin case(VAR11) VAR4: begin VAR5=1'b0; VAR16=1'b1; VAR18=1'b0; VAR17=1'b1; VAR20=1'b0; VAR9=1'b1; VAR3=1'b0; VAR8=0; if (VAR13==1'b1) VAR15<=VAR2; end else VAR15<=VAR4; end VAR2: begin VAR5=1'b0; VAR16=1'b0; VAR18=1'b0; VAR17=1'b0; VAR20=1'b0; VAR9=1'b0; VAR3=1'b0; VAR8=1; VAR15<=VAR19; end VAR19: begin VAR5=1'b0; VAR16=1'b0; VAR18=1'b0; VAR17=1'b0; VAR20=1'b0; VAR9=1'b0; VAR3=1'b0; VAR8=0; if(VAR7==1'b1) VAR15<=VAR12; end else VAR15<=VAR19; end VAR12: begin VAR5=1'b0; VAR16=1'b0; VAR18=1'b0; VAR17=1'b0; VAR20=1'b0; VAR9=1'b0; VAR3=1'b1; VAR8=0; VAR15<=VAR6; end VAR6: begin VAR5=1'b1; VAR16=1'b0; VAR18=1'b1; VAR17=1'b0; VAR20=1'b0; VAR9=1'b0; VAR3=1'b1; VAR8=0; if (VAR1 == 1'b1) VAR15<=VAR22; end else VAR15<=VAR12; end VAR22: begin VAR5=1'b1; VAR16=1'b0; VAR18=1'b0; VAR17=1'b1; VAR20=1'b1; VAR9=1'b0; VAR3=1'b0; VAR8=0; if (VAR14==1'b1)VAR15<=VAR4; end else VAR15<=VAR2; end default: begin VAR5=1'b0; VAR16=1'b0; VAR18=1'b0; VAR17=1'b0; VAR20=1'b0; VAR9=1'b0; VAR3=1'b0; VAR8=0; VAR15<=VAR4; end endcase end always @(negedge clk) begin VAR11<=VAR15; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfsbp/sky130_fd_sc_lp__dfsbp.behavioral.v
2,273
module MODULE1 ( VAR18 , VAR7 , VAR16 , VAR14 , VAR20 ); output VAR18 ; output VAR7 ; input VAR16 ; input VAR14 ; input VAR20; supply1 VAR11; supply0 VAR15; supply1 VAR17 ; supply0 VAR4 ; wire VAR1 ; wire VAR13 ; reg VAR21 ; wire VAR9 ; wire VAR23; wire VAR5 ; wire VAR19 ; wire VAR8 ; wire VAR10 ; not VAR2 (VAR13 , VAR23 ); VAR12 VAR3 (VAR1 , VAR9, VAR5, VAR13, VAR21, VAR11, VAR15); assign VAR19 = ( VAR11 === 1'b1 ); assign VAR8 = ( VAR23 === 1'b1 ); assign VAR10 = ( VAR20 === 1'b1 ); buf VAR22 (VAR18 , VAR1 ); not VAR6 (VAR7 , VAR1 ); endmodule
apache-2.0
bigeagle/riffa
fpga/riffa_hdl/tx_multiplexer_64.v
18,527
module MODULE1 parameter VAR100 = 128, parameter VAR114 = 12, parameter VAR36 = 5, parameter VAR48 = "VAR19" ) ( input VAR32, input VAR88, input [VAR114-1:0] VAR42, input [(VAR114*VAR109)-1:0] VAR51, input [(VAR114*VAR117)-1:0] VAR50, input [(VAR114*VAR100)-1:0] VAR66, output [VAR114-1:0] VAR67, output [VAR114-1:0] VAR91, input [VAR114-1:0] VAR99, input [(VAR114*2)-1:0] VAR30, input [(VAR114*VAR109)-1:0] VAR71, input [(VAR114*VAR117)-1:0] VAR94, output [VAR114-1:0] VAR108, output [5:0] VAR45, output VAR49, input [VAR36-1:0] VAR47, input VAR41, output VAR5, input VAR13, output VAR64, output [VAR100-1:0] VAR112, output VAR96, output [VAR65(VAR100/32)-1:0] VAR102, output VAR22, output [VAR65(VAR100/32)-1:0] VAR34, input VAR24, output VAR105, output [VAR15-1:0] VAR38, output [VAR107-1:0] VAR56, output [VAR109-1:0] VAR62, output [VAR117-1:0] VAR87, output [VAR58-1:0] VAR103, output [VAR106-1:0] VAR60, output [VAR84-1:0] VAR83, output [VAR27-1:0] VAR89, output VAR28, input VAR37); localparam VAR72 = 6'd6; reg [3:0] VAR98=VAR12, VAR98=VAR12; reg VAR43=0, VAR43=0; reg [3:0] VAR97=0, VAR97=0; reg [VAR36-1:0] VAR8=0, VAR8=0; reg [9:0] VAR4=0, VAR4=0; reg VAR52=0, VAR52=0; reg VAR9=0,VAR9=0; reg VAR7=0, VAR7=0; reg VAR76=0, VAR76=0; reg [9:0] VAR21=0, VAR21=0; reg [VAR114-1:0] VAR86=0, VAR86=0; reg VAR85, VAR85; wire VAR90; wire [3:0] VAR78; wire VAR80; wire [3:0] VAR82; wire VAR118; wire [3:0] VAR63; wire [11:0] VAR93 = (VAR63*VAR100); wire [63:0] VAR119; wire [9:0] VAR14; wire [1:0] VAR55; wire [63:0] VAR44; wire [9:0] VAR61; wire [VAR100-1:0] VAR70; reg [3:0] VAR35=0, VAR35=0; reg [61:0] VAR54=62'd0, VAR54=62'd0; reg [9:0] VAR26=0, VAR26=0; reg [1:0] VAR17=0, VAR17=0; reg [3:0] VAR68=0, VAR68=0; reg [61:0] VAR46=62'd0, VAR46=62'd0; reg [9:0] VAR31=0, VAR31=0; reg [VAR100-1:0] VAR59={VAR100{1'd0}}, VAR59={VAR100{1'd0}}; assign VAR119 = VAR71[VAR78 * VAR109 +: VAR109]; assign VAR14 = VAR94[VAR78 * VAR117 +: VAR117]; assign VAR55 = VAR30[VAR78 * 2 +: 2]; assign VAR44 = VAR51[VAR82 * VAR109 +: VAR109]; assign VAR61 = VAR50[VAR82 * VAR117 +: VAR117]; assign VAR70 = VAR66[VAR63 * VAR100 +: VAR100]; reg [3:0] VAR29=VAR39, VAR29=VAR39; reg [VAR114-1:0] VAR111=0, VAR111=0; reg [VAR114-1:0] VAR6=0, VAR6=0; reg VAR25=0, VAR25=0; reg [5:0] VAR16=0, VAR16=0; reg [61:0] VAR101=62'd0, VAR101=62'd0; reg VAR11=0, VAR11=0; reg [9:0] VAR40=0, VAR40=0; reg VAR10=0, VAR10=0; reg VAR33=0, VAR33=0; reg [VAR36-1:0] VAR53=0, VAR53=0; reg [VAR72-1:0] VAR69=0, VAR69=0; reg [(VAR72*4)-1:0] VAR75=0, VAR75=0; reg [(VAR72*8)-1:0] VAR115=0, VAR115=0; reg [(VAR72*62)-1:0] VAR110=0, VAR110=0; reg [((VAR72+1)*10)-1:0] VAR20=0, VAR20=0; reg [VAR72-1:0] VAR2=0, VAR2=0; reg [VAR72-1:0] VAR79=0, VAR79=0; reg [VAR72-1:0] VAR104=0, VAR104=0; assign VAR67 = VAR86; assign VAR91 = VAR6; assign VAR108 = VAR111; assign VAR45 = {VAR17, VAR35}; assign VAR49 = VAR33; assign VAR5 = VAR85; assign VAR118 = (VAR90 & VAR41 & VAR13); VAR81 #(.VAR114(VAR114)) VAR116 (.VAR92(VAR88), .VAR32(VAR32), .VAR1(VAR99), .VAR73(VAR90), .VAR74(VAR78)); VAR81 #(.VAR114(VAR114)) VAR3 (.VAR92(VAR88), .VAR32(VAR32), .VAR1(VAR42), .VAR73(VAR80), .VAR74(VAR82)); always @ (posedge VAR32) begin VAR35 <= VAR35; VAR54 <= VAR54; VAR26 <= VAR26; VAR17 <= VAR17; VAR68 <= VAR68; VAR46 <= VAR46; VAR31 <= VAR31; VAR59 <= VAR59; end always @ begin VAR29 = VAR29; VAR111 = VAR111; VAR6 = VAR6; VAR25 = VAR25; VAR16 = VAR16; VAR101 = VAR101; VAR11 = (VAR101[61:30] != 0); VAR40 = VAR40; VAR10 = VAR10; VAR33 = VAR33; VAR53 = VAR53; VAR85 = VAR85; case (VAR29) VAR25 = !VAR90; VAR111 = (VAR118<<VAR78); VAR85 = VAR118; VAR33 = VAR118; VAR29 = (VAR118 ? VAR113 : VAR77); end VAR25 = VAR80; VAR6 = (VAR80<<VAR82); VAR29 = (VAR80 ? VAR113 : VAR39); end VAR85 = 0; VAR111 = 0; VAR6 = 0; VAR10 = VAR25; VAR33 = 0; VAR53 = VAR47; if (VAR25) begin VAR16 = {2'd0, VAR68}; VAR101 = VAR46; VAR40 = VAR31; end else begin VAR16 = {VAR17, VAR35}; VAR101 = VAR54; VAR40 = VAR26; end VAR29 = VAR95; end if (VAR37 & VAR98[0]) VAR29 = (VAR77>>(VAR10)); end default : begin VAR29 = VAR39; end endcase end always @ (posedge VAR32) begin VAR98 <= (VAR88 ? VAR12 : VAR98); VAR43 <= VAR43; VAR21 <= VAR21; VAR4 <= VAR4; VAR52 <= VAR52; VAR7 <= VAR7; VAR97 <= VAR97; VAR8 <= VAR8; VAR76 <= VAR76; VAR86 <= VAR86; VAR9 <= VAR88 ? 0 : VAR9; end always @ begin VAR69 = {VAR69[((VAR72-1)*1)-1:0], VAR10}; VAR110 = {VAR110[((VAR72-1)*62)-1:0], VAR101}; VAR20 = {VAR20[((VAR72-1)*10)-1:0], VAR21}; VAR75 = {VAR75[((VAR72-1)*4)-1:0], VAR97}; VAR115 = {VAR115[((VAR72-1)*8)-1:0], (8'd0 | VAR8)}; VAR2 = {VAR2[((VAR72-1)*1)-1:0], VAR9 & VAR43}; VAR79 = {VAR79[((VAR72-1)*1)-1:0], VAR52}; VAR104 = {VAR104[((VAR72-1)*1)-1:0], VAR7}; end assign VAR112 = VAR59; assign VAR64 = VAR2[(VAR72-1)*1 +:1]; assign VAR96 = VAR104[(VAR72-1)*1 +:1]; assign VAR102 = 0; assign VAR22 = VAR79[(VAR72-1)*1 +:1]; assign VAR34 = VAR20[(VAR72-1)*10 +:VAR57] - 1; assign VAR105 = VAR7; assign VAR89 = VAR10 ? VAR23 : VAR18; assign VAR62 = {VAR101,2'b00}; assign VAR87 = VAR40; assign VAR56 = 4'b1111; assign VAR38 = 4'b1111; assign VAR103 = VAR8; assign VAR28 = 1'b0; assign VAR83 = 3'b110; assign VAR60 = 0; endmodule
bsd-3-clause
bargei/NoC264
NoC264_2x2/mkInputQueue.v
9,174
module MODULE1(VAR8, VAR4, VAR12, VAR25, VAR40, VAR7, VAR33, VAR11); input VAR8; input VAR4; input [131 : 0] VAR12; input VAR25; input VAR40; output [131 : 0] VAR7; output VAR33; output VAR11; wire [131 : 0] VAR7; wire VAR33, VAR11; wire [2 : 0] VAR6, VAR16; reg [2 : 0] VAR19; wire [2 : 0] VAR37; wire VAR1; reg VAR23; wire VAR5, VAR15; reg VAR17; wire VAR2, VAR14; reg [2 : 0] VAR30; wire [2 : 0] VAR31; wire VAR28; wire [131 : 0] VAR39, VAR29; wire [2 : 0] VAR32, VAR3; wire VAR20; wire [2 : 0] VAR26, VAR24; wire VAR35, VAR10; assign VAR7 = VAR29 ; assign VAR33 = VAR23 ; assign VAR11 = VAR17 ; VAR22 #( 32'd132, 32'd3) VAR13(.VAR8(VAR8), .VAR18(VAR4), .VAR21(VAR32), .VAR36(VAR3), .VAR34(VAR39), .VAR9(VAR20), .VAR27(VAR29)); assign VAR16 = VAR30 + 3'd1 ; assign VAR6 = VAR19 + 3'd1 ; assign VAR37 = VAR24 ; assign VAR1 = VAR40 ; assign VAR5 = VAR25 && !VAR40 ; assign VAR15 = VAR25 && !VAR40 || VAR35 ; assign VAR2 = !VAR10 ; assign VAR14 = VAR10 || VAR40 && !VAR25 ; assign VAR31 = VAR26 ; assign VAR28 = VAR25 ; assign VAR32 = VAR30 ; assign VAR3 = VAR19 ; assign VAR39 = VAR12 ; assign VAR20 = VAR25 ; assign VAR35 = VAR40 && !VAR25 && VAR24 == VAR30 ; assign VAR10 = VAR25 && !VAR40 && VAR26 == VAR19 ; assign VAR26 = VAR25 ? VAR16 : 3'd0 ; assign VAR24 = VAR40 ? VAR6 : 3'd0 ; always@(posedge VAR8) begin if (!VAR4) begin VAR19 <= VAR38 3'd0; VAR23 <= VAR38 1'd0; VAR17 <= VAR38 1'd1; VAR30 <= VAR38 3'd0; end else begin if (VAR1) VAR19 <= VAR38 VAR37; if (VAR15) VAR23 <= VAR38 VAR5; if (VAR14) VAR17 <= VAR38 VAR2; if (VAR28) VAR30 <= VAR38 VAR31; end end begin VAR19 = 3'h2; VAR23 = 1'h0; VAR17 = 1'h0; VAR30 = 3'h2; end always@(negedge VAR8) begin if (VAR4) if (VAR25 && !VAR17) (""); if (VAR4) if (VAR25 && !VAR17) (""); if (VAR4) if (VAR25 && !VAR17) if (VAR4) if (VAR25 && !VAR17) if (VAR4) if (VAR25) (""); if (VAR4) if (VAR40 && !VAR23) if (VAR4) if (VAR40 && !VAR23) if (VAR4) if (VAR40) (""); if (VAR4) if (VAR10) (""); if (VAR4) if (VAR35) (""); end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/probec_p/sky130_fd_sc_hdll__probec_p.behavioral.v
1,373
module MODULE1 ( VAR5, VAR6 ); output VAR5; input VAR6; supply1 VAR8; supply0 VAR1; supply1 VAR9 ; supply0 VAR2 ; wire VAR3; buf VAR4 (VAR3, VAR6 ); buf VAR7 (VAR5 , VAR3 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/clkbuf/gf180mcu_fd_sc_mcu7t5v0__clkbuf_1.behavioral.pp.v
1,174
module MODULE1( VAR4, VAR1, VAR7, VAR5 ); input VAR4; inout VAR7, VAR5; output VAR1; VAR6 VAR2(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR5(VAR5)); VAR6 VAR3(.VAR4(VAR4),.VAR1(VAR1),.VAR7(VAR7),.VAR5(VAR5));
apache-2.0
unsignedzero/verilogLabs
labs/lab11/sram/sram.v
1,101
module MODULE1(read, write, address, VAR4, VAR5, clk ); parameter VAR1 = 8; parameter VAR3 = 3; parameter VAR2 = 1<<VAR3; input read; input write; input [VAR3-1:0] address; input [VAR1-1:0] VAR4; output [VAR1-1:0] VAR5; reg [VAR1-1:0] VAR5; input clk; reg [VAR1-1:0] VAR6 [VAR2-1:0]; always @(posedge clk) begin if(write) VAR6[address] <= VAR4; if(read) VAR5 <= VAR6[address]; if (read & write) begin VAR5 <= {VAR1{1'VAR7}}; end end endmodule
mit
alan4186/ParCNN
Hardware/v/mult_adder_ctrl.v
2,149
module MODULE1( input VAR5, input reset, input VAR13, output [VAR14:0] VAR2, output [VAR3:0] VAR6, output VAR1 ); reg VAR9; reg VAR10 [VAR11-1:0]; reg [VAR14:0] VAR4; reg [VAR3:0] VAR7; assign VAR1 = VAR10[0]; assign VAR2 = VAR4; assign VAR6 = VAR7; always@(posedge VAR5 or negedge reset) begin if(reset == 1'b0) begin VAR9 <= 1'b0; end else begin if(VAR13) begin VAR9 <= 1'b1; end else if (VAR4 == VAR17 - 1 - 1 & VAR7 == VAR16 - 1) begin VAR9 <= 1'b0; end else begin VAR9 <= VAR9; end end end always@(posedge VAR5 or negedge reset) begin if (reset == 1'b0) begin VAR4 <= VAR15'd0; VAR7 <= VAR18'd0; end else if(VAR9) begin if(VAR4 < VAR17 - 1) begin VAR4 <= VAR4 +VAR15'd1; VAR7 <= VAR7; end else begin VAR4 <= VAR15'd0; if(VAR7 < VAR16 - 1) VAR7 <= VAR7 + VAR18'd1; end else VAR7 <= VAR18'd0; end end else begin VAR4 <= VAR15'd0; VAR7 <= VAR18'd0; end end genvar VAR8; generate for (VAR8=0; VAR8 < VAR11-1; VAR8=VAR8+1) begin : VAR12 always@(posedge VAR5) begin VAR10[VAR8] <= VAR10[VAR8+1]; end end endgenerate always@(posedge VAR5) begin VAR10[VAR11-1] <= VAR9; end endmodule
mit
SiLab-Bonn/basil
basil/firmware/modules/i2c/i2c.v
1,606
module MODULE1 #( parameter VAR14 = 16'h0000, parameter VAR8 = 16'h0000, parameter VAR5 = 16, parameter VAR11 = 1, parameter VAR9 = 0 ) ( input wire VAR25, input wire VAR22, input wire [VAR5-1:0] VAR17, inout wire [7:0] VAR13, input wire VAR4, input wire VAR12, input wire VAR7, inout wire VAR16, inout wire VAR19 ); wire VAR3, VAR23; wire [VAR5-1:0] VAR24; wire [7:0] VAR1; wire [7:0] VAR2; VAR21 #( .VAR14(VAR14), .VAR8(VAR8), .VAR5(VAR5) ) VAR15 ( .VAR4(VAR4), .VAR12(VAR12), .VAR17(VAR17), .VAR13(VAR13), .VAR3(VAR3), .VAR23(VAR23), .VAR24(VAR24), .VAR1(VAR1), .VAR2(VAR2) ); VAR10 #( .VAR5(VAR5), .VAR11(VAR11), .VAR9(VAR9) ) VAR6 ( .VAR25(VAR25), .VAR22(VAR22), .VAR17(VAR24), .VAR20(VAR1), .VAR4(VAR3), .VAR12(VAR23), .VAR18(VAR2), .VAR7(VAR7), .VAR16(VAR16), .VAR19(VAR19) ); endmodule
bsd-3-clause
himingway/PIC16C5x
src/ALU.v
2,852
module MODULE1 ( input [ VAR16-1:0] VAR9 , input [ VAR16-1:0] VAR2 , input [ VAR16-1:0] VAR12 , input [ VAR14-1:0] VAR10 , input [ 2:0] VAR8 , input VAR4 , input [VAR5-1:0] VAR11 , output [VAR5-1:0] VAR13, output [ VAR16-1:0] VAR3 ); reg VAR7; reg VAR6; reg [VAR16-1:0] VAR1; assign VAR3 = VAR1; always @ begin case (VAR10) VAR15 = {(VAR1 == 8'b0), 1'b0, 1'b0} | {1'b0, VAR7, VAR6}; end VAR15 = {(VAR1 == 8'b0), 1'b0, 1'b0} | {1'b0, ~VAR7, ~VAR6}; end VAR15 = VAR11 | {1'b0, 1'b0, VAR6}; end default: begin VAR15 = {(VAR1 == 8'b0), VAR11[1:0]}; end endcase end endmodule
mit
hanw/sonic-lite
hw/verilog/enc_dec/encoder.v
59,925
module MODULE1 (clk, VAR118, VAR29, VAR85, VAR96, VAR134, enable); input clk; input[63:0] VAR118; input[7:0] VAR29; output[65:0] VAR85; reg[65:0] VAR85; output [2:0] VAR96; reg [2:0] VAR96; input VAR134; input enable; reg VAR46; reg VAR34; reg VAR12; reg VAR33; reg VAR116; reg VAR117; reg VAR7; reg VAR76; reg VAR129; reg VAR115; reg VAR43; reg VAR101; reg VAR41; reg VAR63; reg VAR32; reg VAR10; reg VAR125; reg VAR127; reg VAR147; reg VAR113; reg VAR14; reg VAR56; reg VAR149; reg VAR120; reg VAR57; reg VAR18; reg VAR99; reg VAR68; reg VAR82; reg VAR53; reg VAR110; reg VAR142; reg VAR22; reg VAR106; reg VAR145; reg VAR54; reg VAR111; reg VAR44; reg VAR92; reg VAR109; reg VAR77; reg VAR16; reg VAR2; reg VAR80; reg VAR108; reg VAR24; reg VAR4; reg VAR64; reg VAR73; reg VAR3; reg VAR21; reg VAR19; reg VAR94; reg VAR36; reg VAR79; reg VAR122; reg VAR135; reg VAR78; reg VAR105; reg VAR11; reg VAR112; reg VAR40; reg VAR123; reg VAR95; reg VAR51; reg VAR75; reg VAR141; reg VAR9; reg VAR114; reg VAR140; reg VAR60; reg VAR124; reg VAR91; reg VAR81; reg VAR6; reg VAR139; reg VAR61; reg VAR138; reg VAR107; reg VAR62; reg VAR66; reg VAR13; reg VAR72; reg VAR130; reg VAR143; reg VAR136; reg VAR30; wire[63:0] VAR48; wire[7:0] VAR74; reg[63:0] VAR97; reg[7:0] VAR23; reg[63:0] VAR88; reg[7:0] VAR67; wire[7:0] VAR69; wire[7:0] VAR26; wire[7:0] VAR47; wire[7:0] VAR59; wire[7:0] VAR28; wire[7:0] VAR84; wire[7:0] VAR71; wire[7:0] VAR102; wire[65:0] VAR83; reg[7:0] VAR104; wire VAR144; wire VAR8; wire VAR89; wire VAR39; wire VAR35; wire VAR137; wire VAR50; wire VAR131; wire VAR132; wire VAR15; wire VAR103; wire VAR93; wire VAR133; wire VAR126; wire VAR5; wire VAR37; wire VAR38; reg VAR45; reg[16:0] VAR65; reg[16:0] VAR55; reg[1:0] VAR119; reg[55:0] VAR70; reg[6:0] VAR42; reg[6:0] VAR148; reg[6:0] VAR121; reg[6:0] VAR146; reg[6:0] VAR150; reg[6:0] VAR49; reg[6:0] VAR90; reg[6:0] VAR100; reg[3:0] VAR128; reg[3:0] VAR58; wire VAR87; wire VAR86; wire VAR31; wire VAR1; wire VAR52; parameter VAR25 = 1; parameter [2:0] VAR20 = 3'b000; parameter [2:0] VAR17 = 3'b001; parameter [2:0] VAR27 = 3'b010; parameter [2:0] VAR98 = 3'b011; parameter [2:0] VAR151 = 3'b100; assign VAR48 = VAR118 ; assign VAR74 = VAR29 ; assign VAR69 = VAR48[7:0] ; assign VAR26 = VAR48[15:8] ; assign VAR47 = VAR48[23:16] ; assign VAR59 = VAR48[31:24] ; assign VAR28 = VAR48[39:32] ; assign VAR84 = VAR48[47:40] ; assign VAR71 = VAR48[55:48] ; assign VAR102 = VAR48[63:56] ; always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR97 <= #VAR25 {64{1'b0}} ; VAR23 <= #VAR25 {8{1'b0}} ; VAR88 <= #VAR25 {64{1'b0}} ; VAR67 <= #VAR25 {8{1'b0}} ; end else begin if (enable == 1'b1) begin VAR97 <= #VAR25 VAR48 ; VAR23 <= #VAR25 VAR74 ; VAR88 <= #VAR25 VAR97 ; VAR67 <= #VAR25 VAR23 ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR46 <= #VAR25 1'b0 ; VAR34 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR46 <= #VAR25 ~(VAR74[0]) ; VAR34 <= #VAR25 VAR74[0] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR12 <= #VAR25 1'b0 ; VAR33 <= #VAR25 1'b0 ; VAR116 <= #VAR25 1'b0 ; VAR117 <= #VAR25 1'b0 ; VAR7 <= #VAR25 1'b0 ; VAR76 <= #VAR25 1'b0 ; VAR129 <= #VAR25 1'b0 ; VAR115 <= #VAR25 1'b0 ; VAR43 <= #VAR25 1'b0 ; VAR101 <= #VAR25 1'b0 ; VAR41 <= #VAR25 1'b0 ; VAR63 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR12 <= #VAR25 ~(VAR69[7]) & ~(VAR69[6]) & ~(VAR69[5]) & ~(VAR69[4]) & ~(VAR69[3]) & VAR69[2] & VAR69[1] & VAR69[0] & VAR74[0] ; VAR33 <= #VAR25 VAR69[7] & VAR69[6] & VAR69[5] & VAR69[4] & VAR69[3] & ~(VAR69[2]) & VAR69[1] & VAR69[0] & VAR74[0] ; VAR116 <= #VAR25 VAR69[7] & VAR69[6] & VAR69[5] & VAR69[4] & VAR69[3] & VAR69[2] & ~(VAR69[1]) & VAR69[0] & VAR74[0] ; VAR117 <= #VAR25 VAR69[7] & VAR69[6] & VAR69[5] & VAR69[4] & VAR69[3] & VAR69[2] & VAR69[1] & ~(VAR69[0]) & VAR74[0] ; VAR7 <= #VAR25 VAR69[7] & ~(VAR69[6]) & ~(VAR69[5]) & VAR69[4] & VAR69[3] & VAR69[2] & ~(VAR69[1]) & ~(VAR69[0]) & VAR74[0] ; VAR76 <= #VAR25 ~(VAR69[7]) & ~(VAR69[6]) & ~(VAR69[5]) & VAR69[4] & VAR69[3] & VAR69[2] & ~(VAR69[1]) & ~(VAR69[0]) & VAR74[0] ; VAR129 <= #VAR25 ~(VAR69[7]) & ~(VAR69[6]) & VAR69[5] & VAR69[4] & VAR69[3] & VAR69[2] & ~(VAR69[1]) & ~(VAR69[0]) & VAR74[0] ; VAR115 <= #VAR25 ~(VAR69[7]) & VAR69[6] & VAR69[5] & VAR69[4] & VAR69[3] & VAR69[2] & ~(VAR69[1]) & ~(VAR69[0]) & VAR74[0] ; VAR43 <= #VAR25 VAR69[7] & ~(VAR69[6]) & VAR69[5] & VAR69[4] & VAR69[3] & VAR69[2] & ~(VAR69[1]) & ~(VAR69[0]) & VAR74[0] ; VAR101 <= #VAR25 VAR69[7] & VAR69[6] & ~(VAR69[5]) & VAR69[4] & VAR69[3] & VAR69[2] & ~(VAR69[1]) & ~(VAR69[0]) & VAR74[0] ; VAR41 <= #VAR25 VAR69[7] & VAR69[6] & VAR69[5] & VAR69[4] & ~(VAR69[3]) & VAR69[2] & VAR69[1] & VAR69[0] & VAR74[0] ; VAR63 <= #VAR25 ~(VAR69[7]) & VAR69[6] & ~(VAR69[5]) & ~(VAR69[4]) & VAR69[3] & VAR69[2] & ~(VAR69[1]) & ~(VAR69[0]) & VAR74[0] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR32 <= #VAR25 1'b0 ; VAR10 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR32 <= #VAR25 ~(VAR74[1]) ; VAR10 <= #VAR25 VAR74[1] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR125 <= #VAR25 1'b0 ; VAR127 <= #VAR25 1'b0 ; VAR147 <= #VAR25 1'b0 ; VAR113 <= #VAR25 1'b0 ; VAR14 <= #VAR25 1'b0 ; VAR56 <= #VAR25 1'b0 ; VAR149 <= #VAR25 1'b0 ; VAR120 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR125 <= #VAR25 ~(VAR26[7]) & ~(VAR26[6]) & ~(VAR26[5]) & ~(VAR26[4]) & ~(VAR26[3]) & VAR26[2] & VAR26[1] & VAR26[0] & VAR74[1] ; VAR127 <= #VAR25 VAR26[7] & VAR26[6] & VAR26[5] & VAR26[4] & VAR26[3] & VAR26[2] & ~(VAR26[1]) & VAR26[0] & VAR74[1] ; VAR147 <= #VAR25 ~(VAR26[7]) & ~(VAR26[6]) & ~(VAR26[5]) & VAR26[4] & VAR26[3] & VAR26[2] & ~(VAR26[1]) & ~(VAR26[0]) & VAR74[1] ; VAR113 <= #VAR25 ~(VAR69[7]) & ~(VAR26[6]) & VAR26[5] & VAR26[4] & VAR26[3] & VAR26[2] & ~(VAR26[1]) & ~(VAR26[0]) & VAR74[1] ; VAR14 <= #VAR25 ~(VAR26[7]) & VAR26[6] & VAR26[5] & VAR26[4] & VAR26[3] & VAR26[2] & ~(VAR26[1]) & ~(VAR26[0]) & VAR74[1] ; VAR56 <= #VAR25 VAR26[7] & ~(VAR26[6]) & VAR26[5] & VAR26[4] & VAR26[3] & VAR26[2] & ~(VAR26[1]) & ~(VAR26[0]) & VAR74[1] ; VAR149 <= #VAR25 VAR26[7] & VAR26[6] & ~(VAR26[5]) & VAR26[4] & VAR26[3] & VAR26[2] & ~(VAR26[1]) & ~(VAR26[0]) & VAR74[1] ; VAR120 <= #VAR25 VAR26[7] & VAR26[6] & VAR26[5] & VAR26[4] & ~(VAR26[3]) & VAR26[2] & VAR26[1] & VAR26[0] & VAR74[1] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR57 <= #VAR25 1'b0 ; VAR18 <= #VAR25 1'b0 ; VAR99 <= #VAR25 1'b0 ; VAR68 <= #VAR25 1'b0 ; VAR82 <= #VAR25 1'b0 ; VAR53 <= #VAR25 1'b0 ; VAR110 <= #VAR25 1'b0 ; VAR142 <= #VAR25 1'b0 ; VAR22 <= #VAR25 1'b0 ; VAR106 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR57 <= #VAR25 ~(VAR74[2]) ; VAR18 <= #VAR25 VAR74[2] ; VAR99 <= #VAR25 ~(VAR47[7]) & ~(VAR47[6]) & ~(VAR47[5]) & ~(VAR47[4]) & ~(VAR47[3]) & VAR47[2] & VAR47[1] & VAR47[0] & VAR74[2] ; VAR68 <= #VAR25 VAR47[7] & VAR47[6] & VAR47[5] & VAR47[4] & VAR47[3] & VAR47[2] & ~(VAR47[1]) & VAR47[0] & VAR74[2] ; VAR82 <= #VAR25 ~(VAR47[7]) & ~(VAR47[6]) & ~(VAR47[5]) & VAR47[4] & VAR47[3] & VAR47[2] & ~(VAR47[1]) & ~(VAR47[0]) & VAR74[2] ; VAR53 <= #VAR25 ~(VAR47[7]) & ~(VAR47[6]) & VAR47[5] & VAR47[4] & VAR47[3] & VAR47[2] & ~(VAR47[1]) & ~(VAR47[0]) & VAR74[2] ; VAR110 <= #VAR25 ~(VAR47[7]) & VAR47[6] & VAR47[5] & VAR47[4] & VAR47[3] & VAR47[2] & ~(VAR47[1]) & ~(VAR47[0]) & VAR74[2] ; VAR142 <= #VAR25 VAR47[7] & ~(VAR47[6]) & VAR47[5] & VAR47[4] & VAR47[3] & VAR47[2] & ~(VAR47[1]) & ~(VAR47[0]) & VAR74[2] ; VAR22 <= #VAR25 VAR47[7] & VAR47[6] & ~(VAR47[5]) & VAR47[4] & VAR47[3] & VAR47[2] & ~(VAR47[1]) & ~(VAR47[0]) & VAR74[2] ; VAR106 <= #VAR25 VAR47[7] & VAR47[6] & VAR47[5] & VAR47[4] & ~(VAR47[3]) & VAR47[2] & VAR47[1] & VAR47[0] & VAR74[2] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR145 <= #VAR25 1'b0 ; VAR54 <= #VAR25 1'b0 ; VAR111 <= #VAR25 1'b0 ; VAR44 <= #VAR25 1'b0 ; VAR92 <= #VAR25 1'b0 ; VAR109 <= #VAR25 1'b0 ; VAR77 <= #VAR25 1'b0 ; VAR16 <= #VAR25 1'b0 ; VAR2 <= #VAR25 1'b0 ; VAR80 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR145 <= #VAR25 ~(VAR74[3]) ; VAR54 <= #VAR25 VAR74[3] ; VAR111 <= #VAR25 ~(VAR59[7]) & ~(VAR59[6]) & ~(VAR59[5]) & ~(VAR59[4]) & ~(VAR59[3]) & VAR59[2] & VAR59[1] & VAR59[0] & VAR74[3] ; VAR44 <= #VAR25 VAR59[7] & VAR59[6] & VAR59[5] & VAR59[4] & VAR59[3] & VAR59[2] & ~(VAR59[1]) & VAR59[0] & VAR74[3] ; VAR92 <= #VAR25 ~(VAR59[7]) & ~(VAR59[6]) & ~(VAR59[5]) & VAR59[4] & VAR59[3] & VAR59[2] & ~(VAR59[1]) & ~(VAR59[0]) & VAR74[3] ; VAR109 <= #VAR25 ~(VAR59[7]) & ~(VAR59[6]) & VAR59[5] & VAR59[4] & VAR59[3] & VAR59[2] & ~(VAR59[1]) & ~(VAR59[0]) & VAR74[3] ; VAR77 <= #VAR25 ~(VAR59[7]) & VAR59[6] & VAR59[5] & VAR59[4] & VAR59[3] & VAR59[2] & ~(VAR59[1]) & ~(VAR59[0]) & VAR74[3] ; VAR16 <= #VAR25 VAR59[7] & ~(VAR59[6]) & VAR59[5] & VAR59[4] & VAR59[3] & VAR59[2] & ~(VAR59[1]) & ~(VAR59[0]) & VAR74[3] ; VAR2 <= #VAR25 VAR59[7] & VAR59[6] & ~(VAR59[5]) & VAR59[4] & VAR59[3] & VAR59[2] & ~(VAR59[1]) & ~(VAR59[0]) & VAR74[3] ; VAR80 <= #VAR25 VAR59[7] & VAR59[6] & VAR59[5] & VAR59[4] & ~(VAR59[3]) & VAR59[2] & VAR59[1] & VAR59[0] & VAR74[3] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR108 <= #VAR25 1'b0 ; VAR24 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR108 <= #VAR25 ~(VAR74[4]) ; VAR24 <= #VAR25 VAR74[4] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR4 <= #VAR25 1'b0 ; VAR64 <= #VAR25 1'b0 ; VAR73 <= #VAR25 1'b0 ; VAR3 <= #VAR25 1'b0 ; VAR21 <= #VAR25 1'b0 ; VAR19 <= #VAR25 1'b0 ; VAR94 <= #VAR25 1'b0 ; VAR36 <= #VAR25 1'b0 ; VAR79 <= #VAR25 1'b0 ; VAR122 <= #VAR25 1'b0 ; VAR135 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR4 <= #VAR25 ~(VAR28[7]) & ~(VAR28[6]) & ~(VAR28[5]) & ~(VAR28[4]) & ~(VAR28[3]) & VAR28[2] & VAR28[1] & VAR28[0] & VAR74[4] ; VAR64 <= #VAR25 VAR28[7] & VAR28[6] & VAR28[5] & VAR28[4] & VAR28[3] & ~(VAR28[2]) & VAR28[1] & VAR28[0] & VAR74[4] ; VAR73 <= #VAR25 VAR28[7] & VAR28[6] & VAR28[5] & VAR28[4] & VAR28[3] & VAR28[2] & ~(VAR28[1]) & VAR28[0] & VAR74[4] ; VAR3 <= #VAR25 VAR28[7] & ~(VAR28[6]) & ~(VAR28[5]) & VAR28[4] & VAR28[3] & VAR28[2] & ~(VAR28[1]) & ~(VAR28[0]) & VAR74[4] ; VAR21 <= #VAR25 ~(VAR28[7]) & ~(VAR28[6]) & ~(VAR28[5]) & VAR28[4] & VAR28[3] & VAR28[2] & ~(VAR28[1]) & ~(VAR28[0]) & VAR74[4] ; VAR19 <= #VAR25 ~(VAR28[7]) & ~(VAR28[6]) & VAR28[5] & VAR28[4] & VAR28[3] & VAR28[2] & ~(VAR28[1]) & ~(VAR28[0]) & VAR74[4] ; VAR94 <= #VAR25 ~(VAR28[7]) & VAR28[6] & VAR28[5] & VAR28[4] & VAR28[3] & VAR28[2] & ~(VAR28[1]) & ~(VAR28[0]) & VAR74[4] ; VAR36 <= #VAR25 VAR28[7] & ~(VAR28[6]) & VAR28[5] & VAR28[4] & VAR28[3] & VAR28[2] & ~(VAR28[1]) & ~(VAR28[0]) & VAR74[4] ; VAR79 <= #VAR25 VAR28[7] & VAR28[6] & ~(VAR28[5]) & VAR28[4] & VAR28[3] & VAR28[2] & ~(VAR28[1]) & ~(VAR28[0]) & VAR74[4] ; VAR122 <= #VAR25 VAR28[7] & VAR28[6] & VAR28[5] & VAR28[4] & ~(VAR28[3]) & VAR28[2] & VAR28[1] & VAR28[0] & VAR74[4] ; VAR135 <= #VAR25 ~(VAR28[7]) & VAR28[6] & ~(VAR28[5]) & ~(VAR28[4]) & VAR28[3] & VAR28[2] & ~(VAR28[1]) & ~(VAR28[0]) & VAR74[4] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR78 <= #VAR25 1'b0 ; VAR105 <= #VAR25 1'b0 ; VAR11 <= #VAR25 1'b0 ; VAR112 <= #VAR25 1'b0 ; VAR40 <= #VAR25 1'b0 ; VAR123 <= #VAR25 1'b0 ; VAR95 <= #VAR25 1'b0 ; VAR51 <= #VAR25 1'b0 ; VAR75 <= #VAR25 1'b0 ; VAR141 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR78 <= #VAR25 ~(VAR74[5]) ; VAR105 <= #VAR25 VAR74[5] ; VAR11 <= #VAR25 ~(VAR84[7]) & ~(VAR84[6]) & ~(VAR84[5]) & ~(VAR84[4]) & ~(VAR84[3]) & VAR84[2] & VAR84[1] & VAR84[0] & VAR74[5] ; VAR112 <= #VAR25 VAR84[7] & VAR84[6] & VAR84[5] & VAR84[4] & VAR84[3] & VAR84[2] & ~(VAR84[1]) & VAR84[0] & VAR74[5] ; VAR40 <= #VAR25 ~(VAR84[7]) & ~(VAR84[6]) & ~(VAR84[5]) & VAR84[4] & VAR84[3] & VAR84[2] & ~(VAR84[1]) & ~(VAR84[0]) & VAR74[5] ; VAR123 <= #VAR25 ~(VAR84[7]) & ~(VAR84[6]) & VAR84[5] & VAR84[4] & VAR84[3] & VAR84[2] & ~(VAR84[1]) & ~(VAR84[0]) & VAR74[5] ; VAR95 <= #VAR25 ~(VAR84[7]) & VAR84[6] & VAR84[5] & VAR84[4] & VAR84[3] & VAR84[2] & ~(VAR84[1]) & ~(VAR84[0]) & VAR74[5] ; VAR51 <= #VAR25 VAR84[7] & ~(VAR84[6]) & VAR84[5] & VAR84[4] & VAR84[3] & VAR84[2] & ~(VAR84[1]) & ~(VAR84[0]) & VAR74[5] ; VAR75 <= #VAR25 VAR84[7] & VAR84[6] & ~(VAR84[5]) & VAR84[4] & VAR84[3] & VAR84[2] & ~(VAR84[1]) & ~(VAR84[0]) & VAR74[5] ; VAR141 <= #VAR25 VAR84[7] & VAR84[6] & VAR84[5] & VAR84[4] & ~(VAR84[3]) & VAR84[2] & VAR84[1] & VAR84[0] & VAR74[5] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR9 <= #VAR25 1'b0 ; VAR114 <= #VAR25 1'b0 ; VAR140 <= #VAR25 1'b0 ; VAR60 <= #VAR25 1'b0 ; VAR124 <= #VAR25 1'b0 ; VAR91 <= #VAR25 1'b0 ; VAR81 <= #VAR25 1'b0 ; VAR6 <= #VAR25 1'b0 ; VAR139 <= #VAR25 1'b0 ; VAR61 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR9 <= #VAR25 ~(VAR74[6]) ; VAR114 <= #VAR25 VAR74[6] ; VAR140 <= #VAR25 ~(VAR71[7]) & ~(VAR71[6]) & ~(VAR71[5]) & ~(VAR71[4]) & ~(VAR71[3]) & VAR71[2] & VAR71[1] & VAR71[0] & VAR74[6] ; VAR60 <= #VAR25 VAR71[7] & VAR71[6] & VAR71[5] & VAR71[4] & VAR71[3] & VAR71[2] & ~(VAR71[1]) & VAR71[0] & VAR74[6] ; VAR124 <= #VAR25 ~(VAR71[7]) & ~(VAR71[6]) & ~(VAR71[5]) & VAR71[4] & VAR71[3] & VAR71[2] & ~(VAR71[1]) & ~(VAR71[0]) & VAR74[6] ; VAR91 <= #VAR25 ~(VAR71[7]) & ~(VAR71[6]) & VAR71[5] & VAR71[4] & VAR71[3] & VAR71[2] & ~(VAR71[1]) & ~(VAR71[0]) & VAR74[6] ; VAR81 <= #VAR25 ~(VAR71[7]) & VAR71[6] & VAR71[5] & VAR71[4] & VAR71[3] & VAR71[2] & ~(VAR71[1]) & ~(VAR71[0]) & VAR74[6] ; VAR6 <= #VAR25 VAR71[7] & ~(VAR71[6]) & VAR71[5] & VAR71[4] & VAR71[3] & VAR71[2] & ~(VAR71[1]) & ~(VAR71[0]) & VAR74[6] ; VAR139 <= #VAR25 VAR71[7] & VAR71[6] & ~(VAR71[5]) & VAR71[4] & VAR71[3] & VAR71[2] & ~(VAR71[1]) & ~(VAR71[0]) & VAR74[6] ; VAR61 <= #VAR25 VAR71[7] & VAR71[6] & VAR71[5] & VAR71[4] & ~(VAR71[3]) & VAR71[2] & VAR71[1] & VAR71[0] & VAR74[6] ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR138 <= #VAR25 1'b0 ; VAR107 <= #VAR25 1'b0 ; VAR62 <= #VAR25 1'b0 ; VAR66 <= #VAR25 1'b0 ; VAR13 <= #VAR25 1'b0 ; VAR72 <= #VAR25 1'b0 ; VAR130 <= #VAR25 1'b0 ; VAR143 <= #VAR25 1'b0 ; VAR136 <= #VAR25 1'b0 ; VAR30 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin VAR138 <= #VAR25 ~(VAR74[7]) ; VAR107 <= #VAR25 VAR74[7] ; VAR62 <= #VAR25 ~(VAR102[7]) & ~(VAR102[6]) & ~(VAR102[5]) & ~(VAR102[4]) & ~(VAR102[3]) & VAR102[2] & VAR102[1] & VAR102[0] & VAR74[7] ; VAR66 <= #VAR25 VAR102[7] & VAR102[6] & VAR102[5] & VAR102[4] & VAR102[3] & VAR102[2] & ~(VAR102[1]) & VAR102[0] & VAR74[7] ; VAR13 <= #VAR25 ~(VAR102[7]) & ~(VAR102[6]) & ~(VAR102[5]) & VAR102[4] & VAR102[3] & VAR102[2] & ~(VAR102[1]) & ~(VAR102[0]) & VAR74[7] ; VAR72 <= #VAR25 ~(VAR102[7]) & ~(VAR102[6]) & VAR102[5] & VAR102[4] & VAR102[3] & VAR102[2] & ~(VAR102[1]) & ~(VAR102[0]) & VAR74[7] ; VAR130 <= #VAR25 ~(VAR102[7]) & VAR102[6] & VAR102[5] & VAR102[4] & VAR102[3] & VAR102[2] & ~(VAR102[1]) & ~(VAR102[0]) & VAR74[7] ; VAR143 <= #VAR25 VAR102[7] & ~(VAR102[6]) & VAR102[5] & VAR102[4] & VAR102[3] & VAR102[2] & ~(VAR102[1]) & ~(VAR102[0]) & VAR74[7] ; VAR136 <= #VAR25 VAR102[7] & VAR102[6] & ~(VAR102[5]) & VAR102[4] & VAR102[3] & VAR102[2] & ~(VAR102[1]) & ~(VAR102[0]) & VAR74[7] ; VAR30 <= #VAR25 VAR102[7] & VAR102[6] & VAR102[5] & VAR102[4] & ~(VAR102[3]) & VAR102[2] & VAR102[1] & VAR102[0] & VAR74[7] ; end end end assign VAR144 = VAR34 & ~(VAR116) & ~(VAR117) & VAR10 & VAR18 & VAR54 & VAR24 & VAR105 & VAR114 & VAR107 ; assign VAR8 = VAR34 & VAR10 & VAR18 & VAR54 & VAR3 & VAR78 & VAR9 & VAR138 ; assign VAR89 = VAR34 & VAR10 & VAR18 & VAR54 & VAR64 & VAR78 & VAR9 & VAR138 ; assign VAR39 = VAR7 & VAR32 & VAR57 & VAR145 & VAR64 & VAR78 & VAR9 & VAR138 ; assign VAR35 = VAR7 & VAR32 & VAR57 & VAR145 & VAR3 & VAR78 & VAR9 & VAR138 ; assign VAR137 = VAR33 & VAR32 & VAR57 & VAR145 & VAR108 & VAR78 & VAR9 & VAR138 ; assign VAR50 = VAR7 & VAR32 & VAR57 & VAR145 & VAR24 & VAR105 & VAR114 & VAR107 ; assign VAR131 = VAR116 & VAR10 & VAR18 & VAR54 & VAR24 & VAR105 & VAR114 & VAR107 ; assign VAR132 = VAR46 & VAR127 & VAR18 & VAR54 & VAR24 & VAR105 & VAR114 & VAR107 ; assign VAR15 = VAR46 & VAR32 & VAR68 & VAR54 & VAR24 & VAR105 & VAR114 & VAR107 ; assign VAR103 = VAR46 & VAR32 & VAR57 & VAR44 & VAR24 & VAR105 & VAR114 & VAR107 ; assign VAR93 = VAR46 & VAR32 & VAR57 & VAR145 & VAR73 & VAR105 & VAR114 & VAR107 ; assign VAR133 = VAR46 & VAR32 & VAR57 & VAR145 & VAR108 & VAR112 & VAR114 & VAR107 ; assign VAR126 = VAR46 & VAR32 & VAR57 & VAR145 & VAR108 & VAR78 & VAR60 & VAR107 ; assign VAR5 = VAR46 & VAR32 & VAR57 & VAR145 & VAR108 & VAR78 & VAR9 & VAR66 ; assign VAR37 = VAR34 | VAR10 | VAR18 | VAR54 | VAR24 | VAR105 | VAR114 | VAR107 ; assign VAR38 = VAR46 & VAR32 & VAR57 & VAR145 & VAR108 & VAR78 & VAR9 & VAR138 ; always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR65 <= #VAR25 {17{1'b0}} ; end else begin if (enable == 1'b1) begin VAR65 <= {VAR38, VAR37, VAR5, VAR126, VAR133, VAR93, VAR103, VAR15, VAR132, VAR131, VAR50, VAR137, VAR35, VAR39, VAR89, VAR8, VAR144} ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR128 <= #VAR25 {4{1'b0}} ; end else begin if (VAR63 == 1'b1) begin VAR128 <= #VAR25 4'b1111 ; end else begin VAR128 <= #VAR25 4'b0000 ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR58 <= #VAR25 {4{1'b0}} ; end else begin if (VAR135 == 1'b1) begin VAR58 <= #VAR25 4'b1111 ; end else begin VAR58 <= #VAR25 4'b0000 ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR104 <= #VAR25 {8{1'b0}} ; end else begin if (enable == 1'b1) begin if ((VAR65[0]) == 1'b1) begin VAR104 <= #VAR25 8'b00011110 ; end else if ((VAR65[1]) == 1'b1) begin VAR104 <= #VAR25 8'b00101101 ; end else if ((VAR65[2]) == 1'b1) begin VAR104 <= #VAR25 8'b00110011 ; end else if ((VAR65[3]) == 1'b1) begin VAR104 <= #VAR25 8'b01100110 ; end else if ((VAR65[4]) == 1'b1) begin VAR104 <= #VAR25 8'b01010101 ; end else if ((VAR65[5]) == 1'b1) begin VAR104 <= #VAR25 8'b01111000 ; end else if ((VAR65[6]) == 1'b1) begin VAR104 <= #VAR25 8'b01001011 ; end else if ((VAR65[7]) == 1'b1) begin VAR104 <= #VAR25 8'b10000111 ; end else if ((VAR65[8]) == 1'b1) begin VAR104 <= #VAR25 8'b10011001 ; end else if ((VAR65[9]) == 1'b1) begin VAR104 <= #VAR25 8'b10101010 ; end else if ((VAR65[10]) == 1'b1) begin VAR104 <= #VAR25 8'b10110100 ; end else if ((VAR65[11]) == 1'b1) begin VAR104 <= #VAR25 8'b11001100 ; end else if ((VAR65[12]) == 1'b1) begin VAR104 <= #VAR25 8'b11010010 ; end else if ((VAR65[13]) == 1'b1) begin VAR104 <= #VAR25 8'b11100001 ; end else if ((VAR65[14]) == 1'b1) begin VAR104 <= #VAR25 8'b11111111 ; end else if ((VAR65[15]) == 1'b1) begin VAR104 <= #VAR25 8'b00011110 ; end else begin VAR104 <= #VAR25 VAR88[7:0] ; end end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR119 <= #VAR25 2'b10 ; end else begin if (enable == 1'b1) begin if (VAR65 == 17'b10000000000000000) begin VAR119 <= #VAR25 2'b10 ; end else begin VAR119 <= #VAR25 2'b01 ; end end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR12 == 1'b1) begin VAR42 <= #VAR25 {7{1'b0}} ; end else if (VAR76 == 1'b1) begin VAR42 <= #VAR25 7'b0101101 ; end else if (VAR129 == 1'b1) begin VAR42 <= #VAR25 7'b0110011 ; end else if (VAR115 == 1'b1) begin VAR42 <= #VAR25 7'b1001011 ; end else if (VAR43 == 1'b1) begin VAR42 <= #VAR25 7'b1010101 ; end else if (VAR101 == 1'b1) begin VAR42 <= #VAR25 7'b1100110 ; end else if (VAR41 == 1'b1) begin VAR42 <= #VAR25 7'b1111000 ; end else begin VAR42 <= #VAR25 7'b0011110 ; end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR125 == 1'b1) begin VAR148 <= #VAR25 {7{1'b0}} ; end else if (VAR147 == 1'b1) begin VAR148 <= #VAR25 7'b0101101 ; end else if (VAR113 == 1'b1) begin VAR148 <= #VAR25 7'b0110011 ; end else if (VAR14 == 1'b1) begin VAR148 <= #VAR25 7'b1001011 ; end else if (VAR56 == 1'b1) begin VAR148 <= #VAR25 7'b1010101 ; end else if (VAR149 == 1'b1) begin VAR148 <= #VAR25 7'b1100110 ; end else if (VAR120 == 1'b1) begin VAR148 <= #VAR25 7'b1111000 ; end else begin VAR148 <= #VAR25 7'b0011110 ; end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR99 == 1'b1) begin VAR121 <= #VAR25 {7{1'b0}} ; end else if (VAR82 == 1'b1) begin VAR121 <= #VAR25 7'b0101101 ; end else if (VAR53 == 1'b1) begin VAR121 <= #VAR25 7'b0110011 ; end else if (VAR110 == 1'b1) begin VAR121 <= #VAR25 7'b1001011 ; end else if (VAR142 == 1'b1) begin VAR121 <= #VAR25 7'b1010101 ; end else if (VAR22 == 1'b1) begin VAR121 <= #VAR25 7'b1100110 ; end else if (VAR106 == 1'b1) begin VAR121 <= #VAR25 7'b1111000 ; end else begin VAR121 <= #VAR25 7'b0011110 ; end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR111 == 1'b1) begin VAR146 <= #VAR25 {7{1'b0}} ; end else if (VAR92 == 1'b1) begin VAR146 <= #VAR25 7'b0101101 ; end else if (VAR109 == 1'b1) begin VAR146 <= #VAR25 7'b0110011 ; end else if (VAR77 == 1'b1) begin VAR146 <= #VAR25 7'b1001011 ; end else if (VAR16 == 1'b1) begin VAR146 <= #VAR25 7'b1010101 ; end else if (VAR2 == 1'b1) begin VAR146 <= #VAR25 7'b1100110 ; end else if (VAR80 == 1'b1) begin VAR146 <= #VAR25 7'b1111000 ; end else begin VAR146 <= #VAR25 7'b0011110 ; end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR4 == 1'b1) begin VAR150 <= #VAR25 {7{1'b0}} ; end else if (VAR21 == 1'b1) begin VAR150 <= #VAR25 7'b0101101 ; end else if (VAR19 == 1'b1) begin VAR150 <= #VAR25 7'b0110011 ; end else if (VAR94 == 1'b1) begin VAR150 <= #VAR25 7'b1001011 ; end else if (VAR36 == 1'b1) begin VAR150 <= #VAR25 7'b1010101 ; end else if (VAR79 == 1'b1) begin VAR150 <= #VAR25 7'b1100110 ; end else if (VAR122 == 1'b1) begin VAR150 <= #VAR25 7'b1111000 ; end else begin VAR150 <= #VAR25 7'b0011110 ; end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR11 == 1'b1) begin VAR49 <= #VAR25 {7{1'b0}} ; end else if (VAR40 == 1'b1) begin VAR49 <= #VAR25 7'b0101101 ; end else if (VAR123 == 1'b1) begin VAR49 <= #VAR25 7'b0110011 ; end else if (VAR95 == 1'b1) begin VAR49 <= #VAR25 7'b1001011 ; end else if (VAR51 == 1'b1) begin VAR49 <= #VAR25 7'b1010101 ; end else if (VAR75 == 1'b1) begin VAR49 <= #VAR25 7'b1100110 ; end else if (VAR141 == 1'b1) begin VAR49 <= #VAR25 7'b1111000 ; end else begin VAR49 <= #VAR25 7'b0011110 ; end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR140 == 1'b1) begin VAR90 <= #VAR25 {7{1'b0}} ; end else if (VAR124 == 1'b1) begin VAR90 <= #VAR25 7'b0101101 ; end else if (VAR91 == 1'b1) begin VAR90 <= #VAR25 7'b0110011 ; end else if (VAR81 == 1'b1) begin VAR90 <= #VAR25 7'b1001011 ; end else if (VAR6 == 1'b1) begin VAR90 <= #VAR25 7'b1010101 ; end else if (VAR139 == 1'b1) begin VAR90 <= #VAR25 7'b1100110 ; end else if (VAR61 == 1'b1) begin VAR90 <= #VAR25 7'b1111000 ; end else begin VAR90 <= #VAR25 7'b0011110 ; end end end always @(posedge clk) begin if (enable == 1'b1) begin if (VAR134 == 1'b1 | VAR62 == 1'b1) begin VAR100 <= #VAR25 {7{1'b0}} ; end else if (VAR13 == 1'b1) begin VAR100 <= #VAR25 7'b0101101 ; end else if (VAR72 == 1'b1) begin VAR100 <= #VAR25 7'b0110011 ; end else if (VAR130 == 1'b1) begin VAR100 <= #VAR25 7'b1001011 ; end else if (VAR143 == 1'b1) begin VAR100 <= #VAR25 7'b1010101 ; end else if (VAR136 == 1'b1) begin VAR100 <= #VAR25 7'b1100110 ; end else if (VAR30 == 1'b1) begin VAR100 <= #VAR25 7'b1111000 ; end else begin VAR100 <= #VAR25 7'b0011110 ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR70 <= #VAR25 {56{1'b0}} ; VAR45 <= #VAR25 1'b0 ; end else begin if (enable == 1'b1) begin if ((VAR65[0]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, VAR49, VAR150, VAR146, VAR121, VAR148, VAR42} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[1]) == 1'b1) begin VAR70 <= #VAR25 {VAR88[63:40], VAR58, VAR146, VAR121, VAR148, VAR42} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[2]) == 1'b1) begin VAR70 <= #VAR25 {VAR88[63:40], 4'b0000, VAR146, VAR121, VAR148, VAR42} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[3]) == 1'b1) begin VAR70 <= #VAR25 {VAR88[63:40], 4'b0000, VAR128, VAR88[31:8]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[4]) == 1'b1) begin VAR70 <= #VAR25 {VAR88[63:40], VAR58, VAR128, VAR88[31:8]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[5]) == 1'b1) begin VAR70 <= #VAR25 VAR88[63:8] ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[6]) == 1'b1) begin VAR70 <= {VAR100, VAR90, VAR49, VAR150, VAR128, VAR88[31:8]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[7]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, VAR49, VAR150, VAR146, VAR121, VAR148, 7'b0000000} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[8]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, VAR49, VAR150, VAR146, VAR121, 6'b000000, VAR88[7:0]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[9]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, VAR49, VAR150, VAR146, 5'b00000, VAR88[15:0]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[10]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, VAR49, VAR150, 4'b0000, VAR88[23:0]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[11]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, VAR49, 3'b000, VAR88[31:0]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[12]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, 2'b00, VAR88[39:0]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[13]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, 1'b0, VAR88[47:0]} ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[14]) == 1'b1) begin VAR70 <= #VAR25 VAR88[55:0] ; VAR45 <= #VAR25 1'b0 ; end else if ((VAR65[15]) == 1'b1) begin VAR70 <= #VAR25 {VAR100, VAR90, VAR49, VAR150, VAR146, VAR121, VAR148, VAR42} ; VAR45 <= #VAR25 1'b1 ; end else begin VAR70 <= #VAR25 VAR88[63:8] ; VAR45 <= #VAR25 1'b0 ; end end end end assign VAR83 = {VAR70, VAR104, VAR119} ; always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR85 <= #VAR25 {66{1'b0}} ; end else begin if (enable == 1'b1) begin VAR85 <= #VAR25 VAR83 ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR55 <= #VAR25 {17{1'b0}} ; end else begin if (enable == 1'b1) begin VAR55 <= #VAR25 VAR65 ; end end end always @(posedge VAR134 or posedge clk) begin if (VAR134 == 1'b1) begin VAR96 <= #VAR25 VAR20 ; end else begin if (enable == 1'b1) begin if ((VAR65[0]) == 1'b1 | (VAR65[1]) == 1'b1 | (VAR65[4]) == 1'b1 | (VAR65[6]) == 1'b1) begin VAR96 <= #VAR25 VAR20 ; end else if ((VAR65[2]) == 1'b1 | (VAR65[3]) == 1'b1 | (VAR65[5]) == 1'b1) begin VAR96 <= #VAR25 VAR17 ; end else if ((VAR65[16]) == 1'b1) begin VAR96 <= #VAR25 VAR27 ; end else if ((VAR65[7]) == 1'b1 | (VAR65[8]) == 1'b1 | (VAR65[9]) == 1'b1 | (VAR65[10]) == 1'b1 | (VAR65[11]) == 1'b1 | (VAR65[12]) == 1'b1 | (VAR65[13]) == 1'b1 | (VAR65[14]) == 1'b1) begin VAR96 <= #VAR25 VAR98 ; end else begin VAR96 <= #VAR25 VAR151 ; end end end end endmodule
mit
spacemonkeydelivers/mor1kx
rtl/verilog/mor1kx_cache_lru.v
9,337
module MODULE1( VAR3, VAR11, VAR12, VAR4, VAR9 ); parameter VAR2 = 2; localparam VAR8 = VAR2*(VAR2-1) >> 1; input [VAR8-1:0] VAR4; output reg [VAR8-1:0] VAR3; input [VAR2-1:0] VAR9; output reg [VAR2-1:0] VAR11; output reg [VAR2-1:0] VAR12; reg [VAR2-1:0] VAR7 [0:VAR2-1]; integer VAR10, VAR6; integer VAR5; always @(*) begin : VAR1 VAR5 = 0; for (VAR10 = 0; VAR10 < VAR2; VAR10 = VAR10 + 1) begin VAR7[VAR10][VAR10] = 1'b1; for (VAR6 = VAR10 + 1; VAR6 < VAR2; VAR6 = VAR6 + 1) begin VAR7[VAR10][VAR6] = VAR4[VAR5+VAR6-VAR10-1]; end for (VAR6 = 0; VAR6 < VAR10; VAR6 = VAR6 + 1) begin VAR7[VAR10][VAR6] = !VAR7[VAR6][VAR10]; end VAR5 = VAR5 + VAR2 - VAR10 - 1; end for (VAR10 = 0; VAR10 < VAR2; VAR10 = VAR10 + 1) begin VAR11[VAR10] = &VAR7[VAR10]; end for (VAR10 = 0; VAR10 < VAR2; VAR10 = VAR10 + 1) begin if (VAR9[VAR10]) begin for (VAR6 = 0; VAR6 < VAR2; VAR6 = VAR6 + 1) begin if (VAR10 != VAR6) begin VAR7[VAR10][VAR6] = 1'b0; end end for (VAR6 = 0; VAR6 < VAR2; VAR6 = VAR6 + 1) begin if (VAR10 != VAR6) begin VAR7[VAR6][VAR10] = 1'b1; end end end end VAR5 = 0; for (VAR10 = 0; VAR10 < VAR2; VAR10 = VAR10 + 1) begin for (VAR6 = VAR10 + 1; VAR6 < VAR2; VAR6 = VAR6 + 1) begin VAR3[VAR5+VAR6-VAR10-1] = VAR7[VAR10][VAR6]; end VAR5 = VAR5 + VAR2 - VAR10 - 1; end for (VAR10 = 0; VAR10 < VAR2; VAR10 = VAR10 + 1) begin VAR12[VAR10] = &VAR7[VAR10]; end end endmodule
mpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o2111ai/sky130_fd_sc_hd__o2111ai.behavioral.pp.v
2,086
module MODULE1 ( VAR7 , VAR8 , VAR6 , VAR11 , VAR10 , VAR13 , VAR4, VAR14, VAR9 , VAR16 ); output VAR7 ; input VAR8 ; input VAR6 ; input VAR11 ; input VAR10 ; input VAR13 ; input VAR4; input VAR14; input VAR9 ; input VAR16 ; wire VAR12 ; wire VAR2 ; wire VAR1; or VAR15 (VAR12 , VAR6, VAR8 ); nand VAR17 (VAR2 , VAR10, VAR11, VAR13, VAR12 ); VAR3 VAR5 (VAR1, VAR2, VAR4, VAR14); buf VAR18 (VAR7 , VAR1 ); endmodule
apache-2.0
revaldinho/opc
copro/src/Tube/hp_reg3.v
4,799
module MODULE1 ( input VAR19, input VAR21, input VAR2, input VAR31, input [7:0] VAR16, input VAR1, input VAR24, input VAR10, input VAR25, output [7:0] VAR13, output VAR27, output VAR7, output VAR15 ); wire [1:0] VAR18; wire [1:0] VAR14; reg [7:0] VAR20 ; reg [7:0] VAR22 ; wire [7:0] VAR9 ; wire [7:0] VAR17 ; assign VAR13 = ( VAR14[0] ) ? VAR20: VAR22; assign VAR7 = !(VAR25) & ( &VAR14 ); assign VAR9 = ( VAR2 & (!VAR18[0] | VAR25) & !VAR21 ) ? VAR16 : VAR20; assign VAR17 = ( VAR2 & ( VAR18[0] & !VAR25) & !VAR21 ) ? VAR16 : VAR22; assign VAR27 = (VAR14[0] & VAR25) | VAR14[1]; assign VAR15 = ( VAR25 ) ? VAR18[0] : VAR18[1]; VAR26 VAR12 ( .VAR4(VAR19), .VAR28( VAR21), .VAR23(VAR2 & (!VAR18[0] | VAR25)), .VAR6(VAR31), .VAR5(VAR1 & (VAR14[0] | VAR25)), .VAR29(VAR10), .VAR8(VAR24), .VAR30(VAR14[0]), .VAR11(VAR18[0]) ); VAR26 VAR3 ( .VAR4(VAR19), .VAR28( VAR21), .VAR23(VAR2 & (VAR18[0] & !VAR25)), .VAR6(VAR31), .VAR5(VAR1 & (!VAR14[0] & !VAR25)), .VAR29(VAR10), .VAR8(VAR24), .VAR30(VAR14[1]), .VAR11(VAR18[1]) ); always @ ( negedge VAR31 or negedge VAR19 ) begin if ( ! VAR19) begin VAR20 <= 8'h0; VAR22 <= 8'h0; end else begin VAR20 <= VAR9 ; VAR22 <= VAR17 ; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkdlybuf4s25/sky130_fd_sc_hd__clkdlybuf4s25.blackbox.v
1,322
module MODULE1 ( VAR4, VAR2 ); output VAR4; input VAR2; supply1 VAR5; supply0 VAR3; supply1 VAR1 ; supply0 VAR6 ; endmodule
apache-2.0
vad-rulezz/megabot
minsoc/prj/src/blackboxes/or1200_top.v
3,896
module MODULE1( VAR7, VAR23, VAR68, VAR12, VAR20, VAR28, VAR21, VAR34, VAR37, VAR26, VAR52, VAR18, VAR8, VAR65, VAR19, VAR33, VAR41, VAR10, VAR13, VAR42, VAR17, VAR1, VAR2, VAR44, VAR62, VAR14, VAR57, VAR54, VAR3, VAR32, VAR43, VAR24, VAR39, VAR56, VAR29, VAR47, VAR48, VAR25, VAR61, VAR11, VAR63, VAR6, VAR5, VAR22, VAR4, VAR15, VAR40, VAR58, VAR67, VAR50, VAR45, VAR27, VAR46, VAR66, VAR53, VAR55, VAR31, VAR51, VAR60 ); parameter VAR30 = VAR9; parameter VAR36 = VAR9; parameter VAR35 = VAR59; input VAR7; input VAR23; input [1:0] VAR12; input [VAR35-1:0] VAR68; input VAR20; input VAR28; input VAR21; input VAR34; input VAR37; input [VAR30-1:0] VAR26; output VAR52; output [VAR36-1:0] VAR18; output VAR8; output VAR65; output [3:0] VAR19; output [VAR30-1:0] VAR33; VAR16 VAR64 output VAR41; VAR49 output [2:0] VAR10; output [1:0] VAR13; VAR49 input VAR42; input VAR17; input VAR1; input VAR2; input VAR44; input [VAR30-1:0] VAR62; output VAR14; output [VAR36-1:0] VAR57; output VAR54; output VAR3; output [3:0] VAR32; output [VAR30-1:0] VAR43; VAR16 VAR64 output VAR24; VAR49 output [2:0] VAR39; output [1:0] VAR56; VAR49 input VAR29; input VAR47; output [3:0] VAR48; output [1:0] VAR25; output [10:0] VAR61; output VAR11; input VAR63; input VAR6; input [VAR36-1:0] VAR5; input [VAR30-1:0] VAR22; output [VAR30-1:0] VAR4; output VAR15; input VAR40; input [VAR38 - 1:0] VAR67; output VAR58; input VAR50; output [3:0] VAR45; output VAR27; output VAR46; output VAR66; output VAR53; output VAR55; output VAR31; output VAR51; output VAR60; endmodule
gpl-2.0
lokisz/openzcore
pippo-0.9/rtl/verilog/dsu_tx.v
3,068
module MODULE1(clk, rst, VAR13, VAR4, VAR1, VAR8); input clk, rst, VAR13; input [7:0] VAR4; output VAR1, VAR8; parameter VAR7 = 115200; parameter VAR6 = 1; parameter VAR10 = 16; reg [VAR10:0] VAR11; wire [VAR10:0] VAR2 = 17'h00097; VAR9 wire [VAR10:0] VAR2 = 17'h000ec; VAR9 wire [VAR10:0] VAR2 = 17'h00076; VAR9 wire VAR5 = VAR11[VAR10]; wire VAR8; always@(posedge clk or VAR3 rst) begin if(rst==VAR17) VAR11 <= 16'h0000; end else if(VAR8) VAR11 <= VAR11[VAR10-1:0] + VAR2; end reg [3:0] state; wire VAR15 = (state==0); assign VAR8 = ~VAR15; reg [7:0] VAR16; always@(posedge clk or VAR3 rst) begin if(rst==VAR17) VAR16 <= 8'h00; end else if(VAR15 & VAR13) VAR16 <= VAR4; end wire [7:0] VAR14 = VAR6 ? VAR16 : VAR4; always@(posedge clk or VAR3 rst) begin if(rst==VAR17) state <= 4'h0; end else case(state) 4'b0000: if(VAR13) state <= 4'b0001; 4'b0001: if(VAR5) state <= 4'b0100; 4'b0100: if(VAR5) state <= 4'b1000; 4'b1000: if(VAR5) state <= 4'b1001; 4'b1001: if(VAR5) state <= 4'b1010; 4'b1010: if(VAR5) state <= 4'b1011; 4'b1011: if(VAR5) state <= 4'b1100; 4'b1100: if(VAR5) state <= 4'b1101; 4'b1101: if(VAR5) state <= 4'b1110; 4'b1110: if(VAR5) state <= 4'b1111; 4'b1111: if(VAR5) state <= 4'b0010; 4'b0010: if(VAR5) state <= 4'b0011; 4'b0011: if(VAR5) state <= 4'b0000; default: if(VAR5) state <= 4'b0000; endcase end reg VAR12; always @ ( * ) begin case(state[2:0]) 3'd0: VAR12 <= VAR14[0]; 3'd1: VAR12 <= VAR14[1]; 3'd2: VAR12 <= VAR14[2]; 3'd3: VAR12 <= VAR14[3]; 3'd4: VAR12 <= VAR14[4]; 3'd5: VAR12 <= VAR14[5]; 3'd6: VAR12 <= VAR14[6]; 3'd7: VAR12 <= VAR14[7]; endcase end reg VAR1; always@(posedge clk or VAR3 rst) begin if(rst==VAR17) VAR1 <= 1'b1; end else VAR1 <= (state<4) | (state[3] & VAR12); end endmodule
gpl-2.0
olajep/oh
src/adi/hdl/library/common/ad_pnmon.v
3,972
module MODULE1 #( parameter VAR14 = 16) ( input VAR13, input VAR8, input [(VAR14-1):0] VAR7, input [(VAR14-1):0] VAR12, output VAR4, output VAR11); reg VAR15 = 'd0; reg VAR6 = 'd0; reg VAR16 = 'd0; reg VAR9 = 'd0; reg VAR18 = 'd0; reg [ 3:0] VAR17 = 'd0; wire VAR1; wire VAR2; wire VAR10; wire VAR5; wire VAR3; assign VAR1 = (VAR7 == VAR12) ? 1'b1 : 1'b0; assign VAR2 = (VAR7 == 'd0) ? 1'b0 : 1'b1; assign VAR10 = VAR6 & VAR16; assign VAR5 = ~(VAR9 ^ VAR10); assign VAR3 = ~(VAR9 | VAR10); assign VAR4 = VAR9; assign VAR11 = VAR18; always @(posedge VAR13) begin VAR15 <= VAR8; VAR6 <= VAR1; VAR16 <= VAR2; if (VAR15 == 1'b1) begin VAR18 <= VAR3; if ((VAR5 == 1'b1) && (VAR17 >= 15)) begin VAR9 <= ~VAR9; end if (VAR5 == 1'b1) begin VAR17 <= VAR17 + 1'b1; end else begin VAR17 <= 'd0; end end end endmodule
mit
ammelto/FPGAdventure
Adventure/videosyncs.v
2,264
module MODULE1 ( input wire clk, input wire [2:0] VAR18, input wire [2:0] VAR5, input wire [1:0] VAR22, output reg [2:0] VAR4, output reg [2:0] VAR16, output reg [1:0] VAR6, output reg VAR20, output reg VAR2, output wire [10:0] hc, output wire [10:0] VAR11 ); /* VAR19: parameter VAR8 = 800; parameter VAR13 = 524; parameter VAR23 = 640; parameter VAR12 = 480; parameter VAR10 = 16; parameter VAR17 = 96; parameter VAR21 = 11; parameter VAR15 = 2; parameter VAR14 = 0; parameter VAR1 = 0; reg [10:0] VAR7 = 0; reg [10:0] VAR3 = 0; reg VAR9; assign hc = VAR7; assign VAR11 = VAR3; always @(posedge clk) begin if (VAR7 == VAR8-1) begin VAR7 <= 0; if (VAR3 == VAR13-1) begin VAR3 <= 0; end else begin VAR3 <= VAR3 + 1; end end else begin VAR7 <= VAR7 + 1; end end always @* begin if (VAR7>=0 && VAR7<VAR23 && VAR3>=0 && VAR3<VAR12) VAR9 = 1'b1; end else VAR9 = 1'b0; if (VAR7>=(VAR23+VAR10) && VAR7<(VAR23+VAR10+VAR17)) VAR20 = VAR14; else VAR20 = ~VAR14; if (VAR3>=(VAR12+VAR21) && VAR3<(VAR12+VAR21+VAR15)) VAR2 = VAR1; else VAR2 = ~VAR1; end always @* begin if (VAR9) begin VAR16 = VAR5; VAR4 = VAR18; VAR6 = VAR22; end else begin VAR16 = 3'h00; VAR4 = 3'h00; VAR6 = 2'h00; end end endmodule
mit
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy/ddr3_s4_uniphy_p0_qsys_sequencer_sequencer_rom.v
4,351
module MODULE1 ( address, VAR9, VAR34, clk, VAR11, VAR3, reset, write, VAR26, VAR13 ) ; parameter VAR22 = "VAR23.VAR25"; output [ 31: 0] VAR13; input [ 11: 0] address; input [ 3: 0] VAR9; input VAR34; input clk; input VAR11; input VAR3; input reset; input write; input [ 31: 0] VAR26; wire [ 31: 0] VAR13; wire VAR8; assign VAR8 = VAR34 & write & VAR3; VAR24 VAR27 ( .VAR20 (address), .VAR5 (VAR9), .VAR32 (clk), .VAR7 (VAR11), .VAR1 (VAR26), .VAR14 (VAR13), .VAR2 (VAR8) ); VAR27.VAR31 = VAR22, VAR27.VAR35 = "VAR24", VAR27.VAR28 = 4096, VAR27.VAR16 = 4096, VAR27.VAR17 = "VAR18", VAR27.VAR4 = "VAR12", VAR27.VAR15 = "VAR19", VAR27.VAR21 = "VAR33", VAR27.VAR29 = 32, VAR27.VAR30 = 4, VAR27.VAR6 = 12; endmodule
lgpl-3.0
cpulabs/mist1032isa
src/core/execute/execute_load_store.v
6,178
module MODULE1( input wire VAR21, input wire VAR45, input wire VAR43, input wire VAR10, input wire VAR32, input wire VAR35, input wire VAR61, input wire VAR2, input wire VAR49, input wire VAR1, input wire VAR26, input wire VAR54, input wire VAR48, input wire [31:0] VAR8, input wire [31:0] VAR59, input wire VAR5, input wire [31:0] VAR53, input wire VAR58, input wire [31:0] VAR4, input wire [31:0] VAR12, input wire [31:0] VAR31, input wire [1:0] VAR20, input wire [3:0] VAR55, input wire [1:0] VAR16, output wire VAR60, input wire VAR57, output wire VAR23, output wire [31:0] VAR13, output wire [31:0] VAR29, output wire [31:0] VAR56, output wire [1:0] VAR37, output wire [3:0] VAR46, output wire [13:0] VAR40, output wire [1:0] VAR14, output wire [2:0] VAR51, input wire VAR36, input wire VAR47, output wire VAR38, output wire VAR9, output wire [31:0] VAR52, output wire [1:0] VAR30, output wire [3:0] VAR7 ); reg [1:0] VAR42; localparam VAR11 = 2'h0; localparam VAR44 = 2'h1; localparam VAR15 = 2'h2; wire VAR50 = VAR54 && VAR48 && VAR49 && !VAR47; wire VAR6 = ((VAR42 == VAR11) && VAR50) || (VAR42 == VAR44); always@(posedge VAR21 or negedge VAR45)begin if(!VAR45)begin VAR42 <= VAR11; end else if(VAR43 || VAR10 || VAR2)begin VAR42 <= VAR11; end else begin case(VAR42) VAR11: begin if(VAR50)begin VAR42 <= VAR44; end end VAR44: begin if(!VAR57)begin VAR42 <= VAR15; end end VAR15: begin if(VAR36)begin VAR42 <= VAR11; end end default: begin VAR42 <= VAR11; end endcase end end reg VAR17; reg [31:0] VAR19; reg [1:0] VAR24; reg [3:0] VAR39; always@(posedge VAR21 or negedge VAR45)begin if(!VAR45)begin VAR17 <= 1'b0; VAR19 <= 32'h0; VAR24 <= 2'h0; VAR39 <= 4'h0; end else if(VAR43 || VAR10 || VAR2)begin VAR17 <= 1'b0; VAR19 <= 32'h0; VAR24 <= 2'h0; VAR39 <= 4'h0; end else begin if(VAR50)begin VAR17 <= VAR5; VAR19 <= VAR53; VAR24 <= VAR16; VAR39 <= VAR55; end end end assign VAR38 = (VAR42 == VAR15) && VAR36; assign VAR9 = VAR17; assign VAR52 = VAR19; assign VAR30 = VAR24; assign VAR7 = VAR39; reg VAR22; reg [31:0] VAR27; reg [31:0] VAR25; reg [31:0] VAR28; reg [1:0] VAR34; reg [3:0] VAR18; reg [13:0] VAR41; reg [1:0] VAR3; reg [2:0] VAR33; always@(posedge VAR21 or negedge VAR45)begin if(!VAR45)begin VAR22 <= 1'b0; VAR27 <= 32'h0; VAR25 <= 32'h0; VAR28 <= 32'h0; VAR34 <= 2'h0; VAR18 <= 4'h0; VAR41 <= 14'h0; VAR3 <= 2'h0; VAR33 <= 3'h0; end else if(VAR43 || VAR10 || VAR2)begin VAR22 <= 1'b0; VAR27 <= 32'h0; VAR25 <= 32'h0; VAR28 <= 32'h0; VAR34 <= 2'h0; VAR18 <= 4'h0; VAR41 <= 14'h0; VAR3 <= 2'h0; VAR33 <= 3'h0; end else begin if(VAR49)begin if(!VAR47)begin if(VAR54 && VAR48)begin VAR22 <= VAR58; VAR27 <= VAR4; VAR25 <= VAR12; VAR28 <= VAR31; VAR34 <= VAR20; VAR18 <= VAR55; VAR41 <= VAR59[31:18]; VAR3 <= VAR8[1:0]; VAR33 <= VAR8[9:7]; end end end end end assign VAR60 = (VAR42 == VAR44) && !VAR57; assign VAR23 = VAR22; assign VAR13 = VAR27; assign VAR29 = VAR25; assign VAR56 = VAR28; assign VAR37 = VAR34; assign VAR46 = VAR18; assign VAR40 = VAR41; assign VAR14 = VAR3; assign VAR51 = VAR33; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or3/sky130_fd_sc_hs__or3.symbol.v
1,232
module MODULE1 ( input VAR4, input VAR6, input VAR3, output VAR5 ); supply1 VAR2; supply0 VAR1; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fill/sky130_fd_sc_ls__fill_2.v
1,840
module MODULE1 ( VAR4, VAR2, VAR3 , VAR6 ); input VAR4; input VAR2; input VAR3 ; input VAR6 ; VAR5 VAR1 ( .VAR4(VAR4), .VAR2(VAR2), .VAR3(VAR3), .VAR6(VAR6) ); endmodule module MODULE1 (); supply1 VAR4; supply0 VAR2; supply1 VAR3 ; supply0 VAR6 ; VAR5 VAR1 (); endmodule
apache-2.0