repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v | 3,268 | if (VAR44 == VAR33 && VAR12 == VAR41) \
begin: VAR7 \
VAR15 VAR43 \
(.VAR35 (VAR18) \
,.VAR10 (1'b0) \
,.VAR13 (1'b0) \
,.VAR25 (VAR26) \
,.VAR28 (VAR29) \
,.VAR38 (VAR32) \
,.VAR23 (~VAR36) \
,.VAR19 (1'b0) \
,.VAR21 (VAR5) \
,.VAR37 (VAR34) \
); \
end
module MODULE1 #(parameter VAR8(VAR12)
,parameter VAR8(VAR44)
,parameter VAR6=VAR2(VAR44)
,parameter VAR42=0
,parameter VAR24=1
,parameter VAR1 = 1
,parameter VAR17=1'b0
)
(input VAR32
, input VAR16
, input VAR36
, input [VAR6-1:0] VAR5
, input [VAR12-1:0] VAR34
, input VAR11
, input [VAR6-1:0] VAR26
, output logic [VAR12-1:0] VAR29
);
wire VAR18;
VAR9 VAR20
(.VAR32( VAR32 )
,.VAR30( VAR36 | VAR11 )
,.VAR22( ~VAR17 )
,.VAR14( VAR18 )
);
begin: VAR4
if (VAR24)
begin: VAR39
logic [VAR12-1:0] VAR31;
MODULE1 #(.VAR12(VAR12)
,.VAR44(VAR44)
,.VAR42(1)
) VAR43
(.VAR32( VAR18 )
,.VAR16
,.VAR36
,.VAR5
,.VAR34
,.VAR11
,.VAR26
,.VAR29
);
end else
begin: VAR27
VAR3 #(.VAR12(VAR12), .VAR44(VAR44), .VAR42(VAR42)) VAR40
(.VAR32( VAR18 )
,.VAR16
,.VAR36
,.VAR5
,.VAR34
,.VAR11
,.VAR26
,.VAR29
);
end end
endmodule | bsd-3-clause |
alexforencich/verilog-ethernet | example/ML605/fpga_rgmii/rtl/fpga.v | 6,122 | module MODULE1 (
input wire VAR4,
input wire VAR25,
input wire reset,
input wire VAR96,
input wire VAR66,
input wire VAR39,
input wire VAR74,
input wire VAR76,
input wire [7:0] VAR84,
output wire VAR113,
output wire VAR67,
output wire VAR88,
output wire VAR40,
output wire VAR1,
output wire [7:0] VAR73,
input wire VAR111,
input wire [3:0] VAR23,
input wire VAR77,
output wire VAR122,
output wire [3:0] VAR48,
output wire VAR80,
output wire VAR2,
output wire VAR63,
input wire VAR33,
input wire VAR107,
output wire VAR65
);
wire VAR60;
wire VAR22;
wire VAR95;
wire VAR106;
wire VAR36;
wire VAR20;
wire VAR13 = reset;
wire VAR99;
wire VAR110;
VAR37
VAR45(
.VAR47(VAR4),
.VAR120(VAR25),
.VAR53(VAR60)
);
VAR91 #(
.VAR15("VAR118"),
.VAR90(8),
.VAR82(0.5),
.VAR119(0),
.VAR92(8),
.VAR42(0.5),
.VAR56(90),
.VAR51(1),
.VAR38(0.5),
.VAR34(0),
.VAR12(1),
.VAR54(0.5),
.VAR61(0),
.VAR112(1),
.VAR16(0.5),
.VAR49(0),
.VAR21(1),
.VAR11(0.5),
.VAR24(0),
.VAR43(1),
.VAR50(0.5),
.VAR35(0),
.VAR41(5),
.VAR18(0),
.VAR103(1),
.VAR124(0.100),
.VAR78(5.0),
.VAR105("VAR87"),
.VAR6("VAR87")
)
VAR71 (
.VAR27(VAR60),
.VAR85(VAR110),
.VAR62(VAR13),
.VAR108(1'b0),
.VAR5(VAR22),
.VAR100(),
.VAR116(VAR106),
.VAR58(),
.VAR102(),
.VAR3(),
.VAR81(),
.VAR109(),
.VAR19(),
.VAR29(),
.VAR93(),
.VAR10(VAR110),
.VAR14(),
.VAR94(VAR99)
);
VAR86
VAR68 (
.VAR47(VAR22),
.VAR53(VAR95)
);
VAR86
VAR28 (
.VAR47(VAR106),
.VAR53(VAR36)
);
VAR7 #(
.VAR89(4)
)
VAR59 (
.clk(VAR95),
.rst(~VAR99),
.out(VAR20)
);
wire VAR17;
wire VAR44;
wire VAR101;
wire VAR46;
wire VAR64;
wire [7:0] VAR83;
wire VAR30;
wire VAR104;
wire VAR114;
wire VAR69;
wire VAR117;
wire [7:0] VAR70;
wire VAR52;
wire VAR9;
wire VAR125;
wire VAR121;
VAR98 #(
.VAR26(13),
.VAR89(4),
.VAR31(125000)
)
VAR79 (
.clk(VAR95),
.rst(VAR20),
.in({VAR96,
VAR66,
VAR39,
VAR74,
VAR76,
VAR84}),
.out({VAR17,
VAR44,
VAR101,
VAR46,
VAR64,
VAR83})
);
VAR8 #(
.VAR26(2),
.VAR89(2)
)
VAR123 (
.clk(VAR95),
.in({VAR33,
VAR107}),
.out({VAR9,
VAR125})
);
assign VAR113 = VAR30;
assign VAR67 = VAR104;
assign VAR88 = VAR114;
assign VAR40 = VAR69;
assign VAR1 = VAR117;
assign VAR73 = VAR70;
assign VAR63 = VAR52;
assign VAR65 = VAR121;
VAR57 #(
.VAR55("VAR32")
)
VAR97 (
.VAR72(VAR95),
.VAR75(VAR36),
.VAR115(VAR20),
.VAR96(VAR17),
.VAR66(VAR44),
.VAR39(VAR101),
.VAR74(VAR46),
.VAR76(VAR64),
.VAR84(VAR83),
.VAR113(VAR30),
.VAR67(VAR104),
.VAR88(VAR114),
.VAR40(VAR69),
.VAR1(VAR117),
.VAR73(VAR70),
.VAR111(VAR111),
.VAR23(VAR23),
.VAR77(VAR77),
.VAR122(VAR122),
.VAR48(VAR48),
.VAR80(VAR80),
.VAR2(VAR2),
.VAR63(VAR52),
.VAR33(VAR9),
.VAR107(VAR125),
.VAR65(VAR121)
);
endmodule | mit |
markusC64/1541ultimate2 | fpga/nios_c5/nios/synthesis/submodules/alt_mem_ddrx_ddr2_odt_gen.v | 18,798 | module MODULE1
VAR30 = 2,
VAR8 = 3,
VAR18 = 1,
VAR5 = 4
)
(
VAR6,
VAR28,
VAR23,
VAR20,
VAR14,
VAR11,
VAR33,
VAR1,
VAR19,
VAR32
);
localparam integer VAR21 = 2**VAR5; localparam VAR26 = 2;
localparam VAR22 = 2.5;
input VAR6;
input VAR28;
input [VAR5-1:0] VAR23;
input [VAR8-1:0] VAR20;
input [4:0] VAR14;
input [VAR18-1:0] VAR11;
input VAR33;
input VAR1;
output VAR19;
output VAR32;
wire VAR33;
wire VAR1;
reg [1:0] VAR17;
reg [VAR5-1:0] VAR7;
reg [VAR5-1:0] VAR16;
reg VAR35;
reg VAR29;
reg [VAR5-1:0] VAR15;
reg [VAR5-1:0] VAR34;
wire VAR19;
wire VAR32;
reg VAR27;
reg VAR2;
reg VAR25;
reg VAR12;
reg [1:0] VAR24;
reg VAR4;
reg VAR3;
reg [VAR21-1:0] VAR10;
reg [VAR21-1:0] VAR31;
reg [3:0] VAR9;
reg [3:0] VAR13;
always @ (posedge VAR6 or negedge VAR28)
begin
if (!VAR28)
begin
VAR17 <= 0;
end
else
begin
if (VAR11)
VAR17 <= (VAR30 / 2) * VAR11;
end
else
VAR17 <= 2'd0;
end
end
always @
begin
if (VAR16 < 3)
VAR3 = VAR1;
end
else
VAR3 = VAR31[VAR34];
end
always @(posedge VAR6, negedge VAR28)
begin
if (!VAR28)
begin VAR13 <= 0; end
else
begin
if (VAR3)
begin
if ((VAR14 / VAR30) > 1)
VAR13 <= 1;
end
else
VAR13 <= 0;
end
else if (VAR13 >= ((VAR14 / VAR30) - 1))
begin
VAR13 <= 0;
end
else if (VAR13 > 0)
begin
VAR13 <= VAR13 + 1'b1;
end
end
end
always @(posedge VAR6, negedge VAR28)
begin
if (!VAR28)
VAR10 <= 0;
end
else
if (VAR33)
VAR10 <= {VAR10[VAR21-2:0],VAR33};
else
VAR10 <= {VAR10[VAR21-2:0],1'b0};
end
always @
begin
if (VAR30 == 2) begin
if (VAR4 || VAR3)
begin
VAR12 = 1'b1;
VAR25 = 1'b1;
end
else
begin
VAR12 = 1'b0;
VAR25 = 1'b0;
end
end
else begin
if (VAR35)
begin
if (VAR4)
begin
VAR12 = 1'b1;
VAR25 = 1'b1;
end
else if (VAR3)
begin
VAR12 = 1'b1;
VAR25 = 1'b0;
end
else
begin
VAR12 = 1'b0;
VAR25 = 1'b0;
end
end
else
begin
if (VAR4)
begin
VAR12 = 1'b1;
VAR25 = 1'b0;
end
else if (VAR3)
begin
VAR12 = 1'b1;
VAR25 = 1'b1;
end
else
begin
VAR12 = 1'b0;
VAR25 = 1'b0;
end
end
end
end
always @ (posedge VAR6 or negedge VAR28)
begin
if (!VAR28)
begin
VAR2 <= 1'b0;
VAR27 <= 1'b0;
end
else
begin
if (VAR30 == 2) begin
if (VAR4 || VAR3)
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
else if (VAR9 > 0 || VAR13 > 0)
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
else
begin
VAR2 <= 1'b0;
VAR27 <= 1'b0;
end
end
else begin
if (VAR4)
begin
if ((VAR14 / VAR30) > 1)
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
else
begin
if (VAR35)
begin
VAR2 <= 1'b0;
VAR27 <= 1'b1;
end
else
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
end
end
else if (VAR3)
begin
if ((VAR14 / VAR30) > 1)
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
else
begin
if (VAR29)
begin
VAR2 <= 1'b0;
VAR27 <= 1'b1;
end
else
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
end
end
else if (VAR9 > 0)
begin
if (VAR9 < ((VAR14 / VAR30) - 1))
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
else
begin
if (VAR35)
begin
VAR2 <= 1'b0;
VAR27 <= 1'b1;
end
else
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
end
end
else if (VAR13 > 0)
begin
if (VAR13 < ((VAR14 / VAR30) - 1))
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
else
begin
if (VAR29)
begin
VAR2 <= 1'b0;
VAR27 <= 1'b1;
end
else
begin
VAR2 <= 1'b1;
VAR27 <= 1'b1;
end
end
end
else
begin
VAR2 <= 1'b0;
VAR27 <= 1'b0;
end
end
end
end
generate
if (VAR30 == 2) begin
assign VAR32 = VAR12 | VAR2;
assign VAR19 = VAR12 | VAR2;
end
else if (VAR30 == 4) begin
assign VAR32 = VAR12 | VAR2;
assign VAR19 = VAR25 | VAR27;
end
else if (VAR30 == 8) begin
end
endgenerate
endmodule | gpl-3.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/phy/phy_pd_top.v | 16,205 | module MODULE1 #
(
parameter VAR53 = 100, parameter VAR33 = 3, parameter VAR3 = 8, parameter VAR34 = 16, parameter VAR73 = "VAR1", parameter VAR60 = 8, parameter VAR20 = "VAR11", parameter VAR32 = "VAR59", parameter VAR68 = "VAR69" )
(
input clk,
input rst,
input VAR43, output VAR47, input VAR16, input VAR38, output reg VAR61, output reg VAR41, input [5*VAR3-1:0] VAR52, output [VAR3-1:0] VAR42, output [VAR3-1:0] VAR78, output [5*VAR3-1:0] VAR54, input [VAR3-1:0] VAR29,
input [VAR3-1:0] VAR67,
input [VAR3-1:0] VAR45,
input [VAR3-1:0] VAR63,
output VAR19, input VAR6, input VAR35,
input VAR57,
input VAR56,
input VAR28,
input VAR8,
input VAR48,
input VAR31,
input VAR14,
input VAR25,
input [3:0] VAR7,
input [VAR33-1:0] VAR15,
input VAR37,
input VAR36,
output [255:0] VAR72
);
wire [99:0] VAR40[VAR3-1:0];
wire [VAR3-1:0] VAR46;
wire [VAR3-1:0] VAR62;
wire [VAR3-1:0] VAR66;
wire [VAR3-1:0] VAR70;
wire [VAR3-1:0] VAR17;
wire [VAR3-1:0] VAR10;
wire [3:0] VAR5[VAR3-1:0];
wire [VAR3-1:0] VAR49;
wire [3:0] VAR26[VAR3-1:0];
wire [VAR3-1:0] VAR71;
wire [VAR3-1:0] VAR4;
wire [VAR3-1:0] VAR27;
reg [VAR3-1:0] VAR76;
wire VAR44;
wire VAR24;
reg VAR55;
wire [VAR3-1:0] VAR74;
reg VAR77;
reg reset;
wire [1:0] VAR21[VAR3-1:0];
always @(posedge clk or posedge rst)
if (rst)
else
assign VAR72[99:0] = VAR40[0];
assign VAR72[103:100] = VAR5[0];
assign VAR72[104] = VAR43;
assign VAR72[105] = VAR47;
assign VAR72[106] = VAR38;
assign VAR72[107] = VAR61;
assign VAR72[108] = VAR41;
assign VAR72[255:109] = 'b0;
assign VAR10
= (VAR68 == "VAR11") ?
{{VAR3-1{VAR14}},VAR25} :
{VAR3{1'b0}};
assign VAR24 = (VAR68 == "VAR11") ? VAR35 : 1'b0;
generate
begin: VAR39
if (VAR73 == "VAR1") begin
assign VAR47 = &VAR71;
assign VAR4 = {VAR3{VAR43}};
assign VAR19 = 1'b0; assign VAR74 = 'b0; assign VAR27 = 'b0; end else begin
assign VAR47 = VAR71[VAR3-1];
if (VAR3 == 1) begin
assign VAR4[0] = VAR43;
assign VAR19 = 1'b0; end else begin
assign VAR74 = {VAR71[VAR3-2:0],
VAR43};
assign VAR19 = VAR55;
assign VAR27 = VAR74 & ~VAR76;
assign VAR4 = VAR74 &
{VAR3{VAR77}};
always @(posedge clk) begin
if (reset) begin
end else begin
end
end
end
end
end
endgenerate
generate
genvar VAR51;
if (VAR20 == "VAR11") begin: VAR23
assign VAR44 = 1'b1;
end else begin: VAR30
assign VAR44
= (VAR68 == "VAR11") ? VAR56 : 1'b0;
end
for (VAR51 = 0; VAR51 < VAR3; VAR51 = VAR51+1) begin: VAR18
assign VAR5[VAR51] = {VAR63[VAR51],
VAR45[VAR51],
VAR67[VAR51],
VAR29[VAR51]};
assign VAR21[VAR51] = {VAR45[VAR51],
VAR29[VAR51]};
assign VAR70[VAR51]
= (VAR68 == "VAR11") ?
VAR28 & (VAR15 == VAR51) : 1'b0;
assign VAR46[VAR51]
= (VAR68 == "VAR11") ?
VAR8 & (VAR15 == VAR51) : 1'b0;
assign VAR17[VAR51]
= (VAR68 == "VAR11") ?
VAR48 & (VAR15 == VAR51) : 1'b0;
assign VAR62[VAR51]
= (VAR68 == "VAR11") ?
VAR31 & (VAR15 == VAR51) : 1'b0;
assign VAR26[VAR51]
= (VAR51 == 0) ?
((VAR68 == "VAR11") ? VAR7 - 1 : VAR60-1) :
((VAR68 == "VAR11") ? VAR7 : VAR60);
assign VAR49[VAR51]
= (VAR51 == 0) ?
((VAR68 == "VAR11") ? VAR57 : 1'b0) :
((VAR68 == "VAR11") ?
VAR57 | VAR44 :
VAR44);
if ((VAR20 == "VAR69") || (VAR51 == 0)) begin: VAR65
VAR58 #
(
.VAR53 (VAR53),
.VAR32 (VAR32),
.VAR34 (VAR34)
)
VAR50
(
.VAR40 (VAR40[VAR51]), .VAR9 (VAR52[5*(VAR51+1)-1:5*VAR51]), .VAR75 (VAR54[5*(VAR51+1)-1:5*VAR51]), .VAR2 (VAR66[VAR51]), .VAR12 (VAR78[VAR51]), .VAR47 (VAR71[VAR51]), .VAR43 (VAR4[VAR51]), .VAR16 (VAR16), .VAR13 (VAR38), .VAR21 (VAR21[VAR51]), .VAR35 (VAR24), .VAR57(VAR49[VAR51]), .VAR28 (VAR70[VAR51]), .VAR8 (VAR46[VAR51]), .VAR48 (VAR17[VAR51]), .VAR31 (VAR62[VAR51]), .VAR14 (VAR10[VAR51]), .VAR7 (VAR26[VAR51]), .clk (clk), .rst (rst) );
end else begin: VAR22
assign VAR40[VAR51] = 'b0;
assign VAR54[5*(VAR51+1)-1:5*VAR51] = 'b0;
assign VAR66[VAR51] = 1'b0;
assign VAR78[VAR51] = 1'b0;
assign VAR71[VAR51] = 1'b1;
end
end
endgenerate
always @(posedge clk)
if (rst)
end
else begin
if (VAR66[0] ||
((VAR68 == "VAR11") && (VAR37 || VAR36)))
end
else
end
always @(posedge clk)
if (rst)
end
else begin
if ((VAR68 == "VAR11") && (VAR37 || VAR36))
end
else
end
assign VAR42[0] = 1'b0;
generate
if (VAR3 > 1) begin: VAR64
assign VAR42[VAR3-1:1] = {VAR66[VAR3-1:1]};
end
endgenerate
endmodule | lgpl-3.0 |
Elphel/x393_sata | x393/axi/axibram_write.v | 11,978 | module MODULE1 #(
parameter VAR65 = 10 )(
input VAR5, input VAR14,
input [31:0] VAR88, input VAR68, output VAR74, input [11:0] VAR73, input [ 3:0] VAR12, input [ 1:0] VAR69, input [ 1:0] VAR63, input [31:0] VAR64, input VAR11, output VAR41, input [11:0] VAR25, input VAR20, input [ 3:0] VAR93, output VAR61, input VAR53, output [11:0] VAR3, output [ 1:0] VAR50,
output [VAR65-1:0] VAR29, output VAR9, input VAR10,
output VAR24,
output [VAR65-1:0] VAR55,
output VAR59, output VAR60, output [3:0] VAR16,
output [31:0] VAR43
,
output VAR6,
output VAR90,
output VAR79,
output VAR30,
output VAR37,
output VAR56,
output [3:0] VAR94,
output [3:0] VAR18,
output [3:0] VAR49,
output [3:0] VAR75,
output [3:0] VAR46,
output [3:0] VAR87,
output [3:0] VAR22,
output [3:0] VAR62,
output [3:0] VAR77,
output [3:0] VAR86,
output [3:0] VAR31,
output reg [3:0] VAR28
);
wire VAR67;
wire VAR92;
assign VAR74=~VAR92;
wire [ 1:0] VAR51;
wire [ 1:0] VAR80; wire [ 3:0] VAR78;
wire [VAR65-1:0] VAR21;
wire [11:0] VAR2; wire VAR82;
wire VAR13;
assign VAR41=~VAR13;
wire [31:0] VAR39;
wire VAR44; wire [ 3:0] VAR32; wire [11:0] VAR57;
reg VAR38=0;
reg [VAR65-1:0] VAR89; reg [ 3:0] VAR40; reg [ 1:0] VAR45; reg [ 3:0] VAR84; wire [VAR65-1:0] VAR48; wire VAR1; wire VAR83;
wire VAR35;
wire VAR71; wire VAR23; assign VAR71=VAR67 && VAR66; assign VAR23=VAR82 && VAR66;
reg VAR66; assign VAR48= VAR45[1]?
(VAR45[0]? {VAR65{1'b0}}:((VAR89[VAR65-1:0]+1) & {{(VAR65-4){1'b1}}, ~VAR84[3:0]})):
(VAR45[0]? (VAR89[VAR65-1:0]+1):(VAR89[VAR65-1:0]));
assign VAR1= VAR23 && VAR38;
assign VAR83=VAR23 && VAR71 && (!VAR38 || (VAR23 && ((VAR40[3:0]==4'b0) || VAR44)));
assign VAR35=VAR23 && VAR71 || (VAR38 && !(VAR23 && ((VAR40[3:0]==4'b0) || VAR44)));
assign VAR59 = VAR35;
always @ (posedge VAR5) begin
if (VAR14) VAR45[1:0] <= 0;
end
else if (VAR83) VAR45[1:0] <= VAR51[1:0];
if (VAR14) VAR84[3:0] <= 0;
else if (VAR83) VAR84[3:0] <= VAR78[3:0];
if (VAR14) VAR38 <= 0;
else VAR38 <= VAR35;
if (VAR14) VAR40 <= 0;
else if (VAR83) VAR40 <= VAR78[3:0]; else if (VAR1) VAR40 <= VAR40-1;
if (VAR14) VAR89 <= {VAR65{1'b0}};
else if (VAR83) VAR89 <= VAR21[VAR65-1:0]; else if (VAR1) VAR89 <= VAR48;
if (VAR14) VAR66 <= 1'b0;
else VAR66 <= VAR10;
end
wire [ 1:0] VAR91;
assign VAR91=2'b0;
assign VAR29=VAR21[VAR65-1:0];
assign VAR9=VAR83;
assign VAR24 = VAR5;
assign VAR55 = VAR89[VAR65-1:0];
assign VAR60 = VAR1;
assign VAR16 = VAR32[3:0];
assign VAR43 = VAR39[31:0];
assign VAR86=VAR40;
assign VAR31[3:0]=VAR84[3:0];
always @ (posedge VAR5) begin
VAR28 <= VAR12[3:0];
end
VAR27 #( .VAR42(20+VAR65),.VAR33(4))
VAR52 (
.rst (1'b0), .clk (VAR5),
.VAR47 (VAR14),
.VAR54 (VAR68 && VAR74),
.VAR15 (VAR83),
.VAR19 ({VAR73[11:0], VAR63[1:0],VAR69[1:0],VAR12[3:0],VAR88[VAR65+1:2]}),
.VAR81 ({VAR2[11:0], VAR51[1:0],VAR80[1:0],VAR78[3:0],VAR21[VAR65-1:0]}), .VAR17 (VAR67),
.VAR70 (VAR92)
,
.VAR7 (VAR6), .VAR4 (VAR30), .VAR58 (VAR94), .VAR34 (VAR18), .VAR72(VAR49) VAR76
);
VAR27 #( .VAR42(49),.VAR33(4))
VAR26 (
.rst(1'b0), .clk(VAR5),
.VAR47 (VAR14),
.VAR54(VAR11 && VAR41),
.VAR15(VAR1), .VAR19({VAR25[11:0],VAR20,VAR93[3:0],VAR64[31:0]}),
.VAR81({VAR57[11:0],VAR44,VAR32[3:0],VAR39[31:0]}), .VAR17(VAR82),
.VAR70(VAR13)
,
.VAR7 (VAR90), .VAR4 (VAR37), .VAR58 (VAR75), .VAR34 (VAR46), .VAR72(VAR87) VAR76
);
reg VAR8=0;
wire VAR36;
assign VAR36=VAR53 && VAR61 && !VAR8;
always @ (posedge VAR5) begin
if (VAR14) VAR8<=0;
end
else VAR8 <= VAR36;
end
VAR27 #( .VAR42(14),.VAR33(4))
VAR85 (
.rst(1'b0), .clk(VAR5),
.VAR47 (VAR14),
.VAR54(VAR1 &&((VAR40[3:0]==4'b0) || VAR44)), .VAR15(VAR36), .VAR19({VAR57[11:0],VAR91[1:0]}),
.VAR81({VAR3[11:0],VAR50[1:0]}),
.VAR17(VAR61),
.VAR70()
,
.VAR7 (VAR79), .VAR4 (VAR56), .VAR58 (VAR22), .VAR34 (VAR62), .VAR72(VAR77)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o31a/sky130_fd_sc_ls__o31a.pp.blackbox.v | 1,368 | module MODULE1 (
VAR2 ,
VAR7 ,
VAR9 ,
VAR5 ,
VAR6 ,
VAR3,
VAR1,
VAR4 ,
VAR8
);
output VAR2 ;
input VAR7 ;
input VAR9 ;
input VAR5 ;
input VAR6 ;
input VAR3;
input VAR1;
input VAR4 ;
input VAR8 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a21bo/sky130_fd_sc_ls__a21bo.symbol.v | 1,388 | module MODULE1 (
input VAR1 ,
input VAR8 ,
input VAR3,
output VAR6
);
supply1 VAR2;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
GLADICOS/SPACEWIRESYSTEMC | altera_work/spw_jaxa/jaxa/synthesis/submodules/jaxa_controlFlagsOut.v | 1,886 | module MODULE1 (
address,
clk,
VAR1,
VAR3,
VAR4
)
;
output [ 31: 0] VAR4;
input [ 1: 0] address;
input clk;
input [ 1: 0] VAR1;
input VAR3;
wire VAR6;
wire [ 1: 0] VAR5;
wire [ 1: 0] VAR2;
reg [ 31: 0] VAR4;
assign VAR6 = 1;
assign VAR2 = {2 {(address == 0)}} & VAR5;
always @(posedge clk or negedge VAR3)
begin
if (VAR3 == 0)
VAR4 <= 0;
end
else if (VAR6)
VAR4 <= {32'b0 | VAR2};
end
assign VAR5 = VAR1;
endmodule | gpl-3.0 |
Tabrizian/GuessNumber | main.v | 2,506 | module MODULE1(VAR1,VAR16,VAR22,VAR12,VAR6,VAR15,VAR17,reset,VAR20,VAR18,VAR19,VAR23); input VAR1, VAR16, VAR22, VAR12, VAR6,reset;
reg[0:6] VAR5,VAR14,VAR9,VAR13,VAR24,b1,VAR11,VAR10,VAR4;
reg[0:3] VAR2,VAR21;
output reg VAR15,VAR17;
output reg VAR20,VAR18,VAR19;
reg VAR8,VAR7;
reg [0:3] VAR3;
output reg [0:3] VAR23; | gpl-2.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/tq/premuat.v | 25,216 | module MODULE1(
VAR75,
VAR20,
VAR106 ,
VAR104 ,
VAR62 ,
VAR21 ,
VAR230 ,
VAR36 ,
VAR142 ,
VAR84 ,
VAR46 ,
VAR234 ,
VAR196,
VAR171,
VAR9,
VAR216,
VAR5,
VAR176,
VAR45,
VAR12,
VAR26,
VAR113,
VAR148,
VAR145,
VAR193,
VAR10,
VAR38,
VAR92,
VAR172,
VAR175,
VAR76,
VAR22,
VAR197,
VAR35,
o0 ,
o1 ,
o2 ,
o3 ,
o4 ,
o5 ,
o6 ,
o7 ,
VAR2 ,
VAR244 ,
o10,
o11,
o12,
o13,
o14,
o15,
o16,
o17,
VAR86,
VAR155,
o20,
o21,
o22,
o23,
o24,
o25,
o26,
o27,
VAR101,
VAR231,
o30,
o31
);
input VAR75;
input [1:0] VAR20;
input signed [27:0] VAR106 ;
input signed [27:0] VAR104 ;
input signed [27:0] VAR62 ;
input signed [27:0] VAR21 ;
input signed [27:0] VAR230 ;
input signed [27:0] VAR36 ;
input signed [27:0] VAR142 ;
input signed [27:0] VAR84 ;
input signed [27:0] VAR46 ;
input signed [27:0] VAR234 ;
input signed [27:0] VAR196;
input signed [27:0] VAR171;
input signed [27:0] VAR9;
input signed [27:0] VAR216;
input signed [27:0] VAR5;
input signed [27:0] VAR176;
input signed [27:0] VAR45;
input signed [27:0] VAR12;
input signed [27:0] VAR26;
input signed [27:0] VAR113;
input signed [27:0] VAR148;
input signed [27:0] VAR145;
input signed [27:0] VAR193;
input signed [27:0] VAR10;
input signed [27:0] VAR38;
input signed [27:0] VAR92;
input signed [27:0] VAR172;
input signed [27:0] VAR175;
input signed [27:0] VAR76;
input signed [27:0] VAR22;
input signed [27:0] VAR197;
input signed [27:0] VAR35;
output signed [27:0] o0 ;
output signed [27:0] o1 ;
output signed [27:0] o2 ;
output signed [27:0] o3 ;
output signed [27:0] o4 ;
output signed [27:0] o5 ;
output signed [27:0] o6 ;
output signed [27:0] o7 ;
output signed [27:0] VAR2 ;
output signed [27:0] VAR244 ;
output signed [27:0] o10;
output signed [27:0] o11;
output signed [27:0] o12;
output signed [27:0] o13;
output signed [27:0] o14;
output signed [27:0] o15;
output signed [27:0] o16;
output signed [27:0] o17;
output signed [27:0] VAR86;
output signed [27:0] VAR155;
output signed [27:0] o20;
output signed [27:0] o21;
output signed [27:0] o22;
output signed [27:0] o23;
output signed [27:0] o24;
output signed [27:0] o25;
output signed [27:0] o26;
output signed [27:0] o27;
output signed [27:0] VAR101;
output signed [27:0] VAR231;
output signed [27:0] o30;
output signed [27:0] o31;
wire VAR126;
wire VAR240;
wire VAR18;
wire VAR60;
wire VAR235;
wire VAR138;
wire signed [27:0] VAR96;
wire signed [27:0] VAR3;
wire signed [27:0] VAR108;
wire signed [27:0] VAR232;
wire signed [27:0] VAR201;
wire signed [27:0] VAR121;
wire signed [27:0] VAR99;
wire signed [27:0] VAR152;
wire signed [27:0] VAR122;
wire signed [27:0] VAR160;
wire signed [27:0] VAR50;
wire signed [27:0] VAR70;
wire signed [27:0] VAR158;
wire signed [27:0] VAR32;
wire signed [27:0] VAR127;
wire signed [27:0] VAR56;
wire signed [27:0] VAR143;
wire signed [27:0] VAR82;
wire signed [27:0] VAR165;
wire signed [27:0] VAR30;
wire signed [27:0] VAR222;
wire signed [27:0] VAR207;
wire signed [27:0] VAR58;
wire signed [27:0] VAR144;
wire signed [27:0] VAR241;
wire signed [27:0] VAR198;
wire signed [27:0] VAR140;
wire signed [27:0] VAR71;
wire signed [27:0] VAR190;
wire signed [27:0] VAR238;
wire signed [27:0] VAR72;
wire signed [27:0] VAR54;
wire signed [27:0] VAR182 ;
wire signed [27:0] VAR248 ;
wire signed [27:0] VAR149 ;
wire signed [27:0] VAR247 ;
wire signed [27:0] VAR233 ;
wire signed [27:0] VAR205 ;
wire signed [27:0] VAR111 ;
wire signed [27:0] VAR107 ;
wire signed [27:0] VAR203 ;
wire signed [27:0] VAR61 ;
wire signed [27:0] VAR226;
wire signed [27:0] VAR141;
wire signed [27:0] VAR229;
wire signed [27:0] VAR128;
wire signed [27:0] VAR6;
wire signed [27:0] VAR139;
wire signed [27:0] VAR137 ;
wire signed [27:0] VAR31 ;
wire signed [27:0] VAR221 ;
wire signed [27:0] VAR202 ;
wire signed [27:0] VAR29 ;
wire signed [27:0] VAR218 ;
wire signed [27:0] VAR237 ;
wire signed [27:0] VAR157 ;
wire signed [27:0] VAR187 ;
wire signed [27:0] VAR67 ;
wire signed [27:0] VAR225;
wire signed [27:0] VAR245;
wire signed [27:0] VAR55;
wire signed [27:0] VAR43;
wire signed [27:0] VAR162;
wire signed [27:0] VAR150;
wire signed [27:0] VAR223 ;
wire signed [27:0] VAR185 ;
wire signed [27:0] VAR47 ;
wire signed [27:0] VAR164 ;
wire signed [27:0] VAR135 ;
wire signed [27:0] VAR123 ;
wire signed [27:0] VAR4 ;
wire signed [27:0] VAR81 ;
wire signed [27:0] VAR103 ;
wire signed [27:0] VAR174 ;
wire signed [27:0] VAR228;
wire signed [27:0] VAR125;
wire signed [27:0] VAR243;
wire signed [27:0] VAR16;
wire signed [27:0] VAR159;
wire signed [27:0] VAR210;
wire signed [27:0] VAR215;
wire signed [27:0] VAR195;
wire signed [27:0] VAR88;
wire signed [27:0] VAR112;
wire signed [27:0] VAR220;
wire signed [27:0] VAR78;
wire signed [27:0] VAR168;
wire signed [27:0] VAR227;
wire signed [27:0] VAR133;
wire signed [27:0] VAR94;
wire signed [27:0] VAR1;
wire signed [27:0] VAR118;
wire signed [27:0] VAR11;
wire signed [27:0] VAR93;
wire signed [27:0] VAR246;
wire signed [27:0] VAR169;
wire signed [27:0] VAR163;
wire signed [27:0] VAR105;
wire signed [27:0] VAR90;
wire signed [27:0] VAR177;
wire signed [27:0] VAR129;
wire signed [27:0] VAR134;
wire signed [27:0] VAR120;
wire signed [27:0] VAR53;
wire signed [27:0] VAR132;
wire signed [27:0] VAR98;
wire signed [27:0] VAR69;
wire signed [27:0] VAR37;
wire signed [27:0] VAR189;
wire signed [27:0] VAR131;
wire signed [27:0] VAR116;
wire signed [27:0] VAR73;
wire signed [27:0] VAR34;
wire signed [27:0] VAR7;
wire signed [27:0] VAR42;
wire signed [27:0] VAR180;
wire signed [27:0] VAR242;
wire signed [27:0] VAR188;
wire signed [27:0] VAR79;
wire signed [27:0] VAR154;
wire signed [27:0] VAR204;
wire signed [27:0] VAR63;
wire signed [27:0] VAR17;
wire signed [27:0] VAR192;
wire signed [27:0] VAR39;
wire signed [27:0] VAR100;
wire signed [27:0] VAR173;
wire signed [27:0] VAR15;
wire signed [27:0] VAR77 ;
wire signed [27:0] VAR191 ;
wire signed [27:0] VAR19 ;
wire signed [27:0] VAR211 ;
wire signed [27:0] VAR179 ;
wire signed [27:0] VAR166 ;
wire signed [27:0] VAR48 ;
wire signed [27:0] VAR236 ;
wire signed [27:0] VAR156 ;
wire signed [27:0] VAR87 ;
wire signed [27:0] VAR13;
wire signed [27:0] VAR40;
wire signed [27:0] VAR167;
wire signed [27:0] VAR74;
wire signed [27:0] VAR25;
wire signed [27:0] VAR219;
wire signed [27:0] VAR200 ;
wire signed [27:0] VAR109 ;
wire signed [27:0] VAR136 ;
wire signed [27:0] VAR161 ;
wire signed [27:0] VAR117 ;
wire signed [27:0] VAR52 ;
wire signed [27:0] VAR183 ;
wire signed [27:0] VAR14 ;
wire signed [27:0] VAR153 ;
wire signed [27:0] VAR186 ;
wire signed [27:0] VAR91;
wire signed [27:0] VAR146;
wire signed [27:0] VAR208;
wire signed [27:0] VAR170;
wire signed [27:0] VAR8;
wire signed [27:0] VAR85;
wire signed [27:0] VAR68 ;
wire signed [27:0] VAR24 ;
wire signed [27:0] VAR115 ;
wire signed [27:0] VAR184 ;
wire signed [27:0] VAR110 ;
wire signed [27:0] VAR181 ;
wire signed [27:0] VAR239 ;
wire signed [27:0] VAR95 ;
wire signed [27:0] VAR64 ;
wire signed [27:0] VAR28 ;
wire signed [27:0] VAR97;
wire signed [27:0] VAR59;
wire signed [27:0] VAR80;
wire signed [27:0] VAR114;
wire signed [27:0] VAR147;
wire signed [27:0] VAR49;
wire signed [27:0] VAR178;
wire signed [27:0] VAR209;
wire signed [27:0] VAR57;
wire signed [27:0] VAR212;
wire signed [27:0] VAR130;
wire signed [27:0] VAR206;
wire signed [27:0] VAR33;
wire signed [27:0] VAR102;
wire signed [27:0] VAR66;
wire signed [27:0] VAR214;
wire signed [27:0] VAR41;
wire signed [27:0] VAR217;
wire signed [27:0] VAR199;
wire signed [27:0] VAR65;
wire signed [27:0] VAR124;
wire signed [27:0] VAR213;
assign VAR126=(VAR20[1]||VAR20[0]);
assign VAR240=((~VAR20[1])&VAR20[0]);
assign VAR18=(VAR240||VAR235);
assign VAR60=VAR20[1];
assign VAR235=((~VAR20[0])&VAR20[1]);
assign VAR138=(VAR20[1]&VAR20[0]);
assign VAR223 =VAR75?VAR106 :VAR77 ;
assign VAR185 =VAR75?VAR104 :VAR191 ;
assign VAR47 =VAR75?VAR62 :VAR19 ;
assign VAR164 =VAR75?VAR21 :VAR211 ;
assign VAR135 =VAR75?VAR230 :VAR179 ;
assign VAR123 =VAR75?VAR36 :VAR166 ;
assign VAR4 =VAR75?VAR142 :VAR48 ;
assign VAR81 =VAR75?VAR84 :VAR236 ;
assign VAR103 =VAR75?VAR46 :VAR156 ;
assign VAR174 =VAR75?VAR234 :VAR87 ;
assign VAR228=VAR75?VAR196:VAR13;
assign VAR125=VAR75?VAR171:VAR40;
assign VAR243=VAR75?VAR9:VAR167;
assign VAR16=VAR75?VAR216:VAR74;
assign VAR159=VAR75?VAR5:VAR25;
assign VAR210=VAR75?VAR176:VAR219;
assign VAR215=VAR75?VAR45:VAR200 ;
assign VAR195=VAR75?VAR12:VAR109 ;
assign VAR88=VAR75?VAR26:VAR136 ;
assign VAR112=VAR75?VAR113:VAR161 ;
assign VAR220=VAR75?VAR148:VAR117 ;
assign VAR78=VAR75?VAR145:VAR52 ;
assign VAR168=VAR75?VAR193:VAR183 ;
assign VAR227=VAR75?VAR10:VAR14 ;
assign VAR133=VAR75?VAR38:VAR153 ;
assign VAR94=VAR75?VAR92:VAR186 ;
assign VAR1=VAR75?VAR172:VAR91;
assign VAR118=VAR75?VAR175:VAR146;
assign VAR11=VAR75?VAR76:VAR208;
assign VAR93=VAR75?VAR22:VAR170;
assign VAR246=VAR75?VAR197:VAR8;
assign VAR169=VAR75?VAR35:VAR85;
assign VAR182 =VAR75?VAR68 :VAR163;
assign VAR248 =VAR75?VAR24 :VAR105;
assign VAR149 =VAR75?VAR115 :VAR90;
assign VAR247 =VAR75?VAR184 :VAR177;
assign VAR233 =VAR75?VAR110 :VAR129;
assign VAR205 =VAR75?VAR181 :VAR134;
assign VAR111 =VAR75?VAR239 :VAR120;
assign VAR107 =VAR75?VAR95 :VAR53;
assign VAR203 =VAR75?VAR64 :VAR132;
assign VAR61 =VAR75?VAR28 :VAR98;
assign VAR226=VAR75?VAR97:VAR69;
assign VAR141=VAR75?VAR59:VAR37;
assign VAR229=VAR75?VAR80:VAR189;
assign VAR128=VAR75?VAR114:VAR131;
assign VAR6=VAR75?VAR147:VAR116;
assign VAR139=VAR75?VAR49:VAR73;
assign VAR137 =VAR75?VAR178:VAR34;
assign VAR31 =VAR75?VAR209:VAR7;
assign VAR221 =VAR75?VAR57:VAR42;
assign VAR202 =VAR75?VAR212:VAR180;
assign VAR29 =VAR75?VAR130:VAR242;
assign VAR218 =VAR75?VAR206:VAR188;
assign VAR237 =VAR75?VAR33:VAR79;
assign VAR157 =VAR75?VAR102:VAR154;
assign VAR187 =VAR75?VAR66:VAR204;
assign VAR67 =VAR75?VAR214:VAR63;
assign VAR225=VAR75?VAR41:VAR17;
assign VAR245=VAR75?VAR217:VAR192;
assign VAR55=VAR75?VAR199:VAR39;
assign VAR43=VAR75?VAR65:VAR100;
assign VAR162=VAR75?VAR124:VAR173;
assign VAR150=VAR75?VAR213:VAR15;
assign VAR96=VAR75?VAR77 :VAR106 ;
assign VAR3=VAR75?VAR191 :VAR104 ;
assign VAR108=VAR75?VAR19 :VAR62 ;
assign VAR232=VAR75?VAR211 :VAR21 ;
assign VAR201=VAR75?VAR179 :VAR230 ;
assign VAR121=VAR75?VAR166 :VAR36 ;
assign VAR99=VAR75?VAR48 :VAR142 ;
assign VAR152=VAR75?VAR236 :VAR84 ;
assign VAR122=VAR75?VAR156 :VAR46 ;
assign VAR160=VAR75?VAR87 :VAR234 ;
assign VAR50=VAR75?VAR13:VAR196;
assign VAR70=VAR75?VAR40:VAR171;
assign VAR158=VAR75?VAR167:VAR9;
assign VAR32=VAR75?VAR74:VAR216;
assign VAR127=VAR75?VAR25:VAR5;
assign VAR56=VAR75?VAR219:VAR176;
assign VAR143=VAR75?VAR200 :VAR45;
assign VAR82=VAR75?VAR109 :VAR12;
assign VAR165=VAR75?VAR136 :VAR26;
assign VAR30=VAR75?VAR161 :VAR113;
assign VAR222=VAR75?VAR117 :VAR148;
assign VAR207=VAR75?VAR52 :VAR145;
assign VAR58=VAR75?VAR183 :VAR193;
assign VAR144=VAR75?VAR14 :VAR10;
assign VAR241=VAR75?VAR153 :VAR38;
assign VAR198=VAR75?VAR186 :VAR92;
assign VAR140=VAR75?VAR91:VAR172;
assign VAR71=VAR75?VAR146:VAR175;
assign VAR190=VAR75?VAR208:VAR76;
assign VAR238=VAR75?VAR170:VAR22;
assign VAR72=VAR75?VAR8:VAR197;
assign VAR54=VAR75?VAR85:VAR35;
assign o0 =VAR75?VAR163:VAR68 ;
assign o1 =VAR75?VAR105:VAR24 ;
assign o2 =VAR75?VAR90:VAR115 ;
assign o3 =VAR75?VAR177:VAR184 ;
assign o4 =VAR75?VAR129:VAR110 ;
assign o5 =VAR75?VAR134:VAR181 ;
assign o6 =VAR75?VAR120:VAR239 ;
assign o7 =VAR75?VAR53:VAR95 ;
assign VAR2 =VAR75?VAR132:VAR64 ;
assign VAR244 =VAR75?VAR98:VAR28 ;
assign o10=VAR75?VAR69:VAR97;
assign o11=VAR75?VAR37:VAR59;
assign o12=VAR75?VAR189:VAR80;
assign o13=VAR75?VAR131:VAR114;
assign o14=VAR75?VAR116:VAR147;
assign o15=VAR75?VAR73:VAR49;
assign o16=VAR75?VAR34:VAR178;
assign o17=VAR75?VAR7:VAR209;
assign VAR86=VAR75?VAR42:VAR57;
assign VAR155=VAR75?VAR180:VAR212;
assign o20=VAR75?VAR242:VAR130;
assign o21=VAR75?VAR188:VAR206;
assign o22=VAR75?VAR79:VAR33;
assign o23=VAR75?VAR154:VAR102;
assign o24=VAR75?VAR204:VAR66;
assign o25=VAR75?VAR63:VAR214;
assign o26=VAR75?VAR17:VAR41;
assign o27=VAR75?VAR192:VAR217;
assign VAR101=VAR75?VAR39:VAR199;
assign VAR231=VAR75?VAR100:VAR65;
assign o30=VAR75?VAR173:VAR124;
assign o31=VAR75?VAR15:VAR213;
VAR83 VAR27(
VAR126,
VAR75,
VAR96,
VAR3,
VAR108,
VAR232,
VAR201,
VAR121,
VAR99,
VAR152,
VAR163,
VAR105,
VAR90,
VAR177,
VAR129,
VAR134,
VAR120,
VAR53
);
VAR83 VAR89(
VAR240,
VAR75,
VAR122,
VAR160,
VAR50,
VAR70,
VAR158,
VAR32,
VAR127,
VAR56,
VAR132,
VAR98,
VAR69,
VAR37,
VAR189,
VAR131,
VAR116,
VAR73
);
VAR83 VAR44(
VAR18,
VAR75,
VAR143,
VAR82,
VAR165,
VAR30,
VAR222,
VAR207,
VAR58,
VAR144,
VAR34,
VAR7,
VAR42,
VAR180,
VAR242,
VAR188,
VAR79,
VAR154
);
VAR83 VAR151(
VAR240,
VAR75,
VAR241,
VAR198,
VAR140,
VAR71,
VAR190,
VAR238,
VAR72,
VAR54,
VAR204,
VAR63,
VAR17,
VAR192,
VAR39,
VAR100,
VAR173,
VAR15
);
VAR194 VAR224(
VAR60,
VAR75,
VAR182,
VAR248,
VAR149,
VAR247,
VAR233,
VAR205,
VAR111,
VAR107,
VAR203 ,
VAR61 ,
VAR226,
VAR141,
VAR229,
VAR128,
VAR6,
VAR139,
VAR77,
VAR191,
VAR19,
VAR211,
VAR179,
VAR166,
VAR48,
VAR236,
VAR156,
VAR87,
VAR13,
VAR40,
VAR167,
VAR74,
VAR25,
VAR219
);
VAR194 VAR119(
VAR235,
VAR75,
VAR137,
VAR31,
VAR221,
VAR202,
VAR29,
VAR218,
VAR237,
VAR157,
VAR187 ,
VAR67 ,
VAR225,
VAR245,
VAR55,
VAR43,
VAR162,
VAR150,
VAR200,
VAR109,
VAR136,
VAR161,
VAR117,
VAR52,
VAR183,
VAR14,
VAR153 ,
VAR186 ,
VAR91,
VAR146,
VAR208,
VAR170,
VAR8,
VAR85
);
VAR51 VAR23(
VAR138,
VAR75,
VAR223 ,
VAR185 ,
VAR47 ,
VAR164 ,
VAR135 ,
VAR123 ,
VAR4 ,
VAR81 ,
VAR103 ,
VAR174 ,
VAR228,
VAR125,
VAR243,
VAR16,
VAR159,
VAR210,
VAR215,
VAR195,
VAR88,
VAR112,
VAR220,
VAR78,
VAR168,
VAR227,
VAR133,
VAR94,
VAR1,
VAR118,
VAR11,
VAR93,
VAR246,
VAR169,
VAR68 ,
VAR24 ,
VAR115 ,
VAR184 ,
VAR110 ,
VAR181 ,
VAR239 ,
VAR95 ,
VAR64 ,
VAR28 ,
VAR97,
VAR59,
VAR80,
VAR114,
VAR147,
VAR49,
VAR178,
VAR209,
VAR57,
VAR212,
VAR130,
VAR206,
VAR33,
VAR102,
VAR66,
VAR214,
VAR41,
VAR217,
VAR199,
VAR65,
VAR124,
VAR213
);
endmodule | gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/clk_gen/clk_gen.v | 3,911 | module MODULE1
(
input VAR4,
output VAR1,
input reset
);
VAR2 VAR3
(
.VAR4(VAR4),
.VAR1(VAR1),
.reset(reset)
);
endmodule | gpl-3.0 |
Sponk/mips86 | src/opcode-buffer/OpcodeBuffer.v | 1,196 | module MODULE1
(
input wire clk,
input wire reset,
input wire [VAR3-1:0] VAR2,
input wire VAR7,
input wire [7:0] VAR10,
input wire VAR4,
output reg VAR9,
output reg [VAR11-1:0] VAR1,
output reg [VAR3-1:0] address,
output reg request
);
reg [7:0] VAR6[0:3];
reg [3:0] counter = 0;
reg [3:0] VAR5 = 0;
integer VAR8;
begin
begin
end
begin
begin
begin
begin | gpl-3.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/aoi211/gf180mcu_fd_sc_mcu7t5v0__aoi211_1.functional.v | 1,585 | module MODULE1( VAR8, VAR4, VAR14, VAR13, VAR5 );
input VAR14, VAR8, VAR13, VAR5;
output VAR4;
wire VAR1;
not VAR2( VAR1, VAR14 );
wire VAR3;
not VAR7( VAR3, VAR13 );
wire VAR10;
not VAR9( VAR10, VAR5 );
wire VAR18;
and VAR17( VAR18, VAR1, VAR3, VAR10 );
wire VAR12;
not VAR11( VAR12, VAR8 );
wire VAR16;
and VAR6( VAR16, VAR12, VAR3, VAR10 );
or VAR15( VAR4, VAR18, VAR16 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/fill_diode/sky130_fd_sc_ls__fill_diode_2.v | 1,901 | module MODULE1 (
VAR1,
VAR3,
VAR4 ,
VAR5
);
input VAR1;
input VAR3;
input VAR4 ;
input VAR5 ;
VAR2 VAR6 (
.VAR1(VAR1),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR5(VAR5)
);
endmodule
module MODULE1 ();
supply1 VAR1;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR5 ;
VAR2 VAR6 ();
endmodule | apache-2.0 |
csturton/wirepatch | system/hardware/cores/fabric/ovl_ported/redundant/ovl_time.v | 1,911 | module MODULE1 (VAR13, reset, enable, VAR2, VAR14, VAR15);
parameter VAR17 = VAR22;
parameter VAR8 = 1;
parameter VAR19 = VAR3;
parameter VAR16 = VAR7;
parameter VAR21 = VAR12;
parameter VAR18 = VAR6;
parameter VAR11 = VAR1;
parameter VAR5 = VAR24;
parameter VAR20 = VAR10;
input VAR13, reset, enable;
input VAR2;
input VAR14;
output [VAR4-1:0] VAR15;
parameter VAR9 = "VAR23";
begin | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlrtp/sky130_fd_sc_hd__dlrtp.pp.symbol.v | 1,434 | module MODULE1 (
input VAR2 ,
output VAR3 ,
input VAR7,
input VAR4 ,
input VAR6 ,
input VAR1 ,
input VAR8 ,
input VAR5
);
endmodule | apache-2.0 |
DougFirErickson/parallella-hw | fpga/src/elink/dv/emesh_monitor.v | 2,013 | module MODULE1(
clk, reset, VAR6, VAR4, VAR1, VAR11,
VAR13, VAR16, VAR14, VAR9,
VAR2, VAR7
);
parameter VAR15 = 32;
parameter VAR3 = 32;
parameter VAR8 = "VAR10";
input clk;
input reset;
input VAR6;
input [31:0] VAR4;
input VAR1;
input VAR11;
input [1:0] VAR13;
input [3:0] VAR16;
input [VAR15-1:0] VAR14;
input [VAR3-1:0] VAR9;
input [VAR15-1:0] VAR2;
input VAR7;
reg [63:0] VAR5=VAR8;
reg [31:0] VAR12;
begin
begin | gpl-3.0 |
hydai/Verilog-Practice | template/Mealy.v | 1,699 | module MODULE1 (
output out,
input in,
input clk,
input VAR1
);
parameter VAR2 = 2'b00;
parameter VAR4 = 2'b01;
parameter VAR6 = 2'b10;
parameter VAR5 = 2'b11;
reg state, VAR3;
reg out;
always @(posedge clk or negedge VAR1) begin
if (!VAR1) begin
state <= 0;
end else begin
state <= VAR3;
end
end
always @(*) begin
case(state)
VAR2: begin
if (in == 0) begin
VAR3 <= VAR2;
out <= 0;
end else if (in == 1) begin
VAR3 <= VAR4;
out <= 1;
end else begin
VAR3 <= VAR2;
out <= 0;
end
end
VAR4: begin
if (in == 0) begin
VAR3 <= VAR4;
out <= 0;
end else if (in == 1) begin
VAR3 <= VAR6;
out <= 1;
end else begin
VAR3 <= VAR2;
out <= 0;
end
end
VAR6: begin
if (in == 0) begin
VAR3 <= VAR6;
out <= 0;
end else if (in == 1) begin
VAR3 <= VAR5;
out <= 1;
end else begin
VAR3 <= VAR2;
out <= 0;
end
end
VAR5: begin
if (in == 0) begin
VAR3 <= VAR5;
out <= 0;
end else if (in == 1) begin
VAR3 <= VAR2;
out <= 1;
end else begin
VAR3 <= VAR2;
out <= 0;
end
end
endcase
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/bufinv/sky130_fd_sc_ls__bufinv.functional.pp.v | 1,782 | module MODULE1 (
VAR10 ,
VAR6 ,
VAR8,
VAR4,
VAR11 ,
VAR7
);
output VAR10 ;
input VAR6 ;
input VAR8;
input VAR4;
input VAR11 ;
input VAR7 ;
wire VAR2 ;
wire VAR1;
not VAR9 (VAR2 , VAR6 );
VAR5 VAR3 (VAR1, VAR2, VAR8, VAR4);
buf VAR12 (VAR10 , VAR1 );
endmodule | apache-2.0 |
cr88192/bgbtech_bjx1core | bwjx1c64a/DecWOp1.v | 15,655 | module MODULE1(
VAR39,
VAR100,
VAR105,
VAR113,
VAR46,
VAR47,
VAR26
);
parameter VAR12 = 1;
input VAR39;
input[23:0] VAR100;
output[6:0] VAR105;
output[6:0] VAR113;
output[6:0] VAR46;
output[31:0] VAR47;
output[7:0] VAR26;
reg[6:0] VAR80;
reg[6:0] VAR106;
reg[6:0] VAR35; reg[31:0] VAR69; reg[7:0] VAR90;
reg[7:0] VAR13;
assign VAR105 = VAR80;
assign VAR113 = VAR106;
assign VAR46 = VAR35;
assign VAR47 = VAR69;
assign VAR26 = VAR13;
reg[6:0] VAR52;
reg[6:0] VAR34;
reg[6:0] VAR88;
reg[6:0] VAR87;
reg[6:0] VAR94;
reg[6:0] VAR58;
reg[6:0] VAR109;
reg[6:0] VAR72;
reg[6:0] VAR51;
reg[31:0] VAR20;
reg[31:0] VAR84;
reg[31:0] VAR17;
reg[31:0] VAR96;
reg[31:0] VAR79;
reg[31:0] VAR110;
reg[31:0] VAR43;
reg[31:0] VAR101;
reg[7:0] VAR15;
reg[15:0] VAR99;
reg[4:0] VAR57;
reg[2:0] VAR65;
reg[ 7:0] VAR48;
reg[15:0] VAR30;
reg[4:0] VAR4;
reg[2:0] VAR38;
always @*
begin
VAR15 = VAR100[23:16];
VAR99 = VAR100[15: 0];
VAR90 = VAR27;
VAR69=0;
VAR57 = VAR9;
VAR65 = VAR76;
casez(VAR99[15:12])
4'h0: case(VAR99[3:0])
4'h4: begin VAR90 = VAR32;
VAR57 = VAR33;
end
4'h5: begin VAR90 = VAR75;
VAR57 = VAR33;
end
4'h6: begin VAR90 = VAR71;
VAR57 = VAR33;
end
4'h7: begin VAR90 = VAR1;
VAR57 = VAR33;
end
4'hC: begin VAR90 = VAR2;
VAR57 = VAR33;
end
4'hD: begin VAR90 = VAR10;
VAR57 = VAR33;
end
4'hE: begin VAR90 = VAR49;
VAR57 = VAR33;
end
4'hF: begin VAR90 = VAR29;
VAR57 = VAR33;
end
default: begin end
endcase
4'h1: begin VAR90 = VAR71;
VAR57 = VAR7;
end
4'h2: case(VAR99[3:0])
4'h0: begin VAR90 = VAR32;
VAR57 = VAR11;
end
4'h1: begin VAR90 = VAR75;
VAR57 = VAR11;
end
4'h2: begin VAR90 = VAR71;
VAR57 = VAR11;
end
4'h3: begin VAR90 = VAR1;
end
4'h9: begin VAR90 = VAR16; VAR57 = VAR103;
VAR65 = VAR67;
end
4'hA: begin VAR90 = VAR3; VAR57 = VAR103;
VAR65 = VAR67;
end
4'hB: begin VAR90 = VAR108; VAR57 = VAR103;
VAR65 = VAR67;
end
4'hE: begin VAR90 = VAR44; VAR57 = VAR103;
VAR65 = VAR67;
end
4'hF: begin VAR90 = VAR44; VAR57 = VAR103;
VAR65 = VAR86;
end
default: begin end
endcase
4'h3: case(VAR99[3:0])
4'h0: begin VAR90 = VAR14;
VAR57 = VAR60;
end
4'h2: begin VAR90 = VAR23;
VAR57 = VAR60;
end
4'h3: begin VAR90 = VAR18;
VAR57 = VAR60;
end
4'h6: begin VAR90 = VAR5;
VAR57 = VAR60;
end
4'h7: begin VAR90 = VAR19;
VAR57 = VAR60;
end
4'h8: begin VAR90 = VAR97;
VAR57 = VAR103;
VAR65 = VAR67;
end
4'hC: begin VAR90 = VAR6;
VAR57 = VAR103;
VAR65 = VAR67;
end
default: begin end
endcase
4'h4: case(VAR99[3:0])
4'h3: begin case(VAR99[7:4])
4'h0: begin
VAR90 = VAR6; VAR57 = VAR37;
end
4'h1: begin
VAR90 = VAR97; VAR57 = VAR37;
end
4'h2: begin
VAR90 = VAR44; VAR57 = VAR37;
end
4'h3: begin
VAR90 = VAR16; VAR57 = VAR37;
end
4'h4: begin
VAR90 = VAR108; VAR57 = VAR37;
end
4'h5: begin
VAR90 = VAR3; VAR57 = VAR37;
end
4'h6: begin
VAR90 = VAR83; VAR57 = VAR37;
end
4'h7: begin
VAR90 = VAR53; VAR57 = VAR37;
end
4'h8: begin
VAR90 = VAR55; VAR57 = VAR37;
end
4'h9: begin
VAR90 = VAR21; VAR57 = VAR37;
end
4'hC: begin
VAR90 = VAR104;
VAR57 = VAR98;
end
4'hD: begin
VAR90 = VAR45;
VAR57 = VAR98;
end
4'hE: begin
VAR90 = VAR95;
VAR57 = VAR98;
end
default: begin end
endcase
end
4'hC: begin VAR90 = VAR55;
VAR57 = VAR103;
VAR65 = VAR76;
end
4'hD: begin VAR90 = VAR83;
VAR57 = VAR103;
VAR65 = VAR76;
end
4'hE: begin case(VAR99[7:4])
4'h0: begin
VAR90 = VAR61;
VAR57 = VAR37;
end
4'h1: begin
VAR90 = VAR59;
VAR57 = VAR37;
end
default: begin end
endcase
end
default: begin end
endcase
4'h5: begin VAR90 = VAR49;
VAR57 = VAR7;
end
4'h6: case(VAR99[3:0])
4'h0: begin VAR90 = VAR2;
VAR57 = VAR11;
end
4'h1: begin VAR90 = VAR10;
VAR57 = VAR11;
end
4'h2: begin VAR90 = VAR49;
VAR57 = VAR11;
end
4'h3: begin VAR90 = VAR29;
VAR57 = VAR11;
end
4'h4: begin VAR90 = VAR70;
VAR57 = VAR33;
end
4'h5: begin VAR90 = VAR25;
VAR57 = VAR33;
end
4'h6: begin VAR90 = VAR112;
VAR57 = VAR33;
end
4'h7: begin
VAR90 = VAR66;
VAR57 = VAR33;
end
4'h8: begin
VAR90 = VAR70;
VAR57 = VAR11;
end
4'h9: begin
VAR90 = VAR25;
VAR57 = VAR11;
end
4'hA: begin
VAR90 = VAR112;
VAR57 = VAR11;
end
4'hB: begin
VAR90 = VAR66;
VAR57 = VAR11;
end
4'hC: begin
VAR90 = VAR61;
VAR57 = VAR11;
end
4'hD: begin
VAR90 = VAR59;
VAR57 = VAR11;
end
4'hE: begin
VAR90 = VAR91;
VAR57 = VAR11;
end
4'hF: begin
VAR90 = VAR29;
VAR57 = VAR11;
end
default: begin end
endcase
4'h7: begin VAR90 = VAR6;
VAR57 = VAR107;
end
4'h8: case(VAR99[11:8])
4'h2: begin VAR90 = VAR22; VAR57 = VAR102;
end
4'h3: begin VAR90 = VAR50; VAR57 = VAR102;
end
4'h6: begin VAR90 = VAR41; VAR57 = VAR102;
end
4'h7: begin VAR90 = VAR36; VAR57 = VAR102;
end
4'h8: begin VAR90 = VAR14;
VAR57 = VAR111;
end
4'h9: begin VAR90 = VAR81; VAR57 = VAR102;
end
4'hA: begin end
4'hB: begin VAR90 = VAR68; VAR57 = VAR102;
end
4'hC: begin end
4'hD: begin VAR90 = VAR114; VAR57 = VAR102;
end
4'hF: begin VAR90 = VAR62; VAR57 = VAR102;
end
default: begin end
endcase
4'h9: begin VAR90 = VAR54;
VAR57 = VAR107;
end
4'hA: begin case(VAR99[3:0])
4'h0: begin
VAR90 = VAR6;
VAR57 = VAR33;
end
4'h1: begin
VAR90 = VAR97;
VAR57 = VAR33;
end
4'h2: begin
VAR90 = VAR44;
VAR57 = VAR33;
end
4'h3: begin
VAR90 = VAR16;
VAR57 = VAR33;
end
4'h4: begin
VAR90 = VAR108;
VAR57 = VAR33;
end
4'h5: begin
VAR90 = VAR3;
VAR57 = VAR33;
end
4'h6: begin
VAR90 = VAR55;
VAR57 = VAR33;
end
4'h7: begin
VAR90 = VAR83;
VAR57 = VAR33;
end
default: begin end
endcase
end
4'hB: begin VAR90 = VAR42;
VAR57 = VAR92;
end
4'hC: case(VAR99[11:8])
4'h0: begin
VAR90 = VAR32;
VAR57 = VAR82;
end
4'h1: begin
VAR90 = VAR75;
VAR57 = VAR82;
end
4'h2: begin
VAR90 = VAR71;
VAR57 = VAR82;
end
4'h4: begin
VAR90 = VAR2;
VAR57 = VAR73;
end
4'h5: begin
VAR90 = VAR10;
VAR57 = VAR73;
end
4'h6: begin
VAR90 = VAR49;
VAR57 = VAR73;
end
4'h8: begin VAR90 = VAR40;
VAR57 = VAR63;
end
4'h9: begin VAR90 = VAR16;
VAR57 = VAR111;
end
4'hA: begin VAR90 = VAR3;
VAR57 = VAR111;
end
4'hB: begin VAR90 = VAR108;
VAR57 = VAR111;
end
default: begin end
endcase
4'hE: begin VAR90 = VAR42;
VAR57 = VAR107;
end
default: begin end
endcase
if(!VAR12)
begin
VAR48 = VAR15;
VAR30 = VAR99;
VAR4 = VAR57;
VAR38 = VAR65;
VAR13 = VAR90;
end
VAR52 = {2'b00, VAR48[6], VAR30[11: 8]};
VAR34 = {2'b00, VAR48[5], VAR30[ 7: 4]};
VAR88 = {2'b00, VAR48[4], VAR30[ 3: 0]};
VAR87 = {2'b00, VAR48[4], VAR48[ 3: 0]};
VAR94 = {2'b00, VAR48[7], VAR30[11: 8]};
VAR58 = {2'b00, VAR48[6], VAR30[ 7: 4]};
VAR109 = {2'b10, VAR48[6], VAR30[11: 8]};
VAR72 = {2'b10, VAR48[5], VAR30[ 7: 4]};
VAR51 = {2'b10, VAR48[4], VAR48[ 3: 0]};
VAR20 = {VAR48[5] ? 26'h3FFFFFF : 26'h0, VAR48[ 5:0]};
VAR84 = {26'h0000000, VAR48[ 5:0]};
VAR17 = {26'h3FFFFFF, VAR48[ 5:0]};
VAR79 = {VAR48[5] ? 22'h3FFFFF : 22'h0,
VAR48[ 5:0], VAR30[ 7:4]};
VAR110 = {VAR48[5] ? 22'h3FFFFF : 22'h0,
VAR48[ 5:0], VAR30[ 3:0]};
VAR101 = {VAR48[7] ? 12'hFFF : 12'h000,
VAR48[ 7:0], VAR30[11:0]};
VAR96 = {VAR48[6] ? 17'h1FFFF : 17'h00000,
VAR48[ 6:0], VAR30[ 7:0]};
VAR43 = {28'h000000, VAR48[ 3:0]};
VAR80=VAR93;
VAR106=VAR93;
VAR35=VAR93;
case(VAR4)
VAR77: begin
end
VAR8: begin
VAR80=VAR52;
VAR106=VAR80;
end
VAR11: begin
VAR80 = VAR94; VAR106 = VAR58;
VAR69 = VAR84;
end
VAR33: begin
VAR80=VAR52; VAR106=VAR34;
VAR35=VAR87; VAR69=0;
end
VAR7: begin
VAR80 = VAR52; VAR106 = VAR34;
VAR35 = VAR88; VAR69 = VAR43;
end
VAR78: begin
VAR80=VAR52;
VAR106=VAR34;
end
VAR103: begin
VAR80=VAR94; VAR106=VAR58;
VAR35=VAR74;
case(VAR38)
VAR76: VAR69=VAR20;
VAR67: VAR69=VAR84;
VAR86: VAR69=VAR17;
default: begin VAR69=VAR89; end
endcase
end
VAR60: begin
VAR106=VAR94;
VAR35=VAR74;
VAR69=VAR79;
end
VAR24: begin
VAR80=VAR52;
VAR106=VAR34;
end
VAR107: begin
VAR80=VAR94;
VAR106=VAR94;
VAR35=VAR74; VAR69=VAR96;
end
VAR102: begin
VAR69 = VAR96;
end
VAR111: begin
VAR80=VAR34; VAR106=VAR34;
VAR35=VAR74; VAR69=VAR110;
end
VAR28: begin
VAR80=VAR52; VAR106=VAR52;
VAR35=VAR74;
end
VAR82: begin
VAR80=VAR85; VAR106=VAR58;
VAR35=VAR74; VAR69=VAR110;
end
VAR73: begin
VAR80=VAR58; VAR106=VAR85;
VAR35=VAR74; VAR69=VAR110;
end
VAR98: begin
VAR80=VAR109;
VAR106=VAR72;
VAR35=VAR51;
end
VAR37: begin
VAR80=VAR52;
VAR106=VAR34;
VAR35=VAR87;
VAR69=0;
end
VAR92: begin
VAR80=VAR56; VAR106=VAR56;
VAR35=VAR74; VAR69=VAR96;
end
VAR9: begin
VAR80=VAR31; VAR106=VAR31;
VAR35=VAR31; VAR69=32'VAR64;
end
default: begin
VAR80=VAR31; VAR106=VAR31;
VAR35=VAR31; VAR69=32'VAR64;
end
endcase
end
always @(posedge VAR39)
begin
if(VAR12)
begin
VAR48 <= VAR15;
VAR30 <= VAR99;
VAR4 <= VAR57;
VAR38 <= VAR65;
VAR13 <= VAR90;
end
end
endmodule | mit |
GSejas/Dise-o-ASIC-FPGA-FPU | my_sourcefiles/Source_Files/FPU_Interface/fpmult_arch2/submidRecursiveKOA2.v | 5,303 | module MODULE1
(
input wire clk,
input wire [VAR29-1:0] VAR27,
input wire [VAR29-1:0] VAR19,
output reg [2*VAR29-1:0] VAR15
);
wire [1:0] VAR7;
wire [3:0] VAR11;
assign VAR7 = 2'b00;
assign VAR11 = 4'b0000;
wire [VAR29/2-1:0] VAR5;
wire [VAR29/2:0] VAR26;
wire [VAR29/2-3:0] VAR10;
wire [VAR29/2-4:0] VAR20;
reg [4*(VAR29/2)+2:0] VAR17;
reg [4*(VAR29/2)-1:0] VAR4;
assign VAR5 = {(VAR29/2){1'b0}};
assign VAR26 = {(VAR29/2+1){1'b0}};
assign VAR10 = {(VAR29/2-4){1'b0}}; assign VAR20 = {(VAR29/2-5){1'b0}};
localparam VAR23 = VAR29/2;
generate
reg [VAR29-1:0] VAR28;
reg [VAR29-1:0] VAR9;
always @(posedge clk) begin : VAR3
VAR28 = VAR27;
VAR9 = VAR19;
end
case (VAR29%2)
0:begin : VAR18
reg [VAR29/2:0] VAR6;
reg [VAR29/2:0] VAR21;
wire [VAR29-1:0] VAR13;
wire [VAR29-1:0] VAR12;
wire [VAR29+1:0] VAR22;
reg [2*(VAR29/2+2)-1:0] VAR25;
reg [VAR29+1:0] VAR31;
VAR14 #(.VAR29(VAR29/2)) VAR24(
.VAR27(VAR28[VAR29-1:VAR29-VAR29/2]),
.VAR19(VAR9[VAR29-1:VAR29-VAR29/2]),
.VAR15(VAR13)
);
VAR14 #(.VAR29(VAR29/2)) VAR1(
.VAR27(VAR28[VAR29-VAR29/2-1:0]),
.VAR19(VAR9[VAR29-VAR29/2-1:0]),
.VAR15(VAR12)
);
VAR14 #(.VAR29((VAR29/2)+1)) VAR30 (
.VAR27(VAR6),
.VAR19(VAR21),
.VAR15(VAR22)
);
always @* begin : VAR8
VAR6 <= (VAR28[((VAR29/2)-1):0] + VAR28[(VAR29-1) -: VAR29/2]);
VAR21 <= (VAR9[((VAR29/2)-1):0] + VAR9[(VAR29-1) -: VAR29/2]);
VAR31 <= (VAR22 - VAR13 - VAR12);
VAR15 <= {VAR10,VAR31,VAR5} + {VAR13,VAR12};
end
end
1:begin : VAR2
reg [VAR29/2+1:0] VAR6;
reg [VAR29/2+1:0] VAR21;
wire [2*(VAR29/2)-1:0] VAR13;
wire [2*(VAR29/2+1)-1:0] VAR12;
wire [2*(VAR29/2+2)-1:0] VAR22;
reg [2*(VAR29/2+2)-1:0] VAR25;
reg [VAR29+4-1:0] VAR31;
VAR14 #(.VAR29(VAR29/2)) VAR24(
.VAR27(VAR28[VAR29-1:VAR29-VAR29/2]),
.VAR19(VAR9[VAR29-1:VAR29-VAR29/2]),
.VAR15(VAR13)
);
VAR14 #(.VAR29((VAR29/2)+1)) VAR1(
.VAR27(VAR28[VAR29-VAR29/2-1:0]),
.VAR19(VAR9[VAR29-VAR29/2-1:0]),
.VAR15(VAR12)
);
VAR14 #(.VAR29(VAR29/2+2)) VAR30 (
.VAR27(VAR6),
.VAR19(VAR21),
.VAR15(VAR22)
);
always @* begin : VAR16
VAR6 <= (VAR28[VAR29-VAR29/2-1:0] + VAR28[VAR29-1:VAR29-VAR29/2]);
VAR21 <= VAR9[VAR29-VAR29/2-1:0] + VAR9[VAR29-1:VAR29-VAR29/2];
VAR31 <= (VAR22 - VAR13 - VAR12);
VAR15 <= {VAR20,VAR31,VAR26} + {VAR13,VAR12};
end
end
endcase
endgenerate
endmodule | gpl-3.0 |
olgirard/openmsp430 | fpga/altera_de0_nano_soc/rtl/verilog/opengfx430/ogfx_gpu.v | 11,367 | module MODULE1 (
VAR20, VAR63, VAR5, VAR49,
VAR28, VAR6, VAR60, VAR72,
VAR15, VAR19,
VAR62,
VAR7,
VAR29, VAR25, VAR21,
VAR36, VAR4 );
output VAR20; output VAR63; output VAR5; output VAR49;
output [VAR33:0] VAR28; output [15:0] VAR6; output VAR60; output VAR72;
input VAR15; input VAR19;
input [VAR37:0] VAR62;
input [2:0] VAR7;
input [15:0] VAR29; input VAR25; input VAR21;
input [15:0] VAR36; input VAR4;
wire VAR44;
wire VAR47;
wire VAR26;
wire VAR64;
wire [VAR66:0] VAR35;
wire VAR40;
wire VAR13;
wire VAR53;
wire [15:0] VAR12;
wire [3:0] VAR1;
wire [VAR37:0] VAR51;
wire [VAR37:0] VAR9;
wire [VAR66:0] VAR50;
wire VAR8;
wire VAR34;
wire VAR27;
wire [15:0] VAR77;
wire VAR3;
VAR41 VAR71 (
.VAR20 (VAR20 ), .VAR63 (VAR63 ), .VAR49 (VAR49 ),
.VAR67 (VAR44 ), .VAR18 (VAR47 ), .VAR55 (VAR26 ), .VAR32 (VAR64 ),
.VAR76 (VAR35 ), .VAR30 (VAR40 ), .VAR39 (VAR13 ), .VAR22 (VAR53 ), .VAR2 (VAR12 ), .VAR10 (VAR1 ), .VAR69 (VAR51 ), .VAR52 (VAR9 ), .VAR57 (VAR50 ), .VAR16 (VAR8 ), .VAR56 (VAR34 ), .VAR42 (VAR27 ), .VAR31 (VAR77 ),
.VAR15 (VAR15 ), .VAR19 (VAR19 ),
.VAR29 (VAR29 ), .VAR25 (VAR25 ), .VAR7 (VAR7 ), .VAR21 (VAR21 ),
.VAR48 (VAR3 ) );
VAR14 VAR70 (
.VAR65 (VAR3 ), .VAR5 (VAR5 ),
.VAR28 (VAR28 ), .VAR6 (VAR6 ), .VAR60 (VAR60 ), .VAR72 (VAR72 ),
.VAR15 (VAR15 ), .VAR19 (VAR19 ),
.VAR59 (VAR35 ), .VAR38 (VAR40 ), .VAR11 (VAR13 ), .VAR54 (VAR53 ), .VAR17 (VAR12 ), .VAR24 (VAR1 ), .VAR73 (VAR51 ), .VAR75 (VAR9 ), .VAR45 (VAR50 ), .VAR78 (VAR8 ), .VAR68 (VAR34 ), .VAR61 (VAR27 ), .VAR74 (VAR77 ),
.VAR62 (VAR62 ),
.VAR7 (VAR7 ),
.VAR21 (VAR21 ),
.VAR58 (VAR44 ), .VAR23 (VAR47 ), .VAR43 (VAR26 ), .VAR46 (VAR64 ),
.VAR36 (VAR36 ), .VAR4 (VAR4) );
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/nor3/sky130_fd_sc_lp__nor3.pp.symbol.v | 1,314 | module MODULE1 (
input VAR4 ,
input VAR1 ,
input VAR8 ,
output VAR2 ,
input VAR3 ,
input VAR7,
input VAR5,
input VAR6
);
endmodule | apache-2.0 |
MarcoVogt/basil | firmware/modules/tlu/tlu_controller_core.v | 25,409 | module MODULE1
parameter VAR165 = 16,
parameter VAR185 = 8, parameter VAR196 = 17, parameter VAR129 = 8,
parameter VAR116 = 32
)
(
input wire VAR90,
input wire VAR186,
input wire [VAR165-1:0] VAR68,
input wire [7:0] VAR35,
input wire VAR144,
input wire VAR33,
output reg [7:0] VAR14,
input wire VAR170,
input wire VAR57,
output wire VAR26,
output wire [31:0] VAR32,
output reg VAR71,
output wire VAR178,
output wire [VAR129-1:0] VAR112,
output wire VAR27,
input wire [VAR129-1:0] VAR138, input wire [VAR129-1:0] VAR43,
input wire VAR171, input wire VAR174, output wire VAR175,
input wire VAR65, input wire VAR106,
output wire VAR37,
output wire VAR159,
output wire [VAR116-1:0] VAR36
);
localparam VAR53 = 10;
wire VAR132; assign VAR132 = (VAR68 == 0 && VAR33);
reg VAR135, VAR181, VAR124, VAR172;
always @(posedge VAR90) begin
VAR135 <= VAR132;
VAR181 <= VAR135;
VAR124 <= VAR186;
VAR172 <= VAR124;
end
wire VAR69;
assign VAR69 = ~VAR181 & VAR135;
wire VAR42;
assign VAR42 = VAR172 & ~VAR124; wire VAR52;
assign VAR52 = VAR42 | VAR69;
wire VAR101;
VAR109 VAR167 (
.VAR111(VAR90),
.VAR169(VAR170),
.VAR1(VAR52),
.VAR78(VAR101)
);
reg [7:0] VAR103[63:0];
wire [1:0] VAR99; assign VAR99 = VAR103[1][1:0];
wire VAR16; assign VAR16 = VAR103[1][2];
wire VAR3;
assign VAR3 = VAR103[1][3];
wire [3:0] VAR121;
assign VAR121 = VAR103[1][7:4];
wire [1:0] VAR28;
assign VAR28 = VAR103[2][1:0];
wire VAR156;
assign VAR156 = VAR103[2][5];
wire VAR117;
assign VAR117 = VAR103[2][6];
wire [7:0] VAR161;
assign VAR161 = VAR103[3];
wire [31:0] VAR200;
assign VAR200 = {VAR103[16], VAR103[15], VAR103[14], VAR103[13]};
wire [31:0] VAR115;
assign VAR115 = {VAR103[20], VAR103[19], VAR103[18], VAR103[17]};
wire [31:0] VAR157;
assign VAR157 = {VAR103[24], VAR103[23], VAR103[22], VAR103[21]};
wire [31:0] VAR123;
assign VAR123 = {VAR103[28], VAR103[27], VAR103[26], VAR103[25]};
wire [7:0] VAR94;
assign VAR94 = VAR103[29];
wire [7:0] VAR192;
assign VAR192 = VAR103[30];
wire [7:0] VAR126;
assign VAR126 = VAR103[33];
always @(posedge VAR90)
begin
if(VAR52)
begin
VAR103[0] <= 8'b0;
VAR103[1] <= 8'b0;
VAR103[2] <= 8'b0;
VAR103[3] <= 8'b11111111;
VAR103[4] <= 8'b0; VAR103[5] <= 8'b0;
VAR103[6] <= 8'b0;
VAR103[7] <= 8'b0;
VAR103[8] <= 8'b0; VAR103[9] <= 8'b0;
VAR103[10] <= 8'b0;
VAR103[11] <= 8'b0;
VAR103[12] <= 8'b0; VAR103[13] <= 8'b0; VAR103[14] <= 8'b0; VAR103[15] <= 8'b0; VAR103[16] <= 8'b0; VAR103[17] <= 8'b0; VAR103[18] <= 8'b0; VAR103[19] <= 8'b0; VAR103[20] <= 8'b0; VAR103[21] <= 8'b0; VAR103[22] <= 8'b0; VAR103[23] <= 8'b0; VAR103[24] <= 8'b0; VAR103[25] <= 8'b0; VAR103[26] <= 8'b0;
VAR103[27] <= 8'b0;
VAR103[28] <= 8'b0;
VAR103[29] <= 8'd3; VAR103[30] <= 8'b0; VAR103[31] <= 8'b0; VAR103[32] <= 8'b0; VAR103[33] <= 8'b0; end
else if(VAR33 && VAR68 < 34)
begin
VAR103[VAR68[5:0]] <= VAR35;
end
end
reg [7:0] VAR40; reg [31:0] VAR19, VAR88; reg [31:0] VAR61, VAR189; reg [7:0] VAR120;
reg [7:0] VAR5;
always @ (posedge VAR90) begin
if(VAR144) begin
if (VAR68 == 0)
VAR14 <= VAR53;
end
else if (VAR68 == 1)
VAR14 <= VAR103[1];
end
else if (VAR68 == 2)
VAR14 <= VAR103[2];
else if (VAR68 == 3)
VAR14 <= VAR103[3];
else if (VAR68 == 4)
VAR14 <= VAR19[7:0];
else if (VAR68 == 5)
VAR14 <= VAR88[15:8];
else if (VAR68 == 6)
VAR14 <= VAR88[23:16];
else if (VAR68 == 7)
VAR14 <= VAR88[31:24];
else if (VAR68 == 8)
VAR14 <= VAR61[7:0];
else if (VAR68 == 9)
VAR14 <= VAR189[15:8];
else if (VAR68 == 10)
VAR14 <= VAR189[23:16];
else if (VAR68 == 11)
VAR14 <= VAR189[31:24];
else if (VAR68 == 12)
VAR14 <= VAR40;
else if (VAR68 == 13)
VAR14 <= VAR103[13];
else if (VAR68 == 14)
VAR14 <= VAR103[14];
else if (VAR68 == 15)
VAR14 <= VAR103[15];
else if (VAR68 == 16)
VAR14 <= VAR103[16];
else if (VAR68 == 17)
VAR14 <= VAR103[17];
else if (VAR68 == 18)
VAR14 <= VAR103[18];
else if (VAR68 == 19)
VAR14 <= VAR103[19];
else if (VAR68 == 20)
VAR14 <= VAR103[20];
else if (VAR68 == 21)
VAR14 <= VAR103[21];
else if (VAR68 == 22)
VAR14 <= VAR103[22];
else if (VAR68 == 23)
VAR14 <= VAR103[23];
else if (VAR68 == 24)
VAR14 <= VAR103[24];
else if (VAR68 == 25)
VAR14 <= VAR103[25];
else if (VAR68 == 26)
VAR14 <= VAR103[26];
else if (VAR68 == 27)
VAR14 <= VAR103[27];
else if (VAR68 == 28)
VAR14 <= VAR103[28];
else if (VAR68 == 29)
VAR14 <= VAR103[29];
else if (VAR68 == 30)
VAR14 <= VAR103[30];
else if (VAR68 == 31)
VAR14 <= VAR120;
else if (VAR68 == 32)
VAR14 <= VAR5;
else if (VAR68 == 33)
VAR14 <= VAR103[33];
else
VAR14 <= 0;
end
end
assign VAR112 = VAR200[VAR129-1:0];
wire [1:0] VAR134;
VAR164 #(
.VAR129(2)
) VAR194 (
.VAR7(VAR170),
.VAR67(VAR99),
.VAR25(VAR134)
);
wire [7:0] VAR183;
VAR164 #(
.VAR129(8)
) VAR100 (
.VAR7(VAR170),
.VAR67(VAR161),
.VAR25(VAR183)
);
wire [3:0] VAR59;
VAR164 #(
.VAR129(4)
) VAR45 (
.VAR7(VAR170),
.VAR67(VAR121),
.VAR25(VAR59)
);
wire VAR110;
VAR164 VAR13 (
.VAR7(VAR170),
.VAR67(VAR16),
.VAR25(VAR110)
);
wire VAR55;
VAR164 VAR187 (
.VAR7(VAR170),
.VAR67(VAR3),
.VAR25(VAR55)
);
wire VAR182;
VAR164 VAR81 (
.VAR7(VAR170),
.VAR67(VAR117),
.VAR25(VAR182)
);
wire VAR152;
VAR164 VAR128 (
.VAR7(VAR170),
.VAR67(VAR156),
.VAR25(VAR152)
);
wire VAR105, VAR24, VAR6, VAR177;
VAR164 VAR136 (
.VAR7(VAR170),
.VAR67(VAR65),
.VAR25(VAR105)
);
wire [VAR129-1:0] VAR140;
wire VAR122;
assign VAR140 = VAR138[VAR129-1:0] ^ VAR157[VAR129-1:0];
assign VAR122 = |(VAR140 & VAR200[VAR129-1:0]);
VAR164 VAR76 (
.VAR7(VAR170),
.VAR67(VAR122),
.VAR25(VAR24)
);
VAR164 VAR82 (
.VAR7(VAR170),
.VAR67(VAR106),
.VAR25(VAR6)
);
wire VAR168;
assign VAR168 = |(VAR43[VAR129-1:0] & VAR115[VAR129-1:0]);
VAR164 VAR18 (
.VAR7(VAR170),
.VAR67(VAR168),
.VAR25(VAR177)
);
wire [7:0] VAR46;
VAR164 #(
.VAR129(8)
) VAR104 (
.VAR7(VAR170),
.VAR67(VAR94),
.VAR25(VAR46)
);
wire [7:0] VAR130;
VAR164 #(
.VAR129(8)
) VAR73 (
.VAR7(VAR170),
.VAR67(VAR192),
.VAR25(VAR130)
);
wire [7:0] VAR39;
VAR164 #(
.VAR129(8)
) VAR51 (
.VAR7(VAR170),
.VAR67(VAR126),
.VAR25(VAR39)
);
wire VAR80, VAR95;
integer VAR58;
reg VAR86;
always @ (posedge VAR170)
VAR86 <= VAR80;
wire VAR188;
assign VAR188 = ~VAR86 & VAR80;
wire VAR119;
assign VAR119 = VAR86 & ~VAR80;
reg VAR10;
always @ (posedge VAR170)
begin
if (VAR101)
VAR10 <= 1'b0;
if (VAR175)
VAR10 <= 1'b0;
end
else if (VAR119)
VAR10 <= 1'b0;
else if (VAR188)
VAR10 <= 1'b1;
end
reg VAR87;
always @ (posedge VAR170)
begin
if (VAR101)
VAR87 <= 1'b0;
end
else
if (VAR95)
if (VAR58 == 0)
VAR87 <= ~VAR87;
else
VAR87 <= VAR87;
else
VAR87 <= 1'b0;
end
assign VAR159 = VAR87 | VAR10;
always @ (posedge VAR170)
begin
if (VAR101)
VAR58 <= 0;
end
else
begin
if (VAR95)
begin
if (VAR58 == ((VAR185 >> 1) - 1))
VAR58 <= 0;
end
else
VAR58 <= VAR58 + 1;
end
else
VAR58 <= 0;
end
end
wire VAR160;
assign VAR160 = (VAR134 != 2'b00) ? VAR105 : VAR24;
reg VAR184;
always @ (posedge VAR170)
VAR184 <= VAR6;
wire VAR137;
assign VAR137 = ~VAR184 & VAR6 & VAR152;
reg [31:0] VAR149;
wire [31:0] VAR21;
wire VAR127;
always @ (posedge VAR170)
begin
if (VAR101)
VAR149 <= 32'b0;
end
else if (VAR127 == 1'b1)
VAR149 <= VAR21;
end
wire VAR202;
VAR109 VAR147 (
.VAR111(VAR170),
.VAR169(VAR90),
.VAR1(VAR127),
.VAR78(VAR202)
);
always @ (posedge VAR90)
begin
if (VAR52)
VAR19 <= 32'b0;
end
else if (VAR202 == 1'b1)
VAR19 <= VAR149;
end
always @ (posedge VAR90)
begin
if (VAR52)
VAR88 <= 32'b0;
end
else if (VAR68 == 4 && VAR144)
VAR88 <= VAR19;
end
wire VAR148;
VAR109 VAR146 (
.VAR111(VAR170),
.VAR169(VAR90),
.VAR1(VAR175),
.VAR78(VAR148)
);
wire VAR145, VAR118;
VAR109 VAR190 (
.VAR111(VAR170),
.VAR169(VAR90),
.VAR1(VAR145),
.VAR78(VAR118)
);
always @ (posedge VAR90)
begin
if (VAR52)
VAR120 <= 8'b0;
end
else if (VAR118 == 1'b1 && VAR120 != -1)
VAR120 <= VAR120 + 1;
end
wire VAR75, VAR79;
VAR109 VAR153 (
.VAR111(VAR170),
.VAR169(VAR90),
.VAR1(VAR75),
.VAR78(VAR79)
);
always @ (posedge VAR90)
begin
if (VAR52)
VAR5 <= 8'b0;
end
else if (VAR79 == 1'b1 && VAR5 != -1)
VAR5 <= VAR5 + 1;
end
wire VAR108;
reg VAR38;
assign VAR108 = (VAR68 == 11 && VAR33);
always @ (posedge VAR90)
begin
VAR38 <= VAR108;
end
wire VAR102;
VAR92 VAR20 (.VAR162(VAR90), .VAR8(VAR38), .VAR47(VAR170), .VAR179(VAR102));
always @ (posedge VAR90)
begin
if (VAR52)
VAR61 <= 32'b0;
end
else if (VAR108)
VAR61 <= {VAR35, VAR103[10], VAR103[9], VAR103[8]};
else if (VAR148 == 1'b1)
VAR61 <= VAR61 + 1;
end
always @ (posedge VAR90)
begin
if (VAR52)
VAR61 <= 32'b0;
end
else if (VAR108)
VAR61 <= {VAR35, VAR103[10], VAR103[9], VAR103[8]};
else if (VAR148 == 1'b1)
VAR61 <= VAR61 + 1;
end
wire VAR143;
assign VAR143 = (VAR171 == 1'b1) ? VAR174 : VAR175;
reg VAR85;
always @ (posedge VAR90)
begin
if (VAR52)
VAR85 <= 1'b0;
end
else if (VAR61 >= VAR123 && VAR123 != 32'b0)
VAR85 <= 1'b1;
else
VAR85 <= 1'b0;
end
wire VAR93;
VAR164 VAR141 (
.VAR7(VAR170),
.VAR67(VAR85),
.VAR25(VAR93)
);
reg VAR193;
always @ (posedge VAR170)
begin
if (VAR101)
VAR193 <= 1'b0;
end
else if ((VAR55 == 1'b1) && !VAR93)
VAR193 <= 1'b1;
else
VAR193 <= 1'b0;
end
assign VAR178 = VAR193;
assign VAR27 = (VAR193 && VAR134 != 2'b00);
always @ (posedge VAR90)
begin
if (VAR52)
VAR189 <= 32'b0;
end
else if (VAR68 == 8 && VAR144)
VAR189 <= VAR61;
end
wire VAR49, VAR50;
VAR164 VAR158 (
.VAR7(VAR90),
.VAR67(VAR49),
.VAR25(VAR50)
);
reg VAR84;
always @ (posedge VAR90)
VAR84 <= VAR50;
wire VAR151;
assign VAR151 = ~VAR84 & VAR50;
wire VAR155;
assign VAR155 = VAR84 & ~VAR50;
reg VAR44;
always @ (posedge VAR90)
VAR44 <= VAR26;
wire VAR131;
assign VAR131 = ~VAR44 & VAR26;
wire VAR163;
VAR109 VAR63 (
.VAR111(VAR90),
.VAR169(VAR170),
.VAR1(VAR131),
.VAR78(VAR163)
);
always @ (posedge VAR90) begin
if (VAR52)
VAR71 <= 1'b0;
end
else
if (VAR131)
VAR71 <= 1'b0;
else if (VAR155 == 1'b1)
VAR71 <= 1'b0;
else if (VAR151 == 1'b1)
VAR71 <= 1'b1; end
wire [31:0] VAR9;
VAR17 #(
.VAR185(VAR185),
.VAR196(VAR196),
.VAR116(VAR116)
) VAR107 (
.VAR98(VAR101),
.VAR170(VAR170),
.VAR127(VAR127),
.VAR9(VAR9),
.VAR71(VAR49),
.VAR83(VAR163),
.VAR36(VAR36),
.VAR150(),
.VAR21(VAR21),
.VAR60(),
.VAR108(VAR102),
.VAR62(VAR61),
.VAR99(VAR134),
.VAR195(VAR39),
.VAR138(VAR160),
.VAR43(VAR177),
.VAR64(VAR193),
.VAR174(VAR143),
.VAR175(VAR175),
.VAR161(VAR183),
.VAR121(VAR59),
.VAR16(VAR110),
.VAR117(VAR182),
.VAR166(VAR137),
.VAR28(VAR28),
.VAR37(VAR37),
.VAR95(VAR95),
.VAR80(VAR80),
.VAR201(VAR46),
.VAR191(VAR130),
.VAR145(VAR145),
.VAR75(VAR75)
);
reg [7:0] VAR133;
always@(posedge VAR90) begin
if (VAR52)
end
VAR133 <= 8'b11111111; else if (VAR133 != 0)
VAR133 <= VAR133 - 1;
end
wire VAR97;
assign VAR97 = |VAR133;
wire VAR48;
wire VAR70;
assign VAR70 = !VAR48 && VAR127;
wire VAR15, VAR4;
always@(posedge VAR170) begin
if(VAR101)
VAR40 <= 0;
end
else if (VAR48 && VAR127 && VAR40 != -1)
VAR40 <= VAR40 + 1;
end
wire [31:0] VAR154;
VAR56 #(.VAR2(32), .VAR77(2)) VAR173
(
.VAR29(VAR154),
.VAR48(VAR48),
.VAR11(VAR4),
.VAR22(VAR9),
.VAR23(VAR70), .VAR66(VAR170), .VAR199(VAR97),
.VAR198(!VAR15), .VAR30(VAR90), .VAR176(VAR97)
);
VAR12 #(.VAR197(32), .VAR74(8)) VAR96
(
.clk(VAR90), .reset(VAR97 | VAR186),
.write(!VAR4),
.read(VAR57),
.VAR72(VAR154),
.VAR180(VAR15),
.VAR34(VAR26),
.VAR31(VAR32[31:0]),
.VAR113()
);
wire [35:0] VAR89;
VAR54 VAR125
(
.VAR41(VAR89)
);
VAR142 VAR91
(
.VAR139(VAR89),
.VAR7(VAR90),
.VAR114({VAR99,VAR35,VAR68,VAR144,VAR33, VAR90 ,VAR52})
);
endmodule | bsd-3-clause |
Ribeiro/sd2snes | verilog/sd2snes_cx4/main.v | 19,061 | module MODULE1(
input VAR23,
input [23:0] VAR169,
input VAR205,
input VAR56,
input VAR241,
inout [7:0] VAR202,
input VAR97,
input VAR287,
output VAR82,
output VAR79,
output VAR304,
input VAR158,
input [7:0] VAR16,
input VAR200,
input VAR89,
inout [15:0] VAR176,
output [22:0] VAR204,
output VAR86,
output VAR95,
output VAR198,
output VAR104,
output VAR284,
inout [7:0] VAR305,
output [18:0] VAR8,
output VAR66,
output VAR60,
output VAR281,
input VAR129,
inout VAR116,
input VAR224,
inout VAR235,
input VAR168,
output VAR210,
output VAR297,
output VAR115,
output VAR38,
input [3:0] VAR226,
inout VAR285,
inout VAR214,
output VAR299
);
wire VAR173;
wire [7:0] VAR155;
wire [7:0] VAR250;
wire [7:0] VAR280;
wire [7:0] VAR36;
wire [7:0] VAR108;
wire [31:0] VAR77;
wire [2:0] VAR107;
wire [23:0] VAR139;
wire [2:0] VAR69;
wire [23:0] VAR303;
wire [23:0] VAR270;
wire [7:0] VAR150;
wire [1:0] VAR206;
wire [10:0] VAR193;
wire [10:0] VAR61;
wire [10:0] VAR136;
wire [7:0] VAR84;
wire [6:0] VAR247;
wire [31:0] VAR100;
wire [15:0] VAR40;
wire [13:0] VAR268;
wire [13:0] VAR18;
wire [7:0] VAR266;
wire [7:0] VAR153;
wire [5:0] VAR274;
wire [5:0] VAR119;
wire [23:0] VAR291;
wire VAR126;
wire [23:0] VAR19;
wire [9:0] VAR279;
wire VAR124;
wire [8:0] VAR218;
wire [7:0] VAR144;
wire [7:0] VAR243;
reg [7:0] VAR223;
reg [7:0] VAR92;
reg [7:0] VAR31;
reg [7:0] VAR17;
reg [23:0] VAR289 [3:0];
reg [7:0] VAR301;
always @(posedge VAR173) begin
if(~VAR205 | ~VAR56) VAR301 <= VAR202;
end
reg[17:0] VAR109 = 18'h00000;
reg VAR140 = 1;
reg VAR234 = 0;
reg VAR72 = 0;
wire VAR88 = ((VAR223[6:1] & VAR223[7:2]) == 6'b111110);
wire VAR102 = ((VAR92[6:1] & VAR92[7:2]) == 6'b111110);
wire VAR216 = ((VAR92[6:1] & VAR92[7:2]) == 6'b000001);
wire VAR298 = ((VAR31[6:1] & VAR31[7:2]) == 6'b000001);
wire VAR251 = ((VAR17[4:1] & VAR17[5:2]) == 4'b0001);
wire VAR152 = ((VAR17[4:1] & VAR17[5:2]) == 4'b1110);
wire VAR62 = VAR31[2] & VAR31[1];
wire VAR20 = VAR92[2] & VAR92[1];
wire VAR170 = VAR17[2] & VAR17[1];
wire VAR138 = VAR223[2] & VAR223[1];
wire [23:0] VAR186 = (VAR289[3] & VAR289[2]);
wire VAR157 = VAR152 | VAR72;
wire VAR211;
assign VAR165=0;
always @(posedge VAR173) begin
VAR72 <= 1'b0;
if(VAR251) VAR72 <= ~VAR211;
end
always @(posedge VAR173) begin
VAR223 <= {VAR223[6:0], VAR200};
VAR92 <= {VAR92[6:0], VAR205};
VAR31 <= {VAR31[6:0], VAR56};
VAR17 <= {VAR17[6:0], VAR97};
VAR289[3] <= VAR289[2];
VAR289[2] <= VAR289[1];
VAR289[1] <= VAR289[0];
VAR289[0] <= VAR169;
end
parameter VAR133 = 7'b0000001;
parameter VAR146 = 7'b0000010;
parameter VAR163 = 7'b0000100;
parameter VAR245 = 7'b0001000;
parameter VAR283 = 7'b0010000;
parameter VAR256 = 7'b0100000;
parameter VAR68 = 7'b1000000;
parameter VAR221 = 4'd7;
parameter VAR236 = 17'd88000;
reg [6:0] VAR184;
VAR22 VAR184 = VAR133;
assign VAR266 = VAR301;
assign VAR155 = VAR301;
VAR6 VAR118(
.VAR189(VAR173),
.VAR226(VAR226),
.VAR214(VAR214),
.VAR175(VAR175),
.VAR230(VAR230),
.VAR85(VAR85),
.VAR150(VAR150),
.VAR106(VAR106),
.VAR5(VAR5),
.VAR193(VAR193),
.VAR61(VAR61),
.VAR294(VAR294),
.VAR70(VAR70)
);
wire VAR257 = (VAR230 && (VAR206 == 2'b00));
VAR142 VAR261(
.VAR53(VAR173),
.VAR128(VAR158),
.VAR302(VAR297),
.VAR278(VAR115),
.VAR11(VAR38),
.VAR141(VAR206==2'b01 ? VAR85 : 1'b1),
.VAR209(VAR136),
.VAR143(VAR150),
.VAR93(VAR93),
.VAR43(VAR84),
.VAR26(VAR145),
.VAR134(VAR225),
.reset(VAR203)
);
VAR171 VAR64 (
.VAR53(VAR173),
.enable(VAR295),
.VAR209(VAR268),
.VAR143(VAR150),
.VAR13(VAR206==2'b10 ? VAR85 : 1'b1),
.VAR296(VAR186[2:0]),
.VAR227(VAR266),
.VAR113(VAR153),
.VAR25(VAR102),
.VAR300(VAR216),
.VAR55(VAR298),
.VAR167(VAR247),
.VAR32(VAR84),
.VAR231(VAR145),
.VAR259(VAR100),
.VAR249(VAR40),
.VAR111(VAR274),
.VAR201(VAR119),
.VAR164(VAR272),
.VAR264(VAR18),
.VAR112(VAR120)
);
VAR37 VAR9(
.clk(VAR173),
.VAR15(VAR129),
.VAR183(VAR116),
.VAR110(VAR224),
.VAR73(VAR235),
.VAR260(VAR191),
.VAR207(VAR255),
.VAR262(VAR280),
.VAR117(VAR36),
.VAR33(VAR87),
.VAR48(VAR238),
.VAR127(VAR108),
.VAR192(VAR77),
.VAR263(VAR107)
);
reg [7:0] VAR219;
wire [7:0] VAR242;
wire [7:0] VAR228;
wire [31:0] VAR41;
wire [7:0] VAR258;
wire [2:0] VAR80;
wire [15:0] VAR195;
wire [7:0] VAR232;
VAR160 VAR14(
.clk(VAR173),
.VAR179(VAR158),
.VAR260(VAR191),
.VAR207(VAR255),
.VAR262(VAR280),
.VAR117(VAR36),
.VAR74(VAR69),
.VAR222(VAR122),
.VAR44(VAR219),
.VAR130(VAR242),
.VAR77(VAR77),
.VAR107(VAR107),
.VAR161(VAR108),
.VAR259(VAR139),
.VAR190(VAR303),
.VAR151(VAR270),
.VAR175(VAR175),
.VAR230(VAR230),
.VAR106(VAR106),
.VAR150(VAR150),
.VAR85(VAR85),
.VAR206(VAR206),
.VAR5(VAR5),
.VAR193(VAR193),
.VAR61(VAR61),
.VAR294(VAR294),
.VAR70(VAR70),
.VAR58(VAR136),
.VAR93(VAR93),
.VAR49(VAR225),
.VAR254(VAR203),
.VAR149(VAR268),
.VAR137(VAR247),
.VAR208(VAR274),
.VAR275(VAR119),
.VAR272(VAR272),
.VAR178(VAR84),
.VAR233(VAR100),
.VAR293(VAR40),
.VAR253(VAR18),
.VAR217(VAR120),
.VAR63(VAR185),
.VAR276(VAR269),
.VAR288(VAR210),
.VAR147(VAR228),
.VAR59(VAR96),
.VAR105(VAR148),
.VAR180(VAR218),
.VAR51(VAR252),
.VAR65(VAR144),
.VAR292(VAR243),
.VAR90(VAR80),
.VAR46(VAR41),
.VAR35(VAR277),
.VAR57(VAR195)
);
wire [7:0] VAR188;
VAR67 VAR1(
.VAR23(VAR23),
.VAR94(VAR173),
.VAR76(VAR21),
.VAR271(VAR165),
.VAR197(VAR188)
);
address VAR212(
.VAR189(VAR173),
.VAR69(VAR69),
.VAR186(VAR186), .VAR16(VAR16),
.VAR204(VAR291), .VAR211(VAR211),
.VAR286(VAR286),
.VAR27(VAR27),
.VAR4(VAR4),
.VAR303(VAR303),
.VAR270(VAR270),
.VAR228(VAR228),
.VAR295(VAR295),
.VAR52(VAR52),
.VAR181(VAR181),
.VAR125(VAR125),
.VAR239(VAR239)
);
assign VAR165=0;
reg [7:0] VAR166;
wire [23:0] VAR91;
wire [2:0] VAR103;
VAR24 VAR135 (
.VAR39(VAR155),
.VAR154(VAR250),
.VAR7(VAR186[12:0]),
.VAR182(VAR52),
.VAR162(VAR181),
.VAR55(VAR298),
.VAR189(VAR173),
.VAR71(VAR166),
.VAR174(VAR91),
.VAR42(VAR29),
.VAR121(VAR215),
.VAR196(VAR196),
.VAR273(VAR103),
.VAR187(VAR195[0])
);
VAR156 VAR194(
.clk(VAR173),
.VAR186(VAR186),
.VAR202(VAR202),
.VAR234(VAR234),
.VAR251(VAR102),
.VAR12(VAR298 & VAR239),
.VAR78(VAR80),
.VAR13(VAR277),
.VAR10(VAR41),
.VAR248(VAR258),
.VAR47(VAR47)
);
wire [7:0] VAR99;
reg [7:0] VAR177;
reg VAR75;
reg [2:0] VAR159;
reg [1:0] VAR101;
VAR22 VAR177 = 8'h55;
VAR22 VAR75 = 0;
VAR22 VAR101 = 2'b01;
VAR22 VAR159 = 3'b011;
assign VAR202 = (VAR125 & ~VAR138 & ~VAR75) ? VAR177
:(~VAR20 ^ (VAR75 & VAR125 & ~VAR138))
? (VAR295 ? VAR153
:VAR52 ? VAR250
:(VAR196 & VAR181) ? VAR250
:VAR239 ? VAR99
:VAR47 ? VAR258
:(VAR126 ? VAR176[7:0] : VAR176[15:8])
): 8'VAR244;
reg [3:0] VAR123;
reg VAR265 = 0;
reg VAR240 = 0;
reg VAR45 = 0;
reg [23:0] VAR114;
reg [23:0] VAR229;
reg VAR30;
VAR22 VAR30 = 1'b1;
assign VAR210 = VAR30;
reg VAR2;
VAR22 VAR2 = 1'b1;
assign VAR215 = VAR2;
wire VAR50 = |(VAR184 & VAR245);
wire VAR83 = |(VAR184 & VAR146);
wire VAR98 = VAR50 | VAR83;
wire VAR172 = |(VAR184 & VAR256);
assign VAR204 = (VAR257) ? VAR139[23:1] : VAR98 ? VAR114[23:1] : VAR172 ? VAR229[23:1] : VAR291[23:1];
assign VAR126 = (VAR257) ? VAR139[0] : VAR98 ? VAR114[0] : VAR172 ? VAR229[0] : VAR291[0];
always @(posedge VAR173) begin
if(VAR196) begin
if(VAR29) begin
VAR45 <= 1'b1;
VAR2 <= 1'b0;
VAR229 <= VAR91;
end else if(VAR184 == VAR68) begin
VAR45 <= 1'b0;
VAR2 <= 1'b1;
end
end
end
always @(posedge VAR173) begin
if(VAR185) begin
VAR265 <= 1'b1;
VAR30 <= 1'b0;
VAR114 <= VAR139;
end else if(VAR269) begin
VAR240 <= 1'b1;
VAR30 <= 1'b0;
VAR114 <= VAR139;
end else if(VAR184 & (VAR163 | VAR283)) begin
VAR265 <= 1'b0;
VAR240 <= 1'b0;
VAR30 <= 1'b1;
end
end
always @(posedge VAR173) begin
if(~VAR17[1]) VAR109 <= VAR109 + 1;
end
else VAR109 <= 17'h0;
end
always @(posedge VAR173) begin
VAR234 <= 1'b0;
if(VAR17[1]) begin
VAR140 <= 1'b0;
if(VAR140) VAR234 <= 1'b1;
end
else if(VAR109 > VAR236) VAR140 <= 1'b1;
end
always @(posedge VAR173) begin
end
if(VAR140 & VAR17[1]) VAR184 <= VAR133; else
case(VAR184)
VAR133: begin
VAR184 <= VAR133;
if(VAR196) begin
if (VAR45) begin
VAR184 <= VAR256;
VAR123 <= VAR221;
end
end else if(VAR157 | VAR140) begin
if(VAR265) begin
VAR184 <= VAR146;
VAR123 <= VAR221;
end else if(VAR240) begin
VAR184 <= VAR245;
VAR123 <= VAR221;
end
end
end
VAR146: begin
VAR184 <= VAR146;
VAR123 <= VAR123 - 1;
if(VAR123 == 0) VAR184 <= VAR163;
VAR219 <= (VAR126 ? VAR176[7:0] : VAR176[15:8]);
end
VAR245: begin
VAR184 <= VAR245;
VAR123 <= VAR123 - 1;
if(VAR123 == 0) VAR184 <= VAR283;
end
VAR163, VAR283: begin
VAR184 <= VAR133;
end
VAR256: begin
VAR184 <= VAR256;
VAR123 <= VAR123 - 1;
if(VAR123 == 0) VAR184 <= VAR68;
VAR166 <= (VAR126 ? VAR176[7:0] : VAR176[15:8]);
end
VAR68: begin
VAR184 <= VAR133;
end
endcase
end
always @(posedge VAR173) begin
if(VAR152) VAR75 <= 1'b1;
end
else if(VAR88 & VAR125) begin
VAR159 <= 3'b000;
VAR101 <= 2'b10;
end else if(VAR101 == 2'b10) begin
VAR159 <= VAR159 - 1;
if(VAR159 == 3'b000) begin
VAR75 <= 1'b0;
VAR101 <= 2'b01;
VAR177 <= {VAR202[7:5], VAR148, VAR202[3:0]};
end
end
end
reg VAR3;
always @(posedge VAR173) VAR3<= VAR122;
assign VAR176[7:0] = VAR126
?(VAR257 ? (!VAR3 ? VAR242 : 8'VAR244)
: (VAR211 & ~VAR62) ? VAR202
: VAR50 ? VAR242 : 8'VAR244
)
:8'VAR244;
assign VAR176[15:8] = VAR126 ? 8'VAR244
:(VAR257 ? (!VAR3 ? VAR242 : 8'VAR244)
: (VAR211 & ~VAR62) ? VAR202
: VAR50 ? VAR242
: 8'VAR244
);
assign VAR198 = VAR257
?VAR122
: (VAR211 & VAR4 & VAR170) ? VAR62
: VAR50 ? 1'b0
: 1'b1;
assign VAR95 = 1'b0;
assign VAR86 = 1'b0;
assign VAR104 = VAR126;
assign VAR284 = !VAR126;
wire VAR220 = {VAR186[22], VAR186[15:0]} == 17'h04200;
assign VAR79 = VAR295 ? 1'b0 :
VAR52 ? 1'b0 :
(VAR196 & VAR181) ? 1'b0 :
VAR125 & !VAR138 ? 1'b0 :
VAR220 ? VAR62 :
VAR239 ? (VAR20 & VAR62) :
((VAR27 & VAR241)
|(!VAR27 & !VAR286 & !VAR4)
|(VAR20 & VAR62)
);
assign VAR304 = (!VAR20 | (!VAR138 & (VAR125)))
? 1'b1 ^ (VAR75 & VAR125 & ~VAR138)
: 1'b0;
assign VAR82 = 1'b0;
assign VAR299 = 1'b0;
wire [8:0] VAR28 = VAR220 ? 9'h1fa : VAR186[8:0];
VAR132 VAR131 (
.VAR54(VAR173), .VAR246(VAR298 & (VAR239 | VAR220)), .VAR267(VAR28), .VAR290(VAR202), .VAR81(VAR99), .VAR213(VAR173), .VAR282(VAR252), .VAR199(VAR218), .VAR237(VAR144), .VAR34(VAR243) );
endmodule | gpl-2.0 |
chriswynnyk/american-put-verilog | american_put_stratix/src/fp_add_v1.v | 146,957 | module MODULE1
(
VAR6,
VAR5,
VAR10,
VAR2,
VAR7,
VAR1) ;
input VAR6;
input VAR5;
input VAR10;
input [54:0] VAR2;
input [5:0] VAR7;
output [54:0] VAR1;
reg [54:0] VAR11;
wire VAR3;
wire [31:0] VAR4;
wire [384:0] VAR9;
wire [5:0] VAR8; | apache-2.0 |
donnaware/ZBC---The-Zero-Board-Computer | rtl/ver1/rtl/timer.v | 1,290 | module MODULE1 #(
parameter VAR1 = 33, parameter VAR3 = 12507 )
(
input VAR2, input VAR7,
output reg VAR6 );
reg [VAR1-1:0] VAR4;
reg VAR5;
wire VAR8;
assign VAR8 = VAR4[VAR1-1];
always @(posedge VAR2)
VAR4 <= VAR7 ? 0 : (VAR4 + VAR3);
always @(posedge VAR2)
VAR5 <= VAR7 ? 1'b0 : VAR8;
always @(posedge VAR2)
VAR6 <= VAR7 ? 1'b0 : (!VAR5 & VAR8);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/nor3/sky130_fd_sc_hdll__nor3.symbol.v | 1,316 | module MODULE1 (
input VAR6,
input VAR3,
input VAR2,
output VAR5
);
supply1 VAR4;
supply0 VAR7;
supply1 VAR1 ;
supply0 VAR8 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/o31ai/sky130_fd_sc_hd__o31ai.symbol.v | 1,354 | module MODULE1 (
input VAR5,
input VAR4,
input VAR6,
input VAR7,
output VAR9
);
supply1 VAR1;
supply0 VAR3;
supply1 VAR8 ;
supply0 VAR2 ;
endmodule | apache-2.0 |
takeshineshiro/fpga_linear_128 | TGC_ROM_bb.v | 5,063 | module MODULE1 (
address,
VAR2,
VAR1);
input [6:0] address;
input VAR2;
output [7:0] VAR1;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/and4/sky130_fd_sc_ms__and4_2.v | 2,242 | module MODULE2 (
VAR4 ,
VAR7 ,
VAR11 ,
VAR1 ,
VAR5 ,
VAR2,
VAR9,
VAR6 ,
VAR8
);
output VAR4 ;
input VAR7 ;
input VAR11 ;
input VAR1 ;
input VAR5 ;
input VAR2;
input VAR9;
input VAR6 ;
input VAR8 ;
VAR3 VAR10 (
.VAR4(VAR4),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR6(VAR6),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR4,
VAR7,
VAR11,
VAR1,
VAR5
);
output VAR4;
input VAR7;
input VAR11;
input VAR1;
input VAR5;
supply1 VAR2;
supply0 VAR9;
supply1 VAR6 ;
supply0 VAR8 ;
VAR3 VAR10 (
.VAR4(VAR4),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
mcoughli/root_of_trust | operational_os/hls/contact_discovery_axi_experimental/solution1/impl/ip/hdl/verilog/contact_discovery_AXILiteS_s_axi.v | 22,975 | module MODULE1
VAR10 = 7,
VAR51 = 32
)(
input wire VAR1,
input wire VAR81,
input wire VAR40,
input wire [VAR10-1:0] VAR27,
input wire VAR14,
output wire VAR69,
input wire [VAR51-1:0] VAR62,
input wire [VAR51/8-1:0] VAR98,
input wire VAR63,
output wire VAR6,
output wire [1:0] VAR96,
output wire VAR25,
input wire VAR20,
input wire [VAR10-1:0] VAR26,
input wire VAR68,
output wire VAR19,
output wire [VAR51-1:0] VAR23,
output wire [1:0] VAR94,
output wire VAR77,
input wire VAR41,
output wire interrupt,
output wire VAR39,
input wire VAR29,
input wire VAR79,
input wire VAR18,
output wire [31:0] VAR46,
output wire VAR47,
output wire [511:0] VAR60,
output wire [63:0] VAR57,
output wire [31:0] VAR88,
input wire [31:0] VAR72,
input wire [31:0] VAR36
);
localparam
VAR50 = 7'h00,
VAR83 = 7'h04,
VAR7 = 7'h08,
VAR5 = 7'h0c,
VAR52 = 7'h10,
VAR66 = 7'h14,
VAR42 = 7'h18,
VAR89 = 7'h1c,
VAR16 = 7'h20,
VAR99 = 7'h24,
VAR95 = 7'h28,
VAR2 = 7'h2c,
VAR93 = 7'h30,
VAR82 = 7'h34,
VAR84 = 7'h38,
VAR91 = 7'h3c,
VAR48 = 7'h40,
VAR34 = 7'h44,
VAR59 = 7'h48,
VAR24 = 7'h4c,
VAR70 = 7'h50,
VAR58 = 7'h54,
VAR45 = 7'h58,
VAR28 = 7'h5c,
VAR3 = 7'h60,
VAR35 = 7'h64,
VAR22 = 7'h68,
VAR54 = 7'h6c,
VAR8 = 7'h70,
VAR13 = 7'h74,
VAR65 = 7'h78,
VAR44 = 7'h7c,
VAR73 = 2'd0,
VAR85 = 2'd1,
VAR86 = 2'd2,
VAR92 = 2'd3,
VAR32 = 2'd0,
VAR97 = 2'd1,
VAR49 = 2'd2,
VAR90 = 7;
reg [1:0] VAR4 = VAR92;
reg [1:0] VAR17;
reg [VAR90-1:0] VAR21;
wire [31:0] VAR37;
wire VAR75;
wire VAR87;
reg [1:0] VAR12 = VAR49;
reg [1:0] VAR15;
reg [31:0] VAR76;
wire VAR38;
wire [VAR90-1:0] VAR67;
wire VAR78;
wire VAR43;
reg VAR53 = 1'b0;
reg VAR64 = 1'b0;
reg VAR55 = 1'b0;
reg VAR61 = 1'b0;
reg [1:0] VAR71 = 2'b0;
reg [1:0] VAR56 = 2'b0;
reg [31:0] VAR33 = 'b0;
reg VAR11 = 1'b0;
reg [511:0] VAR31 = 'b0;
reg [63:0] VAR74 = 'b0;
reg [31:0] VAR9 = 'b0;
reg [31:0] VAR80 = 'b0;
reg [31:0] VAR30 = 'b0;
assign VAR69 = (VAR4 == VAR73);
assign VAR6 = (VAR4 == VAR85);
assign VAR96 = 2'b00; assign VAR25 = (VAR4 == VAR86);
assign VAR37 = { {8{VAR98[3]}}, {8{VAR98[2]}}, {8{VAR98[1]}}, {8{VAR98[0]}} };
assign VAR75 = VAR14 & VAR69;
assign VAR87 = VAR63 & VAR6;
always @(posedge VAR1) begin
if (VAR81)
VAR4 <= VAR92;
end
else if (VAR40)
VAR4 <= VAR17;
end
always @ begin
case (VAR12)
VAR32:
if (VAR68)
VAR15 = VAR97;
end
else
VAR15 = VAR32;
VAR97:
if (VAR41 & VAR77)
VAR15 = VAR32;
else
VAR15 = VAR97;
default:
VAR15 = VAR32;
endcase
end
always @(posedge VAR1) begin
if (VAR40) begin
if (VAR38) begin
VAR76 <= 1'b0;
case (VAR67)
VAR50: begin
VAR76[0] <= VAR64;
VAR76[1] <= VAR53;
VAR76[2] <= VAR78;
VAR76[3] <= VAR43;
VAR76[7] <= VAR55;
end
VAR83: begin
VAR76 <= VAR61;
end
VAR7: begin
VAR76 <= VAR71;
end
VAR5: begin
VAR76 <= VAR56;
end
VAR52: begin
VAR76 <= VAR33[31:0];
end
VAR66: begin
VAR76[0] <= VAR11;
end
VAR42: begin
VAR76 <= VAR31[31:0];
end
VAR89: begin
VAR76 <= VAR31[63:32];
end
VAR16: begin
VAR76 <= VAR31[95:64];
end
VAR99: begin
VAR76 <= VAR31[127:96];
end
VAR95: begin
VAR76 <= VAR31[159:128];
end
VAR2: begin
VAR76 <= VAR31[191:160];
end
VAR93: begin
VAR76 <= VAR31[223:192];
end
VAR82: begin
VAR76 <= VAR31[255:224];
end
VAR84: begin
VAR76 <= VAR31[287:256];
end
VAR91: begin
VAR76 <= VAR31[319:288];
end
VAR48: begin
VAR76 <= VAR31[351:320];
end
VAR34: begin
VAR76 <= VAR31[383:352];
end
VAR59: begin
VAR76 <= VAR31[415:384];
end
VAR24: begin
VAR76 <= VAR31[447:416];
end
VAR70: begin
VAR76 <= VAR31[479:448];
end
VAR58: begin
VAR76 <= VAR31[511:480];
end
VAR28: begin
VAR76 <= VAR74[31:0];
end
VAR3: begin
VAR76 <= VAR74[63:32];
end
VAR22: begin
VAR76 <= VAR9[31:0];
end
VAR8: begin
VAR76 <= VAR80[31:0];
end
VAR65: begin
VAR76 <= VAR30[31:0];
end
endcase
end
end
end
assign interrupt = VAR61 & (|VAR56);
assign VAR39 = VAR64;
assign VAR78 = VAR18;
assign VAR43 = VAR79;
assign VAR46 = VAR33;
assign VAR47 = VAR11;
assign VAR60 = VAR31;
assign VAR57 = VAR74;
assign VAR88 = VAR9;
always @(posedge VAR1) begin
if (VAR81)
VAR64 <= 1'b0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR50 && VAR98[0] && VAR62[0])
VAR64 <= 1'b1;
end
else if (VAR43)
VAR64 <= VAR55; end
end
always @(posedge VAR1) begin
if (VAR81)
VAR53 <= 1'b0;
end
else if (VAR40) begin
if (VAR29)
VAR53 <= 1'b1;
end
else if (VAR38 && VAR67 == VAR50)
VAR53 <= 1'b0; end
end
always @(posedge VAR1) begin
if (VAR81)
VAR55 <= 1'b0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR50 && VAR98[0])
VAR55 <= VAR62[7];
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR61 <= 1'b0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR83 && VAR98[0])
VAR61 <= VAR62[0];
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR71 <= 1'b0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR7 && VAR98[0])
VAR71 <= VAR62[1:0];
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR56[0] <= 1'b0;
end
else if (VAR40) begin
if (VAR71[0] & VAR29)
VAR56[0] <= 1'b1;
end
else if (VAR87 && VAR21 == VAR5 && VAR98[0])
VAR56[0] <= VAR56[0] ^ VAR62[0]; end
end
always @(posedge VAR1) begin
if (VAR81)
VAR56[1] <= 1'b0;
end
else if (VAR40) begin
if (VAR71[1] & VAR79)
VAR56[1] <= 1'b1;
end
else if (VAR87 && VAR21 == VAR5 && VAR98[0])
VAR56[1] <= VAR56[1] ^ VAR62[1]; end
end
always @(posedge VAR1) begin
if (VAR81)
VAR33[31:0] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR52)
VAR33[31:0] <= (VAR62[31:0] & VAR37) | (VAR33[31:0] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR11 <= 1'b0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR66 && VAR98[0] && VAR62[0])
VAR11 <= 1'b1;
end
else
VAR11 <= 1'b0; end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[31:0] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR42)
VAR31[31:0] <= (VAR62[31:0] & VAR37) | (VAR31[31:0] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[63:32] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR89)
VAR31[63:32] <= (VAR62[31:0] & VAR37) | (VAR31[63:32] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[95:64] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR16)
VAR31[95:64] <= (VAR62[31:0] & VAR37) | (VAR31[95:64] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[127:96] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR99)
VAR31[127:96] <= (VAR62[31:0] & VAR37) | (VAR31[127:96] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[159:128] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR95)
VAR31[159:128] <= (VAR62[31:0] & VAR37) | (VAR31[159:128] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[191:160] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR2)
VAR31[191:160] <= (VAR62[31:0] & VAR37) | (VAR31[191:160] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[223:192] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR93)
VAR31[223:192] <= (VAR62[31:0] & VAR37) | (VAR31[223:192] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[255:224] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR82)
VAR31[255:224] <= (VAR62[31:0] & VAR37) | (VAR31[255:224] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[287:256] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR84)
VAR31[287:256] <= (VAR62[31:0] & VAR37) | (VAR31[287:256] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[319:288] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR91)
VAR31[319:288] <= (VAR62[31:0] & VAR37) | (VAR31[319:288] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[351:320] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR48)
VAR31[351:320] <= (VAR62[31:0] & VAR37) | (VAR31[351:320] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[383:352] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR34)
VAR31[383:352] <= (VAR62[31:0] & VAR37) | (VAR31[383:352] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[415:384] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR59)
VAR31[415:384] <= (VAR62[31:0] & VAR37) | (VAR31[415:384] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[447:416] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR24)
VAR31[447:416] <= (VAR62[31:0] & VAR37) | (VAR31[447:416] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[479:448] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR70)
VAR31[479:448] <= (VAR62[31:0] & VAR37) | (VAR31[479:448] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR31[511:480] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR58)
VAR31[511:480] <= (VAR62[31:0] & VAR37) | (VAR31[511:480] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR74[31:0] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR28)
VAR74[31:0] <= (VAR62[31:0] & VAR37) | (VAR74[31:0] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR74[63:32] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR3)
VAR74[63:32] <= (VAR62[31:0] & VAR37) | (VAR74[63:32] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR9[31:0] <= 0;
end
else if (VAR40) begin
if (VAR87 && VAR21 == VAR22)
VAR9[31:0] <= (VAR62[31:0] & VAR37) | (VAR9[31:0] & ~VAR37);
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR80 <= 0;
end
else if (VAR40) begin
VAR80 <= VAR72;
end
end
always @(posedge VAR1) begin
if (VAR81)
VAR30 <= 0;
end
else if (VAR40) begin
VAR30 <= VAR36;
end
end
endmodule | gpl-3.0 |
dachdecker2/icoboard_ws2812b_display | top.v | 11,655 | module MODULE1 (
input VAR3,
VAR61, VAR97,
VAR58, VAR50, VAR44, VAR23,
output reg VAR83, VAR110, VAR87, VAR52, VAR91,
VAR120, VAR76, VAR122, VAR6,
VAR60, VAR35, VAR71, VAR134,
output [15:0] VAR119
);
/* wire [15:0] VAR84;
wire [15:0] VAR90;
wire [15:0] VAR75;
VAR89 #(
.VAR46(6'VAR85 101001),
.VAR54 (1'VAR85 0)
) VAR66 [15:0] (
.VAR99 (VAR119),
.VAR43(VAR75),
.VAR104 (VAR90),
.VAR16 (VAR84)
);
reg [16:0] VAR112 = 0;
wire [7:0] VAR74;
reg [0:0] VAR28 = 0;
wire [0:0] VAR10;
wire [0:0] VAR57;
reg [16:0] VAR17 = 0;
reg [7:0] VAR63 = 0;
reg [0:0] VAR103 = 0;
wire [0:0] VAR32;
wire [0:0] VAR72;
wire [15:0] VAR130;
wire [0:0] VAR41;
wire [0:0] VAR73;
wire [0:0] VAR8;
wire [0:0] VAR9;
wire [0:0] VAR93;
wire [15:0] VAR51;
wire [0:0] VAR38;
VAR132 memory(
.clk(clk), .VAR88(VAR27),
.VAR17(VAR17), .VAR63(VAR63),
.VAR103(VAR103), .VAR10(VAR10), .VAR72(VAR72),
.VAR112(VAR112), .VAR74(VAR74),
.VAR28(VAR28), .VAR32(VAR32), .VAR57(VAR57),
.VAR41(VAR41), .VAR73(VAR73), .VAR8(VAR8),
.VAR9(VAR9), .VAR93(VAR93),
.VAR130 (VAR130),
.VAR19 (VAR84),
.VAR51 (VAR51),
.VAR38 (VAR38));
assign VAR90 = VAR51;
assign VAR75 = VAR38 && VAR61; assign VAR86 = VAR130;
assign VAR64 = VAR41;
assign VAR105 = VAR8;
assign VAR39 = VAR73;
assign VAR109 = VAR9;
assign VAR30 = VAR93;
parameter VAR128 = 14;
parameter VAR40 = 8;
reg [7:0] VAR133 = 0;
reg [VAR128-1:0] VAR106 = 12;
reg [VAR128-1:0] VAR37 = 0;
wire [7:0] VAR96;
VAR111 #(.VAR40(VAR40), .VAR128(VAR128))
VAR53 (.clk(clk), .read(), .write(), .VAR106(VAR106), .VAR133(VAR133), .VAR37(VAR37), .VAR96(VAR96));
localparam VAR25 = 17000000; wire [0:0] VAR45; wire [0:0] VAR118; VAR59 VAR117 (.VAR98 (VAR3), .VAR123(VAR118), .VAR29(VAR45));
wire [0:0] clk; assign clk = VAR3;
reg [3:0] VAR14 = 0;
reg [0:0] VAR27;
always @(posedge clk) begin
VAR27 <= &VAR14;
VAR14 <= {VAR14[2:0], VAR45};
end
localparam VAR80 = 20;
reg [0:0] VAR116;
VAR94 #(.VAR24(VAR25 / VAR80)) VAR48 (.clk(clk), .VAR27(VAR27), .VAR11(VAR116));
localparam VAR129 = 3;
localparam VAR18 = 2;
reg [VAR5(VAR18)-1:0] VAR114 = 0;
wire [0:0] VAR67;
reg [0:0] VAR79 = 0;
reg [0:0] VAR101 = 0;
reg [0:0] VAR65 = 0;
reg [24*VAR129-1:0] VAR22;
reg [VAR5(VAR129-1):0] VAR15;
always @(posedge clk) begin
if (!VAR27) begin
VAR114 <= 0;
VAR101 <= 0;
VAR65 <= 0;
VAR79 <= 0;
end else begin
if (VAR101) begin
VAR101 <= 0;
VAR79 <= 0;
VAR65 <= 1;
VAR15 <= 0;
end
if (VAR65 && (VAR67 || (VAR15 < VAR129*3))) begin
if (VAR15 < VAR129*3) begin
VAR15 <= VAR15 + 1;
end else begin
VAR15 <= 0;
VAR65 <= 0;
VAR79 <= 1;
VAR114 <= VAR114 - 1;
end
VAR37 <= VAR15;
VAR22 <= {VAR22[24*VAR129-1:8], VAR96};
/* VAR22 <= 2*{2'b0, VAR107[0], 5'b0,
2'b0, VAR113[0], 5'b0,
2'b0, VAR2[0], 5'b0}; end
if (VAR116) begin VAR114 <= VAR18;
VAR101 <= 1;
end
end
end
wire [VAR129-1:0] VAR127;
wire [3:0] VAR4;
VAR69 #(
.VAR129 (VAR129),
.VAR78 (VAR25/2500000), .VAR21 (VAR25/1250000), .VAR42 (0)) VAR68 (
.clk (clk),
.VAR27 (VAR27),
.VAR79 (VAR79),
.VAR22 (VAR22),
.VAR67 (VAR67),
.VAR127 (VAR127),
.VAR127 (VAR91),
.VAR20 (VAR4)
);
assign VAR52 = VAR127[0];
assign VAR91 = VAR127[1];
reg [3:0] VAR108;
reg [0:0] VAR31;
reg [4:0] VAR49;
reg [31:0] VAR70;
always @(posedge clk) begin
if (!VAR27) begin
VAR49 <= 0;
VAR31 <= 0;
VAR108 <= 0;
end else if (VAR116) begin
VAR70 <= VAR124;
VAR49 <= 1;
VAR108 <= 15;
end else if ((|VAR49) && (VAR49 < 9)) begin
VAR49 <= VAR49 + 1;
VAR31 <= VAR49[0];
VAR108 <= VAR70[3:0];
VAR70 <= {VAR70[3:0], VAR70[31:4]};
end else begin
VAR49 <= 0;
VAR31 <= 0;
VAR108 <= 0;
end
end
localparam VAR115 = 0;
localparam VAR7 = 0;
localparam VAR95 = 0;
localparam VAR33 = 1; localparam VAR82 = 1000;
wire [7:0] VAR131;
wire [7:0] VAR102;
wire [0:0] VAR36;
wire [0:0] VAR92;
wire [0:0] VAR100;
reg [31:0] VAR124;
always @(posedge clk) begin
if (!VAR27) begin
end
else begin
if (VAR92) begin
VAR133 <= VAR131;
VAR106 <= VAR106 + 1;
end else if (VAR100) begin
VAR106 <= 2**VAR128-1;
end
/* if (VAR92) begin
VAR63 <= VAR131;
VAR17 <= 0;
VAR103 <= 1;
end else if (VAR72) begin
VAR103 <= 0;
VAR17 <= VAR17 + 1;
VAR106 <= VAR37 +1;
end else if (VAR100) begin
VAR17 <= 0;
VAR106 <= 0;
end
/* if (VAR92) begin
VAR124 <= {VAR124[23:0], VAR131};
end
if (VAR100) begin
VAR12[ 7: 0] <= VAR124[ 7: 0];
VAR26 [15: 8] <= VAR124[15: 8];
VAR12[23:16] <= VAR124[23:15];
VAR26 [31:24] <= VAR124[31:24];
end end
end
VAR47 #(.VAR115 (VAR115),
.VAR7 (VAR7),
.VAR95 (VAR95),
.VAR33(1-VAR33),
.VAR121 ((VAR25 * VAR82) / 1000000))
VAR55 (.clk (clk),
.VAR27 (VAR27),
.VAR126 (VAR44),
.VAR13 (VAR58),
.VAR125 (VAR23),
.VAR1 (VAR131),
.VAR34 (VAR92),
.VAR62 (VAR100),
.VAR81 (VAR36),
.VAR20 (VAR102)
);
reg [7:0] VAR56;
reg [0:0] VAR77;
always @(posedge clk) begin
if (VAR116) begin
VAR37 <= 0;
VAR77 <= 1;
end
if (VAR77 == 1) begin
VAR56 <= VAR96;
end
end
assign {VAR134, VAR71, VAR35, VAR60,
VAR6, VAR122, VAR76, VAR120} = {VAR92, VAR56[2:0],
VAR52, VAR58, VAR44, VAR23};
assign VAR119 = {8'b0, VAR56};
assign {VAR87, VAR110, VAR83} = VAR56[2:0];
endmodule | gpl-3.0 |
hhuang25/uwaterloo_ece224 | ANT - Copy/button_pio.v | 1,793 | module MODULE1 (
address,
clk,
VAR6,
VAR5,
VAR2
)
;
output [ 3: 0] VAR2;
input [ 1: 0] address;
input clk;
input [ 3: 0] VAR6;
input VAR5;
wire VAR4;
wire [ 3: 0] VAR3;
wire [ 3: 0] VAR1;
reg [ 3: 0] VAR2;
assign VAR4 = 1;
assign VAR1 = {4 {(address == 0)}} & VAR3;
always @(posedge clk or negedge VAR5)
begin
if (VAR5 == 0)
VAR2 <= 0;
end
else if (VAR4)
VAR2 <= VAR1;
end
assign VAR3 = VAR6;
endmodule | mit |
trivoldus28/pulsarch-verilog | design/sys/iop/ccx/rtl/pcx_dp_maca_l.v | 4,144 | module MODULE1(
VAR16, VAR21, VAR24,
VAR5, VAR3, VAR13,
VAR31, VAR8, VAR10, VAR23,
VAR15, VAR26
);
output [129:0] VAR16; output VAR21;
output VAR24;
input VAR5; input VAR3; input VAR13; input VAR31; input VAR8;
input [129:0] VAR10;
input VAR23;
input VAR15;
input VAR26;
wire VAR14;
wire [129:0] VAR25;
wire [129:0] VAR27, VAR6;
wire VAR19, VAR28;
reg VAR7, VAR29;
assign VAR24 = VAR26;
wire sel ;
assign sel = ~VAR26 ;
VAR20 VAR12 (
.clk (VAR19),
.VAR23 (VAR23),
.VAR33(~VAR8),
.VAR18(sel));
VAR20 VAR9 (
.clk (VAR28),
.VAR23 (VAR23),
.VAR33(~VAR5),
.VAR18(sel));
VAR30 #(1) VAR17(
.din (VAR13),
.VAR11 (VAR14),
.clk (VAR23),
.VAR2 (1'b0),
.VAR22 (1'b0),
.VAR4 ());
VAR30 #(130) VAR32(
.din (VAR10[129:0]),
.VAR11 (VAR27[129:0]),
.clk (VAR28),
.VAR2 (1'b0),
.VAR22 (),
.VAR4 ());
assign VAR25[129:0] =
(VAR3 ? VAR10[129:0] : 130'd0) |
(VAR31 ? VAR27[129:0] : 130'd0) ;
VAR30 #(130) VAR1(
.din (VAR25[129:0]),
.VAR11 (VAR6[129:0]),
.clk (VAR19),
.VAR2 (1'b0),
.VAR22 (),
.VAR4 ());
assign VAR16[129:0] = ~(VAR14 ? VAR6[129:0]:130'd0);
endmodule | gpl-2.0 |
Obijuan/open-fpga-verilog-tutorial | tutorial/Alhambra_II/T25-uart-rx/baudgen.v | 1,722 | module MODULE1
parameter VAR6 = VAR2
)
(input wire clk,
input wire VAR7,
output wire VAR5);
localparam VAR1 = VAR3(VAR6);
reg [VAR1-1:0] VAR4 = 0;
always @(posedge clk)
if (VAR7)
VAR4 <= (VAR4 == VAR6 - 1) ? 0 : VAR4 + 1;
else
VAR4 <= VAR6 - 1;
assign VAR5 = (VAR4 == 0) ? VAR7 : 0;
endmodule | gpl-2.0 |
AmeerAbdelhadi/Dynamic-Frequency-Phase-Sweeping | hex7seg.v | 3,123 | module MODULE1 (
input [3:0] VAR1,
output reg [6:0] VAR2
);
always @(*) begin
case(VAR1)
4'h0: VAR2 = 7'b1000000;
4'h1: VAR2 = 7'b1111001;
4'h2: VAR2 = 7'b0100100;
4'h3: VAR2 = 7'b0110000;
4'h4: VAR2 = 7'b0011001;
4'h5: VAR2 = 7'b0010010;
4'h6: VAR2 = 7'b0000010;
4'h7: VAR2 = 7'b1111000;
4'h8: VAR2 = 7'b0000000;
4'h9: VAR2 = 7'b0011000;
4'ha: VAR2 = 7'b0001000;
4'hb: VAR2 = 7'b0000011;
4'hc: VAR2 = 7'b1000110;
4'hd: VAR2 = 7'b0100001;
4'he: VAR2 = 7'b0000110;
4'hf: VAR2 = 7'b0001110;
endcase
end
endmodule | bsd-3-clause |
trivoldus28/pulsarch-verilog | design/sys/iop/common/rtl/ucb_bus_out.v | 4,469 | module MODULE1 (
VAR10, VAR16, VAR2,
clk, VAR3, VAR17, VAR11, VAR6, VAR20
);
parameter VAR18 = 32;
parameter VAR14 = 64;
input clk;
input VAR3;
output VAR10;
output [VAR18-1:0] VAR16;
input VAR17;
output VAR2;
input [VAR14+63:0] VAR11;
input [(VAR14+64)/VAR18-1:0] VAR6;
input VAR20;
wire VAR19;
wire [(VAR14+64)/VAR18-1:0] VAR21;
wire [(VAR14+64)/VAR18-1:0] VAR4;
wire [VAR14+63:0] VAR9;
wire [VAR14+63:0] VAR22;
wire VAR12;
wire VAR13;
assign VAR10 = VAR21[0];
assign VAR16 = VAR9[VAR18-1:0];
VAR5 #(1) VAR23 (.din(VAR17),
.clk(clk),
.VAR3(VAR3),
.VAR1(VAR19));
assign VAR12 = VAR20 & ~VAR2;
assign VAR2 = VAR21[0] | VAR19;
assign VAR13 = VAR21[0] & ~VAR19;
assign VAR4 =
VAR12 ? VAR6:
VAR13 ? VAR21 >> 1:
VAR21;
VAR5 #((VAR14+64)/VAR18) VAR7 (.din(VAR4),
.clk(clk),
.VAR3(VAR3),
.VAR1(VAR21));
assign VAR22 =
VAR12 ? VAR11:
VAR13 ? (VAR9 >> VAR18):
VAR9;
VAR8 #(VAR14+64) VAR15 (.din(VAR22),
.clk(clk),
.VAR1(VAR9));
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/lpflow_clkinvkapwr/sky130_fd_sc_hd__lpflow_clkinvkapwr_2.v | 2,261 | module MODULE2 (
VAR5 ,
VAR3 ,
VAR1,
VAR2 ,
VAR8 ,
VAR6 ,
VAR9
);
output VAR5 ;
input VAR3 ;
input VAR1;
input VAR2 ;
input VAR8 ;
input VAR6 ;
input VAR9 ;
VAR7 VAR4 (
.VAR5(VAR5),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR5,
VAR3
);
output VAR5;
input VAR3;
supply1 VAR1;
supply1 VAR2 ;
supply0 VAR8 ;
supply1 VAR6 ;
supply0 VAR9 ;
VAR7 VAR4 (
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/nor2/sky130_fd_sc_lp__nor2_0.v | 2,086 | module MODULE2 (
VAR6 ,
VAR7 ,
VAR2 ,
VAR9,
VAR3,
VAR8 ,
VAR1
);
output VAR6 ;
input VAR7 ;
input VAR2 ;
input VAR9;
input VAR3;
input VAR8 ;
input VAR1 ;
VAR5 VAR4 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR6,
VAR7,
VAR2
);
output VAR6;
input VAR7;
input VAR2;
supply1 VAR9;
supply0 VAR3;
supply1 VAR8 ;
supply0 VAR1 ;
VAR5 VAR4 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2)
);
endmodule | apache-2.0 |
zhaishaomin/ring_network-based-multicore- | whole_system/whole_system.v | 8,274 | module MODULE1( clk,
rst
);
input clk;
input rst;
wire VAR28; wire VAR53;
wire VAR35; wire VAR5; wire [3:0] VAR29;
wire [3:0] VAR2;
wire [15:0] VAR15;
wire [1:0] VAR32;
wire [1:0] VAR45;
wire VAR54; wire VAR30;
wire VAR14; wire VAR24; wire [3:0] VAR12;
wire [3:0] VAR20;
wire [15:0] VAR37;
wire [1:0] VAR1;
wire [1:0] VAR33;
wire VAR22; wire VAR25;
wire VAR57; wire VAR55; wire [3:0] VAR13;
wire [3:0] VAR6;
wire [15:0] VAR52;
wire [1:0] VAR42;
wire [1:0] VAR44;
wire VAR43; wire VAR51;
wire VAR8; wire VAR46; wire [3:0] VAR58;
wire [3:0] VAR17;
wire [15:0] VAR39;
wire [1:0] VAR16;
wire [1:0] VAR19;
VAR3 VAR47( .clk(clk),
.rst(rst),
.VAR26(VAR16), .VAR36(VAR39),
.VAR7(VAR19),
.VAR48(VAR43),
.VAR9(VAR51),
.VAR10(VAR8),
.VAR50(VAR46),
.VAR21(VAR58),
.VAR41(VAR17),
.VAR27(VAR28), .VAR56(VAR53),
.VAR34(VAR35), .VAR31(VAR5), .VAR4(VAR29),
.VAR23(VAR2),
.VAR38(VAR15),
.VAR40(VAR32),
.VAR49(VAR45)
);
VAR3 VAR11( .clk(clk),
.rst(rst),
.VAR26(VAR32), .VAR36(VAR15),
.VAR7(VAR45),
.VAR48(VAR28),
.VAR9(VAR53),
.VAR10(VAR35),
.VAR50(VAR5),
.VAR21(VAR29),
.VAR41(VAR2),
.VAR27(VAR54), .VAR56(VAR30),
.VAR34(VAR14), .VAR31(VAR24), .VAR4(VAR12),
.VAR23(VAR20),
.VAR38(VAR37),
.VAR40(VAR1),
.VAR49(VAR33)
);
VAR3 VAR59( .clk(clk),
.rst(rst),
.VAR26(VAR1), .VAR36(VAR37),
.VAR7(VAR33),
.VAR48(VAR54),
.VAR9(VAR30),
.VAR10(VAR14),
.VAR50(VAR24),
.VAR21(VAR12),
.VAR41(VAR20),
.VAR27(VAR22), .VAR56(VAR25),
.VAR34(VAR57), .VAR31(VAR55), .VAR4(VAR13),
.VAR23(VAR6),
.VAR38(VAR52),
.VAR40(VAR42),
.VAR49(VAR44)
);
VAR3 VAR18( .clk(clk),
.rst(rst),
.VAR26(VAR42), .VAR36(VAR52),
.VAR7(VAR44),
.VAR48(VAR22),
.VAR9(VAR25),
.VAR10(VAR57),
.VAR50(VAR55),
.VAR21(VAR13),
.VAR41(VAR6),
.VAR27(VAR43), .VAR56(VAR51),
.VAR34(VAR8), .VAR31(VAR46), .VAR4(VAR58),
.VAR23(VAR17),
.VAR38(VAR39),
.VAR40(VAR16),
.VAR49(VAR19)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/and3b/sky130_fd_sc_hdll__and3b.blackbox.v | 1,303 | module MODULE1 (
VAR6 ,
VAR2,
VAR8 ,
VAR4
);
output VAR6 ;
input VAR2;
input VAR8 ;
input VAR4 ;
supply1 VAR7;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/tap/sky130_fd_sc_hdll__tap_1.v | 1,893 | module MODULE2 (
VAR1,
VAR4,
VAR3 ,
VAR5
);
input VAR1;
input VAR4;
input VAR3 ;
input VAR5 ;
VAR2 VAR6 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR5(VAR5)
);
endmodule
module MODULE2 ();
supply1 VAR1;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR5 ;
VAR2 VAR6 ();
endmodule | apache-2.0 |
bruskajp/EE-316 | Project2/Vivado_NexysBoard/project_2b/project_2b.srcs/sources_1/ip/blk_mem_LUT/blk_mem_LUT_stub.v | 1,304 | module MODULE1(VAR4, VAR3, VAR1, VAR2)
;
input VAR4;
input VAR3;
input [3:0]VAR1;
output [15:0]VAR2;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a222o/sky130_fd_sc_ls__a222o.blackbox.v | 1,419 | module MODULE1 (
VAR6 ,
VAR2,
VAR9,
VAR1,
VAR5,
VAR3,
VAR11
);
output VAR6 ;
input VAR2;
input VAR9;
input VAR1;
input VAR5;
input VAR3;
input VAR11;
supply1 VAR7;
supply0 VAR10;
supply1 VAR4 ;
supply0 VAR8 ;
endmodule | apache-2.0 |
CospanDesign/nysa-verilog | verilog/wishbone/slave/wb_sf_camera/rtl/wb_sf_camera.v | 14,963 | module MODULE1 #(
parameter VAR18 = 12
)(
input clk,
input rst,
output [31:0] VAR87,
input VAR104,
input VAR8,
input [3:0] VAR88,
input [31:0] VAR7,
input VAR102,
output reg VAR99,
output reg [31:0] VAR82,
input [31:0] VAR11,
output reg VAR112,
output VAR101,
output VAR71,
output VAR126,
output [3:0] VAR9,
output [31:0] VAR115,
output [31:0] VAR113,
input [31:0] VAR107,
input VAR24,
input VAR10,
output VAR5,
output VAR16,
output VAR28,
input VAR47,
input VAR13,
input VAR33,
input VAR45,
input [7:0] VAR44
);
localparam VAR80 = 32'h00000000;
localparam VAR68 = 32'h00000001;
localparam VAR77 = 32'h00000002;
localparam VAR32 = 32'h00000003;
localparam VAR123 = 32'h00000004;
localparam VAR58 = 32'h00000005;
localparam VAR69 = 32'h00000006;
localparam VAR27 = 32'h00000007;
reg [31:0] VAR132;
wire [31:0] VAR57;
wire VAR50;
wire VAR130;
wire VAR60;
wire VAR85;
wire VAR19;
wire VAR83;
reg [31:0] VAR78;
reg [31:0] VAR120;
wire [31:0] VAR53;
reg VAR91;
wire VAR2;
wire VAR121;
wire [31:0] VAR38;
reg [31:0] VAR117;
reg [31:0] VAR74;
wire [31:0] VAR1;
reg VAR40;
wire VAR52;
wire VAR127;
wire [31:0] VAR70;
wire VAR51;
wire VAR6;
wire VAR114;
wire VAR79;
wire [31:0] VAR94;
wire [23:0] VAR26;
wire VAR4;
wire VAR89;
wire VAR134;
wire [31:0] VAR36;
wire [31:0] VAR76;
reg VAR133;
wire VAR106;
wire VAR43;
wire VAR62;
VAR49 VAR118(
.clk (clk ),
.rst (rst || !VAR19 ),
.VAR87 (VAR87 ),
.VAR48 (VAR130 ),
.VAR41 (VAR133 ),
.VAR12 (VAR78 ),
.VAR98 (VAR120 ),
.VAR95 (VAR53 ),
.VAR97 (VAR91 ),
.VAR20 (VAR2 ),
.VAR17 (VAR121 ),
.VAR46 (VAR38 ),
.VAR122 (VAR117 ),
.VAR108 (VAR74 ),
.VAR34 (VAR1 ),
.VAR65 (VAR40 ),
.VAR15 (VAR52 ),
.VAR93 (VAR127 ),
.VAR116 (VAR70 ),
.VAR54 (VAR51 ),
.VAR92 (VAR101 ),
.VAR64 (VAR71 ),
.VAR37 (VAR126 ),
.VAR67 (VAR9 ),
.VAR55 (VAR115 ),
.VAR81 (VAR113 ),
.VAR22 (VAR107 ),
.VAR59 (VAR24 ),
.VAR128 (VAR10 ),
.VAR103 (VAR6 ),
.VAR63 (VAR114 ),
.VAR72 (VAR26 ),
.VAR25 (VAR79 ),
.VAR23 (VAR94 )
);
VAR31#(
.VAR18 (VAR18 )
) VAR61(
.clk (clk ),
.rst (rst ),
.VAR111 (VAR6 ),
.VAR109 (VAR114 ),
.VAR14 (VAR79 ),
.VAR105 (VAR94 ),
.VAR124 (VAR26 ),
.VAR86 (VAR19 ),
.VAR90 (VAR50 ),
.VAR73 (VAR85 ),
.VAR48 (VAR130 ),
.VAR100 (VAR83 ),
.VAR35 (VAR131 ),
.VAR3 (VAR106 ),
.VAR75 (VAR62 ),
.VAR29 (VAR4 ),
.VAR129 (VAR89 ),
.VAR21 (VAR36 ),
.VAR39 (VAR76 ),
.VAR125 (VAR134 ),
.VAR5 (VAR5 ),
.VAR16 (VAR16 ),
.VAR28 (VAR28 ),
.VAR47 (VAR47 ),
.VAR13 (VAR13 ),
.VAR33 (VAR33 ),
.VAR45 (VAR45 ),
.VAR44 (VAR44 )
);
assign VAR130 = VAR132[VAR42];
assign VAR60 = VAR132[VAR84];
assign VAR50 = VAR132[VAR96];
assign VAR85 = VAR132[VAR30];
assign VAR19 = VAR132[VAR66];
assign VAR83 = VAR132[VAR56];
assign VAR62 = VAR132[VAR110];
assign VAR57 = { 24'h0,
(VAR74 == 0),
(VAR120 == 0),
VAR4,
VAR89,
VAR134,
VAR130,
VAR52,
VAR2
};
assign VAR131 = (!VAR121) || (!VAR127);
always @ (posedge clk) begin
if (rst) begin
VAR112 <= 0;
end
else if (VAR130) begin
if (VAR102) begin
VAR112 <= 0;
end
else if (VAR2 || VAR52) begin
VAR112 <= 1;
end
else if (!VAR2 && !VAR52) begin
VAR112 <= 0;
end
end
else begin
VAR112 <= 0;
end
end
always @ (posedge clk) begin
if (rst) begin
VAR82 <= 32'h0;
VAR99 <= 0;
VAR132 <= 0;
VAR78 <= VAR38;
VAR117 <= VAR70;
VAR120 <= 0;
VAR74 <= 0;
VAR91 <= 0;
VAR40 <= 0;
end
else begin
VAR91 <= 0;
VAR40 <= 0;
if (VAR99 && ~VAR102)begin
VAR99 <= 0;
end
if (VAR102 && VAR8) begin
if (VAR104) begin
case (VAR11)
VAR80: begin
VAR132 <= VAR7;
end
VAR68: begin
end
VAR123: begin
VAR78 <= VAR7;
end
VAR58: begin
VAR120 <= VAR7;
if (VAR7 > 0) begin
VAR91 <= 1;
end
end
VAR69: begin
VAR117 <= VAR7;
end
VAR27: begin
VAR74 <= VAR7;
if (VAR7 > 0) begin
VAR40 <= 1;
end
end
default: begin
end
endcase
end
else begin
if (!VAR99) begin case (VAR11)
VAR80: begin
VAR82 <= VAR132;
end
VAR68: begin
VAR82 <= VAR57;
if (VAR2) begin
VAR120 <= 0;
end
if (VAR52) begin
VAR74 <= 0;
end
end
VAR77: begin
VAR82 <= VAR76;
end
VAR32: begin
VAR82 <= VAR36;
end
VAR123: begin
VAR82 <= VAR78;
end
VAR58: begin
VAR82 <= VAR53;
end
VAR69: begin
VAR82 <= VAR117;
end
VAR27: begin
VAR82 <= VAR1;
end
default: begin
VAR82 <= 32'h00;
end
endcase
end
end
VAR99 <= 1;
end
end
end
reg VAR119;
assign VAR43 = (VAR120 > 32'h0) ? (VAR53 == 32'h0):
(VAR74 > 32'h0) ? (VAR1 == 32'h0):
1;
always @ (posedge clk) begin
if (rst) begin
VAR133 <= 0;
VAR119 <= 0;
end
else begin
VAR133 <= 0;
if (VAR4) begin
VAR119 <= 1;
end
if (VAR106 && VAR119) begin
VAR133 <= 1;
if (VAR43) begin
VAR133 <= 1;
VAR119 <= 0;
end
end
end
end
endmodule | mit |
himansurathi/labCourseWork | VLSI lab/VLSI codes/boothMultiplier.v | 1,335 | module MODULE2(
input [3:0] VAR7,
input [3:0] VAR5,
output [7:0] VAR10,
input VAR15,
input reset
);
reg [3:0] VAR2, VAR4, VAR6;
reg VAR11;
reg [3:0] VAR12;
wire [3:0] sum, VAR9;
always @(posedge VAR15)
begin
if (reset) begin
VAR2 <= 4'b0;
VAR6 <= VAR7;
VAR4 <= VAR5;
VAR11 <= 1'b0;
VAR12 <= 3'b0;
end
else begin
case ({VAR4[0], VAR11})
2'b01 : {VAR2, VAR4, VAR11} <= {sum[3], sum, VAR4};
2'b10 : {VAR2, VAR4, VAR11} <= {VAR9[3], VAR9, VAR4};
default: {VAR2, VAR4, VAR11} <= {VAR2[3], VAR2, VAR4};
endcase
VAR12 <= VAR12 + 1;
end
end
MODULE1 MODULE1 (VAR2, VAR6, 0,sum);
MODULE1 MODULE2 (VAR2, ~VAR6, 1,VAR9);
assign VAR10 = {VAR2, VAR4};
endmodule
module MODULE1(VAR14,VAR13,VAR3,out);
input [3:0] VAR14;
input [3:0] VAR13;
input VAR3;
output [3:0] out;
assign out = VAR14 + VAR13 + VAR3;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/dlygate4sd3/sky130_fd_sc_ls__dlygate4sd3.blackbox.v | 1,288 | module MODULE1 (
VAR3,
VAR5
);
output VAR3;
input VAR5;
supply1 VAR2;
supply0 VAR6;
supply1 VAR4 ;
supply0 VAR1 ;
endmodule | apache-2.0 |
rurume/openrisc_vision_hardware | ISE/or1200_lsu.v | 7,718 | module MODULE1(
VAR10, VAR20, VAR11, VAR43, VAR41, VAR26, VAR35,
VAR16, VAR1, VAR18, VAR37, VAR45,
VAR30, VAR34, VAR13, VAR6, VAR17, VAR28,
VAR40, VAR14, VAR2, VAR39, VAR8
);
parameter VAR23 = VAR33;
parameter VAR38 = VAR22;
input [31:0] VAR10;
input [31:0] VAR20;
input [VAR42-1:0] VAR11;
input [VAR23-1:0] VAR43;
output [VAR23-1:0] VAR41;
output VAR26;
output VAR35;
input VAR16;
output VAR1;
output VAR18;
output VAR37;
output VAR45;
output [31:0] VAR30;
output VAR34;
output VAR13;
output [3:0] VAR6;
output [3:0] VAR17;
output [31:0] VAR28;
input [31:0] VAR40;
input VAR14;
input VAR2;
input VAR39;
input [3:0] VAR8;
reg [3:0] VAR6;
assign VAR26 = VAR2 & VAR34;
assign VAR35 = VAR14;
assign VAR1 = ((VAR11 == VAR25) | (VAR11 == VAR15) | (VAR11 == VAR19)) & VAR30[0]
| ((VAR11 == VAR29) | (VAR11 == VAR24) | (VAR11 == VAR12)) & |VAR30[1:0];
assign VAR18 = VAR39 & (VAR8 == VAR27);
assign VAR37 = VAR39 & (VAR8 == VAR32);
assign VAR45 = VAR39 & (VAR8 == VAR36);
assign VAR30 = VAR10 + VAR20;
assign VAR34 = VAR16 | VAR35 | VAR1 ? 1'b0 : |VAR11;
assign VAR13 = VAR11[3];
assign VAR17 = VAR34 ? VAR3 : VAR21;
always @(VAR11 or VAR30)
casex({VAR11, VAR30[1:0]})
{VAR31, 2'b00} : VAR6 = 4'b1000;
{VAR31, 2'b01} : VAR6 = 4'b0100;
{VAR31, 2'b10} : VAR6 = 4'b0010;
{VAR31, 2'b11} : VAR6 = 4'b0001;
{VAR25, 2'b00} : VAR6 = 4'b1100;
{VAR25, 2'b10} : VAR6 = 4'b0011;
{VAR29, 2'b00} : VAR6 = 4'b1111;
{VAR5, 2'b00}, {VAR4, 2'b00} : VAR6 = 4'b1000;
{VAR5, 2'b01}, {VAR4, 2'b01} : VAR6 = 4'b0100;
{VAR5, 2'b10}, {VAR4, 2'b10} : VAR6 = 4'b0010;
{VAR5, 2'b11}, {VAR4, 2'b11} : VAR6 = 4'b0001;
{VAR15, 2'b00}, {VAR19, 2'b00} : VAR6 = 4'b1100;
{VAR15, 2'b10}, {VAR19, 2'b10} : VAR6 = 4'b0011;
{VAR24, 2'b00}, {VAR12, 2'b00} : VAR6 = 4'b1111;
default : VAR6 = 4'b0000;
endcase
VAR7 VAR7(
.addr(VAR30[1:0]),
.VAR11(VAR11),
.VAR44(VAR40),
.VAR46(VAR41)
);
VAR9 VAR9(
.addr(VAR30[1:0]),
.VAR11(VAR11),
.VAR46(VAR43),
.VAR44(VAR28)
);
endmodule | gpl-2.0 |
ptracton/wb_soc_template | rtl/uart16550/bench/verilog/wb_mast.v | 10,947 | module MODULE1(clk, rst, VAR4, din, dout, VAR8, VAR11, sel, VAR5, ack, VAR1, VAR10);
input clk, rst;
output [31:0] VAR4;
input [31:0] din;
output [31:0] dout;
output VAR8, VAR11;
output [3:0] sel;
output VAR5;
input ack, VAR1, VAR10;
parameter VAR6 = 4096;
reg [31:0] VAR4;
reg [31:0] dout;
reg VAR8, VAR11;
reg [3:0] sel;
reg VAR5;
reg [31:0] VAR9[VAR6:0];
reg [31:0] VAR7[VAR6:0];
integer VAR2;
integer VAR3;
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | mit |
archlabo/Frix | fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/controller/mig_7series_v2_0_bank_compare.v | 10,847 | module MODULE1 #
(parameter VAR70 = 3,
parameter VAR50 = 100,
parameter VAR36 = "8",
parameter VAR4 = 12,
parameter VAR69 = 8,
parameter VAR27 = "VAR1",
parameter VAR8 = 2,
parameter VAR72 = 4,
parameter VAR74 = 16)
(
VAR48, VAR59, VAR80, VAR76,
VAR32, VAR35, VAR12, VAR57, VAR20,
VAR46, VAR9, VAR81, VAR30, VAR34,
VAR52, VAR18, VAR73, VAR7, VAR11,
clk, VAR49, VAR79, VAR31, VAR40, VAR37, VAR45,
VAR61, VAR43, VAR42, VAR67, VAR54, VAR38, VAR25,
VAR6, VAR78, VAR15, VAR66, VAR2, VAR53
);
input clk;
input VAR49;
input VAR79;
input [VAR69-1:0]VAR31;
output reg [VAR69-1:0] VAR48;
wire [VAR69-1:0] VAR21 =
VAR79
? VAR31
: VAR48;
input VAR40;
reg VAR5;
wire VAR56 = VAR49
? VAR40
: VAR5;
output wire VAR59;
assign VAR59 = VAR5;
input VAR37;
wire VAR10;
generate
if (VAR36 == "4") begin : VAR19
assign VAR10 = 1'b0;
end
else
if (VAR36 == "8") begin : VAR71
assign VAR10 = 1'b1;
end
else
if (VAR36 == "VAR14") begin : VAR22
reg VAR17;
wire VAR60 = VAR49
? (VAR40 || VAR37)
: VAR17;
assign VAR10 = VAR17;
end
endgenerate
output wire VAR80;
assign VAR80 = VAR10;
input [2:0] VAR45;
reg [2:0] VAR3;
wire [2:0] VAR29 = VAR49
? (VAR40 ? 3'b001 : VAR45)
: VAR3;
VAR68: assert property
(@(posedge clk) ((VAR27 != "VAR1") || VAR49 || ~|VAR29[2:1]));
input VAR61;
reg VAR41;
wire VAR58 = VAR49
? ((VAR29[1:0] == 2'b11) || VAR29[0])
: ~VAR61 && VAR41;
output wire VAR76;
assign VAR76 = VAR41;
input [VAR8-1:0] VAR43;
input [VAR8-1:0] VAR42;
reg [VAR8-1:0] VAR47 = {VAR8{1'b0}};
reg [VAR8-1:0] VAR62 = {VAR8{1'b0}};
generate
if (VAR72 != 1) begin
always @(VAR49 or VAR40
or VAR42 or VAR43 or VAR47) VAR62 = VAR49
? VAR40
? VAR42
: VAR43
: VAR47;
end
endgenerate
output wire [VAR8-1:0] VAR32;
assign VAR32 = VAR47;
input [VAR70-1:0] VAR67;
reg [VAR70-1:0] VAR77;
wire [VAR70-1:0] VAR13 = VAR49 ? VAR67 : VAR77;
output wire[VAR70-1:0] VAR35;
assign VAR35 = VAR77;
input [VAR74-1:0] VAR54;
reg [VAR74-1:0] VAR24;
wire [VAR74-1:0] VAR28 = VAR49 ? VAR54 : VAR24;
output wire [VAR74-1:0] VAR12;
assign VAR12 = VAR24;
input [VAR4-1:0] VAR38;
reg [15:0] VAR44 = 16'b0;
wire [VAR4-1:0] VAR55 = VAR49 ? VAR38 : VAR44[VAR4-1:0];
reg VAR23;
wire VAR63 = VAR49
? ((VAR29[1:0] == 2'b11) || ~VAR29[0])
: VAR23;
output wire VAR57;
assign VAR57 = VAR23;
input VAR25;
output reg VAR20;
wire VAR75 = VAR49 ? VAR25 : VAR20;
wire VAR65 = (VAR47 == (VAR40
? VAR42
: VAR43));
wire VAR16 = (VAR77 == VAR67);
wire VAR82 = VAR65 && VAR16;
output reg VAR46; wire VAR64;
assign VAR64 = VAR82 && ~VAR49;
output wire VAR9;
assign VAR9 = VAR64;
wire VAR39 = (VAR24 == VAR54);
output reg VAR81;
input [VAR8-1:0] VAR6;
input VAR78;
input VAR15;
output wire VAR30;
assign VAR30 = (VAR47 == VAR6) || VAR78 || VAR15;
input VAR66;
input VAR2;
reg [15:0] VAR26 = 16'b0;
always @(VAR66 or VAR2 or VAR44
or VAR10) begin
VAR26 = VAR44;
VAR26[10] = VAR66 && ~VAR2;
VAR26[11] = VAR44[10];
VAR26[12] = VAR10;
VAR26[13] = VAR44[11];
end
output wire [VAR74-1:0] VAR34;
assign VAR34 = VAR26[VAR74-1:0];
output wire VAR52;
output wire VAR18;
output wire VAR73;
input VAR53;
assign VAR52 = 1'b0;
assign VAR18 = 1'b1;
assign VAR73 = VAR53;
output reg [VAR74-1:0] VAR7;
always @(VAR53 or VAR24) begin
VAR7 = VAR24;
if (~VAR53) VAR7[10] = 1'b0;
end
localparam VAR33 = 1;
output reg [VAR72-1:0] VAR11;
wire [VAR72-1:0] VAR51 = {VAR72{~VAR49}} & (VAR33[VAR72-1:0] << VAR62);
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/sdfbbp/sky130_fd_sc_hd__sdfbbp.symbol.v | 1,572 | module MODULE1 (
input VAR12 ,
output VAR2 ,
output VAR6 ,
input VAR11,
input VAR4 ,
input VAR5 ,
input VAR7 ,
input VAR3
);
supply1 VAR8;
supply0 VAR9;
supply1 VAR1 ;
supply0 VAR10 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/einvn/sky130_fd_sc_hd__einvn.behavioral.v | 1,314 | module MODULE1 (
VAR3 ,
VAR7 ,
VAR8
);
output VAR3 ;
input VAR7 ;
input VAR8;
supply1 VAR5;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR1 ;
notif0 VAR6 (VAR3 , VAR7, VAR8 );
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/pcie_4243_axi_k7_x4_250/source/pcie_7x_v1_3_rxeq_scan.v | 11,034 | module MODULE1
(
input VAR35,
input VAR11,
input [ 2:0] VAR15,
input VAR36,
input [ 3:0] VAR17,
input [17:0] VAR30,
input VAR1,
input [ 5:0] VAR16,
input [ 5:0] VAR5,
output VAR4,
output [17:0] VAR12,
output VAR22,
output VAR26,
output VAR21
);
reg [ 2:0] VAR14;
reg VAR23;
reg [ 3:0] VAR25;
reg [17:0] VAR10;
reg VAR29;
reg [ 5:0] VAR8;
reg [ 5:0] VAR24;
reg [ 2:0] VAR31;
reg VAR2;
reg [ 3:0] VAR20;
reg [17:0] VAR3;
reg VAR37;
reg [ 5:0] VAR7;
reg [ 5:0] VAR18;
reg [ 1:0] VAR33 = 2'd0;
reg [ 2:0] VAR13 = 3'd0;
reg VAR34 = 1'd0;
reg [17:0] VAR32 = 18'd0;
reg VAR38 = 1'd0;
reg VAR9 = 1'd0;
reg VAR6 = 1'd0;
reg [ 2:0] fsm = 3'd0;
localparam VAR19 = 3'b001;
localparam VAR28 = 3'b010;
localparam VAR27 = 3'b100;
always @ (posedge VAR35)
begin
if (!VAR11)
begin
VAR14 <= 3'd0;
VAR23 <= 1'd0;
VAR25 <= 4'd0;
VAR10 <= 18'd0;
VAR29 <= 1'd0;
VAR8 <= 6'd0;
VAR24 <= 6'd0;
VAR31 <= 3'd0;
VAR2 <= 1'd0;
VAR20 <= 4'd0;
VAR3 <= 18'd0;
VAR37 <= 1'd0;
VAR7 <= 6'd0;
VAR18 <= 6'd0;
end
else
begin
VAR14 <= VAR15;
VAR23 <= VAR36;
VAR25 <= VAR17;
VAR10 <= VAR30;
VAR29 <= VAR1;
VAR8 <= VAR16;
VAR24 <= VAR5;
VAR31 <= VAR14;
VAR2 <= VAR23;
VAR20 <= VAR25;
VAR3 <= VAR10;
VAR37 <= VAR29;
VAR7 <= VAR8;
VAR18 <= VAR24;
end
end
always @ (posedge VAR35)
begin
if (!VAR11)
begin
fsm <= VAR19;
VAR34 <= 1'd0;
VAR32 <= 18'd0;
VAR38 <= 1'd0;
VAR9 <= 1'd0;
VAR33 <= 2'd0;
VAR6 <= 1'd0;
VAR13 <= 3'd0;
end
else
begin
case (fsm)
VAR19 :
begin
if (VAR2)
begin
fsm <= VAR28;
VAR34 <= 1'd1;
VAR32 <= VAR32;
VAR38 <= 1'd0;
VAR9 <= 1'd0;
VAR33 <= VAR33;
VAR6 <= 1'd0;
VAR13 <= VAR13;
end
else if (VAR37)
begin
fsm <= VAR27;
VAR34 <= 1'd0;
VAR32 <= VAR3;
VAR38 <= 1'd1;
VAR9 <= (VAR33 == 2'd1);
VAR33 <= (VAR33 + 2'd1);
VAR6 <= (VAR13 == 3'd1);
VAR13 <= (VAR13 + 3'd1);
end
else
begin
fsm <= VAR19;
VAR34 <= 1'd0;
VAR32 <= VAR32;
VAR38 <= 1'd0;
VAR9 <= 1'd0;
VAR33 <= VAR33;
VAR6 <= 1'd0;
VAR13 <= VAR13;
end
end
VAR28 :
begin
fsm <= (!VAR2) ? VAR19 : VAR28;
VAR34 <= 1'd1;
VAR32 <= VAR32;
VAR38 <= 1'd0;
VAR9 <= 1'd0;
VAR33 <= VAR33;
VAR6 <= 1'd0;
VAR13 <= VAR13;
end
VAR27 :
begin
fsm <= (!VAR37) ? VAR19 : VAR27;
VAR34 <= 1'd0;
VAR32 <= VAR32;
VAR38 <= 1'd1;
VAR9 <= VAR9;
VAR33 <= VAR33;
VAR6 <= VAR6;
VAR13 <= VAR13;
end
default :
begin
fsm <= VAR19;
VAR34 <= 1'd0;
VAR32 <= 18'd0;
VAR38 <= 1'd0;
VAR9 <= 1'd0;
VAR33 <= 2'd0;
VAR6 <= 1'd0;
VAR13 <= 3'd0;
end
endcase
end
end
assign VAR4 = VAR34;
assign VAR12 = VAR32;
assign VAR22 = VAR38;
assign VAR26 = VAR9;
assign VAR21 = VAR6;
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/mux4/sky130_fd_sc_hd__mux4_2.v | 2,444 | module MODULE1 (
VAR1 ,
VAR9 ,
VAR11 ,
VAR5 ,
VAR10 ,
VAR7 ,
VAR4 ,
VAR3,
VAR2,
VAR6 ,
VAR8
);
output VAR1 ;
input VAR9 ;
input VAR11 ;
input VAR5 ;
input VAR10 ;
input VAR7 ;
input VAR4 ;
input VAR3;
input VAR2;
input VAR6 ;
input VAR8 ;
VAR13 VAR12 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR1 ,
VAR9,
VAR11,
VAR5,
VAR10,
VAR7,
VAR4
);
output VAR1 ;
input VAR9;
input VAR11;
input VAR5;
input VAR10;
input VAR7;
input VAR4;
supply1 VAR3;
supply0 VAR2;
supply1 VAR6 ;
supply0 VAR8 ;
VAR13 VAR12 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
olajep/oh | src/aes/hdl/table.v | 14,744 | module MODULE2 (clk, state, VAR14, VAR8, VAR12, VAR16);
input clk;
input [31:0] state;
output [31:0] VAR14, VAR8, VAR12, VAR16;
wire [7:0] b0, b1, VAR4, VAR2;
assign {b0, b1, VAR4, VAR2} = state;
MODULE1
MODULE4 (clk, b0, {VAR14[23:0], VAR14[31:24]}),
VAR11 (clk, b1, {VAR8[15:0], VAR8[31:16]}),
VAR10 (clk, VAR4, {VAR12[7:0], VAR12[31:8]} ),
VAR1 (clk, VAR2, VAR16);
endmodule
module MODULE3 (clk, in, out);
input clk;
input [31:0] in;
output [31:0] out;
MODULE5
VAR5 (clk, in[31:24], out[31:24]),
VAR15 (clk, in[23:16], out[23:16]),
VAR7 (clk, in[15:8], out[15:8] ),
VAR6 (clk, in[7:0], out[7:0] );
endmodule
module MODULE1 (clk, in, out);
input clk;
input [7:0] in;
output [31:0] out;
MODULE5
MODULE3 (clk, in, out[31:24]);
assign out[23:16] = out[31:24];
MODULE4
MODULE1 (clk, in, out[7:0]);
assign out[15:8] = out[23:16] ^ out[7:0];
endmodule
module MODULE5 (clk, in, out);
input clk;
input [7:0] in;
output reg [7:0] out;
always @ (posedge clk)
case (in)
8'h00: out <= 8'h63;
8'h01: out <= 8'h7c;
8'h02: out <= 8'h77;
8'h03: out <= 8'h7b;
8'h04: out <= 8'hf2;
8'h05: out <= 8'h6b;
8'h06: out <= 8'h6f;
8'h07: out <= 8'hc5;
8'h08: out <= 8'h30;
8'h09: out <= 8'h01;
8'h0a: out <= 8'h67;
8'h0b: out <= 8'h2b;
8'h0c: out <= 8'hfe;
8'h0d: out <= 8'hd7;
8'h0e: out <= 8'hab;
8'h0f: out <= 8'h76;
8'h10: out <= 8'hca;
8'h11: out <= 8'h82;
8'h12: out <= 8'hc9;
8'h13: out <= 8'h7d;
8'h14: out <= 8'hfa;
8'h15: out <= 8'h59;
8'h16: out <= 8'h47;
8'h17: out <= 8'hf0;
8'h18: out <= 8'had;
8'h19: out <= 8'hd4;
8'h1a: out <= 8'ha2;
8'h1b: out <= 8'haf;
8'h1c: out <= 8'h9c;
8'h1d: out <= 8'ha4;
8'h1e: out <= 8'h72;
8'h1f: out <= 8'hc0;
8'h20: out <= 8'hb7;
8'h21: out <= 8'hfd;
8'h22: out <= 8'h93;
8'h23: out <= 8'h26;
8'h24: out <= 8'h36;
8'h25: out <= 8'h3f;
8'h26: out <= 8'hf7;
8'h27: out <= 8'hcc;
8'h28: out <= 8'h34;
8'h29: out <= 8'ha5;
8'h2a: out <= 8'he5;
8'h2b: out <= 8'hf1;
8'h2c: out <= 8'h71;
8'h2d: out <= 8'hd8;
8'h2e: out <= 8'h31;
8'h2f: out <= 8'h15;
8'h30: out <= 8'h04;
8'h31: out <= 8'hc7;
8'h32: out <= 8'h23;
8'h33: out <= 8'hc3;
8'h34: out <= 8'h18;
8'h35: out <= 8'h96;
8'h36: out <= 8'h05;
8'h37: out <= 8'h9a;
8'h38: out <= 8'h07;
8'h39: out <= 8'h12;
8'h3a: out <= 8'h80;
8'h3b: out <= 8'he2;
8'h3c: out <= 8'heb;
8'h3d: out <= 8'h27;
8'h3e: out <= 8'hb2;
8'h3f: out <= 8'h75;
8'h40: out <= 8'h09;
8'h41: out <= 8'h83;
8'h42: out <= 8'h2c;
8'h43: out <= 8'h1a;
8'h44: out <= 8'h1b;
8'h45: out <= 8'h6e;
8'h46: out <= 8'h5a;
8'h47: out <= 8'ha0;
8'h48: out <= 8'h52;
8'h49: out <= 8'h3b;
8'h4a: out <= 8'hd6;
8'h4b: out <= 8'hb3;
8'h4c: out <= 8'h29;
8'h4d: out <= 8'he3;
8'h4e: out <= 8'h2f;
8'h4f: out <= 8'h84;
8'h50: out <= 8'h53;
8'h51: out <= 8'hd1;
8'h52: out <= 8'h00;
8'h53: out <= 8'hed;
8'h54: out <= 8'h20;
8'h55: out <= 8'hfc;
8'h56: out <= 8'hb1;
8'h57: out <= 8'h5b;
8'h58: out <= 8'h6a;
8'h59: out <= 8'hcb;
8'h5a: out <= 8'hbe;
8'h5b: out <= 8'h39;
8'h5c: out <= 8'h4a;
8'h5d: out <= 8'h4c;
8'h5e: out <= 8'h58;
8'h5f: out <= 8'hcf;
8'h60: out <= 8'hd0;
8'h61: out <= 8'hef;
8'h62: out <= 8'haa;
8'h63: out <= 8'hfb;
8'h64: out <= 8'h43;
8'h65: out <= 8'h4d;
8'h66: out <= 8'h33;
8'h67: out <= 8'h85;
8'h68: out <= 8'h45;
8'h69: out <= 8'hf9;
8'h6a: out <= 8'h02;
8'h6b: out <= 8'h7f;
8'h6c: out <= 8'h50;
8'h6d: out <= 8'h3c;
8'h6e: out <= 8'h9f;
8'h6f: out <= 8'ha8;
8'h70: out <= 8'h51;
8'h71: out <= 8'ha3;
8'h72: out <= 8'h40;
8'h73: out <= 8'h8f;
8'h74: out <= 8'h92;
8'h75: out <= 8'h9d;
8'h76: out <= 8'h38;
8'h77: out <= 8'hf5;
8'h78: out <= 8'hbc;
8'h79: out <= 8'hb6;
8'h7a: out <= 8'hda;
8'h7b: out <= 8'h21;
8'h7c: out <= 8'h10;
8'h7d: out <= 8'hff;
8'h7e: out <= 8'hf3;
8'h7f: out <= 8'hd2;
8'h80: out <= 8'hcd;
8'h81: out <= 8'h0c;
8'h82: out <= 8'h13;
8'h83: out <= 8'hec;
8'h84: out <= 8'h5f;
8'h85: out <= 8'h97;
8'h86: out <= 8'h44;
8'h87: out <= 8'h17;
8'h88: out <= 8'hc4;
8'h89: out <= 8'ha7;
8'h8a: out <= 8'h7e;
8'h8b: out <= 8'h3d;
8'h8c: out <= 8'h64;
8'h8d: out <= 8'h5d;
8'h8e: out <= 8'h19;
8'h8f: out <= 8'h73;
8'h90: out <= 8'h60;
8'h91: out <= 8'h81;
8'h92: out <= 8'h4f;
8'h93: out <= 8'hdc;
8'h94: out <= 8'h22;
8'h95: out <= 8'h2a;
8'h96: out <= 8'h90;
8'h97: out <= 8'h88;
8'h98: out <= 8'h46;
8'h99: out <= 8'hee;
8'h9a: out <= 8'hb8;
8'h9b: out <= 8'h14;
8'h9c: out <= 8'hde;
8'h9d: out <= 8'h5e;
8'h9e: out <= 8'h0b;
8'h9f: out <= 8'hdb;
8'ha0: out <= 8'he0;
8'ha1: out <= 8'h32;
8'ha2: out <= 8'h3a;
8'ha3: out <= 8'h0a;
8'ha4: out <= 8'h49;
8'ha5: out <= 8'h06;
8'ha6: out <= 8'h24;
8'ha7: out <= 8'h5c;
8'ha8: out <= 8'hc2;
8'ha9: out <= 8'hd3;
8'haa: out <= 8'hac;
8'hab: out <= 8'h62;
8'hac: out <= 8'h91;
8'had: out <= 8'h95;
8'hae: out <= 8'he4;
8'haf: out <= 8'h79;
8'hb0: out <= 8'he7;
8'hb1: out <= 8'hc8;
8'hb2: out <= 8'h37;
8'hb3: out <= 8'h6d;
8'hb4: out <= 8'h8d;
8'hb5: out <= 8'hd5;
8'hb6: out <= 8'h4e;
8'hb7: out <= 8'ha9;
8'hb8: out <= 8'h6c;
8'hb9: out <= 8'h56;
8'hba: out <= 8'hf4;
8'hbb: out <= 8'hea;
8'hbc: out <= 8'h65;
8'hbd: out <= 8'h7a;
8'hbe: out <= 8'hae;
8'hbf: out <= 8'h08;
8'hc0: out <= 8'hba;
8'hc1: out <= 8'h78;
8'hc2: out <= 8'h25;
8'hc3: out <= 8'h2e;
8'hc4: out <= 8'h1c;
8'hc5: out <= 8'ha6;
8'hc6: out <= 8'hb4;
8'hc7: out <= 8'hc6;
8'hc8: out <= 8'he8;
8'hc9: out <= 8'hdd;
8'hca: out <= 8'h74;
8'hcb: out <= 8'h1f;
8'hcc: out <= 8'h4b;
8'hcd: out <= 8'hbd;
8'hce: out <= 8'h8b;
8'hcf: out <= 8'h8a;
8'hd0: out <= 8'h70;
8'hd1: out <= 8'h3e;
8'hd2: out <= 8'hb5;
8'hd3: out <= 8'h66;
8'hd4: out <= 8'h48;
8'hd5: out <= 8'h03;
8'hd6: out <= 8'hf6;
8'hd7: out <= 8'h0e;
8'hd8: out <= 8'h61;
8'hd9: out <= 8'h35;
8'hda: out <= 8'h57;
8'hdb: out <= 8'hb9;
8'hdc: out <= 8'h86;
8'hdd: out <= 8'hc1;
8'hde: out <= 8'h1d;
8'hdf: out <= 8'h9e;
8'he0: out <= 8'he1;
8'he1: out <= 8'hf8;
8'he2: out <= 8'h98;
8'he3: out <= 8'h11;
8'he4: out <= 8'h69;
8'he5: out <= 8'hd9;
8'he6: out <= 8'h8e;
8'he7: out <= 8'h94;
8'he8: out <= 8'h9b;
8'he9: out <= 8'h1e;
8'hea: out <= 8'h87;
8'heb: out <= 8'he9;
8'hec: out <= 8'hce;
8'hed: out <= 8'h55;
8'hee: out <= 8'h28;
8'hef: out <= 8'hdf;
8'hf0: out <= 8'h8c;
8'hf1: out <= 8'ha1;
8'hf2: out <= 8'h89;
8'hf3: out <= 8'h0d;
8'hf4: out <= 8'hbf;
8'hf5: out <= 8'he6;
8'hf6: out <= 8'h42;
8'hf7: out <= 8'h68;
8'hf8: out <= 8'h41;
8'hf9: out <= 8'h99;
8'hfa: out <= 8'h2d;
8'hfb: out <= 8'h0f;
8'hfc: out <= 8'hb0;
8'hfd: out <= 8'h54;
8'hfe: out <= 8'hbb;
8'hff: out <= 8'h16;
endcase
endmodule
module MODULE4 (clk, in, out);
input clk;
input [7:0] in;
output reg [7:0] out;
always @ (posedge clk)
case (in)
8'h00: out <= 8'hc6;
8'h01: out <= 8'hf8;
8'h02: out <= 8'hee;
8'h03: out <= 8'hf6;
8'h04: out <= 8'hff;
8'h05: out <= 8'hd6;
8'h06: out <= 8'hde;
8'h07: out <= 8'h91;
8'h08: out <= 8'h60;
8'h09: out <= 8'h02;
8'h0a: out <= 8'hce;
8'h0b: out <= 8'h56;
8'h0c: out <= 8'he7;
8'h0d: out <= 8'hb5;
8'h0e: out <= 8'h4d;
8'h0f: out <= 8'hec;
8'h10: out <= 8'h8f;
8'h11: out <= 8'h1f;
8'h12: out <= 8'h89;
8'h13: out <= 8'hfa;
8'h14: out <= 8'hef;
8'h15: out <= 8'hb2;
8'h16: out <= 8'h8e;
8'h17: out <= 8'hfb;
8'h18: out <= 8'h41;
8'h19: out <= 8'hb3;
8'h1a: out <= 8'h5f;
8'h1b: out <= 8'h45;
8'h1c: out <= 8'h23;
8'h1d: out <= 8'h53;
8'h1e: out <= 8'he4;
8'h1f: out <= 8'h9b;
8'h20: out <= 8'h75;
8'h21: out <= 8'he1;
8'h22: out <= 8'h3d;
8'h23: out <= 8'h4c;
8'h24: out <= 8'h6c;
8'h25: out <= 8'h7e;
8'h26: out <= 8'hf5;
8'h27: out <= 8'h83;
8'h28: out <= 8'h68;
8'h29: out <= 8'h51;
8'h2a: out <= 8'hd1;
8'h2b: out <= 8'hf9;
8'h2c: out <= 8'he2;
8'h2d: out <= 8'hab;
8'h2e: out <= 8'h62;
8'h2f: out <= 8'h2a;
8'h30: out <= 8'h08;
8'h31: out <= 8'h95;
8'h32: out <= 8'h46;
8'h33: out <= 8'h9d;
8'h34: out <= 8'h30;
8'h35: out <= 8'h37;
8'h36: out <= 8'h0a;
8'h37: out <= 8'h2f;
8'h38: out <= 8'h0e;
8'h39: out <= 8'h24;
8'h3a: out <= 8'h1b;
8'h3b: out <= 8'hdf;
8'h3c: out <= 8'hcd;
8'h3d: out <= 8'h4e;
8'h3e: out <= 8'h7f;
8'h3f: out <= 8'hea;
8'h40: out <= 8'h12;
8'h41: out <= 8'h1d;
8'h42: out <= 8'h58;
8'h43: out <= 8'h34;
8'h44: out <= 8'h36;
8'h45: out <= 8'hdc;
8'h46: out <= 8'hb4;
8'h47: out <= 8'h5b;
8'h48: out <= 8'ha4;
8'h49: out <= 8'h76;
8'h4a: out <= 8'hb7;
8'h4b: out <= 8'h7d;
8'h4c: out <= 8'h52;
8'h4d: out <= 8'hdd;
8'h4e: out <= 8'h5e;
8'h4f: out <= 8'h13;
8'h50: out <= 8'ha6;
8'h51: out <= 8'hb9;
8'h52: out <= 8'h00;
8'h53: out <= 8'hc1;
8'h54: out <= 8'h40;
8'h55: out <= 8'he3;
8'h56: out <= 8'h79;
8'h57: out <= 8'hb6;
8'h58: out <= 8'hd4;
8'h59: out <= 8'h8d;
8'h5a: out <= 8'h67;
8'h5b: out <= 8'h72;
8'h5c: out <= 8'h94;
8'h5d: out <= 8'h98;
8'h5e: out <= 8'hb0;
8'h5f: out <= 8'h85;
8'h60: out <= 8'hbb;
8'h61: out <= 8'hc5;
8'h62: out <= 8'h4f;
8'h63: out <= 8'hed;
8'h64: out <= 8'h86;
8'h65: out <= 8'h9a;
8'h66: out <= 8'h66;
8'h67: out <= 8'h11;
8'h68: out <= 8'h8a;
8'h69: out <= 8'he9;
8'h6a: out <= 8'h04;
8'h6b: out <= 8'hfe;
8'h6c: out <= 8'ha0;
8'h6d: out <= 8'h78;
8'h6e: out <= 8'h25;
8'h6f: out <= 8'h4b;
8'h70: out <= 8'ha2;
8'h71: out <= 8'h5d;
8'h72: out <= 8'h80;
8'h73: out <= 8'h05;
8'h74: out <= 8'h3f;
8'h75: out <= 8'h21;
8'h76: out <= 8'h70;
8'h77: out <= 8'hf1;
8'h78: out <= 8'h63;
8'h79: out <= 8'h77;
8'h7a: out <= 8'haf;
8'h7b: out <= 8'h42;
8'h7c: out <= 8'h20;
8'h7d: out <= 8'he5;
8'h7e: out <= 8'hfd;
8'h7f: out <= 8'hbf;
8'h80: out <= 8'h81;
8'h81: out <= 8'h18;
8'h82: out <= 8'h26;
8'h83: out <= 8'hc3;
8'h84: out <= 8'hbe;
8'h85: out <= 8'h35;
8'h86: out <= 8'h88;
8'h87: out <= 8'h2e;
8'h88: out <= 8'h93;
8'h89: out <= 8'h55;
8'h8a: out <= 8'hfc;
8'h8b: out <= 8'h7a;
8'h8c: out <= 8'hc8;
8'h8d: out <= 8'hba;
8'h8e: out <= 8'h32;
8'h8f: out <= 8'he6;
8'h90: out <= 8'hc0;
8'h91: out <= 8'h19;
8'h92: out <= 8'h9e;
8'h93: out <= 8'ha3;
8'h94: out <= 8'h44;
8'h95: out <= 8'h54;
8'h96: out <= 8'h3b;
8'h97: out <= 8'h0b;
8'h98: out <= 8'h8c;
8'h99: out <= 8'hc7;
8'h9a: out <= 8'h6b;
8'h9b: out <= 8'h28;
8'h9c: out <= 8'ha7;
8'h9d: out <= 8'hbc;
8'h9e: out <= 8'h16;
8'h9f: out <= 8'had;
8'ha0: out <= 8'hdb;
8'ha1: out <= 8'h64;
8'ha2: out <= 8'h74;
8'ha3: out <= 8'h14;
8'ha4: out <= 8'h92;
8'ha5: out <= 8'h0c;
8'ha6: out <= 8'h48;
8'ha7: out <= 8'hb8;
8'ha8: out <= 8'h9f;
8'ha9: out <= 8'hbd;
8'haa: out <= 8'h43;
8'hab: out <= 8'hc4;
8'hac: out <= 8'h39;
8'had: out <= 8'h31;
8'hae: out <= 8'hd3;
8'haf: out <= 8'hf2;
8'hb0: out <= 8'hd5;
8'hb1: out <= 8'h8b;
8'hb2: out <= 8'h6e;
8'hb3: out <= 8'hda;
8'hb4: out <= 8'h01;
8'hb5: out <= 8'hb1;
8'hb6: out <= 8'h9c;
8'hb7: out <= 8'h49;
8'hb8: out <= 8'hd8;
8'hb9: out <= 8'hac;
8'hba: out <= 8'hf3;
8'hbb: out <= 8'hcf;
8'hbc: out <= 8'hca;
8'hbd: out <= 8'hf4;
8'hbe: out <= 8'h47;
8'hbf: out <= 8'h10;
8'hc0: out <= 8'h6f;
8'hc1: out <= 8'hf0;
8'hc2: out <= 8'h4a;
8'hc3: out <= 8'h5c;
8'hc4: out <= 8'h38;
8'hc5: out <= 8'h57;
8'hc6: out <= 8'h73;
8'hc7: out <= 8'h97;
8'hc8: out <= 8'hcb;
8'hc9: out <= 8'ha1;
8'hca: out <= 8'he8;
8'hcb: out <= 8'h3e;
8'hcc: out <= 8'h96;
8'hcd: out <= 8'h61;
8'hce: out <= 8'h0d;
8'hcf: out <= 8'h0f;
8'hd0: out <= 8'he0;
8'hd1: out <= 8'h7c;
8'hd2: out <= 8'h71;
8'hd3: out <= 8'hcc;
8'hd4: out <= 8'h90;
8'hd5: out <= 8'h06;
8'hd6: out <= 8'hf7;
8'hd7: out <= 8'h1c;
8'hd8: out <= 8'hc2;
8'hd9: out <= 8'h6a;
8'hda: out <= 8'hae;
8'hdb: out <= 8'h69;
8'hdc: out <= 8'h17;
8'hdd: out <= 8'h99;
8'hde: out <= 8'h3a;
8'hdf: out <= 8'h27;
8'he0: out <= 8'hd9;
8'he1: out <= 8'heb;
8'he2: out <= 8'h2b;
8'he3: out <= 8'h22;
8'he4: out <= 8'hd2;
8'he5: out <= 8'ha9;
8'he6: out <= 8'h07;
8'he7: out <= 8'h33;
8'he8: out <= 8'h2d;
8'he9: out <= 8'h3c;
8'hea: out <= 8'h15;
8'heb: out <= 8'hc9;
8'hec: out <= 8'h87;
8'hed: out <= 8'haa;
8'hee: out <= 8'h50;
8'hef: out <= 8'ha5;
8'hf0: out <= 8'h03;
8'hf1: out <= 8'h59;
8'hf2: out <= 8'h09;
8'hf3: out <= 8'h1a;
8'hf4: out <= 8'h65;
8'hf5: out <= 8'hd7;
8'hf6: out <= 8'h84;
8'hf7: out <= 8'hd0;
8'hf8: out <= 8'h82;
8'hf9: out <= 8'h29;
8'hfa: out <= 8'h5a;
8'hfb: out <= 8'h1e;
8'hfc: out <= 8'h7b;
8'hfd: out <= 8'ha8;
8'hfe: out <= 8'h6d;
8'hff: out <= 8'h2c;
endcase
endmodule | mit |
AngelTerrones/ADA | rtl/ada_exception.v | 18,967 | module MODULE1(
input clk, input rst, input [4:0] VAR80, input [4:0] VAR60, input [31:0] VAR24, input VAR4, input VAR39, input VAR55, input VAR1, input VAR44, input VAR88, input VAR76, input VAR14, input VAR52, input VAR84, input VAR43, input VAR71, input VAR83, input VAR5, input [31:0] VAR8, input [31:0] VAR3, input [31:0] VAR34, input [31:0] VAR32, input [31:0] VAR61, input [31:0] VAR29, input VAR47, input VAR69, input VAR22, output reg [31:0] VAR11, output VAR65, output VAR20, output VAR91, output VAR15, output VAR25, output VAR67, output VAR6, output VAR16, output VAR72, output VAR41, output reg [31:0] VAR57, output VAR37, output VAR58 );
reg VAR42; reg VAR63; reg VAR86; reg [31:0] VAR85; reg [31:0] VAR51; reg [31:0] VAR64; reg VAR66; reg VAR19; reg [31:0] VAR50; reg VAR33; reg VAR59;
reg [63:0] VAR77; reg [31:0] VAR82;
reg VAR21;
reg [3:0] VAR10; reg [31:0] VAR81; reg [31:0] VAR79;
reg [3:0] VAR56;
wire [31:0] VAR54; wire [31:0] VAR78;
wire [31:0] VAR38;
wire VAR68;
wire VAR74;
wire VAR7;
wire VAR70;
wire VAR12;
wire VAR18;
wire VAR87;
wire VAR17;
wire VAR9;
wire VAR28;
wire VAR31;
wire VAR46;
wire VAR90;
wire VAR53;
wire VAR48;
assign VAR46 = (VAR85 & VAR51) != 32'b0;
assign VAR90 = VAR63 & ~VAR86 & VAR46 & ~VAR55;
assign VAR68 = VAR71;
assign VAR74 = VAR43;
assign VAR7 = VAR90 | VAR21 | VAR76 | VAR14 | VAR44 | VAR88 | VAR84 | VAR52;
assign VAR70 = VAR1;
assign VAR12 = VAR22; assign VAR18 = VAR69 | VAR22; assign VAR87 = VAR47 | VAR69 | VAR22;
assign VAR72 = 0; assign VAR16 = VAR74 & ~VAR68 & VAR12; assign VAR6 = (VAR7 | VAR48 | VAR4) & ~(VAR74 | VAR68) & VAR18;
assign VAR67 = VAR70 & ~(VAR7 | VAR74 | VAR68) & VAR87;
assign VAR17 = VAR68; assign VAR9 = VAR74 & ~VAR12; assign VAR28 = VAR7 & ~VAR18; assign VAR31 = VAR70 & ~VAR87;
assign VAR25 = VAR68;
assign VAR15 = VAR68 | VAR74;
assign VAR91 = VAR68 | VAR74 | VAR7;
assign VAR20 = VAR68 | VAR74 | VAR7 | VAR70 | VAR48;
assign VAR65 = VAR42 | VAR86;
assign VAR53 = VAR65 & VAR4 & ~VAR39;
assign VAR41 = rst | VAR17 | VAR9 | VAR28 | VAR31 | VAR48;
assign VAR48 = (VAR83 | VAR5) & ~VAR39;
assign VAR54 = VAR77[63:32];
assign VAR78 = VAR77[31:0];
assign VAR38 = VAR82 + 32'b1;
assign VAR37 = VAR33;
assign VAR58 = VAR59;
always @(posedge clk) begin
if (rst) begin
VAR77 <= 32'h0;
end
else begin
VAR77 <= VAR77 + 1;
end
end
always @(posedge clk) begin
VAR64 = (rst) ? 32'hFFFFFFFF : (((VAR60 == VAR89) & VAR53) ? VAR24 : VAR64);
VAR66 = (rst) ? 1'b0 : (((VAR60 == VAR73) & VAR53) ? VAR24[0] : VAR66);
VAR19 = (rst) ? 1'b0 : (((VAR60 == VAR23) & VAR53) ? VAR24[0] : 1'b0);
VAR82 <= (rst) ? 32'h00000000 : ((~VAR66) ? 32'h00000000 : ((VAR38 == VAR64) ? 32'h00000000 : VAR38));
VAR21 <= (rst) ? 1'b0 : ((VAR38 == VAR64) ? VAR66 : VAR21 & ~VAR19);
end
always @(posedge clk) begin
if (rst) begin
VAR42 <= 1'b1;
end
else if (VAR48) begin
VAR42 <= 1'b0;
end
else if (VAR17 | VAR9 | VAR28 | VAR31) begin
VAR42 <= 1'b1;
end
end
always @ begin
if (VAR71) VAR56 <= VAR2;
end
else if (VAR43) VAR56 <= VAR27;
else if (VAR44) VAR56 <= VAR40;
else if (VAR88) VAR56 <= VAR35;
else if (VAR76) VAR56 <= VAR36;
else if (VAR14) VAR56 <= VAR62;
else if (VAR84) VAR56 <= VAR75;
else if (VAR52) VAR56 <= VAR45;
else if (VAR1) VAR56 <= VAR13;
else if (VAR21) VAR56 <= VAR26;
else if (VAR90) VAR56 <= VAR49;
else VAR56 <= 4'b0000;
end
always @(*) begin
if (rst | VAR44) begin
VAR57 <= VAR30;
end
else if (VAR48) begin
VAR57 <= VAR81;
end
else begin
VAR57 <= VAR50;
end
end
endmodule | mit |
kyzhai/NUNY | src/hardware/fail_new_bb.v | 5,016 | module MODULE1 (
address,
VAR2,
VAR1);
input [11:0] address;
input VAR2;
output [11:0] VAR1;
tri1 VAR2;
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/xor2/sky130_fd_sc_hs__xor2.pp.blackbox.v | 1,238 | module MODULE1 (
VAR5 ,
VAR2 ,
VAR1 ,
VAR3,
VAR4
);
output VAR5 ;
input VAR2 ;
input VAR1 ;
input VAR3;
input VAR4;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/tapvgndnovpb/sky130_fd_sc_ls__tapvgndnovpb.pp.symbol.v | 1,229 | module MODULE1 (
input VAR1 ,
input VAR3,
input VAR4,
input VAR2
);
endmodule | apache-2.0 |
kDaniu/miaow | src/verilog/rtl/dispatcher/allocator.v | 18,380 | module MODULE1
(
VAR129, VAR34, VAR45,
VAR115, VAR31, VAR126,
VAR138, VAR15,
VAR136, VAR67,
VAR114, VAR149,
VAR113,
clk, rst, VAR131,
VAR135,
VAR65,
VAR101,
VAR24,
VAR132, VAR50,
VAR69, VAR100,
VAR23, VAR93, VAR18,
VAR27, VAR77, VAR112,
VAR133, VAR124, VAR102,
VAR7, VAR127
);
parameter VAR5 = 6;
parameter VAR63 = 6;
parameter VAR103 = 64;
parameter VAR54 = 10;
parameter VAR119 = 1024;
parameter VAR121 = 10;
parameter VAR71 = 1024;
parameter VAR80 = 10;
parameter VAR156 = 1024;
parameter VAR13 = 10;
parameter VAR3 = 40;
parameter VAR21 = 10;
parameter VAR33 = 1024;
parameter VAR92 = 4;
input clk,rst;
input [VAR5-1:0] VAR131;
input [VAR92-1:0] VAR135;
input [VAR54 :0] VAR65;
input [VAR121 :0] VAR101;
input [VAR80 :0] VAR24;
input [VAR21 :0] VAR132;
input [VAR103-1:0] VAR50;
input VAR69;
input VAR100;
output VAR129;
output VAR34;
output [VAR5-1:0] VAR45;
output [VAR63-1 :0] VAR115;
output [VAR92-1:0] VAR31;
output [VAR54 :0] VAR126;
output [VAR121 :0] VAR138;
output [VAR80 :0] VAR15;
output [VAR21 :0] VAR136;
output [VAR54-1 :0] VAR67;
output [VAR121-1 :0] VAR114;
output [VAR80-1 :0] VAR149;
output [VAR21-1 :0] VAR113;
input VAR23;
input [VAR63-1 :0] VAR93;
input [VAR54-1 :0] VAR18;
input [VAR54 :0] VAR27;
input [VAR121-1 :0] VAR77;
input [VAR121 :0] VAR112;
input [VAR80-1 :0] VAR133;
input [VAR80 :0] VAR124;
input [VAR21-1 :0] VAR102;
input [VAR21 :0] VAR7;
input [VAR13:0] VAR127;
reg VAR10;
reg [VAR5-1:0] VAR47;
reg [VAR92-1:0] VAR66;
reg [VAR54 :0] VAR41;
reg [VAR121 :0] VAR152;
reg [VAR80 :0] VAR106;
reg [VAR21 :0] VAR107;
reg [VAR103-1:0] VAR140;
reg VAR82;
reg [VAR63-1 :0] VAR37;
reg [VAR54-1 :0] VAR144;
reg [VAR54 :0] VAR130;
reg [VAR121-1 :0] VAR104;
reg [VAR121 :0] VAR85;
reg [VAR80-1 :0] VAR70;
reg [VAR80 :0] VAR8;
reg [VAR21-1 :0] VAR87;
reg [VAR21 :0] VAR30;
reg [VAR13:0] VAR60;
reg VAR111;
wire [VAR103-1 :0] VAR86, VAR146, VAR16,
VAR6;
reg VAR109;
reg VAR9;
reg [VAR5-1 : 0] VAR49;
reg [VAR92-1: 0] VAR52;
reg [VAR54:0] VAR29;
reg [VAR121:0] VAR125;
reg [VAR80:0] VAR44;
reg [VAR21:0] VAR78;
reg [VAR21-1:0] VAR43;
reg [VAR103-1:0] VAR73;
reg VAR53;
reg [VAR103-1: 0] VAR14;
reg [VAR5-1 :0] VAR120;
reg [VAR92-1: 0] VAR116;
reg [VAR54 :0] VAR150;
reg [VAR121 :0] VAR17;
reg [VAR80 :0] VAR88;
reg [VAR21 :0] VAR137;
reg [VAR21-1 :0] VAR61;
reg VAR36,
VAR89, VAR118;
reg [VAR63-1:0] VAR84, VAR145;
reg [VAR5-1 :0] VAR105;
reg [VAR92-1: 0] VAR76;
reg [VAR54 :0] VAR95;
reg [VAR121 :0] VAR81;
reg [VAR80 :0] VAR74;
reg [VAR21 :0] VAR35;
reg [VAR21-1 :0] VAR122;
reg VAR2, VAR32;
reg [VAR63-1:0] VAR25;
reg [VAR54-1:0] VAR99;
reg [VAR121-1:0] VAR134;
reg [VAR80-1:0] VAR155;
reg [VAR21-1:0] VAR22;
reg [VAR5-1 :0] VAR59;
reg [VAR54 :0] VAR28;
reg [VAR121 :0] VAR51;
reg [VAR80 :0] VAR96;
reg [VAR21 :0] VAR98;
reg [VAR92-1: 0] VAR57;
localparam VAR38
= VAR54 + VAR121 + VAR80 +VAR21;
localparam VAR139 = 0;
localparam VAR90 = VAR139+ VAR54-1;
localparam VAR62 = VAR90 + 1;
localparam VAR154 = VAR62+ VAR121-1;
localparam VAR108 = VAR154 + 1;
localparam VAR12 = VAR108+ VAR80-1;
localparam VAR39 = VAR12 + 1;
localparam VAR83 = VAR39+ VAR21-1;
wire [VAR38-1 :0] VAR141, VAR151;
reg [VAR21:0] VAR55;
reg [VAR21-1:0] VAR153;
reg [VAR103-1:0] VAR11;
reg VAR147;
VAR26
.VAR103(VAR103),
.VAR48(VAR54),
.VAR75(VAR119))
VAR42
(.clk(clk),
.rst(rst),
.VAR46(VAR10),
.VAR56(VAR41),
.VAR79(VAR86),
.VAR123(VAR82),
.VAR64(VAR37),
.VAR72(VAR130));
VAR26
.VAR103(VAR103),
.VAR48(VAR121),
.VAR75(VAR71))
VAR91
(.clk(clk),
.rst(rst),
.VAR46(VAR10),
.VAR56(VAR152),
.VAR79(VAR146),
.VAR123(VAR82),
.VAR64(VAR37),
.VAR72(VAR85));
VAR26
.VAR103(VAR103),
.VAR48(VAR80),
.VAR75(VAR156))
VAR19
(.clk(clk),
.rst(rst),
.VAR46(VAR10),
.VAR56(VAR106),
.VAR79(VAR16),
.VAR123(VAR82),
.VAR64(VAR37),
.VAR72(VAR8));
VAR26
.VAR103(VAR103),
.VAR48(VAR13),
.VAR75(VAR3))
VAR4
(.clk(clk),
.rst(rst),
.VAR46(VAR10),
.VAR56({{(VAR13+1-(VAR92)){1'b0}},
VAR66}),
.VAR79(VAR6),
.VAR123(VAR82),
.VAR64(VAR37),
.VAR72(VAR60));
VAR143
.VAR142(VAR63),
.VAR117(VAR103))
VAR40
( .VAR97 (VAR141),
.rst (rst),
.clk (clk),
.VAR20 (VAR82),
.VAR148 (VAR37),
.VAR94 (VAR151),
.VAR1 (VAR36 &&
VAR89),
.VAR128 (VAR84));
assign VAR151 = { VAR70,
VAR104, VAR144 };
always @(posedge clk or rst) begin
if(rst) begin
VAR107 <= {(1+(VAR21)){1'b0}};
VAR106 <= {(1+(VAR80)){1'b0}};
VAR66 <= {VAR92{1'b0}};
VAR152 <= {(1+(VAR121)){1'b0}};
VAR10 <= 1'h0;
VAR41 <= {(1+(VAR54)){1'b0}};
VAR47 <= {VAR5{1'b0}};
VAR137 <= {(1+(VAR21)){1'b0}};
VAR61 <= {VAR21{1'b0}};
VAR88 <= {(1+(VAR80)){1'b0}};
VAR14 <= {VAR103{1'b0}};
VAR53 <= 1'h0;
VAR17 <= {(1+(VAR121)){1'b0}};
VAR150 <= {(1+(VAR54)){1'b0}};
VAR116 <= {VAR92{1'b0}};
VAR120 <= {VAR5{1'b0}};
VAR37 <= {VAR63{1'b0}};
VAR30 <= {(1+(VAR21)){1'b0}};
VAR87 <= {VAR21{1'b0}};
VAR8 <= {(1+(VAR80)){1'b0}};
VAR70 <= {VAR80{1'b0}};
VAR85 <= {(1+(VAR121)){1'b0}};
VAR104 <= {VAR121{1'b0}};
VAR82 <= 1'h0;
VAR130 <= {(1+(VAR54)){1'b0}};
VAR144 <= {VAR54{1'b0}};
VAR60 <= {(1+(VAR13)){1'b0}};
VAR73 <= {VAR103{1'b0}};
VAR78 <= {(1+(VAR21)){1'b0}};
VAR43 <= {VAR21{1'b0}};
VAR44 <= {(1+(VAR80)){1'b0}};
VAR125 <= {(1+(VAR121)){1'b0}};
VAR9 <= 1'h0;
VAR29 <= {(1+(VAR54)){1'b0}};
VAR52 <= {VAR92{1'b0}};
VAR49 <= {VAR5{1'b0}};
VAR25 <= {VAR63{1'b0}};
VAR11 <= {VAR103{1'b0}};
VAR140 <= {VAR103{1'b0}};
VAR89 <= 1'h0;
VAR84 <= {VAR63{1'b0}};
VAR36 <= 1'h0;
VAR105 <= {VAR5{1'b0}};
VAR35 <= {(1+(VAR21)){1'b0}};
VAR122 <= {VAR21{1'b0}};
VAR74 <= {(1+(VAR80)){1'b0}};
VAR81 <= {(1+(VAR121)){1'b0}};
VAR95 <= {(1+(VAR54)){1'b0}};
VAR76 <= {VAR92{1'b0}};
VAR55 <= {(1+(VAR21)){1'b0}};
VAR98 <= {(1+(VAR21)){1'b0}};
VAR22 <= {VAR21{1'b0}};
VAR153 <= {VAR21{1'b0}};
VAR109 <= 1'h0;
VAR96 <= {(1+(VAR80)){1'b0}};
VAR147 <= 1'h0;
VAR51 <= {(1+(VAR121)){1'b0}};
VAR32 <= 1'h0;
VAR2 <= 1'h0;
VAR28 <= {(1+(VAR54)){1'b0}};
VAR57 <= {VAR92{1'b0}};
VAR59 <= {VAR5{1'b0}};
VAR55 <= VAR33;
end
else begin if(VAR36 && !VAR147) begin
VAR147 <= 1'b1;
end
if(VAR69) begin
VAR147 <= 1'b0;
end
if(!VAR147) begin
VAR10 <= VAR100;
VAR47 <= VAR131;
VAR66 <= VAR135;
VAR41 <= VAR65;
VAR152 <= VAR101;
VAR106 <= VAR24;
VAR107 <= VAR132;
VAR140 <= VAR50;
VAR9 <= VAR10;
VAR49 <= VAR47;
VAR52 <= VAR66;
VAR29 <= VAR41;
VAR125 <= VAR152;
VAR44 <= VAR106;
VAR78 <= VAR107;
VAR43 <= VAR153;
VAR73 <= VAR140;
if(VAR55 >= VAR107)
VAR109 <= 1'b1;
end
else
VAR109 <= 1'b0;
VAR53 <= VAR9;
VAR14 <= VAR86 & VAR146 & VAR16 &
VAR6 & {VAR103{VAR109}} &
(~VAR73);
VAR120 <= VAR49;
VAR116 <= VAR52;
VAR150 <= VAR29;
VAR17 <= VAR125;
VAR88 <= VAR44;
VAR137 <= VAR78;
VAR61 <= VAR43;
VAR36 <= VAR53;
VAR89 <= VAR118;
VAR84 <= VAR145;
VAR76 <= VAR116;
VAR105 <= VAR120;
VAR95 <= VAR150;
VAR81 <= VAR17;
VAR74 <= VAR88;
VAR35 <= VAR137;
VAR122 <= VAR61;
VAR2 <= VAR36;
VAR32 <= VAR89;
VAR25 <= VAR84;
VAR59 <= VAR105;
VAR57 <= VAR76;
VAR28 <= VAR95;
VAR51 <= VAR81;
VAR96 <= VAR74;
VAR98 <= VAR35;
VAR22 <= VAR122;
end
VAR82 <= VAR23;
VAR37 <= VAR93;
VAR144 <= VAR18;
VAR104 <= VAR77;
VAR70 <= VAR133;
VAR87 <= VAR102;
VAR60 <= VAR127;
if(VAR82) begin
VAR11[VAR37] <= 1'b1;
end
VAR130 <= VAR27;
VAR85 <= VAR112;
VAR8 <= VAR124;
VAR30 <= VAR7;
if(VAR82) begin
VAR55 <= VAR30;
VAR153 <= VAR87;
end
else if(VAR10 && (VAR55 >= VAR107) && !VAR147) begin
VAR55 <= VAR55 - VAR107;
VAR153 <= VAR153 + VAR107;
end
end
end
assign VAR129 = VAR2;
assign VAR34 = ~VAR32;
assign VAR45 = VAR59;
assign VAR115 = VAR25;
assign VAR126 = VAR28;
assign VAR138 = VAR51;
assign VAR15 = VAR96;
assign VAR136 = VAR98;
assign VAR67 = (!VAR11[VAR25])? 0 :
VAR141[VAR90:VAR139];
assign VAR114 = (!VAR11[VAR25])? 0 :
VAR141[VAR154:VAR62];
assign VAR149 = (!VAR11[VAR25])? 0 :
VAR141[VAR12:VAR108];
assign VAR113 = (!VAR11[VAR25])? 0 :
VAR22;
assign VAR31 = VAR57;
always @ ( VAR14) begin : VAR110
integer VAR68;
reg VAR58;
VAR58 = 1'b0;
VAR145 = 0;
for (VAR68=0; VAR68<VAR103; VAR68 = VAR68+1) begin
if(~VAR58 && VAR14[VAR68]) begin
VAR58 = 1'b1;
VAR145 = VAR68;
end
end
VAR118 = VAR58;
end
endmodule | bsd-3-clause |
martinmiranda14/Digitales | Lab5/ss_a_7seg.v | 1,511 | module MODULE1(
input [3:0] VAR2,
output reg [7:0] VAR1
);
always @(*) begin
case(VAR2)
4'd0: VAR1=8'b00000011;
4'd1: VAR1=8'b10011111;
4'd2: VAR1=8'b00100101;
4'd3: VAR1=8'b00001101;
4'd4: VAR1=8'b10011001;
4'd5: VAR1=8'b01001001;
4'd6: VAR1=8'b01000001;
4'd7: VAR1=8'b00011111;
4'd8: VAR1=8'b00000001;
4'd9: VAR1=8'b00011001;
4'd10: VAR1=8'b00010001;
4'd11: VAR1=8'b11000001;
4'd12: VAR1=8'b01100011;
4'd13: VAR1=8'b10000101;
4'd14: VAR1=8'b01100001;
4'd15: VAR1=8'b01110001;
default VAR1=8'b11111101;
endcase
end
endmodule | apache-2.0 |
8l/kestrel | 2/nexys2/uxa/ps2io/T_uxa_ps2.v | 7,105 | module MODULE1;
reg VAR1;
reg VAR10;
reg VAR9;
reg VAR8;
reg VAR12;
reg VAR11;
reg [9:8] VAR3;
wire VAR2;
wire VAR5;
wire VAR4;
wire [15:0] VAR13;
VAR6 VAR7 (
.VAR2(VAR2),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR12(VAR12),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR13(VAR13)
);
always begin
VAR9 <= ~VAR9;
end | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/xor2/gf180mcu_fd_sc_mcu7t5v0__xor2_2.functional.pp.v | 1,168 | module MODULE1( VAR1, VAR9, VAR8, VAR11, VAR7 );
input VAR9, VAR1;
inout VAR11, VAR7;
output VAR8;
wire VAR14;
not VAR4( VAR14, VAR1 );
wire VAR2;
and VAR12( VAR2, VAR14, VAR9 );
wire VAR5;
not VAR10( VAR5, VAR9 );
wire VAR3;
and VAR13( VAR3, VAR5, VAR1 );
or VAR6( VAR8, VAR2, VAR3 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlrtn/sky130_fd_sc_hd__dlrtn_2.v | 2,358 | module MODULE1 (
VAR6 ,
VAR7,
VAR4 ,
VAR10 ,
VAR5 ,
VAR9 ,
VAR1 ,
VAR2
);
output VAR6 ;
input VAR7;
input VAR4 ;
input VAR10 ;
input VAR5 ;
input VAR9 ;
input VAR1 ;
input VAR2 ;
VAR8 VAR3 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR6 ,
VAR7,
VAR4 ,
VAR10
);
output VAR6 ;
input VAR7;
input VAR4 ;
input VAR10 ;
supply1 VAR5;
supply0 VAR9;
supply1 VAR1 ;
supply0 VAR2 ;
VAR8 VAR3 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/dlyb/gf180mcu_fd_sc_mcu7t5v0__dlyb_4.behavioral.v | 1,098 | module MODULE1( VAR1, VAR4 );
input VAR1;
output VAR4;
VAR2 VAR5(.VAR1(VAR1),.VAR4(VAR4));
VAR2 VAR3(.VAR1(VAR1),.VAR4(VAR4)); | apache-2.0 |
asicguy/gplgpu | hdl/de3d/des_state.v | 3,234 | module MODULE1
(
input VAR16,
input VAR12,
input VAR17,
input VAR4,
input VAR3,
input VAR1,
input VAR2,
input VAR18,
input VAR5,
output reg VAR13,
output reg VAR8,
output reg [5:0] VAR14
);
reg VAR10;
reg VAR9;
always @ (posedge VAR16 or negedge VAR12) begin
if (!VAR12) begin
VAR14 <= 6'b000000;
VAR13 <= 1'b0;
VAR8 <= 1'b0;
VAR10 <= 1'b0;
VAR9 <= 1'b0;
end
else begin
VAR9 <= VAR10;
VAR10 <= VAR17;
VAR8 <= 1'b0;
if((VAR14 == 6'b000000) & VAR9) VAR14 <= 6'b000001;
end
else if((VAR14 == VAR7) & VAR2 & ~VAR4) begin
VAR8 <= 1'b1;
VAR14 <= 6'b000000;
end
else if((VAR14 == VAR15) & (VAR3 & VAR1 & ~VAR4)) begin
VAR8 <= 1'b1;
VAR14 <= 6'b000000;
end
else if((VAR14 == VAR6) & ((VAR5 | VAR18) & ~VAR4)) begin
VAR8 <= 1'b1;
VAR14 <= 6'b000000;
end
else if(VAR14 == 6'b000000) VAR14 <= 6'b000000;
end
else if((VAR14 == VAR11)) VAR14 <= 6'b000000;
else VAR14 <= VAR14 + 6'b000001;
VAR13 <= (VAR14 == VAR11);
end
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/o32ai/sky130_fd_sc_hd__o32ai.functional.pp.v | 2,191 | module MODULE1 (
VAR16 ,
VAR5 ,
VAR12 ,
VAR17 ,
VAR7 ,
VAR9 ,
VAR15,
VAR3,
VAR19 ,
VAR1
);
output VAR16 ;
input VAR5 ;
input VAR12 ;
input VAR17 ;
input VAR7 ;
input VAR9 ;
input VAR15;
input VAR3;
input VAR19 ;
input VAR1 ;
wire VAR11 ;
wire VAR4 ;
wire VAR20 ;
wire VAR18;
nor VAR2 (VAR11 , VAR17, VAR5, VAR12 );
nor VAR14 (VAR4 , VAR7, VAR9 );
or VAR13 (VAR20 , VAR4, VAR11 );
VAR8 VAR10 (VAR18, VAR20, VAR15, VAR3);
buf VAR6 (VAR16 , VAR18 );
endmodule | apache-2.0 |
CospanDesign/nysa-verilog | verilog/wishbone/slave/wb_fpga_nes/rtl/cpu/sprdma.v | 4,642 | module MODULE1
(
input wire VAR8, input wire VAR11, input wire [15:0] VAR16, input wire [ 7:0] VAR10, input wire [ 7:0] VAR3, input wire VAR21, output wire VAR17, output reg [15:0] VAR15, output reg [ 7:0] VAR1, output reg VAR19 );
localparam [1:0] VAR14 = 2'h0,
VAR12 = 2'h1,
VAR7 = 2'h2;
reg [ 1:0] VAR18, VAR13; reg [15:0] VAR9, VAR5; reg [ 1:0] VAR20, VAR2; reg [ 7:0] VAR6, VAR4;
always @(posedge VAR8)
begin
if (VAR11)
begin
VAR18 <= VAR14;
VAR9 <= 16'h0000;
VAR20 <= 2'h0;
VAR6 <= 8'h00;
end
else
begin
VAR18 <= VAR13;
VAR9 <= VAR5;
VAR20 <= VAR2;
VAR6 <= VAR4;
end
end
always @*
begin
VAR13 = VAR18;
VAR5 = VAR9;
VAR2 = VAR20;
VAR4 = VAR6;
VAR15 = 16'h00;
VAR1 = 8'h00;
VAR19 = 1'b1;
if (VAR18 == VAR14)
begin
if ((VAR16 == 16'h4014) && !VAR21)
begin
VAR13 = VAR12;
VAR5 = { VAR10, 8'h00 };
end
end
else if (VAR18 == VAR12)
begin
case (VAR20)
2'h0:
begin
VAR15 = VAR9;
VAR2 = 2'h1;
end
2'h1:
begin
VAR15 = VAR9;
VAR4 = VAR3;
VAR2 = 2'h2;
end
2'h2:
begin
VAR15 = 16'h2004;
VAR1 = VAR6;
VAR19 = 1'b0;
VAR2 = 2'h0;
if (VAR9[7:0] == 8'hff)
VAR13 = VAR7;
end
else
VAR5 = VAR9 + 16'h0001;
end
endcase
end
else if (VAR18 == VAR7)
begin
if (VAR21)
VAR13 = VAR14;
end
end
assign VAR17 = (VAR18 == VAR12);
endmodule | mit |
sh-chris110/chris | FPGA/uCos/system/synthesis/submodules/system_nios2_gen2_0_cpu_mult_cell.v | 8,024 | module MODULE1 (
VAR33,
VAR1,
VAR18,
clk,
VAR6,
VAR11,
VAR21,
VAR52
)
;
output [ 31: 0] VAR11;
output [ 31: 0] VAR21;
output [ 31: 0] VAR52;
input [ 31: 0] VAR33;
input [ 31: 0] VAR1;
input VAR18;
input clk;
input VAR6;
wire [ 31: 0] VAR11;
wire [ 31: 0] VAR21;
wire [ 31: 0] VAR52;
wire VAR22;
wire [ 31: 0] VAR10;
wire [ 31: 0] VAR30;
assign VAR22 = ~VAR6;
assign VAR10 = VAR33;
assign VAR30 = VAR1;
VAR50 VAR46
(
.VAR13 (VAR22),
.VAR48 (clk),
.VAR9 (VAR10[15 : 0]),
.VAR54 (VAR30[15 : 0]),
.VAR55 (VAR18),
.VAR5 (VAR11)
);
VAR46.VAR20 = "VAR17",
VAR46.VAR24 = "VAR40",
VAR46.VAR15 = "VAR49",
VAR46.VAR31 = "VAR40",
VAR46.VAR2 = "VAR40",
VAR46.VAR43 = "VAR28",
VAR46.VAR51 = "VAR4",
VAR46.VAR47 = "VAR50",
VAR46.VAR37 = "VAR38",
VAR46.VAR12 = "VAR29",
VAR46.VAR27 = "VAR17",
VAR46.VAR16 = 1,
VAR46.VAR34 = "VAR40",
VAR46.VAR25 = "VAR39",
VAR46.VAR26 = "VAR39",
VAR46.VAR32 = "VAR45",
VAR46.VAR3 = "VAR45",
VAR46.VAR53 = "VAR44",
VAR46.VAR8 = "VAR29",
VAR46.VAR23 = "VAR29",
VAR46.VAR57 = "VAR17",
VAR46.VAR36 = "VAR17",
VAR46.VAR14 = "VAR40",
VAR46.VAR56 = "VAR40",
VAR46.VAR42 = 16,
VAR46.VAR41 = 16,
VAR46.VAR7 = 32;
VAR50 VAR58
(
.VAR13 (VAR22),
.VAR48 (clk),
.VAR9 (VAR10[15 : 0]),
.VAR54 (VAR30[31 : 16]),
.VAR55 (VAR18),
.VAR5 (VAR21)
);
VAR58.VAR20 = "VAR17",
VAR58.VAR24 = "VAR40",
VAR58.VAR15 = "VAR49",
VAR58.VAR31 = "VAR40",
VAR58.VAR2 = "VAR40",
VAR58.VAR43 = "VAR28",
VAR58.VAR51 = "VAR4",
VAR58.VAR47 = "VAR50",
VAR58.VAR37 = "VAR38",
VAR58.VAR12 = "VAR29",
VAR58.VAR27 = "VAR17",
VAR58.VAR16 = 1,
VAR58.VAR34 = "VAR40",
VAR58.VAR25 = "VAR39",
VAR58.VAR26 = "VAR39",
VAR58.VAR32 = "VAR45",
VAR58.VAR3 = "VAR45",
VAR58.VAR53 = "VAR44",
VAR58.VAR8 = "VAR29",
VAR58.VAR23 = "VAR29",
VAR58.VAR57 = "VAR17",
VAR58.VAR36 = "VAR17",
VAR58.VAR14 = "VAR40",
VAR58.VAR56 = "VAR40",
VAR58.VAR42 = 16,
VAR58.VAR41 = 16,
VAR58.VAR7 = 32;
VAR50 VAR19
(
.VAR13 (VAR22),
.VAR48 (clk),
.VAR9 (VAR10[31 : 16]),
.VAR54 (VAR30[15 : 0]),
.VAR55 (VAR18),
.VAR5 (VAR52)
);
VAR19.VAR20 = "VAR17",
VAR19.VAR24 = "VAR40",
VAR19.VAR15 = "VAR49",
VAR19.VAR31 = "VAR40",
VAR19.VAR2 = "VAR40",
VAR19.VAR43 = "VAR28",
VAR19.VAR51 = "VAR4",
VAR19.VAR47 = "VAR50",
VAR19.VAR37 = "VAR38",
VAR19.VAR12 = "VAR29",
VAR19.VAR27 = "VAR17",
VAR19.VAR16 = 1,
VAR19.VAR34 = "VAR40",
VAR19.VAR25 = "VAR39",
VAR19.VAR26 = "VAR39",
VAR19.VAR32 = "VAR45",
VAR19.VAR3 = "VAR45",
VAR19.VAR53 = "VAR44",
VAR19.VAR8 = "VAR29",
VAR19.VAR23 = "VAR29",
VAR19.VAR57 = "VAR17",
VAR19.VAR36 = "VAR17",
VAR19.VAR14 = "VAR40",
VAR19.VAR56 = "VAR40",
VAR19.VAR42 = 16,
VAR19.VAR41 = 16,
VAR19.VAR7 = 32;
endmodule | gpl-2.0 |
freecores/tcp_socket | precompiled/server.v | 332,997 | module MODULE1(VAR27,VAR23,VAR35,VAR2,VAR49,VAR11,clk,rst,VAR6,VAR43,VAR12,VAR30,VAR10,VAR36);
integer VAR1;
real VAR53;
input [15:0] VAR27;
input [15:0] VAR23;
input VAR35;
input VAR2;
input VAR49;
input VAR11;
input clk;
input rst;
output [15:0] VAR6;
output [15:0] VAR43;
output VAR12;
output VAR30;
output VAR10;
output VAR36;
reg [15:0] VAR31;
reg VAR29;
reg VAR41;
reg VAR48;
reg VAR14;
reg [11:0] VAR7;
reg [11:0] VAR46;
reg [53:0] VAR18;
reg [5:0] VAR3;
reg [7:0] VAR16;
reg [7:0] VAR22;
reg [7:0] VAR21;
reg [31:0] VAR40;
reg [11:0] VAR24;
reg [5:0] VAR5;
reg [7:0] VAR44;
reg [31:0] VAR42;
reg [31:0] VAR34;
reg [31:0] VAR20;
reg [7:0] VAR47;
reg [31:0] VAR50;
reg VAR25;
reg [15:0] VAR51;
reg [15:0] VAR52;
reg [15:0] VAR37;
reg VAR45;
reg [15:0] VAR4;
reg [31:0] VAR38;
reg [31:0] VAR17;
reg VAR33;
reg [15:0] VAR26;
reg [15:0] VAR28;
reg [15:0] VAR9;
reg [15:0] VAR32;
reg [15:0] VAR15;
reg [15:0] VAR13;
reg [15:0] VAR39 [2685:0];
reg [53:0] VAR19 [3316:0];
reg [31:0] VAR8 [150:0];
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | mit |
tnsrb93/G1_RealTimeDCTSteganography | src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ipstatic/axi_traffic_gen_v2_0_7/hdl/src/verilog/axi_traffic_gen_v2_0_systeminit_top.v | 19,818 | module MODULE1 #
(
parameter VAR127 = "VAR141" ,
parameter VAR108 = 1 ,
parameter VAR82 = 1 ,
parameter VAR58 = 32 ,
parameter VAR15 = "VAR86.VAR45" ,
parameter VAR128 = "VAR12.VAR45" ,
parameter VAR6 = "VAR37.VAR45" ,
parameter VAR140 = "VAR72.VAR45" ,
parameter VAR96 = 5 , parameter VAR55 = 32 , parameter VAR88 = 0 ,
parameter VAR154 = 0 ,
parameter VAR100 = 32'h1 ,
parameter VAR8 = 32'h0000001A ,
parameter VAR11 = 32'h1 ,
parameter VAR68 = 32'h00000000 ,
parameter VAR73 = 32'h000000FF ,
parameter VAR57 = 32'h00000100 ,
parameter VAR146 = 32'h000001FF ,
parameter VAR148 = 32'h00000200 ,
parameter VAR2 = 32'h000002FF ,
parameter VAR65 = 32'h00000300 ,
parameter VAR20 = 32'h000003FF ,
parameter VAR79 = 32'h00000400 ,
parameter VAR51 = 32'h000004FF
) (
input VAR104 ,
input VAR10 ,
output [31:0] VAR16 ,
output VAR101 ,
input VAR156 ,
output [2:0] VAR81 ,
output [VAR58-1:0] VAR91 ,
output [VAR58/8-1:0] VAR147 ,
output VAR23 ,
input VAR40 ,
input [1:0] VAR125 ,
input VAR137 ,
output VAR49 ,
output [31:0] VAR110 ,
output VAR43 ,
input VAR28 ,
input [VAR58-1:0] VAR39 ,
input VAR144 ,
input [1:0] VAR75 ,
output VAR77 ,
output [31:0] VAR27 ,
output VAR25 ,
input VAR5 ,
output [2:0] VAR70 ,
output [VAR58-1:0] VAR153 ,
output [VAR58/8-1:0] VAR84 ,
output VAR129 ,
input VAR22 ,
input [1:0] VAR52 ,
input VAR32 ,
output VAR93 ,
output [31:0] VAR152 ,
output VAR30 ,
input VAR143 ,
input [VAR58-1:0] VAR139 ,
input VAR116 ,
input [1:0] VAR142 ,
output VAR87 ,
output [31:0] VAR46 ,
output VAR18 ,
input VAR98 ,
output [2:0] VAR74 ,
output [VAR58-1:0] VAR111 ,
output [VAR58/8-1:0] VAR53 ,
output VAR136 ,
input VAR119 ,
input [1:0] VAR138 ,
input VAR67 ,
output VAR7 ,
output [31:0] VAR29 ,
output VAR134 ,
input VAR126 ,
input [VAR58-1:0] VAR62 ,
input VAR17 ,
input [1:0] VAR118 ,
output VAR103 ,
output [31:0] VAR94 ,
output VAR97 ,
input VAR130 ,
output [2:0] VAR92 ,
output [VAR58-1:0] VAR123 ,
output [VAR58/8-1:0] VAR155 ,
output VAR14 ,
input VAR60 ,
input [1:0] VAR80 ,
input VAR56 ,
output VAR89 ,
output [31:0] VAR19 ,
output VAR24 ,
input VAR47 ,
input [VAR58-1:0] VAR95 ,
input VAR122 ,
input [1:0] VAR121 ,
output VAR105 ,
output [31:0] VAR83 ,
output VAR135 ,
input VAR124 ,
output [2:0] VAR42 ,
output [VAR58-1:0] VAR85 ,
output [VAR58/8-1:0] VAR13 ,
output VAR31 ,
input VAR71 ,
input [1:0] VAR150 ,
input VAR61 ,
output VAR9 ,
output [31:0] VAR64 ,
output VAR76 ,
input VAR4 ,
input [VAR58-1:0] VAR102 ,
input VAR133 ,
input [1:0] VAR113 ,
output VAR109 ,
output VAR35 ,
output VAR145 ,
output [31:0] VAR114
);
wire VAR1;
wire [31:0] VAR90;
wire [31:0] VAR132 ;
wire [31:0] VAR21 ;
wire [31:0] VAR3 ;
wire [31:0] VAR69 ;
wire [9:0] VAR112 ;
wire [9:0] VAR120 ;
wire [127:0] VAR117 ;
wire [VAR58-1:0] VAR99;
assign VAR145 = VAR1;
assign VAR114 = VAR90;
assign VAR81 = 3'b000; assign VAR70 = 3'b000; assign VAR74 = 3'b000; assign VAR92 = 3'b000; assign VAR42 = 3'b000;
VAR107 #(
.VAR127 (VAR127 ) ,
.VAR78 (VAR128 ) ,
.VAR96 (VAR96 ) ,
.VAR55(VAR55)
) VAR59(
.VAR36 (VAR112[VAR96-1:0] ),
.clk (VAR104 ),
.VAR50 (~VAR10 ),
.VAR54 (VAR69 )
);
VAR107 #(
.VAR127 (VAR127 ) ,
.VAR78 (VAR15 ) ,
.VAR96 (VAR96 ) ,
.VAR55(VAR55)
) VAR115(
.VAR36 (VAR120[VAR96-1:0] ),
.clk (VAR104 ),
.VAR50 (~VAR10 ),
.VAR54 (VAR132 )
);
generate if(VAR154 ==1 ) begin : VAR106
VAR107 #(
.VAR127 (VAR127 ) ,
.VAR78 (VAR140 ) ,
.VAR96 (VAR96 ) ,
.VAR55(VAR55)
) VAR34(
.VAR36 (VAR112[VAR96-1:0] ),
.clk (VAR104 ),
.VAR50 (~VAR10 ),
.VAR54 (VAR21 )
);
VAR107 #(
.VAR127 (VAR127 ) ,
.VAR78 (VAR6 ) ,
.VAR96 (VAR96 ) ,
.VAR55(VAR55)
) VAR41(
.VAR36 (VAR112[VAR96-1:0] ),
.clk (VAR104 ),
.VAR50 (~VAR10 ),
.VAR54 (VAR3 )
);
end
endgenerate
VAR151 # (
.VAR58 (VAR58 ),
.VAR88 (VAR88 ),
.VAR154 (VAR154 ),
.VAR96 (VAR96 )
) VAR63 (
.VAR104 (VAR104 ),
.VAR10 (VAR10 ),
.VAR149 (1'b0 ),
.VAR33 (8'h0 ),
.VAR112(VAR112),
.VAR69 (VAR69 ),
.VAR132 (32'h0 ),
.VAR117 (VAR117 ),
.VAR38 ( ),
.VAR26 ( )
);
VAR131 # (
.VAR108 (VAR108 ),
.VAR82 (VAR82 ),
.VAR58 (VAR58 ),
.VAR88 (VAR88 ),
.VAR154 (VAR154 ),
.VAR100(VAR100),
.VAR8(VAR8),
.VAR11 (VAR11 ),
.VAR68 (VAR68 ),
.VAR73 (VAR73 ),
.VAR57 (VAR57 ),
.VAR146 (VAR146 ),
.VAR148 (VAR148 ),
.VAR2 (VAR2 ),
.VAR65 (VAR65 ),
.VAR20 (VAR20 ),
.VAR79 (VAR79 ),
.VAR51 (VAR51 )
) VAR48 (
.VAR104 (VAR104 ),
.VAR10 (VAR10 ),
.VAR16 (VAR16 ),
.VAR101 (VAR101 ),
.VAR156 (VAR156 ),
.VAR91 (VAR91 ),
.VAR147 (VAR147 ),
.VAR23 (VAR23 ),
.VAR40 (VAR40 ),
.VAR125 (VAR125 ),
.VAR137 (VAR137 ),
.VAR49 (VAR49 ),
.VAR110 (VAR110 ),
.VAR43 (VAR43 ),
.VAR28 (VAR28 ),
.VAR39 (VAR39 ),
.VAR144 (VAR144 ),
.VAR75 (VAR75 ),
.VAR77 (VAR77 ),
.VAR27 (VAR27 ),
.VAR25 (VAR25 ),
.VAR5 (VAR5 ),
.VAR153 (VAR153 ),
.VAR84 (VAR84 ),
.VAR129 (VAR129 ),
.VAR22 (VAR22 ),
.VAR52 (VAR52 ),
.VAR32 (VAR32 ),
.VAR93 (VAR93 ),
.VAR152 (VAR152 ),
.VAR30 (VAR30 ),
.VAR143 (VAR143 ),
.VAR139 (VAR139 ),
.VAR116 (VAR116 ),
.VAR142 (VAR142 ),
.VAR87 (VAR87 ),
.VAR46 (VAR46 ),
.VAR18 (VAR18 ),
.VAR98 (VAR98 ),
.VAR111 (VAR111 ),
.VAR53 (VAR53 ),
.VAR136 (VAR136 ),
.VAR119 (VAR119 ),
.VAR138 (VAR138 ),
.VAR67 (VAR67 ),
.VAR7 (VAR7 ),
.VAR29 (VAR29 ),
.VAR134 (VAR134 ),
.VAR126 (VAR126 ),
.VAR62 (VAR62 ),
.VAR17 (VAR17 ),
.VAR118 (VAR118 ),
.VAR103 (VAR103 ),
.VAR94 (VAR94 ),
.VAR97 (VAR97 ),
.VAR130 (VAR130 ),
.VAR123 (VAR123 ),
.VAR155 (VAR155 ),
.VAR14 (VAR14 ),
.VAR60 (VAR60 ),
.VAR80 (VAR80 ),
.VAR56 (VAR56 ),
.VAR89 (VAR89 ),
.VAR19 (VAR19 ),
.VAR24 (VAR24 ),
.VAR47 (VAR47 ),
.VAR95 (VAR95 ),
.VAR122 (VAR122 ),
.VAR121 (VAR121 ),
.VAR105 (VAR105 ),
.VAR83 (VAR83 ),
.VAR135 (VAR135 ),
.VAR124 (VAR124 ),
.VAR85 (VAR85 ),
.VAR13 (VAR13 ),
.VAR31 (VAR31 ),
.VAR71 (VAR71 ),
.VAR150 (VAR150 ),
.VAR61 (VAR61 ),
.VAR9 (VAR9 ),
.VAR64 (VAR64 ),
.VAR76 (VAR76 ),
.VAR4 (VAR4 ),
.VAR102 (VAR102 ),
.VAR133 (VAR133 ),
.VAR113 (VAR113 ),
.VAR109 (VAR109 ),
.VAR66 (VAR112 ),
.VAR44 (VAR120 ),
.VAR132 (VAR132 ),
.VAR21 (VAR21 ),
.VAR3 (VAR3 ),
.VAR117 (VAR117 ),
.VAR35 (VAR35 ),
.VAR145 (VAR1 ),
.VAR114 (VAR90 )
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a221oi/sky130_fd_sc_hdll__a221oi.blackbox.v | 1,411 | module MODULE1 (
VAR7 ,
VAR4,
VAR9,
VAR3,
VAR8,
VAR10
);
output VAR7 ;
input VAR4;
input VAR9;
input VAR3;
input VAR8;
input VAR10;
supply1 VAR2;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR1 ;
endmodule | apache-2.0 |
golfit/QcmPhaseDelayBoard | DecoderSerial.v | 1,174 | module MODULE1(clk,VAR1,VAR7);
parameter VAR3=8; parameter VAR2=256;
input [VAR3-1:0] VAR1;
input clk;
reg [VAR3-1:0] VAR6, VAR4;
reg [14:0] VAR5;
output [VAR3-1:0] VAR7; | mit |
HighlandersFRC/fpga | led_string_no_gpio/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/processing_system7_bfm_v2_0_arb_rd.v | 3,764 | module MODULE1(
VAR2,
VAR24,
VAR23,
VAR9,
VAR4,
VAR7,
VAR5,
VAR27,
VAR21,
VAR28,
VAR6,
VAR8,
VAR25,
VAR17,
VAR3,
VAR1,
VAR13,
VAR11,
VAR19,
VAR22
);
input VAR2, VAR24;
input [VAR14-1:0] VAR23,VAR9;
input VAR4, VAR7;
input [VAR16-1:0] VAR21, VAR28;
input [VAR10:0] VAR5, VAR27;
output reg VAR25, VAR17;
output reg [VAR26-1:0] VAR6,VAR8;
output reg VAR3;
output reg [VAR14-1:0] VAR1;
output reg [VAR16-1:0] VAR13;
output reg [VAR10:0] VAR11;
input [VAR26-1:0] VAR19;
input VAR22;
parameter VAR15 = 2'b00, VAR18 = 2'b01, VAR20 = 2'b10,VAR12 = 2'b11;
reg [1:0] state;
always@(posedge VAR24 or negedge VAR2)
begin
if(!VAR2) begin
state = VAR15;
VAR3 = 1'b0;
VAR25 = 1'b0;
VAR17 = 1'b0;
VAR1 = 0;
end else begin
case(state)
VAR15:begin
state = VAR15;
VAR25 = 1'b0;
VAR17 = 1'b0;
VAR3 = 0;
if(VAR4 && !VAR7) begin
state = VAR18;
VAR3 = 1;
VAR1 = VAR23;
VAR13 = VAR21;
VAR11 = VAR5;
end else if(!VAR4 && VAR7) begin
state = VAR20;
VAR3 = 1;
VAR1 = VAR9;
VAR13 = VAR28;
VAR11 = VAR27;
end else if(VAR4 && VAR7) begin
if(VAR23 > VAR9) begin
VAR3 = 1;
VAR1 = VAR23;
VAR13 = VAR21;
VAR11 = VAR5;
state = VAR18;
end else if(VAR23 < VAR9) begin
VAR3 = 1;
VAR13 = VAR28;
VAR1 = VAR9;
VAR11 = VAR27;
state = VAR20;
end else begin
VAR3 = 1;
VAR1 = VAR23;
VAR13 = VAR21;
VAR11 = VAR5;
state = VAR18;
end
end
end
VAR18:begin
state = VAR18;
VAR17 = 1'b0;
if(VAR22) begin
VAR25 = 1'b1;
VAR6 = VAR19;
VAR3 = 0;
if(VAR7) begin
VAR3 = 1;
VAR1 = VAR9;
VAR13 = VAR28;
VAR11 = VAR27;
state = VAR20;
end else begin
state = VAR12;
end
end
end
VAR20:begin
state = VAR20;
VAR25 = 1'b0;
if(VAR22) begin
VAR17 = 1'b1;
VAR8 = VAR19;
VAR3 = 0;
if(VAR4) begin
VAR3 = 1;
VAR1 = VAR23;
VAR13 = VAR21;
VAR11 = VAR5;
state = VAR18;
end else begin
state = VAR12;
end
end
end
VAR12:begin
VAR25 = 1'b0;
VAR17 = 1'b0;
state = VAR12;
if(!VAR22)
state = VAR15;
end
endcase
end end endmodule | mit |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v | 5,928 | module MODULE1
,parameter VAR50(VAR4)
,parameter VAR50(VAR88)
,parameter VAR18=VAR41
,parameter VAR50(VAR62)
,parameter VAR50(VAR70)
,parameter VAR50(VAR25)
,parameter VAR50(VAR47)
,parameter VAR23=VAR44(VAR41)
,parameter VAR1=(VAR25>>3)
,parameter VAR69=(VAR25/VAR4)
)
(
input VAR7
,input VAR35
,input VAR33
,output logic VAR82
,input [VAR23-1:0] VAR53
,input [VAR70-1:0] VAR10
,input [VAR41-1:0][VAR4-1:0] VAR84
,input [VAR41-1:0] VAR13
,output logic [VAR41-1:0] VAR76
,output logic [VAR62-1:0] VAR34
,output logic [VAR70-1:0] VAR19
,output logic [7:0] VAR52
,output logic [2:0] VAR48
,output logic [1:0] VAR30
,output logic [3:0] VAR8
,output logic [2:0] VAR21
,output logic VAR64
,output logic VAR3
,input VAR42
,output logic [VAR25-1:0] VAR63
,output logic [VAR1-1:0] VAR89
,output logic VAR43
,output logic VAR78
,input VAR60
,input [VAR62-1:0] VAR56
,input [1:0] VAR61
,input VAR12
,output logic VAR90
);
logic VAR27;
logic VAR15;
logic VAR6;
logic VAR79;
logic [VAR23-1:0] VAR51;
VAR2 #(
.VAR36(VAR23)
,.VAR67(VAR18)
) VAR55 (
.VAR7(VAR7)
,.VAR35(VAR35)
,.VAR33(VAR27)
,.VAR86(VAR15)
,.VAR66(VAR53)
,.VAR49(VAR6)
,.VAR46(VAR51)
,.VAR17(VAR79)
);
wire [VAR62-1:0] VAR28 = VAR56;
wire [1:0] VAR14 = VAR61;
wire VAR59 = VAR12;
assign VAR82 = VAR33 & VAR42 & VAR15;
assign VAR27 = VAR33 & VAR42;
assign VAR34 = {VAR62{1'b0}};
assign VAR19 = VAR10;
assign VAR52 = (8)'(VAR47-1); assign VAR48 = (3)'(VAR44(VAR25>>3));
assign VAR30 = 2'b01; assign VAR8 = 4'b0000; assign VAR21 = 2'b00; assign VAR64 = 1'b0; assign VAR3 = VAR33 & VAR15;
logic VAR68;
logic VAR37;
logic [VAR4-1:0] VAR81;
logic [VAR9(VAR69+1)-1:0] VAR73;
logic [VAR69-1:0] VAR58;
logic [VAR41-1:0] VAR77;
VAR65 #(
.VAR54(VAR41)
) demux (
.VAR16(VAR51)
,.VAR33(VAR6)
,.VAR31(VAR77)
);
assign VAR81 = VAR84[VAR51];
assign VAR76 = VAR77 & VAR13 & {VAR41{VAR37}};
VAR20 #(
.VAR36(VAR4)
,.VAR67(VAR69)
) VAR40 (
.VAR7(VAR7)
,.VAR35(VAR35)
,.VAR32(VAR68)
,.VAR66(VAR81)
,.VAR86(VAR37)
,.VAR74(VAR58)
,.VAR46(VAR63)
,.VAR45(VAR73)
);
assign VAR78 = &VAR58;
assign VAR68 = VAR6 & VAR13[VAR51];
assign VAR73 = VAR78 & VAR60
? (VAR9(VAR69+1))'(VAR69)
: '0;
assign VAR89 = {VAR1{1'b1}};
logic [VAR44(VAR88)-1:0] VAR85;
logic VAR24;
logic VAR26;
VAR71 #(
.VAR22(VAR88-1)
,.VAR72(0)
) VAR57 (
.VAR7(VAR7)
,.VAR35(VAR35)
,.VAR39(VAR26)
,.VAR38(VAR24)
,.VAR87(VAR85)
);
logic VAR80;
assign VAR80 = VAR13[VAR51] & VAR76[VAR51] & VAR6;
VAR75 begin
if (VAR85 == VAR88-1) begin
VAR26 = VAR80;
VAR24 = 1'b0;
VAR79 = VAR80;
end
else begin
VAR26 = 1'b0;
VAR24 = VAR80;
VAR79 = 1'b0;
end
end
logic [VAR44(VAR47)-1:0] VAR5;
logic VAR29;
logic VAR11;
VAR71 #(
.VAR22(VAR47-1)
,.VAR72(0)
) VAR83 (
.VAR7(VAR7)
,.VAR35(VAR35)
,.VAR39(VAR11)
,.VAR38(VAR29)
,.VAR87(VAR5)
);
VAR75 begin
if (VAR5 == VAR47-1) begin
VAR11 = VAR78 & VAR60;
VAR29 = 1'b0;
VAR43 = VAR78;
end
else begin
VAR11 = 1'b0;
VAR29 = VAR78 & VAR60;
VAR43 = 1'b0;
end
end
assign VAR90 = 1'b1;
endmodule | bsd-3-clause |
Bjay1435/capstone | Geoff/Geoff.srcs/sources_1/bd/dma_loopback/ipshared/xilinx.com/generic_baseblocks_v2_1/hdl/verilog/generic_baseblocks_v2_1_carry_and.v | 4,340 | module MODULE1 #
(
parameter VAR13 = "VAR8"
)
(
input wire VAR7,
input wire VAR4,
output wire VAR1
);
generate
if ( VAR13 == "VAR12" ) begin : VAR3
assign VAR1 = VAR7 & VAR4;
end else begin : VAR10
VAR5 VAR6
(
.VAR9 (VAR1),
.VAR11 (VAR7),
.VAR2 (1'b0),
.VAR4 (VAR4)
);
end
endgenerate
endmodule | mit |
smithe0/GestureControlInterface | DE2Component_FLASH/db/ip/niosII_system/submodules/niosII_system_nios2_qsys_0_jtag_debug_module_wrapper.v | 10,686 | module MODULE1 (
VAR25,
VAR48,
clk,
VAR22,
VAR32,
VAR8,
VAR18,
VAR36,
VAR49,
VAR51,
VAR38,
VAR10,
VAR56,
VAR9,
VAR21,
VAR44,
VAR54,
VAR57,
VAR7,
VAR29,
VAR50,
VAR17,
VAR41,
VAR43,
VAR39,
VAR35,
VAR46,
VAR28,
VAR60,
VAR4,
VAR20,
VAR13,
VAR55,
VAR45,
VAR11,
VAR42
)
;
output [ 37: 0] VAR50;
output VAR17;
output VAR41;
output VAR43;
output VAR39;
output VAR35;
output VAR46;
output VAR28;
output VAR60;
output VAR4;
output VAR20;
output VAR13;
output VAR55;
output VAR45;
output VAR11;
output VAR42;
input [ 31: 0] VAR25;
input [ 31: 0] VAR48;
input clk;
input VAR22;
input VAR32;
input VAR8;
input VAR18;
input VAR36;
input VAR49;
input VAR51;
input VAR38;
input VAR10;
input VAR56;
input [ 35: 0] VAR9;
input VAR21;
input [ 6: 0] VAR44;
input VAR54;
input VAR57;
input VAR7;
input VAR29;
wire [ 37: 0] VAR50;
wire VAR17;
wire [ 37: 0] VAR24;
wire VAR41;
wire VAR43;
wire VAR39;
wire VAR35;
wire VAR46;
wire VAR28;
wire VAR60;
wire VAR4;
wire VAR20;
wire VAR13;
wire VAR55;
wire VAR45;
wire VAR11;
wire VAR42;
wire VAR2;
wire [ 1: 0] VAR23;
wire [ 1: 0] VAR59;
wire VAR30;
wire VAR19;
wire VAR3;
wire VAR34;
wire VAR33;
wire VAR27;
wire VAR15;
VAR12 VAR37
(
.VAR25 (VAR25),
.VAR48 (VAR48),
.VAR22 (VAR22),
.VAR32 (VAR32),
.VAR8 (VAR8),
.VAR18 (VAR18),
.VAR36 (VAR36),
.VAR53 (VAR23),
.VAR1 (VAR59),
.VAR17 (VAR17),
.VAR6 (VAR30),
.VAR49 (VAR49),
.VAR51 (VAR51),
.VAR38 (VAR38),
.VAR10 (VAR10),
.VAR24 (VAR24),
.VAR41 (VAR41),
.VAR26 (VAR3),
.VAR40 (VAR34),
.VAR14 (VAR33),
.VAR56 (VAR56),
.VAR9 (VAR9),
.VAR21 (VAR21),
.VAR44 (VAR44),
.VAR54 (VAR54),
.VAR57 (VAR57),
.VAR7 (VAR7),
.VAR29 (VAR29),
.VAR5 (VAR2),
.VAR58 (VAR19),
.VAR52 (VAR15)
);
VAR16 VAR31
(
.clk (clk),
.VAR53 (VAR23),
.VAR50 (VAR50),
.VAR24 (VAR24),
.VAR43 (VAR43),
.VAR39 (VAR39),
.VAR35 (VAR35),
.VAR46 (VAR46),
.VAR28 (VAR28),
.VAR60 (VAR60),
.VAR4 (VAR4),
.VAR20 (VAR20),
.VAR13 (VAR13),
.VAR55 (VAR55),
.VAR45 (VAR45),
.VAR11 (VAR11),
.VAR42 (VAR42),
.VAR47 (VAR27),
.VAR52 (VAR15)
);
assign VAR3 = 1'b0;
assign VAR34 = 1'b0;
assign VAR19 = 1'b0;
assign VAR2 = 1'b0;
assign VAR30 = 1'b0;
assign VAR15 = 1'b0;
assign VAR27 = 1'b0;
assign VAR23 = 2'b0;
endmodule | apache-2.0 |
carstenbru/fpga-log | spartanmc/hardware/timestamp_gen/src/spmc_timestamp_gen.v | 6,379 | module MODULE1 #(
parameter VAR46 = 2, parameter VAR28 = 2, parameter VAR24 = 0, parameter VAR20 = 10'h0) (
input wire VAR40, input wire [17:0] VAR7, output wire [17:0] VAR39, input wire [9:0] VAR4, input wire VAR49, input wire VAR42,
input wire reset,
input wire [35:0] VAR13, input wire [35:0] VAR57,
input wire [VAR46-1:0] VAR18,
input wire [VAR28-1:0] VAR37
);
parameter VAR31 = 3'b000; parameter VAR34 = 3'b001; parameter VAR44 = 3'b010; parameter VAR48 = 3'b011; parameter VAR56 = 3'b100; parameter VAR66 = 3'b101; parameter VAR63 = 3'b110;
parameter VAR33 = VAR46 + VAR28;
reg [VAR28-1:0] VAR3;
wire [VAR33-1:0] VAR65;
assign VAR65 = VAR24;
wire [VAR33-1:0] VAR62;
assign VAR62 = ({ VAR3, VAR18 } ^ VAR65);
wire select;
VAR47 VAR59 (
.addr ( VAR4[9:3] ),
.VAR52 ( VAR49 ),
.select ( select )
);
reg [15:0] VAR58;
reg [6:0] VAR16;
reg [6:0] VAR35;
reg [35:0] VAR26;
wire [35:0] VAR51;
wire VAR23;
reg [VAR33-1:0] VAR30;
wire [VAR33-1:0] VAR32;
reg VAR64;
reg [17:0] VAR22;
reg [1:0] VAR55;
reg [17:0] VAR19;
reg [35:0] VAR38;
wire VAR1;
wire [17:0] VAR10;
reg VAR25;
reg VAR29;
reg VAR43;
always @(posedge VAR40) begin
VAR25 <= select & !VAR42;
VAR29 <= VAR4[2:0] == VAR63;
VAR43 <= VAR4[0];
end
assign VAR10 = VAR29 ? {17'd0, VAR23} : (VAR43 ? VAR51[35:18] : VAR51[17:0]);
assign VAR39 = (VAR25) ? VAR10 : 18'b0;
assign VAR32 = (~VAR30 & VAR62);
assign VAR23 = (VAR16 != VAR35);
assign VAR1 = VAR55 == 2'b11;
always @(posedge VAR40) begin
VAR3 <= VAR37;
end
always @(posedge VAR40) begin
if (reset) begin
VAR16 <= 7'd0;
end else begin
if (select & VAR42) begin
case (VAR4[2:0])
VAR66: begin
if (VAR7[17]) begin
VAR16 <= VAR35; end else
if (VAR7[16] && VAR23) begin
VAR16 <= VAR16 + 1; end
VAR58 <= VAR7[15:0];
end
endcase
end else begin
if (VAR1)
VAR58 <= 16'd0;
end
end
end
always @(posedge VAR40) begin
if (reset) begin
VAR30 <= {(VAR33){1'b1}};
end else begin
if (VAR1)
VAR30 <= VAR62;
end
end
integer VAR12;
always @ begin
case (VAR55)
2'b00: VAR26 = VAR13;
2'b01: VAR26 = VAR38;
2'b10: VAR26 = {18'd0, VAR19};
default: VAR26 = 36'd0;
endcase
end
VAR5 VAR11 (
.VAR41( {VAR16[6:0], VAR4[2:1]} ),
.VAR36( 1'b1 ),
.VAR45( 1'b0 ),
.VAR8( 1'b0 ),
.VAR54( VAR40 ),
.VAR17( {VAR51[33:18],VAR51[15:0]} ),
.VAR50( {VAR51[35:34],VAR51[17:16]} ),
.VAR6( {VAR26[33:18],VAR26[15:0]} ),
.VAR15( {VAR26[35:34],VAR26[17:16]} ),
.VAR60( {VAR35[6:0], VAR55[1:0]} ),
.VAR21( !VAR1 ),
.VAR14( 1'b1 ),
.VAR9( 1'b1 ),
.VAR27( VAR40 )
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/nand4b/sky130_fd_sc_hs__nand4b.symbol.v | 1,293 | module MODULE1 (
input VAR2,
input VAR4 ,
input VAR5 ,
input VAR1 ,
output VAR3
);
supply1 VAR7;
supply0 VAR6;
endmodule | apache-2.0 |
theapi/de0-nano | text/font_rom.v | 95,702 | module MODULE1 (clk, addr, VAR1);
input clk;
input [10:0] addr;
output [7:0] VAR1;
reg [7:0] VAR1;
always @ (posedge clk)
begin
case (addr)
11'h000: VAR1 = 8'b00000000; 11'h001: VAR1 = 8'b00000000; 11'h002: VAR1 = 8'b00000000; 11'h003: VAR1 = 8'b00000000; 11'h004: VAR1 = 8'b00000000; 11'h005: VAR1 = 8'b00000000; 11'h006: VAR1 = 8'b00000000; 11'h007: VAR1 = 8'b00000000; 11'h008: VAR1 = 8'b00000000; 11'h009: VAR1 = 8'b00000000; 11'h00a: VAR1 = 8'b00000000; 11'h00b: VAR1 = 8'b00000000; 11'h00c: VAR1 = 8'b00000000; 11'h00d: VAR1 = 8'b00000000; 11'h00e: VAR1 = 8'b00000000; 11'h00f: VAR1 = 8'b00000000; 11'h010: VAR1 = 8'b00000000; 11'h011: VAR1 = 8'b00000000; 11'h012: VAR1 = 8'b01111110; 11'h013: VAR1 = 8'b10000001; 11'h014: VAR1 = 8'b10100101; 11'h015: VAR1 = 8'b10000001; 11'h016: VAR1 = 8'b10000001; 11'h017: VAR1 = 8'b10111101; 11'h018: VAR1 = 8'b10011001; 11'h019: VAR1 = 8'b10000001; 11'h01a: VAR1 = 8'b10000001; 11'h01b: VAR1 = 8'b01111110; 11'h01c: VAR1 = 8'b00000000; 11'h01d: VAR1 = 8'b00000000; 11'h01e: VAR1 = 8'b00000000; 11'h01f: VAR1 = 8'b00000000; 11'h020: VAR1 = 8'b00000000; 11'h021: VAR1 = 8'b00000000; 11'h022: VAR1 = 8'b01111110; 11'h023: VAR1 = 8'b11111111; 11'h024: VAR1 = 8'b11011011; 11'h025: VAR1 = 8'b11111111; 11'h026: VAR1 = 8'b11111111; 11'h027: VAR1 = 8'b11000011; 11'h028: VAR1 = 8'b11100111; 11'h029: VAR1 = 8'b11111111; 11'h02a: VAR1 = 8'b11111111; 11'h02b: VAR1 = 8'b01111110; 11'h02c: VAR1 = 8'b00000000; 11'h02d: VAR1 = 8'b00000000; 11'h02e: VAR1 = 8'b00000000; 11'h02f: VAR1 = 8'b00000000; 11'h030: VAR1 = 8'b00000000; 11'h031: VAR1 = 8'b00000000; 11'h032: VAR1 = 8'b00000000; 11'h033: VAR1 = 8'b00000000; 11'h034: VAR1 = 8'b01101100; 11'h035: VAR1 = 8'b11111110; 11'h036: VAR1 = 8'b11111110; 11'h037: VAR1 = 8'b11111110; 11'h038: VAR1 = 8'b11111110; 11'h039: VAR1 = 8'b01111100; 11'h03a: VAR1 = 8'b00111000; 11'h03b: VAR1 = 8'b00010000; 11'h03c: VAR1 = 8'b00000000; 11'h03d: VAR1 = 8'b00000000; 11'h03e: VAR1 = 8'b00000000; 11'h03f: VAR1 = 8'b00000000; 11'h040: VAR1 = 8'b00000000; 11'h041: VAR1 = 8'b00000000; 11'h042: VAR1 = 8'b00000000; 11'h043: VAR1 = 8'b00000000; 11'h044: VAR1 = 8'b00010000; 11'h045: VAR1 = 8'b00111000; 11'h046: VAR1 = 8'b01111100; 11'h047: VAR1 = 8'b11111110; 11'h048: VAR1 = 8'b01111100; 11'h049: VAR1 = 8'b00111000; 11'h04a: VAR1 = 8'b00010000; 11'h04b: VAR1 = 8'b00000000; 11'h04c: VAR1 = 8'b00000000; 11'h04d: VAR1 = 8'b00000000; 11'h04e: VAR1 = 8'b00000000; 11'h04f: VAR1 = 8'b00000000; 11'h050: VAR1 = 8'b00000000; 11'h051: VAR1 = 8'b00000000; 11'h052: VAR1 = 8'b00000000; 11'h053: VAR1 = 8'b00011000; 11'h054: VAR1 = 8'b00111100; 11'h055: VAR1 = 8'b00111100; 11'h056: VAR1 = 8'b11100111; 11'h057: VAR1 = 8'b11100111; 11'h058: VAR1 = 8'b11100111; 11'h059: VAR1 = 8'b00011000; 11'h05a: VAR1 = 8'b00011000; 11'h05b: VAR1 = 8'b00111100; 11'h05c: VAR1 = 8'b00000000; 11'h05d: VAR1 = 8'b00000000; 11'h05e: VAR1 = 8'b00000000; 11'h05f: VAR1 = 8'b00000000; 11'h060: VAR1 = 8'b00000000; 11'h061: VAR1 = 8'b00000000; 11'h062: VAR1 = 8'b00000000; 11'h063: VAR1 = 8'b00011000; 11'h064: VAR1 = 8'b00111100; 11'h065: VAR1 = 8'b01111110; 11'h066: VAR1 = 8'b11111111; 11'h067: VAR1 = 8'b11111111; 11'h068: VAR1 = 8'b01111110; 11'h069: VAR1 = 8'b00011000; 11'h06a: VAR1 = 8'b00011000; 11'h06b: VAR1 = 8'b00111100; 11'h06c: VAR1 = 8'b00000000; 11'h06d: VAR1 = 8'b00000000; 11'h06e: VAR1 = 8'b00000000; 11'h06f: VAR1 = 8'b00000000; 11'h070: VAR1 = 8'b00000000; 11'h071: VAR1 = 8'b00000000; 11'h072: VAR1 = 8'b00000000; 11'h073: VAR1 = 8'b00000000; 11'h074: VAR1 = 8'b00000000; 11'h075: VAR1 = 8'b00000000; 11'h076: VAR1 = 8'b00011000; 11'h077: VAR1 = 8'b00111100; 11'h078: VAR1 = 8'b00111100; 11'h079: VAR1 = 8'b00011000; 11'h07a: VAR1 = 8'b00000000; 11'h07b: VAR1 = 8'b00000000; 11'h07c: VAR1 = 8'b00000000; 11'h07d: VAR1 = 8'b00000000; 11'h07e: VAR1 = 8'b00000000; 11'h07f: VAR1 = 8'b00000000; 11'h080: VAR1 = 8'b11111111; 11'h081: VAR1 = 8'b11111111; 11'h082: VAR1 = 8'b11111111; 11'h083: VAR1 = 8'b11111111; 11'h084: VAR1 = 8'b11111111; 11'h085: VAR1 = 8'b11111111; 11'h086: VAR1 = 8'b11100111; 11'h087: VAR1 = 8'b11000011; 11'h088: VAR1 = 8'b11000011; 11'h089: VAR1 = 8'b11100111; 11'h08a: VAR1 = 8'b11111111; 11'h08b: VAR1 = 8'b11111111; 11'h08c: VAR1 = 8'b11111111; 11'h08d: VAR1 = 8'b11111111; 11'h08e: VAR1 = 8'b11111111; 11'h08f: VAR1 = 8'b11111111; 11'h090: VAR1 = 8'b00000000; 11'h091: VAR1 = 8'b00000000; 11'h092: VAR1 = 8'b00000000; 11'h093: VAR1 = 8'b00000000; 11'h094: VAR1 = 8'b00000000; 11'h095: VAR1 = 8'b00111100; 11'h096: VAR1 = 8'b01100110; 11'h097: VAR1 = 8'b01000010; 11'h098: VAR1 = 8'b01000010; 11'h099: VAR1 = 8'b01100110; 11'h09a: VAR1 = 8'b00111100; 11'h09b: VAR1 = 8'b00000000; 11'h09c: VAR1 = 8'b00000000; 11'h09d: VAR1 = 8'b00000000; 11'h09e: VAR1 = 8'b00000000; 11'h09f: VAR1 = 8'b00000000; 11'h0a0: VAR1 = 8'b11111111; 11'h0a1: VAR1 = 8'b11111111; 11'h0a2: VAR1 = 8'b11111111; 11'h0a3: VAR1 = 8'b11111111; 11'h0a4: VAR1 = 8'b11111111; 11'h0a5: VAR1 = 8'b11000011; 11'h0a6: VAR1 = 8'b10011001; 11'h0a7: VAR1 = 8'b10111101; 11'h0a8: VAR1 = 8'b10111101; 11'h0a9: VAR1 = 8'b10011001; 11'h0aa: VAR1 = 8'b11000011; 11'h0ab: VAR1 = 8'b11111111; 11'h0ac: VAR1 = 8'b11111111; 11'h0ad: VAR1 = 8'b11111111; 11'h0ae: VAR1 = 8'b11111111; 11'h0af: VAR1 = 8'b11111111; 11'h0b0: VAR1 = 8'b00000000; 11'h0b1: VAR1 = 8'b00000000; 11'h0b2: VAR1 = 8'b00011110; 11'h0b3: VAR1 = 8'b00001110; 11'h0b4: VAR1 = 8'b00011010; 11'h0b5: VAR1 = 8'b00110010; 11'h0b6: VAR1 = 8'b01111000; 11'h0b7: VAR1 = 8'b11001100; 11'h0b8: VAR1 = 8'b11001100; 11'h0b9: VAR1 = 8'b11001100; 11'h0ba: VAR1 = 8'b11001100; 11'h0bb: VAR1 = 8'b01111000; 11'h0bc: VAR1 = 8'b00000000; 11'h0bd: VAR1 = 8'b00000000; 11'h0be: VAR1 = 8'b00000000; 11'h0bf: VAR1 = 8'b00000000; 11'h0c0: VAR1 = 8'b00000000; 11'h0c1: VAR1 = 8'b00000000; 11'h0c2: VAR1 = 8'b00111100; 11'h0c3: VAR1 = 8'b01100110; 11'h0c4: VAR1 = 8'b01100110; 11'h0c5: VAR1 = 8'b01100110; 11'h0c6: VAR1 = 8'b01100110; 11'h0c7: VAR1 = 8'b00111100; 11'h0c8: VAR1 = 8'b00011000; 11'h0c9: VAR1 = 8'b01111110; 11'h0ca: VAR1 = 8'b00011000; 11'h0cb: VAR1 = 8'b00011000; 11'h0cc: VAR1 = 8'b00000000; 11'h0cd: VAR1 = 8'b00000000; 11'h0ce: VAR1 = 8'b00000000; 11'h0cf: VAR1 = 8'b00000000; 11'h0d0: VAR1 = 8'b00000000; 11'h0d1: VAR1 = 8'b00000000; 11'h0d2: VAR1 = 8'b00111111; 11'h0d3: VAR1 = 8'b00110011; 11'h0d4: VAR1 = 8'b00111111; 11'h0d5: VAR1 = 8'b00110000; 11'h0d6: VAR1 = 8'b00110000; 11'h0d7: VAR1 = 8'b00110000; 11'h0d8: VAR1 = 8'b00110000; 11'h0d9: VAR1 = 8'b01110000; 11'h0da: VAR1 = 8'b11110000; 11'h0db: VAR1 = 8'b11100000; 11'h0dc: VAR1 = 8'b00000000; 11'h0dd: VAR1 = 8'b00000000; 11'h0de: VAR1 = 8'b00000000; 11'h0df: VAR1 = 8'b00000000; 11'h0e0: VAR1 = 8'b00000000; 11'h0e1: VAR1 = 8'b00000000; 11'h0e2: VAR1 = 8'b01111111; 11'h0e3: VAR1 = 8'b01100011; 11'h0e4: VAR1 = 8'b01111111; 11'h0e5: VAR1 = 8'b01100011; 11'h0e6: VAR1 = 8'b01100011; 11'h0e7: VAR1 = 8'b01100011; 11'h0e8: VAR1 = 8'b01100011; 11'h0e9: VAR1 = 8'b01100111; 11'h0ea: VAR1 = 8'b11100111; 11'h0eb: VAR1 = 8'b11100110; 11'h0ec: VAR1 = 8'b11000000; 11'h0ed: VAR1 = 8'b00000000; 11'h0ee: VAR1 = 8'b00000000; 11'h0ef: VAR1 = 8'b00000000; 11'h0f0: VAR1 = 8'b00000000; 11'h0f1: VAR1 = 8'b00000000; 11'h0f2: VAR1 = 8'b00000000; 11'h0f3: VAR1 = 8'b00011000; 11'h0f4: VAR1 = 8'b00011000; 11'h0f5: VAR1 = 8'b11011011; 11'h0f6: VAR1 = 8'b00111100; 11'h0f7: VAR1 = 8'b11100111; 11'h0f8: VAR1 = 8'b00111100; 11'h0f9: VAR1 = 8'b11011011; 11'h0fa: VAR1 = 8'b00011000; 11'h0fb: VAR1 = 8'b00011000; 11'h0fc: VAR1 = 8'b00000000; 11'h0fd: VAR1 = 8'b00000000; 11'h0fe: VAR1 = 8'b00000000; 11'h0ff: VAR1 = 8'b00000000; 11'h100: VAR1 = 8'b00000000; 11'h101: VAR1 = 8'b10000000; 11'h102: VAR1 = 8'b11000000; 11'h103: VAR1 = 8'b11100000; 11'h104: VAR1 = 8'b11110000; 11'h105: VAR1 = 8'b11111000; 11'h106: VAR1 = 8'b11111110; 11'h107: VAR1 = 8'b11111000; 11'h108: VAR1 = 8'b11110000; 11'h109: VAR1 = 8'b11100000; 11'h10a: VAR1 = 8'b11000000; 11'h10b: VAR1 = 8'b10000000; 11'h10c: VAR1 = 8'b00000000; 11'h10d: VAR1 = 8'b00000000; 11'h10e: VAR1 = 8'b00000000; 11'h10f: VAR1 = 8'b00000000; 11'h110: VAR1 = 8'b00000000; 11'h111: VAR1 = 8'b00000010; 11'h112: VAR1 = 8'b00000110; 11'h113: VAR1 = 8'b00001110; 11'h114: VAR1 = 8'b00011110; 11'h115: VAR1 = 8'b00111110; 11'h116: VAR1 = 8'b11111110; 11'h117: VAR1 = 8'b00111110; 11'h118: VAR1 = 8'b00011110; 11'h119: VAR1 = 8'b00001110; 11'h11a: VAR1 = 8'b00000110; 11'h11b: VAR1 = 8'b00000010; 11'h11c: VAR1 = 8'b00000000; 11'h11d: VAR1 = 8'b00000000; 11'h11e: VAR1 = 8'b00000000; 11'h11f: VAR1 = 8'b00000000; 11'h120: VAR1 = 8'b00000000; 11'h121: VAR1 = 8'b00000000; 11'h122: VAR1 = 8'b00011000; 11'h123: VAR1 = 8'b00111100; 11'h124: VAR1 = 8'b01111110; 11'h125: VAR1 = 8'b00011000; 11'h126: VAR1 = 8'b00011000; 11'h127: VAR1 = 8'b00011000; 11'h128: VAR1 = 8'b01111110; 11'h129: VAR1 = 8'b00111100; 11'h12a: VAR1 = 8'b00011000; 11'h12b: VAR1 = 8'b00000000; 11'h12c: VAR1 = 8'b00000000; 11'h12d: VAR1 = 8'b00000000; 11'h12e: VAR1 = 8'b00000000; 11'h12f: VAR1 = 8'b00000000; 11'h130: VAR1 = 8'b00000000; 11'h131: VAR1 = 8'b00000000; 11'h132: VAR1 = 8'b01100110; 11'h133: VAR1 = 8'b01100110; 11'h134: VAR1 = 8'b01100110; 11'h135: VAR1 = 8'b01100110; 11'h136: VAR1 = 8'b01100110; 11'h137: VAR1 = 8'b01100110; 11'h138: VAR1 = 8'b01100110; 11'h139: VAR1 = 8'b00000000; 11'h13a: VAR1 = 8'b01100110; 11'h13b: VAR1 = 8'b01100110; 11'h13c: VAR1 = 8'b00000000; 11'h13d: VAR1 = 8'b00000000; 11'h13e: VAR1 = 8'b00000000; 11'h13f: VAR1 = 8'b00000000; 11'h140: VAR1 = 8'b00000000; 11'h141: VAR1 = 8'b00000000; 11'h142: VAR1 = 8'b01111111; 11'h143: VAR1 = 8'b11011011; 11'h144: VAR1 = 8'b11011011; 11'h145: VAR1 = 8'b11011011; 11'h146: VAR1 = 8'b01111011; 11'h147: VAR1 = 8'b00011011; 11'h148: VAR1 = 8'b00011011; 11'h149: VAR1 = 8'b00011011; 11'h14a: VAR1 = 8'b00011011; 11'h14b: VAR1 = 8'b00011011; 11'h14c: VAR1 = 8'b00000000; 11'h14d: VAR1 = 8'b00000000; 11'h14e: VAR1 = 8'b00000000; 11'h14f: VAR1 = 8'b00000000; 11'h150: VAR1 = 8'b00000000; 11'h151: VAR1 = 8'b01111100; 11'h152: VAR1 = 8'b11000110; 11'h153: VAR1 = 8'b01100000; 11'h154: VAR1 = 8'b00111000; 11'h155: VAR1 = 8'b01101100; 11'h156: VAR1 = 8'b11000110; 11'h157: VAR1 = 8'b11000110; 11'h158: VAR1 = 8'b01101100; 11'h159: VAR1 = 8'b00111000; 11'h15a: VAR1 = 8'b00001100; 11'h15b: VAR1 = 8'b11000110; 11'h15c: VAR1 = 8'b01111100; 11'h15d: VAR1 = 8'b00000000; 11'h15e: VAR1 = 8'b00000000; 11'h15f: VAR1 = 8'b00000000; 11'h160: VAR1 = 8'b00000000; 11'h161: VAR1 = 8'b00000000; 11'h162: VAR1 = 8'b00000000; 11'h163: VAR1 = 8'b00000000; 11'h164: VAR1 = 8'b00000000; 11'h165: VAR1 = 8'b00000000; 11'h166: VAR1 = 8'b00000000; 11'h167: VAR1 = 8'b00000000; 11'h168: VAR1 = 8'b11111110; 11'h169: VAR1 = 8'b11111110; 11'h16a: VAR1 = 8'b11111110; 11'h16b: VAR1 = 8'b11111110; 11'h16c: VAR1 = 8'b00000000; 11'h16d: VAR1 = 8'b00000000; 11'h16e: VAR1 = 8'b00000000; 11'h16f: VAR1 = 8'b00000000; 11'h170: VAR1 = 8'b00000000; 11'h171: VAR1 = 8'b00000000; 11'h172: VAR1 = 8'b00011000; 11'h173: VAR1 = 8'b00111100; 11'h174: VAR1 = 8'b01111110; 11'h175: VAR1 = 8'b00011000; 11'h176: VAR1 = 8'b00011000; 11'h177: VAR1 = 8'b00011000; 11'h178: VAR1 = 8'b01111110; 11'h179: VAR1 = 8'b00111100; 11'h17a: VAR1 = 8'b00011000; 11'h17b: VAR1 = 8'b01111110; 11'h17c: VAR1 = 8'b00110000; 11'h17d: VAR1 = 8'b00000000; 11'h17e: VAR1 = 8'b00000000; 11'h17f: VAR1 = 8'b00000000; 11'h180: VAR1 = 8'b00000000; 11'h181: VAR1 = 8'b00000000; 11'h182: VAR1 = 8'b00011000; 11'h183: VAR1 = 8'b00111100; 11'h184: VAR1 = 8'b01111110; 11'h185: VAR1 = 8'b00011000; 11'h186: VAR1 = 8'b00011000; 11'h187: VAR1 = 8'b00011000; 11'h188: VAR1 = 8'b00011000; 11'h189: VAR1 = 8'b00011000; 11'h18a: VAR1 = 8'b00011000; 11'h18b: VAR1 = 8'b00011000; 11'h18c: VAR1 = 8'b00000000; 11'h18d: VAR1 = 8'b00000000; 11'h18e: VAR1 = 8'b00000000; 11'h18f: VAR1 = 8'b00000000; 11'h190: VAR1 = 8'b00000000; 11'h191: VAR1 = 8'b00000000; 11'h192: VAR1 = 8'b00011000; 11'h193: VAR1 = 8'b00011000; 11'h194: VAR1 = 8'b00011000; 11'h195: VAR1 = 8'b00011000; 11'h196: VAR1 = 8'b00011000; 11'h197: VAR1 = 8'b00011000; 11'h198: VAR1 = 8'b00011000; 11'h199: VAR1 = 8'b01111110; 11'h19a: VAR1 = 8'b00111100; 11'h19b: VAR1 = 8'b00011000; 11'h19c: VAR1 = 8'b00000000; 11'h19d: VAR1 = 8'b00000000; 11'h19e: VAR1 = 8'b00000000; 11'h19f: VAR1 = 8'b00000000; 11'h1a0: VAR1 = 8'b00000000; 11'h1a1: VAR1 = 8'b00000000; 11'h1a2: VAR1 = 8'b00000000; 11'h1a3: VAR1 = 8'b00000000; 11'h1a4: VAR1 = 8'b00000000; 11'h1a5: VAR1 = 8'b00011000; 11'h1a6: VAR1 = 8'b00001100; 11'h1a7: VAR1 = 8'b11111110; 11'h1a8: VAR1 = 8'b00001100; 11'h1a9: VAR1 = 8'b00011000; 11'h1aa: VAR1 = 8'b00000000; 11'h1ab: VAR1 = 8'b00000000; 11'h1ac: VAR1 = 8'b00000000; 11'h1ad: VAR1 = 8'b00000000; 11'h1ae: VAR1 = 8'b00000000; 11'h1af: VAR1 = 8'b00000000; 11'h1b0: VAR1 = 8'b00000000; 11'h1b1: VAR1 = 8'b00000000; 11'h1b2: VAR1 = 8'b00000000; 11'h1b3: VAR1 = 8'b00000000; 11'h1b4: VAR1 = 8'b00000000; 11'h1b5: VAR1 = 8'b00110000; 11'h1b6: VAR1 = 8'b01100000; 11'h1b7: VAR1 = 8'b11111110; 11'h1b8: VAR1 = 8'b01100000; 11'h1b9: VAR1 = 8'b00110000; 11'h1ba: VAR1 = 8'b00000000; 11'h1bb: VAR1 = 8'b00000000; 11'h1bc: VAR1 = 8'b00000000; 11'h1bd: VAR1 = 8'b00000000; 11'h1be: VAR1 = 8'b00000000; 11'h1bf: VAR1 = 8'b00000000; 11'h1c0: VAR1 = 8'b00000000; 11'h1c1: VAR1 = 8'b00000000; 11'h1c2: VAR1 = 8'b00000000; 11'h1c3: VAR1 = 8'b00000000; 11'h1c4: VAR1 = 8'b00000000; 11'h1c5: VAR1 = 8'b00000000; 11'h1c6: VAR1 = 8'b11000000; 11'h1c7: VAR1 = 8'b11000000; 11'h1c8: VAR1 = 8'b11000000; 11'h1c9: VAR1 = 8'b11111110; 11'h1ca: VAR1 = 8'b00000000; 11'h1cb: VAR1 = 8'b00000000; 11'h1cc: VAR1 = 8'b00000000; 11'h1cd: VAR1 = 8'b00000000; 11'h1ce: VAR1 = 8'b00000000; 11'h1cf: VAR1 = 8'b00000000; 11'h1d0: VAR1 = 8'b00000000; 11'h1d1: VAR1 = 8'b00000000; 11'h1d2: VAR1 = 8'b00000000; 11'h1d3: VAR1 = 8'b00000000; 11'h1d4: VAR1 = 8'b00000000; 11'h1d5: VAR1 = 8'b00100100; 11'h1d6: VAR1 = 8'b01100110; 11'h1d7: VAR1 = 8'b11111111; 11'h1d8: VAR1 = 8'b01100110; 11'h1d9: VAR1 = 8'b00100100; 11'h1da: VAR1 = 8'b00000000; 11'h1db: VAR1 = 8'b00000000; 11'h1dc: VAR1 = 8'b00000000; 11'h1dd: VAR1 = 8'b00000000; 11'h1de: VAR1 = 8'b00000000; 11'h1df: VAR1 = 8'b00000000; 11'h1e0: VAR1 = 8'b00000000; 11'h1e1: VAR1 = 8'b00000000; 11'h1e2: VAR1 = 8'b00000000; 11'h1e3: VAR1 = 8'b00000000; 11'h1e4: VAR1 = 8'b00010000; 11'h1e5: VAR1 = 8'b00111000; 11'h1e6: VAR1 = 8'b00111000; 11'h1e7: VAR1 = 8'b01111100; 11'h1e8: VAR1 = 8'b01111100; 11'h1e9: VAR1 = 8'b11111110; 11'h1ea: VAR1 = 8'b11111110; 11'h1eb: VAR1 = 8'b00000000; 11'h1ec: VAR1 = 8'b00000000; 11'h1ed: VAR1 = 8'b00000000; 11'h1ee: VAR1 = 8'b00000000; 11'h1ef: VAR1 = 8'b00000000; 11'h1f0: VAR1 = 8'b00000000; 11'h1f1: VAR1 = 8'b00000000; 11'h1f2: VAR1 = 8'b00000000; 11'h1f3: VAR1 = 8'b00000000; 11'h1f4: VAR1 = 8'b11111110; 11'h1f5: VAR1 = 8'b11111110; 11'h1f6: VAR1 = 8'b01111100; 11'h1f7: VAR1 = 8'b01111100; 11'h1f8: VAR1 = 8'b00111000; 11'h1f9: VAR1 = 8'b00111000; 11'h1fa: VAR1 = 8'b00010000; 11'h1fb: VAR1 = 8'b00000000; 11'h1fc: VAR1 = 8'b00000000; 11'h1fd: VAR1 = 8'b00000000; 11'h1fe: VAR1 = 8'b00000000; 11'h1ff: VAR1 = 8'b00000000; 11'h200: VAR1 = 8'b00000000; 11'h201: VAR1 = 8'b00000000; 11'h202: VAR1 = 8'b00000000; 11'h203: VAR1 = 8'b00000000; 11'h204: VAR1 = 8'b00000000; 11'h205: VAR1 = 8'b00000000; 11'h206: VAR1 = 8'b00000000; 11'h207: VAR1 = 8'b00000000; 11'h208: VAR1 = 8'b00000000; 11'h209: VAR1 = 8'b00000000; 11'h20a: VAR1 = 8'b00000000; 11'h20b: VAR1 = 8'b00000000; 11'h20c: VAR1 = 8'b00000000; 11'h20d: VAR1 = 8'b00000000; 11'h20e: VAR1 = 8'b00000000; 11'h20f: VAR1 = 8'b00000000; 11'h210: VAR1 = 8'b00000000; 11'h211: VAR1 = 8'b00000000; 11'h212: VAR1 = 8'b00011000; 11'h213: VAR1 = 8'b00111100; 11'h214: VAR1 = 8'b00111100; 11'h215: VAR1 = 8'b00111100; 11'h216: VAR1 = 8'b00011000; 11'h217: VAR1 = 8'b00011000; 11'h218: VAR1 = 8'b00011000; 11'h219: VAR1 = 8'b00000000; 11'h21a: VAR1 = 8'b00011000; 11'h21b: VAR1 = 8'b00011000; 11'h21c: VAR1 = 8'b00000000; 11'h21d: VAR1 = 8'b00000000; 11'h21e: VAR1 = 8'b00000000; 11'h21f: VAR1 = 8'b00000000; 11'h220: VAR1 = 8'b00000000; 11'h221: VAR1 = 8'b01100110; 11'h222: VAR1 = 8'b01100110; 11'h223: VAR1 = 8'b01100110; 11'h224: VAR1 = 8'b00100100; 11'h225: VAR1 = 8'b00000000; 11'h226: VAR1 = 8'b00000000; 11'h227: VAR1 = 8'b00000000; 11'h228: VAR1 = 8'b00000000; 11'h229: VAR1 = 8'b00000000; 11'h22a: VAR1 = 8'b00000000; 11'h22b: VAR1 = 8'b00000000; 11'h22c: VAR1 = 8'b00000000; 11'h22d: VAR1 = 8'b00000000; 11'h22e: VAR1 = 8'b00000000; 11'h22f: VAR1 = 8'b00000000; 11'h230: VAR1 = 8'b00000000; 11'h231: VAR1 = 8'b00000000; 11'h232: VAR1 = 8'b00000000; 11'h233: VAR1 = 8'b01101100; 11'h234: VAR1 = 8'b01101100; 11'h235: VAR1 = 8'b11111110; 11'h236: VAR1 = 8'b01101100; 11'h237: VAR1 = 8'b01101100; 11'h238: VAR1 = 8'b01101100; 11'h239: VAR1 = 8'b11111110; 11'h23a: VAR1 = 8'b01101100; 11'h23b: VAR1 = 8'b01101100; 11'h23c: VAR1 = 8'b00000000; 11'h23d: VAR1 = 8'b00000000; 11'h23e: VAR1 = 8'b00000000; 11'h23f: VAR1 = 8'b00000000; 11'h240: VAR1 = 8'b00011000; 11'h241: VAR1 = 8'b00011000; 11'h242: VAR1 = 8'b01111100; 11'h243: VAR1 = 8'b11000110; 11'h244: VAR1 = 8'b11000010; 11'h245: VAR1 = 8'b11000000; 11'h246: VAR1 = 8'b01111100; 11'h247: VAR1 = 8'b00000110; 11'h248: VAR1 = 8'b00000110; 11'h249: VAR1 = 8'b10000110; 11'h24a: VAR1 = 8'b11000110; 11'h24b: VAR1 = 8'b01111100; 11'h24c: VAR1 = 8'b00011000; 11'h24d: VAR1 = 8'b00011000; 11'h24e: VAR1 = 8'b00000000; 11'h24f: VAR1 = 8'b00000000; 11'h250: VAR1 = 8'b00000000; 11'h251: VAR1 = 8'b00000000; 11'h252: VAR1 = 8'b00000000; 11'h253: VAR1 = 8'b00000000; 11'h254: VAR1 = 8'b11000010; 11'h255: VAR1 = 8'b11000110; 11'h256: VAR1 = 8'b00001100; 11'h257: VAR1 = 8'b00011000; 11'h258: VAR1 = 8'b00110000; 11'h259: VAR1 = 8'b01100000; 11'h25a: VAR1 = 8'b11000110; 11'h25b: VAR1 = 8'b10000110; 11'h25c: VAR1 = 8'b00000000; 11'h25d: VAR1 = 8'b00000000; 11'h25e: VAR1 = 8'b00000000; 11'h25f: VAR1 = 8'b00000000; 11'h260: VAR1 = 8'b00000000; 11'h261: VAR1 = 8'b00000000; 11'h262: VAR1 = 8'b00111000; 11'h263: VAR1 = 8'b01101100; 11'h264: VAR1 = 8'b01101100; 11'h265: VAR1 = 8'b00111000; 11'h266: VAR1 = 8'b01110110; 11'h267: VAR1 = 8'b11011100; 11'h268: VAR1 = 8'b11001100; 11'h269: VAR1 = 8'b11001100; 11'h26a: VAR1 = 8'b11001100; 11'h26b: VAR1 = 8'b01110110; 11'h26c: VAR1 = 8'b00000000; 11'h26d: VAR1 = 8'b00000000; 11'h26e: VAR1 = 8'b00000000; 11'h26f: VAR1 = 8'b00000000; 11'h270: VAR1 = 8'b00000000; 11'h271: VAR1 = 8'b00110000; 11'h272: VAR1 = 8'b00110000; 11'h273: VAR1 = 8'b00110000; 11'h274: VAR1 = 8'b01100000; 11'h275: VAR1 = 8'b00000000; 11'h276: VAR1 = 8'b00000000; 11'h277: VAR1 = 8'b00000000; 11'h278: VAR1 = 8'b00000000; 11'h279: VAR1 = 8'b00000000; 11'h27a: VAR1 = 8'b00000000; 11'h27b: VAR1 = 8'b00000000; 11'h27c: VAR1 = 8'b00000000; 11'h27d: VAR1 = 8'b00000000; 11'h27e: VAR1 = 8'b00000000; 11'h27f: VAR1 = 8'b00000000; 11'h280: VAR1 = 8'b00000000; 11'h281: VAR1 = 8'b00000000; 11'h282: VAR1 = 8'b00001100; 11'h283: VAR1 = 8'b00011000; 11'h284: VAR1 = 8'b00110000; 11'h285: VAR1 = 8'b00110000; 11'h286: VAR1 = 8'b00110000; 11'h287: VAR1 = 8'b00110000; 11'h288: VAR1 = 8'b00110000; 11'h289: VAR1 = 8'b00110000; 11'h28a: VAR1 = 8'b00011000; 11'h28b: VAR1 = 8'b00001100; 11'h28c: VAR1 = 8'b00000000; 11'h28d: VAR1 = 8'b00000000; 11'h28e: VAR1 = 8'b00000000; 11'h28f: VAR1 = 8'b00000000; 11'h290: VAR1 = 8'b00000000; 11'h291: VAR1 = 8'b00000000; 11'h292: VAR1 = 8'b00110000; 11'h293: VAR1 = 8'b00011000; 11'h294: VAR1 = 8'b00001100; 11'h295: VAR1 = 8'b00001100; 11'h296: VAR1 = 8'b00001100; 11'h297: VAR1 = 8'b00001100; 11'h298: VAR1 = 8'b00001100; 11'h299: VAR1 = 8'b00001100; 11'h29a: VAR1 = 8'b00011000; 11'h29b: VAR1 = 8'b00110000; 11'h29c: VAR1 = 8'b00000000; 11'h29d: VAR1 = 8'b00000000; 11'h29e: VAR1 = 8'b00000000; 11'h29f: VAR1 = 8'b00000000; 11'h2a0: VAR1 = 8'b00000000; 11'h2a1: VAR1 = 8'b00000000; 11'h2a2: VAR1 = 8'b00000000; 11'h2a3: VAR1 = 8'b00000000; 11'h2a4: VAR1 = 8'b00000000; 11'h2a5: VAR1 = 8'b01100110; 11'h2a6: VAR1 = 8'b00111100; 11'h2a7: VAR1 = 8'b11111111; 11'h2a8: VAR1 = 8'b00111100; 11'h2a9: VAR1 = 8'b01100110; 11'h2aa: VAR1 = 8'b00000000; 11'h2ab: VAR1 = 8'b00000000; 11'h2ac: VAR1 = 8'b00000000; 11'h2ad: VAR1 = 8'b00000000; 11'h2ae: VAR1 = 8'b00000000; 11'h2af: VAR1 = 8'b00000000; 11'h2b0: VAR1 = 8'b00000000; 11'h2b1: VAR1 = 8'b00000000; 11'h2b2: VAR1 = 8'b00000000; 11'h2b3: VAR1 = 8'b00000000; 11'h2b4: VAR1 = 8'b00000000; 11'h2b5: VAR1 = 8'b00011000; 11'h2b6: VAR1 = 8'b00011000; 11'h2b7: VAR1 = 8'b01111110; 11'h2b8: VAR1 = 8'b00011000; 11'h2b9: VAR1 = 8'b00011000; 11'h2ba: VAR1 = 8'b00000000; 11'h2bb: VAR1 = 8'b00000000; 11'h2bc: VAR1 = 8'b00000000; 11'h2bd: VAR1 = 8'b00000000; 11'h2be: VAR1 = 8'b00000000; 11'h2bf: VAR1 = 8'b00000000; 11'h2c0: VAR1 = 8'b00000000; 11'h2c1: VAR1 = 8'b00000000; 11'h2c2: VAR1 = 8'b00000000; 11'h2c3: VAR1 = 8'b00000000; 11'h2c4: VAR1 = 8'b00000000; 11'h2c5: VAR1 = 8'b00000000; 11'h2c6: VAR1 = 8'b00000000; 11'h2c7: VAR1 = 8'b00000000; 11'h2c8: VAR1 = 8'b00000000; 11'h2c9: VAR1 = 8'b00011000; 11'h2ca: VAR1 = 8'b00011000; 11'h2cb: VAR1 = 8'b00011000; 11'h2cc: VAR1 = 8'b00110000; 11'h2cd: VAR1 = 8'b00000000; 11'h2ce: VAR1 = 8'b00000000; 11'h2cf: VAR1 = 8'b00000000; 11'h2d0: VAR1 = 8'b00000000; 11'h2d1: VAR1 = 8'b00000000; 11'h2d2: VAR1 = 8'b00000000; 11'h2d3: VAR1 = 8'b00000000; 11'h2d4: VAR1 = 8'b00000000; 11'h2d5: VAR1 = 8'b00000000; 11'h2d6: VAR1 = 8'b00000000; 11'h2d7: VAR1 = 8'b01111110; 11'h2d8: VAR1 = 8'b00000000; 11'h2d9: VAR1 = 8'b00000000; 11'h2da: VAR1 = 8'b00000000; 11'h2db: VAR1 = 8'b00000000; 11'h2dc: VAR1 = 8'b00000000; 11'h2dd: VAR1 = 8'b00000000; 11'h2de: VAR1 = 8'b00000000; 11'h2df: VAR1 = 8'b00000000; 11'h2e0: VAR1 = 8'b00000000; 11'h2e1: VAR1 = 8'b00000000; 11'h2e2: VAR1 = 8'b00000000; 11'h2e3: VAR1 = 8'b00000000; 11'h2e4: VAR1 = 8'b00000000; 11'h2e5: VAR1 = 8'b00000000; 11'h2e6: VAR1 = 8'b00000000; 11'h2e7: VAR1 = 8'b00000000; 11'h2e8: VAR1 = 8'b00000000; 11'h2e9: VAR1 = 8'b00000000; 11'h2ea: VAR1 = 8'b00011000; 11'h2eb: VAR1 = 8'b00011000; 11'h2ec: VAR1 = 8'b00000000; 11'h2ed: VAR1 = 8'b00000000; 11'h2ee: VAR1 = 8'b00000000; 11'h2ef: VAR1 = 8'b00000000; 11'h2f0: VAR1 = 8'b00000000; 11'h2f1: VAR1 = 8'b00000000; 11'h2f2: VAR1 = 8'b00000000; 11'h2f3: VAR1 = 8'b00000000; 11'h2f4: VAR1 = 8'b00000010; 11'h2f5: VAR1 = 8'b00000110; 11'h2f6: VAR1 = 8'b00001100; 11'h2f7: VAR1 = 8'b00011000; 11'h2f8: VAR1 = 8'b00110000; 11'h2f9: VAR1 = 8'b01100000; 11'h2fa: VAR1 = 8'b11000000; 11'h2fb: VAR1 = 8'b10000000; 11'h2fc: VAR1 = 8'b00000000; 11'h2fd: VAR1 = 8'b00000000; 11'h2fe: VAR1 = 8'b00000000; 11'h2ff: VAR1 = 8'b00000000; 11'h300: VAR1 = 8'b00000000; 11'h301: VAR1 = 8'b00000000; 11'h302: VAR1 = 8'b01111100; 11'h303: VAR1 = 8'b11000110; 11'h304: VAR1 = 8'b11000110; 11'h305: VAR1 = 8'b11001110; 11'h306: VAR1 = 8'b11011110; 11'h307: VAR1 = 8'b11110110; 11'h308: VAR1 = 8'b11100110; 11'h309: VAR1 = 8'b11000110; 11'h30a: VAR1 = 8'b11000110; 11'h30b: VAR1 = 8'b01111100; 11'h30c: VAR1 = 8'b00000000; 11'h30d: VAR1 = 8'b00000000; 11'h30e: VAR1 = 8'b00000000; 11'h30f: VAR1 = 8'b00000000; 11'h310: VAR1 = 8'b00000000; 11'h311: VAR1 = 8'b00000000; 11'h312: VAR1 = 8'b00011000; 11'h313: VAR1 = 8'b00111000; 11'h314: VAR1 = 8'b01111000; 11'h315: VAR1 = 8'b00011000; 11'h316: VAR1 = 8'b00011000; 11'h317: VAR1 = 8'b00011000; 11'h318: VAR1 = 8'b00011000; 11'h319: VAR1 = 8'b00011000; 11'h31a: VAR1 = 8'b00011000; 11'h31b: VAR1 = 8'b01111110; 11'h31c: VAR1 = 8'b00000000; 11'h31d: VAR1 = 8'b00000000; 11'h31e: VAR1 = 8'b00000000; 11'h31f: VAR1 = 8'b00000000; 11'h320: VAR1 = 8'b00000000; 11'h321: VAR1 = 8'b00000000; 11'h322: VAR1 = 8'b01111100; 11'h323: VAR1 = 8'b11000110; 11'h324: VAR1 = 8'b00000110; 11'h325: VAR1 = 8'b00001100; 11'h326: VAR1 = 8'b00011000; 11'h327: VAR1 = 8'b00110000; 11'h328: VAR1 = 8'b01100000; 11'h329: VAR1 = 8'b11000000; 11'h32a: VAR1 = 8'b11000110; 11'h32b: VAR1 = 8'b11111110; 11'h32c: VAR1 = 8'b00000000; 11'h32d: VAR1 = 8'b00000000; 11'h32e: VAR1 = 8'b00000000; 11'h32f: VAR1 = 8'b00000000; 11'h330: VAR1 = 8'b00000000; 11'h331: VAR1 = 8'b00000000; 11'h332: VAR1 = 8'b01111100; 11'h333: VAR1 = 8'b11000110; 11'h334: VAR1 = 8'b00000110; 11'h335: VAR1 = 8'b00000110; 11'h336: VAR1 = 8'b00111100; 11'h337: VAR1 = 8'b00000110; 11'h338: VAR1 = 8'b00000110; 11'h339: VAR1 = 8'b00000110; 11'h33a: VAR1 = 8'b11000110; 11'h33b: VAR1 = 8'b01111100; 11'h33c: VAR1 = 8'b00000000; 11'h33d: VAR1 = 8'b00000000; 11'h33e: VAR1 = 8'b00000000; 11'h33f: VAR1 = 8'b00000000; 11'h340: VAR1 = 8'b00000000; 11'h341: VAR1 = 8'b00000000; 11'h342: VAR1 = 8'b00001100; 11'h343: VAR1 = 8'b00011100; 11'h344: VAR1 = 8'b00111100; 11'h345: VAR1 = 8'b01101100; 11'h346: VAR1 = 8'b11001100; 11'h347: VAR1 = 8'b11111110; 11'h348: VAR1 = 8'b00001100; 11'h349: VAR1 = 8'b00001100; 11'h34a: VAR1 = 8'b00001100; 11'h34b: VAR1 = 8'b00011110; 11'h34c: VAR1 = 8'b00000000; 11'h34d: VAR1 = 8'b00000000; 11'h34e: VAR1 = 8'b00000000; 11'h34f: VAR1 = 8'b00000000; 11'h350: VAR1 = 8'b00000000; 11'h351: VAR1 = 8'b00000000; 11'h352: VAR1 = 8'b11111110; 11'h353: VAR1 = 8'b11000000; 11'h354: VAR1 = 8'b11000000; 11'h355: VAR1 = 8'b11000000; 11'h356: VAR1 = 8'b11111100; 11'h357: VAR1 = 8'b00000110; 11'h358: VAR1 = 8'b00000110; 11'h359: VAR1 = 8'b00000110; 11'h35a: VAR1 = 8'b11000110; 11'h35b: VAR1 = 8'b01111100; 11'h35c: VAR1 = 8'b00000000; 11'h35d: VAR1 = 8'b00000000; 11'h35e: VAR1 = 8'b00000000; 11'h35f: VAR1 = 8'b00000000; 11'h360: VAR1 = 8'b00000000; 11'h361: VAR1 = 8'b00000000; 11'h362: VAR1 = 8'b00111000; 11'h363: VAR1 = 8'b01100000; 11'h364: VAR1 = 8'b11000000; 11'h365: VAR1 = 8'b11000000; 11'h366: VAR1 = 8'b11111100; 11'h367: VAR1 = 8'b11000110; 11'h368: VAR1 = 8'b11000110; 11'h369: VAR1 = 8'b11000110; 11'h36a: VAR1 = 8'b11000110; 11'h36b: VAR1 = 8'b01111100; 11'h36c: VAR1 = 8'b00000000; 11'h36d: VAR1 = 8'b00000000; 11'h36e: VAR1 = 8'b00000000; 11'h36f: VAR1 = 8'b00000000; 11'h370: VAR1 = 8'b00000000; 11'h371: VAR1 = 8'b00000000; 11'h372: VAR1 = 8'b11111110; 11'h373: VAR1 = 8'b11000110; 11'h374: VAR1 = 8'b00000110; 11'h375: VAR1 = 8'b00000110; 11'h376: VAR1 = 8'b00001100; 11'h377: VAR1 = 8'b00011000; 11'h378: VAR1 = 8'b00110000; 11'h379: VAR1 = 8'b00110000; 11'h37a: VAR1 = 8'b00110000; 11'h37b: VAR1 = 8'b00110000; 11'h37c: VAR1 = 8'b00000000; 11'h37d: VAR1 = 8'b00000000; 11'h37e: VAR1 = 8'b00000000; 11'h37f: VAR1 = 8'b00000000; 11'h380: VAR1 = 8'b00000000; 11'h381: VAR1 = 8'b00000000; 11'h382: VAR1 = 8'b01111100; 11'h383: VAR1 = 8'b11000110; 11'h384: VAR1 = 8'b11000110; 11'h385: VAR1 = 8'b11000110; 11'h386: VAR1 = 8'b01111100; 11'h387: VAR1 = 8'b11000110; 11'h388: VAR1 = 8'b11000110; 11'h389: VAR1 = 8'b11000110; 11'h38a: VAR1 = 8'b11000110; 11'h38b: VAR1 = 8'b01111100; 11'h38c: VAR1 = 8'b00000000; 11'h38d: VAR1 = 8'b00000000; 11'h38e: VAR1 = 8'b00000000; 11'h38f: VAR1 = 8'b00000000; 11'h390: VAR1 = 8'b00000000; 11'h391: VAR1 = 8'b00000000; 11'h392: VAR1 = 8'b01111100; 11'h393: VAR1 = 8'b11000110; 11'h394: VAR1 = 8'b11000110; 11'h395: VAR1 = 8'b11000110; 11'h396: VAR1 = 8'b01111110; 11'h397: VAR1 = 8'b00000110; 11'h398: VAR1 = 8'b00000110; 11'h399: VAR1 = 8'b00000110; 11'h39a: VAR1 = 8'b00001100; 11'h39b: VAR1 = 8'b01111000; 11'h39c: VAR1 = 8'b00000000; 11'h39d: VAR1 = 8'b00000000; 11'h39e: VAR1 = 8'b00000000; 11'h39f: VAR1 = 8'b00000000; 11'h3a0: VAR1 = 8'b00000000; 11'h3a1: VAR1 = 8'b00000000; 11'h3a2: VAR1 = 8'b00000000; 11'h3a3: VAR1 = 8'b00000000; 11'h3a4: VAR1 = 8'b00011000; 11'h3a5: VAR1 = 8'b00011000; 11'h3a6: VAR1 = 8'b00000000; 11'h3a7: VAR1 = 8'b00000000; 11'h3a8: VAR1 = 8'b00000000; 11'h3a9: VAR1 = 8'b00011000; 11'h3aa: VAR1 = 8'b00011000; 11'h3ab: VAR1 = 8'b00000000; 11'h3ac: VAR1 = 8'b00000000; 11'h3ad: VAR1 = 8'b00000000; 11'h3ae: VAR1 = 8'b00000000; 11'h3af: VAR1 = 8'b00000000; 11'h3b0: VAR1 = 8'b00000000; 11'h3b1: VAR1 = 8'b00000000; 11'h3b2: VAR1 = 8'b00000000; 11'h3b3: VAR1 = 8'b00000000; 11'h3b4: VAR1 = 8'b00011000; 11'h3b5: VAR1 = 8'b00011000; 11'h3b6: VAR1 = 8'b00000000; 11'h3b7: VAR1 = 8'b00000000; 11'h3b8: VAR1 = 8'b00000000; 11'h3b9: VAR1 = 8'b00011000; 11'h3ba: VAR1 = 8'b00011000; 11'h3bb: VAR1 = 8'b00110000; 11'h3bc: VAR1 = 8'b00000000; 11'h3bd: VAR1 = 8'b00000000; 11'h3be: VAR1 = 8'b00000000; 11'h3bf: VAR1 = 8'b00000000; 11'h3c0: VAR1 = 8'b00000000; 11'h3c1: VAR1 = 8'b00000000; 11'h3c2: VAR1 = 8'b00000000; 11'h3c3: VAR1 = 8'b00000110; 11'h3c4: VAR1 = 8'b00001100; 11'h3c5: VAR1 = 8'b00011000; 11'h3c6: VAR1 = 8'b00110000; 11'h3c7: VAR1 = 8'b01100000; 11'h3c8: VAR1 = 8'b00110000; 11'h3c9: VAR1 = 8'b00011000; 11'h3ca: VAR1 = 8'b00001100; 11'h3cb: VAR1 = 8'b00000110; 11'h3cc: VAR1 = 8'b00000000; 11'h3cd: VAR1 = 8'b00000000; 11'h3ce: VAR1 = 8'b00000000; 11'h3cf: VAR1 = 8'b00000000; 11'h3d0: VAR1 = 8'b00000000; 11'h3d1: VAR1 = 8'b00000000; 11'h3d2: VAR1 = 8'b00000000; 11'h3d3: VAR1 = 8'b00000000; 11'h3d4: VAR1 = 8'b00000000; 11'h3d5: VAR1 = 8'b01111110; 11'h3d6: VAR1 = 8'b00000000; 11'h3d7: VAR1 = 8'b00000000; 11'h3d8: VAR1 = 8'b01111110; 11'h3d9: VAR1 = 8'b00000000; 11'h3da: VAR1 = 8'b00000000; 11'h3db: VAR1 = 8'b00000000; 11'h3dc: VAR1 = 8'b00000000; 11'h3dd: VAR1 = 8'b00000000; 11'h3de: VAR1 = 8'b00000000; 11'h3df: VAR1 = 8'b00000000; 11'h3e0: VAR1 = 8'b00000000; 11'h3e1: VAR1 = 8'b00000000; 11'h3e2: VAR1 = 8'b00000000; 11'h3e3: VAR1 = 8'b01100000; 11'h3e4: VAR1 = 8'b00110000; 11'h3e5: VAR1 = 8'b00011000; 11'h3e6: VAR1 = 8'b00001100; 11'h3e7: VAR1 = 8'b00000110; 11'h3e8: VAR1 = 8'b00001100; 11'h3e9: VAR1 = 8'b00011000; 11'h3ea: VAR1 = 8'b00110000; 11'h3eb: VAR1 = 8'b01100000; 11'h3ec: VAR1 = 8'b00000000; 11'h3ed: VAR1 = 8'b00000000; 11'h3ee: VAR1 = 8'b00000000; 11'h3ef: VAR1 = 8'b00000000; 11'h3f0: VAR1 = 8'b00000000; 11'h3f1: VAR1 = 8'b00000000; 11'h3f2: VAR1 = 8'b01111100; 11'h3f3: VAR1 = 8'b11000110; 11'h3f4: VAR1 = 8'b11000110; 11'h3f5: VAR1 = 8'b00001100; 11'h3f6: VAR1 = 8'b00011000; 11'h3f7: VAR1 = 8'b00011000; 11'h3f8: VAR1 = 8'b00011000; 11'h3f9: VAR1 = 8'b00000000; 11'h3fa: VAR1 = 8'b00011000; 11'h3fb: VAR1 = 8'b00011000; 11'h3fc: VAR1 = 8'b00000000; 11'h3fd: VAR1 = 8'b00000000; 11'h3fe: VAR1 = 8'b00000000; 11'h3ff: VAR1 = 8'b00000000; 11'h400: VAR1 = 8'b00000000; 11'h401: VAR1 = 8'b00000000; 11'h402: VAR1 = 8'b01111100; 11'h403: VAR1 = 8'b11000110; 11'h404: VAR1 = 8'b11000110; 11'h405: VAR1 = 8'b11000110; 11'h406: VAR1 = 8'b11011110; 11'h407: VAR1 = 8'b11011110; 11'h408: VAR1 = 8'b11011110; 11'h409: VAR1 = 8'b11011100; 11'h40a: VAR1 = 8'b11000000; 11'h40b: VAR1 = 8'b01111100; 11'h40c: VAR1 = 8'b00000000; 11'h40d: VAR1 = 8'b00000000; 11'h40e: VAR1 = 8'b00000000; 11'h40f: VAR1 = 8'b00000000; 11'h410: VAR1 = 8'b00000000; 11'h411: VAR1 = 8'b00000000; 11'h412: VAR1 = 8'b00010000; 11'h413: VAR1 = 8'b00111000; 11'h414: VAR1 = 8'b01101100; 11'h415: VAR1 = 8'b11000110; 11'h416: VAR1 = 8'b11000110; 11'h417: VAR1 = 8'b11111110; 11'h418: VAR1 = 8'b11000110; 11'h419: VAR1 = 8'b11000110; 11'h41a: VAR1 = 8'b11000110; 11'h41b: VAR1 = 8'b11000110; 11'h41c: VAR1 = 8'b00000000; 11'h41d: VAR1 = 8'b00000000; 11'h41e: VAR1 = 8'b00000000; 11'h41f: VAR1 = 8'b00000000; 11'h420: VAR1 = 8'b00000000; 11'h421: VAR1 = 8'b00000000; 11'h422: VAR1 = 8'b11111100; 11'h423: VAR1 = 8'b01100110; 11'h424: VAR1 = 8'b01100110; 11'h425: VAR1 = 8'b01100110; 11'h426: VAR1 = 8'b01111100; 11'h427: VAR1 = 8'b01100110; 11'h428: VAR1 = 8'b01100110; 11'h429: VAR1 = 8'b01100110; 11'h42a: VAR1 = 8'b01100110; 11'h42b: VAR1 = 8'b11111100; 11'h42c: VAR1 = 8'b00000000; 11'h42d: VAR1 = 8'b00000000; 11'h42e: VAR1 = 8'b00000000; 11'h42f: VAR1 = 8'b00000000; 11'h430: VAR1 = 8'b00000000; 11'h431: VAR1 = 8'b00000000; 11'h432: VAR1 = 8'b00111100; 11'h433: VAR1 = 8'b01100110; 11'h434: VAR1 = 8'b11000010; 11'h435: VAR1 = 8'b11000000; 11'h436: VAR1 = 8'b11000000; 11'h437: VAR1 = 8'b11000000; 11'h438: VAR1 = 8'b11000000; 11'h439: VAR1 = 8'b11000010; 11'h43a: VAR1 = 8'b01100110; 11'h43b: VAR1 = 8'b00111100; 11'h43c: VAR1 = 8'b00000000; 11'h43d: VAR1 = 8'b00000000; 11'h43e: VAR1 = 8'b00000000; 11'h43f: VAR1 = 8'b00000000; 11'h440: VAR1 = 8'b00000000; 11'h441: VAR1 = 8'b00000000; 11'h442: VAR1 = 8'b11111000; 11'h443: VAR1 = 8'b01101100; 11'h444: VAR1 = 8'b01100110; 11'h445: VAR1 = 8'b01100110; 11'h446: VAR1 = 8'b01100110; 11'h447: VAR1 = 8'b01100110; 11'h448: VAR1 = 8'b01100110; 11'h449: VAR1 = 8'b01100110; 11'h44a: VAR1 = 8'b01101100; 11'h44b: VAR1 = 8'b11111000; 11'h44c: VAR1 = 8'b00000000; 11'h44d: VAR1 = 8'b00000000; 11'h44e: VAR1 = 8'b00000000; 11'h44f: VAR1 = 8'b00000000; 11'h450: VAR1 = 8'b00000000; 11'h451: VAR1 = 8'b00000000; 11'h452: VAR1 = 8'b11111110; 11'h453: VAR1 = 8'b01100110; 11'h454: VAR1 = 8'b01100010; 11'h455: VAR1 = 8'b01101000; 11'h456: VAR1 = 8'b01111000; 11'h457: VAR1 = 8'b01101000; 11'h458: VAR1 = 8'b01100000; 11'h459: VAR1 = 8'b01100010; 11'h45a: VAR1 = 8'b01100110; 11'h45b: VAR1 = 8'b11111110; 11'h45c: VAR1 = 8'b00000000; 11'h45d: VAR1 = 8'b00000000; 11'h45e: VAR1 = 8'b00000000; 11'h45f: VAR1 = 8'b00000000; 11'h460: VAR1 = 8'b00000000; 11'h461: VAR1 = 8'b00000000; 11'h462: VAR1 = 8'b11111110; 11'h463: VAR1 = 8'b01100110; 11'h464: VAR1 = 8'b01100010; 11'h465: VAR1 = 8'b01101000; 11'h466: VAR1 = 8'b01111000; 11'h467: VAR1 = 8'b01101000; 11'h468: VAR1 = 8'b01100000; 11'h469: VAR1 = 8'b01100000; 11'h46a: VAR1 = 8'b01100000; 11'h46b: VAR1 = 8'b11110000; 11'h46c: VAR1 = 8'b00000000; 11'h46d: VAR1 = 8'b00000000; 11'h46e: VAR1 = 8'b00000000; 11'h46f: VAR1 = 8'b00000000; 11'h470: VAR1 = 8'b00000000; 11'h471: VAR1 = 8'b00000000; 11'h472: VAR1 = 8'b00111100; 11'h473: VAR1 = 8'b01100110; 11'h474: VAR1 = 8'b11000010; 11'h475: VAR1 = 8'b11000000; 11'h476: VAR1 = 8'b11000000; 11'h477: VAR1 = 8'b11011110; 11'h478: VAR1 = 8'b11000110; 11'h479: VAR1 = 8'b11000110; 11'h47a: VAR1 = 8'b01100110; 11'h47b: VAR1 = 8'b00111010; 11'h47c: VAR1 = 8'b00000000; 11'h47d: VAR1 = 8'b00000000; 11'h47e: VAR1 = 8'b00000000; 11'h47f: VAR1 = 8'b00000000; 11'h480: VAR1 = 8'b00000000; 11'h481: VAR1 = 8'b00000000; 11'h482: VAR1 = 8'b11000110; 11'h483: VAR1 = 8'b11000110; 11'h484: VAR1 = 8'b11000110; 11'h485: VAR1 = 8'b11000110; 11'h486: VAR1 = 8'b11111110; 11'h487: VAR1 = 8'b11000110; 11'h488: VAR1 = 8'b11000110; 11'h489: VAR1 = 8'b11000110; 11'h48a: VAR1 = 8'b11000110; 11'h48b: VAR1 = 8'b11000110; 11'h48c: VAR1 = 8'b00000000; 11'h48d: VAR1 = 8'b00000000; 11'h48e: VAR1 = 8'b00000000; 11'h48f: VAR1 = 8'b00000000; 11'h490: VAR1 = 8'b00000000; 11'h491: VAR1 = 8'b00000000; 11'h492: VAR1 = 8'b00111100; 11'h493: VAR1 = 8'b00011000; 11'h494: VAR1 = 8'b00011000; 11'h495: VAR1 = 8'b00011000; 11'h496: VAR1 = 8'b00011000; 11'h497: VAR1 = 8'b00011000; 11'h498: VAR1 = 8'b00011000; 11'h499: VAR1 = 8'b00011000; 11'h49a: VAR1 = 8'b00011000; 11'h49b: VAR1 = 8'b00111100; 11'h49c: VAR1 = 8'b00000000; 11'h49d: VAR1 = 8'b00000000; 11'h49e: VAR1 = 8'b00000000; 11'h49f: VAR1 = 8'b00000000; 11'h4a0: VAR1 = 8'b00000000; 11'h4a1: VAR1 = 8'b00000000; 11'h4a2: VAR1 = 8'b00011110; 11'h4a3: VAR1 = 8'b00001100; 11'h4a4: VAR1 = 8'b00001100; 11'h4a5: VAR1 = 8'b00001100; 11'h4a6: VAR1 = 8'b00001100; 11'h4a7: VAR1 = 8'b00001100; 11'h4a8: VAR1 = 8'b11001100; 11'h4a9: VAR1 = 8'b11001100; 11'h4aa: VAR1 = 8'b11001100; 11'h4ab: VAR1 = 8'b01111000; 11'h4ac: VAR1 = 8'b00000000; 11'h4ad: VAR1 = 8'b00000000; 11'h4ae: VAR1 = 8'b00000000; 11'h4af: VAR1 = 8'b00000000; 11'h4b0: VAR1 = 8'b00000000; 11'h4b1: VAR1 = 8'b00000000; 11'h4b2: VAR1 = 8'b11100110; 11'h4b3: VAR1 = 8'b01100110; 11'h4b4: VAR1 = 8'b01100110; 11'h4b5: VAR1 = 8'b01101100; 11'h4b6: VAR1 = 8'b01111000; 11'h4b7: VAR1 = 8'b01111000; 11'h4b8: VAR1 = 8'b01101100; 11'h4b9: VAR1 = 8'b01100110; 11'h4ba: VAR1 = 8'b01100110; 11'h4bb: VAR1 = 8'b11100110; 11'h4bc: VAR1 = 8'b00000000; 11'h4bd: VAR1 = 8'b00000000; 11'h4be: VAR1 = 8'b00000000; 11'h4bf: VAR1 = 8'b00000000; 11'h4c0: VAR1 = 8'b00000000; 11'h4c1: VAR1 = 8'b00000000; 11'h4c2: VAR1 = 8'b11110000; 11'h4c3: VAR1 = 8'b01100000; 11'h4c4: VAR1 = 8'b01100000; 11'h4c5: VAR1 = 8'b01100000; 11'h4c6: VAR1 = 8'b01100000; 11'h4c7: VAR1 = 8'b01100000; 11'h4c8: VAR1 = 8'b01100000; 11'h4c9: VAR1 = 8'b01100010; 11'h4ca: VAR1 = 8'b01100110; 11'h4cb: VAR1 = 8'b11111110; 11'h4cc: VAR1 = 8'b00000000; 11'h4cd: VAR1 = 8'b00000000; 11'h4ce: VAR1 = 8'b00000000; 11'h4cf: VAR1 = 8'b00000000; 11'h4d0: VAR1 = 8'b00000000; 11'h4d1: VAR1 = 8'b00000000; 11'h4d2: VAR1 = 8'b11000011; 11'h4d3: VAR1 = 8'b11100111; 11'h4d4: VAR1 = 8'b11111111; 11'h4d5: VAR1 = 8'b11111111; 11'h4d6: VAR1 = 8'b11011011; 11'h4d7: VAR1 = 8'b11000011; 11'h4d8: VAR1 = 8'b11000011; 11'h4d9: VAR1 = 8'b11000011; 11'h4da: VAR1 = 8'b11000011; 11'h4db: VAR1 = 8'b11000011; 11'h4dc: VAR1 = 8'b00000000; 11'h4dd: VAR1 = 8'b00000000; 11'h4de: VAR1 = 8'b00000000; 11'h4df: VAR1 = 8'b00000000; 11'h4e0: VAR1 = 8'b00000000; 11'h4e1: VAR1 = 8'b00000000; 11'h4e2: VAR1 = 8'b11000110; 11'h4e3: VAR1 = 8'b11100110; 11'h4e4: VAR1 = 8'b11110110; 11'h4e5: VAR1 = 8'b11111110; 11'h4e6: VAR1 = 8'b11011110; 11'h4e7: VAR1 = 8'b11001110; 11'h4e8: VAR1 = 8'b11000110; 11'h4e9: VAR1 = 8'b11000110; 11'h4ea: VAR1 = 8'b11000110; 11'h4eb: VAR1 = 8'b11000110; 11'h4ec: VAR1 = 8'b00000000; 11'h4ed: VAR1 = 8'b00000000; 11'h4ee: VAR1 = 8'b00000000; 11'h4ef: VAR1 = 8'b00000000; 11'h4f0: VAR1 = 8'b00000000; 11'h4f1: VAR1 = 8'b00000000; 11'h4f2: VAR1 = 8'b01111100; 11'h4f3: VAR1 = 8'b11000110; 11'h4f4: VAR1 = 8'b11000110; 11'h4f5: VAR1 = 8'b11000110; 11'h4f6: VAR1 = 8'b11000110; 11'h4f7: VAR1 = 8'b11000110; 11'h4f8: VAR1 = 8'b11000110; 11'h4f9: VAR1 = 8'b11000110; 11'h4fa: VAR1 = 8'b11000110; 11'h4fb: VAR1 = 8'b01111100; 11'h4fc: VAR1 = 8'b00000000; 11'h4fd: VAR1 = 8'b00000000; 11'h4fe: VAR1 = 8'b00000000; 11'h4ff: VAR1 = 8'b00000000; 11'h500: VAR1 = 8'b00000000; 11'h501: VAR1 = 8'b00000000; 11'h502: VAR1 = 8'b11111100; 11'h503: VAR1 = 8'b01100110; 11'h504: VAR1 = 8'b01100110; 11'h505: VAR1 = 8'b01100110; 11'h506: VAR1 = 8'b01111100; 11'h507: VAR1 = 8'b01100000; 11'h508: VAR1 = 8'b01100000; 11'h509: VAR1 = 8'b01100000; 11'h50a: VAR1 = 8'b01100000; 11'h50b: VAR1 = 8'b11110000; 11'h50c: VAR1 = 8'b00000000; 11'h50d: VAR1 = 8'b00000000; 11'h50e: VAR1 = 8'b00000000; 11'h50f: VAR1 = 8'b00000000; 11'h510: VAR1 = 8'b00000000; 11'h511: VAR1 = 8'b00000000; 11'h512: VAR1 = 8'b01111100; 11'h513: VAR1 = 8'b11000110; 11'h514: VAR1 = 8'b11000110; 11'h515: VAR1 = 8'b11000110; 11'h516: VAR1 = 8'b11000110; 11'h517: VAR1 = 8'b11000110; 11'h518: VAR1 = 8'b11000110; 11'h519: VAR1 = 8'b11010110; 11'h51a: VAR1 = 8'b11011110; 11'h51b: VAR1 = 8'b01111100; 11'h51c: VAR1 = 8'b00001100; 11'h51d: VAR1 = 8'b00001110; 11'h51e: VAR1 = 8'b00000000; 11'h51f: VAR1 = 8'b00000000; 11'h520: VAR1 = 8'b00000000; 11'h521: VAR1 = 8'b00000000; 11'h522: VAR1 = 8'b11111100; 11'h523: VAR1 = 8'b01100110; 11'h524: VAR1 = 8'b01100110; 11'h525: VAR1 = 8'b01100110; 11'h526: VAR1 = 8'b01111100; 11'h527: VAR1 = 8'b01101100; 11'h528: VAR1 = 8'b01100110; 11'h529: VAR1 = 8'b01100110; 11'h52a: VAR1 = 8'b01100110; 11'h52b: VAR1 = 8'b11100110; 11'h52c: VAR1 = 8'b00000000; 11'h52d: VAR1 = 8'b00000000; 11'h52e: VAR1 = 8'b00000000; 11'h52f: VAR1 = 8'b00000000; 11'h530: VAR1 = 8'b00000000; 11'h531: VAR1 = 8'b00000000; 11'h532: VAR1 = 8'b01111100; 11'h533: VAR1 = 8'b11000110; 11'h534: VAR1 = 8'b11000110; 11'h535: VAR1 = 8'b01100000; 11'h536: VAR1 = 8'b00111000; 11'h537: VAR1 = 8'b00001100; 11'h538: VAR1 = 8'b00000110; 11'h539: VAR1 = 8'b11000110; 11'h53a: VAR1 = 8'b11000110; 11'h53b: VAR1 = 8'b01111100; 11'h53c: VAR1 = 8'b00000000; 11'h53d: VAR1 = 8'b00000000; 11'h53e: VAR1 = 8'b00000000; 11'h53f: VAR1 = 8'b00000000; 11'h540: VAR1 = 8'b00000000; 11'h541: VAR1 = 8'b00000000; 11'h542: VAR1 = 8'b11111111; 11'h543: VAR1 = 8'b11011011; 11'h544: VAR1 = 8'b10011001; 11'h545: VAR1 = 8'b00011000; 11'h546: VAR1 = 8'b00011000; 11'h547: VAR1 = 8'b00011000; 11'h548: VAR1 = 8'b00011000; 11'h549: VAR1 = 8'b00011000; 11'h54a: VAR1 = 8'b00011000; 11'h54b: VAR1 = 8'b00111100; 11'h54c: VAR1 = 8'b00000000; 11'h54d: VAR1 = 8'b00000000; 11'h54e: VAR1 = 8'b00000000; 11'h54f: VAR1 = 8'b00000000; 11'h550: VAR1 = 8'b00000000; 11'h551: VAR1 = 8'b00000000; 11'h552: VAR1 = 8'b11000110; 11'h553: VAR1 = 8'b11000110; 11'h554: VAR1 = 8'b11000110; 11'h555: VAR1 = 8'b11000110; 11'h556: VAR1 = 8'b11000110; 11'h557: VAR1 = 8'b11000110; 11'h558: VAR1 = 8'b11000110; 11'h559: VAR1 = 8'b11000110; 11'h55a: VAR1 = 8'b11000110; 11'h55b: VAR1 = 8'b01111100; 11'h55c: VAR1 = 8'b00000000; 11'h55d: VAR1 = 8'b00000000; 11'h55e: VAR1 = 8'b00000000; 11'h55f: VAR1 = 8'b00000000; 11'h560: VAR1 = 8'b00000000; 11'h561: VAR1 = 8'b00000000; 11'h562: VAR1 = 8'b11000011; 11'h563: VAR1 = 8'b11000011; 11'h564: VAR1 = 8'b11000011; 11'h565: VAR1 = 8'b11000011; 11'h566: VAR1 = 8'b11000011; 11'h567: VAR1 = 8'b11000011; 11'h568: VAR1 = 8'b11000011; 11'h569: VAR1 = 8'b01100110; 11'h56a: VAR1 = 8'b00111100; 11'h56b: VAR1 = 8'b00011000; 11'h56c: VAR1 = 8'b00000000; 11'h56d: VAR1 = 8'b00000000; 11'h56e: VAR1 = 8'b00000000; 11'h56f: VAR1 = 8'b00000000; 11'h570: VAR1 = 8'b00000000; 11'h571: VAR1 = 8'b00000000; 11'h572: VAR1 = 8'b11000011; 11'h573: VAR1 = 8'b11000011; 11'h574: VAR1 = 8'b11000011; 11'h575: VAR1 = 8'b11000011; 11'h576: VAR1 = 8'b11000011; 11'h577: VAR1 = 8'b11011011; 11'h578: VAR1 = 8'b11011011; 11'h579: VAR1 = 8'b11111111; 11'h57a: VAR1 = 8'b01100110; 11'h57b: VAR1 = 8'b01100110; 11'h57c: VAR1 = 8'b00000000; 11'h57d: VAR1 = 8'b00000000; 11'h57e: VAR1 = 8'b00000000; 11'h57f: VAR1 = 8'b00000000; 11'h580: VAR1 = 8'b00000000; 11'h581: VAR1 = 8'b00000000; 11'h582: VAR1 = 8'b11000011; 11'h583: VAR1 = 8'b11000011; 11'h584: VAR1 = 8'b01100110; 11'h585: VAR1 = 8'b00111100; 11'h586: VAR1 = 8'b00011000; 11'h587: VAR1 = 8'b00011000; 11'h588: VAR1 = 8'b00111100; 11'h589: VAR1 = 8'b01100110; 11'h58a: VAR1 = 8'b11000011; 11'h58b: VAR1 = 8'b11000011; 11'h58c: VAR1 = 8'b00000000; 11'h58d: VAR1 = 8'b00000000; 11'h58e: VAR1 = 8'b00000000; 11'h58f: VAR1 = 8'b00000000; 11'h590: VAR1 = 8'b00000000; 11'h591: VAR1 = 8'b00000000; 11'h592: VAR1 = 8'b11000011; 11'h593: VAR1 = 8'b11000011; 11'h594: VAR1 = 8'b11000011; 11'h595: VAR1 = 8'b01100110; 11'h596: VAR1 = 8'b00111100; 11'h597: VAR1 = 8'b00011000; 11'h598: VAR1 = 8'b00011000; 11'h599: VAR1 = 8'b00011000; 11'h59a: VAR1 = 8'b00011000; 11'h59b: VAR1 = 8'b00111100; 11'h59c: VAR1 = 8'b00000000; 11'h59d: VAR1 = 8'b00000000; 11'h59e: VAR1 = 8'b00000000; 11'h59f: VAR1 = 8'b00000000; 11'h5a0: VAR1 = 8'b00000000; 11'h5a1: VAR1 = 8'b00000000; 11'h5a2: VAR1 = 8'b11111111; 11'h5a3: VAR1 = 8'b11000011; 11'h5a4: VAR1 = 8'b10000110; 11'h5a5: VAR1 = 8'b00001100; 11'h5a6: VAR1 = 8'b00011000; 11'h5a7: VAR1 = 8'b00110000; 11'h5a8: VAR1 = 8'b01100000; 11'h5a9: VAR1 = 8'b11000001; 11'h5aa: VAR1 = 8'b11000011; 11'h5ab: VAR1 = 8'b11111111; 11'h5ac: VAR1 = 8'b00000000; 11'h5ad: VAR1 = 8'b00000000; 11'h5ae: VAR1 = 8'b00000000; 11'h5af: VAR1 = 8'b00000000; 11'h5b0: VAR1 = 8'b00000000; 11'h5b1: VAR1 = 8'b00000000; 11'h5b2: VAR1 = 8'b00111100; 11'h5b3: VAR1 = 8'b00110000; 11'h5b4: VAR1 = 8'b00110000; 11'h5b5: VAR1 = 8'b00110000; 11'h5b6: VAR1 = 8'b00110000; 11'h5b7: VAR1 = 8'b00110000; 11'h5b8: VAR1 = 8'b00110000; 11'h5b9: VAR1 = 8'b00110000; 11'h5ba: VAR1 = 8'b00110000; 11'h5bb: VAR1 = 8'b00111100; 11'h5bc: VAR1 = 8'b00000000; 11'h5bd: VAR1 = 8'b00000000; 11'h5be: VAR1 = 8'b00000000; 11'h5bf: VAR1 = 8'b00000000; 11'h5c0: VAR1 = 8'b00000000; 11'h5c1: VAR1 = 8'b00000000; 11'h5c2: VAR1 = 8'b00000000; 11'h5c3: VAR1 = 8'b10000000; 11'h5c4: VAR1 = 8'b11000000; 11'h5c5: VAR1 = 8'b11100000; 11'h5c6: VAR1 = 8'b01110000; 11'h5c7: VAR1 = 8'b00111000; 11'h5c8: VAR1 = 8'b00011100; 11'h5c9: VAR1 = 8'b00001110; 11'h5ca: VAR1 = 8'b00000110; 11'h5cb: VAR1 = 8'b00000010; 11'h5cc: VAR1 = 8'b00000000; 11'h5cd: VAR1 = 8'b00000000; 11'h5ce: VAR1 = 8'b00000000; 11'h5cf: VAR1 = 8'b00000000; 11'h5d0: VAR1 = 8'b00000000; 11'h5d1: VAR1 = 8'b00000000; 11'h5d2: VAR1 = 8'b00111100; 11'h5d3: VAR1 = 8'b00001100; 11'h5d4: VAR1 = 8'b00001100; 11'h5d5: VAR1 = 8'b00001100; 11'h5d6: VAR1 = 8'b00001100; 11'h5d7: VAR1 = 8'b00001100; 11'h5d8: VAR1 = 8'b00001100; 11'h5d9: VAR1 = 8'b00001100; 11'h5da: VAR1 = 8'b00001100; 11'h5db: VAR1 = 8'b00111100; 11'h5dc: VAR1 = 8'b00000000; 11'h5dd: VAR1 = 8'b00000000; 11'h5de: VAR1 = 8'b00000000; 11'h5df: VAR1 = 8'b00000000; 11'h5e0: VAR1 = 8'b00010000; 11'h5e1: VAR1 = 8'b00111000; 11'h5e2: VAR1 = 8'b01101100; 11'h5e3: VAR1 = 8'b11000110; 11'h5e4: VAR1 = 8'b00000000; 11'h5e5: VAR1 = 8'b00000000; 11'h5e6: VAR1 = 8'b00000000; 11'h5e7: VAR1 = 8'b00000000; 11'h5e8: VAR1 = 8'b00000000; 11'h5e9: VAR1 = 8'b00000000; 11'h5ea: VAR1 = 8'b00000000; 11'h5eb: VAR1 = 8'b00000000; 11'h5ec: VAR1 = 8'b00000000; 11'h5ed: VAR1 = 8'b00000000; 11'h5ee: VAR1 = 8'b00000000; 11'h5ef: VAR1 = 8'b00000000; 11'h5f0: VAR1 = 8'b00000000; 11'h5f1: VAR1 = 8'b00000000; 11'h5f2: VAR1 = 8'b00000000; 11'h5f3: VAR1 = 8'b00000000; 11'h5f4: VAR1 = 8'b00000000; 11'h5f5: VAR1 = 8'b00000000; 11'h5f6: VAR1 = 8'b00000000; 11'h5f7: VAR1 = 8'b00000000; 11'h5f8: VAR1 = 8'b00000000; 11'h5f9: VAR1 = 8'b00000000; 11'h5fa: VAR1 = 8'b00000000; 11'h5fb: VAR1 = 8'b00000000; 11'h5fc: VAR1 = 8'b00000000; 11'h5fd: VAR1 = 8'b11111111; 11'h5fe: VAR1 = 8'b00000000; 11'h5ff: VAR1 = 8'b00000000; 11'h600: VAR1 = 8'b00110000; 11'h601: VAR1 = 8'b00110000; 11'h602: VAR1 = 8'b00011000; 11'h603: VAR1 = 8'b00000000; 11'h604: VAR1 = 8'b00000000; 11'h605: VAR1 = 8'b00000000; 11'h606: VAR1 = 8'b00000000; 11'h607: VAR1 = 8'b00000000; 11'h608: VAR1 = 8'b00000000; 11'h609: VAR1 = 8'b00000000; 11'h60a: VAR1 = 8'b00000000; 11'h60b: VAR1 = 8'b00000000; 11'h60c: VAR1 = 8'b00000000; 11'h60d: VAR1 = 8'b00000000; 11'h60e: VAR1 = 8'b00000000; 11'h60f: VAR1 = 8'b00000000; 11'h610: VAR1 = 8'b00000000; 11'h611: VAR1 = 8'b00000000; 11'h612: VAR1 = 8'b00000000; 11'h613: VAR1 = 8'b00000000; 11'h614: VAR1 = 8'b00000000; 11'h615: VAR1 = 8'b01111000; 11'h616: VAR1 = 8'b00001100; 11'h617: VAR1 = 8'b01111100; 11'h618: VAR1 = 8'b11001100; 11'h619: VAR1 = 8'b11001100; 11'h61a: VAR1 = 8'b11001100; 11'h61b: VAR1 = 8'b01110110; 11'h61c: VAR1 = 8'b00000000; 11'h61d: VAR1 = 8'b00000000; 11'h61e: VAR1 = 8'b00000000; 11'h61f: VAR1 = 8'b00000000; 11'h620: VAR1 = 8'b00000000; 11'h621: VAR1 = 8'b00000000; 11'h622: VAR1 = 8'b11100000; 11'h623: VAR1 = 8'b01100000; 11'h624: VAR1 = 8'b01100000; 11'h625: VAR1 = 8'b01111000; 11'h626: VAR1 = 8'b01101100; 11'h627: VAR1 = 8'b01100110; 11'h628: VAR1 = 8'b01100110; 11'h629: VAR1 = 8'b01100110; 11'h62a: VAR1 = 8'b01100110; 11'h62b: VAR1 = 8'b01111100; 11'h62c: VAR1 = 8'b00000000; 11'h62d: VAR1 = 8'b00000000; 11'h62e: VAR1 = 8'b00000000; 11'h62f: VAR1 = 8'b00000000; 11'h630: VAR1 = 8'b00000000; 11'h631: VAR1 = 8'b00000000; 11'h632: VAR1 = 8'b00000000; 11'h633: VAR1 = 8'b00000000; 11'h634: VAR1 = 8'b00000000; 11'h635: VAR1 = 8'b01111100; 11'h636: VAR1 = 8'b11000110; 11'h637: VAR1 = 8'b11000000; 11'h638: VAR1 = 8'b11000000; 11'h639: VAR1 = 8'b11000000; 11'h63a: VAR1 = 8'b11000110; 11'h63b: VAR1 = 8'b01111100; 11'h63c: VAR1 = 8'b00000000; 11'h63d: VAR1 = 8'b00000000; 11'h63e: VAR1 = 8'b00000000; 11'h63f: VAR1 = 8'b00000000; 11'h640: VAR1 = 8'b00000000; 11'h641: VAR1 = 8'b00000000; 11'h642: VAR1 = 8'b00011100; 11'h643: VAR1 = 8'b00001100; 11'h644: VAR1 = 8'b00001100; 11'h645: VAR1 = 8'b00111100; 11'h646: VAR1 = 8'b01101100; 11'h647: VAR1 = 8'b11001100; 11'h648: VAR1 = 8'b11001100; 11'h649: VAR1 = 8'b11001100; 11'h64a: VAR1 = 8'b11001100; 11'h64b: VAR1 = 8'b01110110; 11'h64c: VAR1 = 8'b00000000; 11'h64d: VAR1 = 8'b00000000; 11'h64e: VAR1 = 8'b00000000; 11'h64f: VAR1 = 8'b00000000; 11'h650: VAR1 = 8'b00000000; 11'h651: VAR1 = 8'b00000000; 11'h652: VAR1 = 8'b00000000; 11'h653: VAR1 = 8'b00000000; 11'h654: VAR1 = 8'b00000000; 11'h655: VAR1 = 8'b01111100; 11'h656: VAR1 = 8'b11000110; 11'h657: VAR1 = 8'b11111110; 11'h658: VAR1 = 8'b11000000; 11'h659: VAR1 = 8'b11000000; 11'h65a: VAR1 = 8'b11000110; 11'h65b: VAR1 = 8'b01111100; 11'h65c: VAR1 = 8'b00000000; 11'h65d: VAR1 = 8'b00000000; 11'h65e: VAR1 = 8'b00000000; 11'h65f: VAR1 = 8'b00000000; 11'h660: VAR1 = 8'b00000000; 11'h661: VAR1 = 8'b00000000; 11'h662: VAR1 = 8'b00111000; 11'h663: VAR1 = 8'b01101100; 11'h664: VAR1 = 8'b01100100; 11'h665: VAR1 = 8'b01100000; 11'h666: VAR1 = 8'b11110000; 11'h667: VAR1 = 8'b01100000; 11'h668: VAR1 = 8'b01100000; 11'h669: VAR1 = 8'b01100000; 11'h66a: VAR1 = 8'b01100000; 11'h66b: VAR1 = 8'b11110000; 11'h66c: VAR1 = 8'b00000000; 11'h66d: VAR1 = 8'b00000000; 11'h66e: VAR1 = 8'b00000000; 11'h66f: VAR1 = 8'b00000000; 11'h670: VAR1 = 8'b00000000; 11'h671: VAR1 = 8'b00000000; 11'h672: VAR1 = 8'b00000000; 11'h673: VAR1 = 8'b00000000; 11'h674: VAR1 = 8'b00000000; 11'h675: VAR1 = 8'b01110110; 11'h676: VAR1 = 8'b11001100; 11'h677: VAR1 = 8'b11001100; 11'h678: VAR1 = 8'b11001100; 11'h679: VAR1 = 8'b11001100; 11'h67a: VAR1 = 8'b11001100; 11'h67b: VAR1 = 8'b01111100; 11'h67c: VAR1 = 8'b00001100; 11'h67d: VAR1 = 8'b11001100; 11'h67e: VAR1 = 8'b01111000; 11'h67f: VAR1 = 8'b00000000; 11'h680: VAR1 = 8'b00000000; 11'h681: VAR1 = 8'b00000000; 11'h682: VAR1 = 8'b11100000; 11'h683: VAR1 = 8'b01100000; 11'h684: VAR1 = 8'b01100000; 11'h685: VAR1 = 8'b01101100; 11'h686: VAR1 = 8'b01110110; 11'h687: VAR1 = 8'b01100110; 11'h688: VAR1 = 8'b01100110; 11'h689: VAR1 = 8'b01100110; 11'h68a: VAR1 = 8'b01100110; 11'h68b: VAR1 = 8'b11100110; 11'h68c: VAR1 = 8'b00000000; 11'h68d: VAR1 = 8'b00000000; 11'h68e: VAR1 = 8'b00000000; 11'h68f: VAR1 = 8'b00000000; 11'h690: VAR1 = 8'b00000000; 11'h691: VAR1 = 8'b00000000; 11'h692: VAR1 = 8'b00011000; 11'h693: VAR1 = 8'b00011000; 11'h694: VAR1 = 8'b00000000; 11'h695: VAR1 = 8'b00111000; 11'h696: VAR1 = 8'b00011000; 11'h697: VAR1 = 8'b00011000; 11'h698: VAR1 = 8'b00011000; 11'h699: VAR1 = 8'b00011000; 11'h69a: VAR1 = 8'b00011000; 11'h69b: VAR1 = 8'b00111100; 11'h69c: VAR1 = 8'b00000000; 11'h69d: VAR1 = 8'b00000000; 11'h69e: VAR1 = 8'b00000000; 11'h69f: VAR1 = 8'b00000000; 11'h6a0: VAR1 = 8'b00000000; 11'h6a1: VAR1 = 8'b00000000; 11'h6a2: VAR1 = 8'b00000110; 11'h6a3: VAR1 = 8'b00000110; 11'h6a4: VAR1 = 8'b00000000; 11'h6a5: VAR1 = 8'b00001110; 11'h6a6: VAR1 = 8'b00000110; 11'h6a7: VAR1 = 8'b00000110; 11'h6a8: VAR1 = 8'b00000110; 11'h6a9: VAR1 = 8'b00000110; 11'h6aa: VAR1 = 8'b00000110; 11'h6ab: VAR1 = 8'b00000110; 11'h6ac: VAR1 = 8'b01100110; 11'h6ad: VAR1 = 8'b01100110; 11'h6ae: VAR1 = 8'b00111100; 11'h6af: VAR1 = 8'b00000000; 11'h6b0: VAR1 = 8'b00000000; 11'h6b1: VAR1 = 8'b00000000; 11'h6b2: VAR1 = 8'b11100000; 11'h6b3: VAR1 = 8'b01100000; 11'h6b4: VAR1 = 8'b01100000; 11'h6b5: VAR1 = 8'b01100110; 11'h6b6: VAR1 = 8'b01101100; 11'h6b7: VAR1 = 8'b01111000; 11'h6b8: VAR1 = 8'b01111000; 11'h6b9: VAR1 = 8'b01101100; 11'h6ba: VAR1 = 8'b01100110; 11'h6bb: VAR1 = 8'b11100110; 11'h6bc: VAR1 = 8'b00000000; 11'h6bd: VAR1 = 8'b00000000; 11'h6be: VAR1 = 8'b00000000; 11'h6bf: VAR1 = 8'b00000000; 11'h6c0: VAR1 = 8'b00000000; 11'h6c1: VAR1 = 8'b00000000; 11'h6c2: VAR1 = 8'b00111000; 11'h6c3: VAR1 = 8'b00011000; 11'h6c4: VAR1 = 8'b00011000; 11'h6c5: VAR1 = 8'b00011000; 11'h6c6: VAR1 = 8'b00011000; 11'h6c7: VAR1 = 8'b00011000; 11'h6c8: VAR1 = 8'b00011000; 11'h6c9: VAR1 = 8'b00011000; 11'h6ca: VAR1 = 8'b00011000; 11'h6cb: VAR1 = 8'b00111100; 11'h6cc: VAR1 = 8'b00000000; 11'h6cd: VAR1 = 8'b00000000; 11'h6ce: VAR1 = 8'b00000000; 11'h6cf: VAR1 = 8'b00000000; 11'h6d0: VAR1 = 8'b00000000; 11'h6d1: VAR1 = 8'b00000000; 11'h6d2: VAR1 = 8'b00000000; 11'h6d3: VAR1 = 8'b00000000; 11'h6d4: VAR1 = 8'b00000000; 11'h6d5: VAR1 = 8'b11100110; 11'h6d6: VAR1 = 8'b11111111; 11'h6d7: VAR1 = 8'b11011011; 11'h6d8: VAR1 = 8'b11011011; 11'h6d9: VAR1 = 8'b11011011; 11'h6da: VAR1 = 8'b11011011; 11'h6db: VAR1 = 8'b11011011; 11'h6dc: VAR1 = 8'b00000000; 11'h6dd: VAR1 = 8'b00000000; 11'h6de: VAR1 = 8'b00000000; 11'h6df: VAR1 = 8'b00000000; 11'h6e0: VAR1 = 8'b00000000; 11'h6e1: VAR1 = 8'b00000000; 11'h6e2: VAR1 = 8'b00000000; 11'h6e3: VAR1 = 8'b00000000; 11'h6e4: VAR1 = 8'b00000000; 11'h6e5: VAR1 = 8'b11011100; 11'h6e6: VAR1 = 8'b01100110; 11'h6e7: VAR1 = 8'b01100110; 11'h6e8: VAR1 = 8'b01100110; 11'h6e9: VAR1 = 8'b01100110; 11'h6ea: VAR1 = 8'b01100110; 11'h6eb: VAR1 = 8'b01100110; 11'h6ec: VAR1 = 8'b00000000; 11'h6ed: VAR1 = 8'b00000000; 11'h6ee: VAR1 = 8'b00000000; 11'h6ef: VAR1 = 8'b00000000; 11'h6f0: VAR1 = 8'b00000000; 11'h6f1: VAR1 = 8'b00000000; 11'h6f2: VAR1 = 8'b00000000; 11'h6f3: VAR1 = 8'b00000000; 11'h6f4: VAR1 = 8'b00000000; 11'h6f5: VAR1 = 8'b01111100; 11'h6f6: VAR1 = 8'b11000110; 11'h6f7: VAR1 = 8'b11000110; 11'h6f8: VAR1 = 8'b11000110; 11'h6f9: VAR1 = 8'b11000110; 11'h6fa: VAR1 = 8'b11000110; 11'h6fb: VAR1 = 8'b01111100; 11'h6fc: VAR1 = 8'b00000000; 11'h6fd: VAR1 = 8'b00000000; 11'h6fe: VAR1 = 8'b00000000; 11'h6ff: VAR1 = 8'b00000000; 11'h700: VAR1 = 8'b00000000; 11'h701: VAR1 = 8'b00000000; 11'h702: VAR1 = 8'b00000000; 11'h703: VAR1 = 8'b00000000; 11'h704: VAR1 = 8'b00000000; 11'h705: VAR1 = 8'b11011100; 11'h706: VAR1 = 8'b01100110; 11'h707: VAR1 = 8'b01100110; 11'h708: VAR1 = 8'b01100110; 11'h709: VAR1 = 8'b01100110; 11'h70a: VAR1 = 8'b01100110; 11'h70b: VAR1 = 8'b01111100; 11'h70c: VAR1 = 8'b01100000; 11'h70d: VAR1 = 8'b01100000; 11'h70e: VAR1 = 8'b11110000; 11'h70f: VAR1 = 8'b00000000; 11'h710: VAR1 = 8'b00000000; 11'h711: VAR1 = 8'b00000000; 11'h712: VAR1 = 8'b00000000; 11'h713: VAR1 = 8'b00000000; 11'h714: VAR1 = 8'b00000000; 11'h715: VAR1 = 8'b01110110; 11'h716: VAR1 = 8'b11001100; 11'h717: VAR1 = 8'b11001100; 11'h718: VAR1 = 8'b11001100; 11'h719: VAR1 = 8'b11001100; 11'h71a: VAR1 = 8'b11001100; 11'h71b: VAR1 = 8'b01111100; 11'h71c: VAR1 = 8'b00001100; 11'h71d: VAR1 = 8'b00001100; 11'h71e: VAR1 = 8'b00011110; 11'h71f: VAR1 = 8'b00000000; 11'h720: VAR1 = 8'b00000000; 11'h721: VAR1 = 8'b00000000; 11'h722: VAR1 = 8'b00000000; 11'h723: VAR1 = 8'b00000000; 11'h724: VAR1 = 8'b00000000; 11'h725: VAR1 = 8'b11011100; 11'h726: VAR1 = 8'b01110110; 11'h727: VAR1 = 8'b01100110; 11'h728: VAR1 = 8'b01100000; 11'h729: VAR1 = 8'b01100000; 11'h72a: VAR1 = 8'b01100000; 11'h72b: VAR1 = 8'b11110000; 11'h72c: VAR1 = 8'b00000000; 11'h72d: VAR1 = 8'b00000000; 11'h72e: VAR1 = 8'b00000000; 11'h72f: VAR1 = 8'b00000000; 11'h730: VAR1 = 8'b00000000; 11'h731: VAR1 = 8'b00000000; 11'h732: VAR1 = 8'b00000000; 11'h733: VAR1 = 8'b00000000; 11'h734: VAR1 = 8'b00000000; 11'h735: VAR1 = 8'b01111100; 11'h736: VAR1 = 8'b11000110; 11'h737: VAR1 = 8'b01100000; 11'h738: VAR1 = 8'b00111000; 11'h739: VAR1 = 8'b00001100; 11'h73a: VAR1 = 8'b11000110; 11'h73b: VAR1 = 8'b01111100; 11'h73c: VAR1 = 8'b00000000; 11'h73d: VAR1 = 8'b00000000; 11'h73e: VAR1 = 8'b00000000; 11'h73f: VAR1 = 8'b00000000; 11'h740: VAR1 = 8'b00000000; 11'h741: VAR1 = 8'b00000000; 11'h742: VAR1 = 8'b00010000; 11'h743: VAR1 = 8'b00110000; 11'h744: VAR1 = 8'b00110000; 11'h745: VAR1 = 8'b11111100; 11'h746: VAR1 = 8'b00110000; 11'h747: VAR1 = 8'b00110000; 11'h748: VAR1 = 8'b00110000; 11'h749: VAR1 = 8'b00110000; 11'h74a: VAR1 = 8'b00110110; 11'h74b: VAR1 = 8'b00011100; 11'h74c: VAR1 = 8'b00000000; 11'h74d: VAR1 = 8'b00000000; 11'h74e: VAR1 = 8'b00000000; 11'h74f: VAR1 = 8'b00000000; 11'h750: VAR1 = 8'b00000000; 11'h751: VAR1 = 8'b00000000; 11'h752: VAR1 = 8'b00000000; 11'h753: VAR1 = 8'b00000000; 11'h754: VAR1 = 8'b00000000; 11'h755: VAR1 = 8'b11001100; 11'h756: VAR1 = 8'b11001100; 11'h757: VAR1 = 8'b11001100; 11'h758: VAR1 = 8'b11001100; 11'h759: VAR1 = 8'b11001100; 11'h75a: VAR1 = 8'b11001100; 11'h75b: VAR1 = 8'b01110110; 11'h75c: VAR1 = 8'b00000000; 11'h75d: VAR1 = 8'b00000000; 11'h75e: VAR1 = 8'b00000000; 11'h75f: VAR1 = 8'b00000000; 11'h760: VAR1 = 8'b00000000; 11'h761: VAR1 = 8'b00000000; 11'h762: VAR1 = 8'b00000000; 11'h763: VAR1 = 8'b00000000; 11'h764: VAR1 = 8'b00000000; 11'h765: VAR1 = 8'b11000011; 11'h766: VAR1 = 8'b11000011; 11'h767: VAR1 = 8'b11000011; 11'h768: VAR1 = 8'b11000011; 11'h769: VAR1 = 8'b01100110; 11'h76a: VAR1 = 8'b00111100; 11'h76b: VAR1 = 8'b00011000; 11'h76c: VAR1 = 8'b00000000; 11'h76d: VAR1 = 8'b00000000; 11'h76e: VAR1 = 8'b00000000; 11'h76f: VAR1 = 8'b00000000; 11'h770: VAR1 = 8'b00000000; 11'h771: VAR1 = 8'b00000000; 11'h772: VAR1 = 8'b00000000; 11'h773: VAR1 = 8'b00000000; 11'h774: VAR1 = 8'b00000000; 11'h775: VAR1 = 8'b11000011; 11'h776: VAR1 = 8'b11000011; 11'h777: VAR1 = 8'b11000011; 11'h778: VAR1 = 8'b11011011; 11'h779: VAR1 = 8'b11011011; 11'h77a: VAR1 = 8'b11111111; 11'h77b: VAR1 = 8'b01100110; 11'h77c: VAR1 = 8'b00000000; 11'h77d: VAR1 = 8'b00000000; 11'h77e: VAR1 = 8'b00000000; 11'h77f: VAR1 = 8'b00000000; 11'h780: VAR1 = 8'b00000000; 11'h781: VAR1 = 8'b00000000; 11'h782: VAR1 = 8'b00000000; 11'h783: VAR1 = 8'b00000000; 11'h784: VAR1 = 8'b00000000; 11'h785: VAR1 = 8'b11000011; 11'h786: VAR1 = 8'b01100110; 11'h787: VAR1 = 8'b00111100; 11'h788: VAR1 = 8'b00011000; 11'h789: VAR1 = 8'b00111100; 11'h78a: VAR1 = 8'b01100110; 11'h78b: VAR1 = 8'b11000011; 11'h78c: VAR1 = 8'b00000000; 11'h78d: VAR1 = 8'b00000000; 11'h78e: VAR1 = 8'b00000000; 11'h78f: VAR1 = 8'b00000000; 11'h790: VAR1 = 8'b00000000; 11'h791: VAR1 = 8'b00000000; 11'h792: VAR1 = 8'b00000000; 11'h793: VAR1 = 8'b00000000; 11'h794: VAR1 = 8'b00000000; 11'h795: VAR1 = 8'b11000110; 11'h796: VAR1 = 8'b11000110; 11'h797: VAR1 = 8'b11000110; 11'h798: VAR1 = 8'b11000110; 11'h799: VAR1 = 8'b11000110; 11'h79a: VAR1 = 8'b11000110; 11'h79b: VAR1 = 8'b01111110; 11'h79c: VAR1 = 8'b00000110; 11'h79d: VAR1 = 8'b00001100; 11'h79e: VAR1 = 8'b11111000; 11'h79f: VAR1 = 8'b00000000; 11'h7a0: VAR1 = 8'b00000000; 11'h7a1: VAR1 = 8'b00000000; 11'h7a2: VAR1 = 8'b00000000; 11'h7a3: VAR1 = 8'b00000000; 11'h7a4: VAR1 = 8'b00000000; 11'h7a5: VAR1 = 8'b11111110; 11'h7a6: VAR1 = 8'b11001100; 11'h7a7: VAR1 = 8'b00011000; 11'h7a8: VAR1 = 8'b00110000; 11'h7a9: VAR1 = 8'b01100000; 11'h7aa: VAR1 = 8'b11000110; 11'h7ab: VAR1 = 8'b11111110; 11'h7ac: VAR1 = 8'b00000000; 11'h7ad: VAR1 = 8'b00000000; 11'h7ae: VAR1 = 8'b00000000; 11'h7af: VAR1 = 8'b00000000; 11'h7b0: VAR1 = 8'b00000000; 11'h7b1: VAR1 = 8'b00000000; 11'h7b2: VAR1 = 8'b00001110; 11'h7b3: VAR1 = 8'b00011000; 11'h7b4: VAR1 = 8'b00011000; 11'h7b5: VAR1 = 8'b00011000; 11'h7b6: VAR1 = 8'b01110000; 11'h7b7: VAR1 = 8'b00011000; 11'h7b8: VAR1 = 8'b00011000; 11'h7b9: VAR1 = 8'b00011000; 11'h7ba: VAR1 = 8'b00011000; 11'h7bb: VAR1 = 8'b00001110; 11'h7bc: VAR1 = 8'b00000000; 11'h7bd: VAR1 = 8'b00000000; 11'h7be: VAR1 = 8'b00000000; 11'h7bf: VAR1 = 8'b00000000; 11'h7c0: VAR1 = 8'b00000000; 11'h7c1: VAR1 = 8'b00000000; 11'h7c2: VAR1 = 8'b00011000; 11'h7c3: VAR1 = 8'b00011000; 11'h7c4: VAR1 = 8'b00011000; 11'h7c5: VAR1 = 8'b00011000; 11'h7c6: VAR1 = 8'b00000000; 11'h7c7: VAR1 = 8'b00011000; 11'h7c8: VAR1 = 8'b00011000; 11'h7c9: VAR1 = 8'b00011000; 11'h7ca: VAR1 = 8'b00011000; 11'h7cb: VAR1 = 8'b00011000; 11'h7cc: VAR1 = 8'b00000000; 11'h7cd: VAR1 = 8'b00000000; 11'h7ce: VAR1 = 8'b00000000; 11'h7cf: VAR1 = 8'b00000000; 11'h7d0: VAR1 = 8'b00000000; 11'h7d1: VAR1 = 8'b00000000; 11'h7d2: VAR1 = 8'b01110000; 11'h7d3: VAR1 = 8'b00011000; 11'h7d4: VAR1 = 8'b00011000; 11'h7d5: VAR1 = 8'b00011000; 11'h7d6: VAR1 = 8'b00001110; 11'h7d7: VAR1 = 8'b00011000; 11'h7d8: VAR1 = 8'b00011000; 11'h7d9: VAR1 = 8'b00011000; 11'h7da: VAR1 = 8'b00011000; 11'h7db: VAR1 = 8'b01110000; 11'h7dc: VAR1 = 8'b00000000; 11'h7dd: VAR1 = 8'b00000000; 11'h7de: VAR1 = 8'b00000000; 11'h7df: VAR1 = 8'b00000000; 11'h7e0: VAR1 = 8'b00000000; 11'h7e1: VAR1 = 8'b00000000; 11'h7e2: VAR1 = 8'b01110110; 11'h7e3: VAR1 = 8'b11011100; 11'h7e4: VAR1 = 8'b00000000; 11'h7e5: VAR1 = 8'b00000000; 11'h7e6: VAR1 = 8'b00000000; 11'h7e7: VAR1 = 8'b00000000; 11'h7e8: VAR1 = 8'b00000000; 11'h7e9: VAR1 = 8'b00000000; 11'h7ea: VAR1 = 8'b00000000; 11'h7eb: VAR1 = 8'b00000000; 11'h7ec: VAR1 = 8'b00000000; 11'h7ed: VAR1 = 8'b00000000; 11'h7ee: VAR1 = 8'b00000000; 11'h7ef: VAR1 = 8'b00000000; 11'h7f0: VAR1 = 8'b00000000; 11'h7f1: VAR1 = 8'b00000000; 11'h7f2: VAR1 = 8'b00000000; 11'h7f3: VAR1 = 8'b00000000; 11'h7f4: VAR1 = 8'b00010000; 11'h7f5: VAR1 = 8'b00111000; 11'h7f6: VAR1 = 8'b01101100; 11'h7f7: VAR1 = 8'b11000110; 11'h7f8: VAR1 = 8'b11000110; 11'h7f9: VAR1 = 8'b11000110; 11'h7fa: VAR1 = 8'b11111110; 11'h7fb: VAR1 = 8'b00000000; 11'h7fc: VAR1 = 8'b00000000; 11'h7fd: VAR1 = 8'b00000000; 11'h7fe: VAR1 = 8'b00000000; 11'h7ff: VAR1 = 8'b00000000; endcase
end
endmodule | mit |
Valakor/EE201-Text-Editor | text_editor_RAM.v | 1,262 | module MODULE1(
input clk,
input VAR2,
input write,
input [VAR1-1:0] VAR3,
input [VAR8-1:0] VAR9,
input [VAR1-1:0] VAR4,
output [VAR8-1:0] VAR10
);
parameter VAR8 = 8;
parameter VAR1 = 9;
parameter VAR6 = 1 << VAR1;
reg [VAR8-1:0] VAR5 [0:VAR6-1];
always @ (posedge clk, posedge VAR2) begin: VAR11
if (VAR2) begin: VAR2
integer VAR7;
for (VAR7 = 0; VAR7 < VAR6; VAR7 = VAR7 + 1) begin
VAR5[VAR7] <= 8'h29; end
end else if (write) begin
VAR5[VAR3] <= VAR9;
end
end
assign VAR10 = VAR5[VAR4];
endmodule | mit |
hpeng2/ECE492_Group4_Project | ECE_492_Project_new/db/ip/video_sys/submodules/video_sys_Pixel_Scaler.v | 8,588 | module MODULE1 (
clk,
reset,
VAR5,
VAR17,
VAR7,
VAR27,
VAR23,
VAR32,
VAR25,
VAR11,
VAR20,
VAR34,
VAR15,
VAR31
);
parameter VAR13 = 29; parameter VAR19 = 1;
parameter VAR33 = 8; parameter VAR35 = 7; parameter VAR4 = 320;
parameter VAR16 = 4'b0000;
parameter VAR22 = 4'b0000;
parameter VAR24 = 8; parameter VAR1 = 320; parameter VAR18 = 0;
parameter VAR29 = 0;
input clk;
input reset;
input [VAR13: 0] VAR5;
input VAR17;
input VAR7;
input [VAR19: 0] VAR27;
input VAR23;
input VAR32;
output VAR25;
output [VAR13: 0] VAR11;
output VAR20;
output VAR34;
output [VAR19: 0] VAR15;
output VAR31;
wire [VAR13: 0] VAR6;
wire VAR8;
wire VAR2;
wire VAR26;
wire VAR10;
assign VAR15 = 'h0;
VAR30 VAR21 (
.clk (clk),
.reset (reset),
.VAR5 (VAR5),
.VAR17 (VAR17),
.VAR7 (VAR7),
.VAR23 (VAR23),
.VAR32 (VAR10),
.VAR25 (VAR25),
.VAR11 (VAR6),
.VAR20 (VAR8),
.VAR34 (VAR2),
.VAR31 (VAR26)
);
VAR21.VAR13 = VAR13,
VAR21.VAR12 = VAR24,
VAR21.VAR3 = VAR1,
VAR21.VAR9 = VAR18;
VAR28 VAR14 (
.clk (clk),
.reset (reset),
.VAR5 (VAR6),
.VAR17 (VAR8),
.VAR7 (VAR2),
.VAR23 (VAR26),
.VAR32 (VAR32),
.VAR25 (VAR10),
.VAR11 (VAR11),
.VAR20 (VAR20),
.VAR34 (VAR34),
.VAR31 (VAR31)
);
VAR14.VAR13 = VAR13,
VAR14.VAR9 = VAR29;
endmodule | gpl-2.0 |
horia141/bachelor-thesis | prj/components/RegBank/RegBankP8.v | 10,041 | module MODULE1(VAR22,reset,VAR33,VAR14,VAR9,VAR29,VAR21,VAR32,VAR2,VAR34,VAR5,VAR26);
input wire VAR22;
input wire reset;
input wire [11:0] VAR33;
input wire VAR14;
output wire [7:0] VAR9;
output wire [7:0] VAR29;
output wire [7:0] VAR21;
output wire [7:0] VAR32;
output wire [7:0] VAR2;
output wire [7:0] VAR34;
output wire [7:0] VAR5;
output wire [7:0] VAR26;
reg [1:0] VAR37;
reg [7:0] VAR31;
reg [7:0] VAR3;
reg [7:0] VAR38;
reg [7:0] VAR23;
reg [7:0] VAR43;
reg [7:0] VAR27;
reg [7:0] VAR39;
reg [7:0] VAR35;
wire [3:0] VAR40;
wire [7:0] VAR19;
reg [256*8-1:0] VAR20;
reg [256*8-1:0] VAR30;
assign VAR9 = VAR31;
assign VAR29 = VAR3;
assign VAR21 = VAR38;
assign VAR32 = VAR23;
assign VAR2 = VAR43;
assign VAR34 = VAR27;
assign VAR5 = VAR39;
assign VAR26 = VAR35;
assign VAR40 = VAR33[11:8];
assign VAR19 = VAR33[7:0];
always @ (posedge VAR22) begin
if (reset) begin
VAR37 <= VAR6;
VAR31 <= 0;
VAR3 <= 0;
VAR38 <= 0;
VAR23 <= 0;
VAR43 <= 0;
VAR27 <= 0;
VAR39 <= 0;
VAR35 <= 0;
end
else begin
case (VAR37)
VAR37 <= VAR18;
VAR31 <= 0;
VAR3 <= 0;
VAR38 <= 0;
VAR23 <= 0;
VAR43 <= 0;
VAR27 <= 0;
VAR39 <= 0;
VAR35 <= 0;
end
if (VAR14) begin
case (VAR40)
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR19;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR19;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR19;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR19;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR19;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR19;
VAR39 <= VAR39;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR19;
VAR35 <= VAR35;
end
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR19;
end
default: begin
VAR37 <= VAR24;
VAR31 <= 0;
VAR3 <= 0;
VAR38 <= 0;
VAR23 <= 0;
VAR43 <= 0;
VAR27 <= 0;
VAR39 <= 0;
VAR35 <= 0;
end
endcase end else begin
VAR37 <= VAR18;
VAR31 <= VAR31;
VAR3 <= VAR3;
VAR38 <= VAR38;
VAR23 <= VAR23;
VAR43 <= VAR43;
VAR27 <= VAR27;
VAR39 <= VAR39;
VAR35 <= VAR35;
end end
VAR37 <= VAR24;
VAR31 <= 0;
VAR3 <= 0;
VAR38 <= 0;
VAR23 <= 0;
VAR43 <= 0;
VAR27 <= 0;
VAR39 <= 0;
VAR35 <= 0;
end
default: begin
VAR37 <= VAR24;
VAR31 <= 0;
VAR3 <= 0;
VAR38 <= 0;
VAR23 <= 0;
VAR43 <= 0;
VAR27 <= 0;
VAR39 <= 0;
VAR35 <= 0;
end
endcase end end
always @ * begin
if (VAR14) begin
case (VAR40)
VAR8(VAR20,"VAR28 VAR42");
end
VAR8(VAR20,"VAR28 (VAR16 %2X)",VAR19);
end
VAR8(VAR20,"VAR28 (VAR41 %2X)",VAR19);
end
VAR8(VAR20,"VAR28 (VAR25 %2X)",VAR19);
end
VAR8(VAR20,"VAR28 (VAR11 %2X)",VAR19);
end
VAR8(VAR20,"VAR28 (VAR4 %2X)",VAR19);
end
VAR8(VAR20,"VAR28 (VAR17 %2X)",VAR19);
end
VAR8(VAR20,"VAR28 (VAR10 %2X)",VAR19);
end
VAR8(VAR20,"VAR28 (VAR15 %2X)",VAR19);
end
default: begin
VAR8(VAR20,"VAR28 (? %2X)",VAR19);
end
endcase end else begin
VAR8(VAR20,"VAR12");
end end
always @ * begin
case (VAR37)
VAR8(VAR30,"VAR1");
end
VAR8(VAR30,"VAR7 %2X %2X %2X %2X %2X %2X %2X %2X",VAR31,VAR3,VAR38,VAR23,VAR43,VAR27,VAR39,VAR35);
end
VAR8(VAR30,"VAR36");
end
default: begin
VAR8(VAR30,"?");
end
endcase end VAR13 endmodule | mit |
tmolteno/TART | hardware/FPGA/tart_spi/bench/xilinx/RAMB16_S9_S36.v | 12,953 | module MODULE1(
VAR70, VAR64, VAR33, VAR61, VAR99, VAR59, VAR40, VAR38, VAR89,
VAR17, VAR101, VAR104, VAR10, VAR114, VAR97, VAR56, VAR39, VAR79
);
input [7:0] VAR70;
input VAR64;
input [10:0] VAR33;
input VAR61;
input VAR99;
input VAR59;
input VAR40;
output reg [7:0] VAR38;
output reg VAR89;
input [31:0] VAR17;
input [3:0] VAR101;
input [8:0] VAR104;
input VAR10;
input VAR114;
input VAR97;
input VAR56;
output reg [31:0] VAR39;
output [3:0] VAR79;
reg [31:0] VAR78[511:0];
reg [3:0] VAR62[511:0];
assign VAR79 = 4'VAR18;
parameter VAR102 = 256'h0;
parameter VAR95 = 256'h0;
parameter VAR76 = 256'h0;
parameter VAR106 = 256'h0;
parameter VAR4 = 256'h0;
parameter VAR90 = 256'h0;
parameter VAR58 = 256'h0;
parameter VAR91 = 256'h0;
parameter VAR32 = 256'h0;
parameter VAR11 = 256'h0;
parameter VAR43 = 256'h0;
parameter VAR115 = 256'h0;
parameter VAR54 = 256'h0;
parameter VAR71 = 256'h0;
parameter VAR7 = 256'h0;
parameter VAR21 = 256'h0;
parameter VAR26 = 256'h0;
parameter VAR35 = 256'h0;
parameter VAR107 = 256'h0;
parameter VAR50 = 256'h0;
parameter VAR85 = 256'h0;
parameter VAR22 = 256'h0;
parameter VAR37 = 256'h0;
parameter VAR63 = 256'h0;
parameter VAR108 = 256'h0;
parameter VAR41 = 256'h0;
parameter VAR1 = 256'h0;
parameter VAR34 = 256'h0;
parameter VAR60 = 256'h0;
parameter VAR36 = 256'h0;
parameter VAR93 = 256'h0;
parameter VAR44 = 256'h0;
parameter VAR57 = 256'h0;
parameter VAR52 = 256'h0;
parameter VAR29 = 256'h0;
parameter VAR24 = 256'h0;
parameter VAR113 = 256'h0;
parameter VAR80 = 256'h0;
parameter VAR16 = 256'h0;
parameter VAR100 = 256'h0;
parameter VAR110 = 256'h0;
parameter VAR88 = 256'h0;
parameter VAR73 = 256'h0;
parameter VAR117 = 256'h0;
parameter VAR92 = 256'h0;
parameter VAR118 = 256'h0;
parameter VAR66 = 256'h0;
parameter VAR15 = 256'h0;
parameter VAR9 = 256'h0;
parameter VAR51 = 256'h0;
parameter VAR67 = 256'h0;
parameter VAR68 = 256'h0;
parameter VAR5 = 256'h0;
parameter VAR87 = 256'h0;
parameter VAR84 = 256'h0;
parameter VAR25 = 256'h0;
parameter VAR98 = 256'h0;
parameter VAR23 = 256'h0;
parameter VAR12 = 256'h0;
parameter VAR74 = 256'h0;
parameter VAR13 = 256'h0;
parameter VAR8 = 256'h0;
parameter VAR72 = 256'h0;
parameter VAR46 = 256'h0;
parameter VAR69 = 256'h0;
parameter VAR103 = 256'h0;
parameter VAR6 = 256'h0;
parameter VAR30 = 256'h0;
parameter VAR116 = 256'h0;
parameter VAR55 = 256'h0;
parameter VAR3 = 256'h0;
parameter VAR20 = 256'h0;
parameter VAR45 = 3'VAR2;
parameter VAR96 = 3'b100;
parameter VAR81 = 3'b110;
parameter VAR14 = 3'VAR28;
always @(posedge VAR40)
begin
case ({VAR61, VAR99, VAR59})
VAR96:
VAR38 <= VAR109(VAR78[VAR33[10:2]], VAR33[1:0]);
VAR81:
VAR78[VAR33[10:2]] <= VAR86(VAR78[VAR33[10:2]], VAR33[1:0], VAR70);
VAR14:;
default:;
endcase
end
always @(posedge VAR56)
begin
case ({VAR10, VAR114, VAR97})
VAR96:
VAR39[31:0] <= VAR78[VAR104[8:0]];
VAR81:
begin
VAR78[VAR104[8:0]] <= VAR17[31:0];
end
VAR14:;
default:;
endcase
end
function [7:0] VAR109;
input [31:0] word;
input [1:0] VAR49;
begin
case (VAR49)
2'b00:
VAR109 = word[7:0];
2'b01:
VAR109 = word[15:8];
2'b10:
VAR109 = word[23:16];
2'b11:
VAR109 = word[31:24];
endcase
end
endfunction
function [31:0] VAR86;
input [31:0] word;
input [1:0] VAR48;
input [7:0] byte;
begin
case (VAR48)
2'b00:
VAR86 = { word[31:8], byte[7:0] };
2'b01:
VAR86 = { word[31:16], byte[7:0], word[7:0] };
2'b10:
VAR86 = { word[31:24], byte[7:0], word[15:0] };
2'b11:
VAR86 = { byte[7:0], word[23:0] };
endcase
end
endfunction
integer VAR27, VAR111;
VAR77 begin : VAR75
VAR47(3);
end
function [31:0] VAR105;
input [255:0] VAR112;
input [2:0] VAR42;
begin
case (VAR42)
3'b000:
VAR105 = VAR112[31:0];
3'b001:
VAR105 = VAR112[63:32];
3'b010:
VAR105 = VAR112[95:64];
3'b011:
VAR105 = VAR112[127:96];
3'b100:
VAR105 = VAR112[159:128];
3'b101:
VAR105 = VAR112[191:160];
3'b110:
VAR105 = VAR112[223:192];
3'b111:
VAR105 = VAR112[255:224];
endcase
end
endfunction
function [3:0] VAR82;
input [255:0] VAR112;
input [5:0] VAR65;
reg [255:0] VAR53;
integer VAR31;
begin
VAR53 = VAR112;
for (VAR31=0; VAR31<VAR65; VAR31=VAR31+1)
begin
VAR53 = {4'b0, VAR53[255:4]};
end
VAR82 = VAR53[3:0];
end
endfunction
task VAR47;
input VAR19;
integer VAR94, VAR19;
begin : VAR83
for (VAR94 = 0; VAR94 < 512; VAR94 = VAR94+1)
begin
case (VAR19)
0: VAR78[VAR94] = 32'h00000000;
1: VAR78[VAR94] =
2: VAR78[VAR94] = 32'h30303030;
3:;
endcase
end
if (VAR19 == 3)
begin
for ( VAR94 = 0; VAR94 < 8; VAR94 = VAR94+1 )
begin
VAR78[{6'h00, VAR94[2:0]}] = VAR105(VAR102, VAR94[2:0]);
VAR78[{6'h01, VAR94[2:0]}] = VAR105(VAR95, VAR94[2:0]);
VAR78[{6'h02, VAR94[2:0]}] = VAR105(VAR76, VAR94[2:0]);
VAR78[{6'h03, VAR94[2:0]}] = VAR105(VAR106, VAR94[2:0]);
VAR78[{6'h04, VAR94[2:0]}] = VAR105(VAR4, VAR94[2:0]);
VAR78[{6'h05, VAR94[2:0]}] = VAR105(VAR90, VAR94[2:0]);
VAR78[{6'h06, VAR94[2:0]}] = VAR105(VAR58, VAR94[2:0]);
VAR78[{6'h07, VAR94[2:0]}] = VAR105(VAR91, VAR94[2:0]);
VAR78[{6'h08, VAR94[2:0]}] = VAR105(VAR32, VAR94[2:0]);
VAR78[{6'h09, VAR94[2:0]}] = VAR105(VAR11, VAR94[2:0]);
VAR78[{6'h0A, VAR94[2:0]}] = VAR105(VAR43, VAR94[2:0]);
VAR78[{6'h0B, VAR94[2:0]}] = VAR105(VAR115, VAR94[2:0]);
VAR78[{6'h0C, VAR94[2:0]}] = VAR105(VAR54, VAR94[2:0]);
VAR78[{6'h0D, VAR94[2:0]}] = VAR105(VAR71, VAR94[2:0]);
VAR78[{6'h0E, VAR94[2:0]}] = VAR105(VAR7, VAR94[2:0]);
VAR78[{6'h0F, VAR94[2:0]}] = VAR105(VAR21, VAR94[2:0]);
VAR78[{6'h10, VAR94[2:0]}] = VAR105(VAR26, VAR94[2:0]);
VAR78[{6'h11, VAR94[2:0]}] = VAR105(VAR35, VAR94[2:0]);
VAR78[{6'h12, VAR94[2:0]}] = VAR105(VAR107, VAR94[2:0]);
VAR78[{6'h13, VAR94[2:0]}] = VAR105(VAR50, VAR94[2:0]);
VAR78[{6'h14, VAR94[2:0]}] = VAR105(VAR85, VAR94[2:0]);
VAR78[{6'h15, VAR94[2:0]}] = VAR105(VAR22, VAR94[2:0]);
VAR78[{6'h16, VAR94[2:0]}] = VAR105(VAR37, VAR94[2:0]);
VAR78[{6'h17, VAR94[2:0]}] = VAR105(VAR63, VAR94[2:0]);
VAR78[{6'h18, VAR94[2:0]}] = VAR105(VAR108, VAR94[2:0]);
VAR78[{6'h19, VAR94[2:0]}] = VAR105(VAR41, VAR94[2:0]);
VAR78[{6'h1A, VAR94[2:0]}] = VAR105(VAR1, VAR94[2:0]);
VAR78[{6'h1B, VAR94[2:0]}] = VAR105(VAR34, VAR94[2:0]);
VAR78[{6'h1C, VAR94[2:0]}] = VAR105(VAR60, VAR94[2:0]);
VAR78[{6'h1D, VAR94[2:0]}] = VAR105(VAR36, VAR94[2:0]);
VAR78[{6'h1E, VAR94[2:0]}] = VAR105(VAR93, VAR94[2:0]);
VAR78[{6'h1F, VAR94[2:0]}] = VAR105(VAR44, VAR94[2:0]);
VAR78[{6'h20, VAR94[2:0]}] = VAR105(VAR57, VAR94[2:0]);
VAR78[{6'h21, VAR94[2:0]}] = VAR105(VAR52, VAR94[2:0]);
VAR78[{6'h22, VAR94[2:0]}] = VAR105(VAR29, VAR94[2:0]);
VAR78[{6'h23, VAR94[2:0]}] = VAR105(VAR24, VAR94[2:0]);
VAR78[{6'h24, VAR94[2:0]}] = VAR105(VAR113, VAR94[2:0]);
VAR78[{6'h25, VAR94[2:0]}] = VAR105(VAR80, VAR94[2:0]);
VAR78[{6'h26, VAR94[2:0]}] = VAR105(VAR16, VAR94[2:0]);
VAR78[{6'h27, VAR94[2:0]}] = VAR105(VAR100, VAR94[2:0]);
VAR78[{6'h28, VAR94[2:0]}] = VAR105(VAR110, VAR94[2:0]);
VAR78[{6'h29, VAR94[2:0]}] = VAR105(VAR88, VAR94[2:0]);
VAR78[{6'h2A, VAR94[2:0]}] = VAR105(VAR73, VAR94[2:0]);
VAR78[{6'h2B, VAR94[2:0]}] = VAR105(VAR117, VAR94[2:0]);
VAR78[{6'h2C, VAR94[2:0]}] = VAR105(VAR92, VAR94[2:0]);
VAR78[{6'h2D, VAR94[2:0]}] = VAR105(VAR118, VAR94[2:0]);
VAR78[{6'h2E, VAR94[2:0]}] = VAR105(VAR66, VAR94[2:0]);
VAR78[{6'h2F, VAR94[2:0]}] = VAR105(VAR15, VAR94[2:0]);
VAR78[{6'h30, VAR94[2:0]}] = VAR105(VAR9, VAR94[2:0]);
VAR78[{6'h31, VAR94[2:0]}] = VAR105(VAR51, VAR94[2:0]);
VAR78[{6'h32, VAR94[2:0]}] = VAR105(VAR67, VAR94[2:0]);
VAR78[{6'h33, VAR94[2:0]}] = VAR105(VAR68, VAR94[2:0]);
VAR78[{6'h34, VAR94[2:0]}] = VAR105(VAR5, VAR94[2:0]);
VAR78[{6'h35, VAR94[2:0]}] = VAR105(VAR87, VAR94[2:0]);
VAR78[{6'h36, VAR94[2:0]}] = VAR105(VAR84, VAR94[2:0]);
VAR78[{6'h37, VAR94[2:0]}] = VAR105(VAR25, VAR94[2:0]);
VAR78[{6'h38, VAR94[2:0]}] = VAR105(VAR98, VAR94[2:0]);
VAR78[{6'h39, VAR94[2:0]}] = VAR105(VAR23, VAR94[2:0]);
VAR78[{6'h3A, VAR94[2:0]}] = VAR105(VAR12, VAR94[2:0]);
VAR78[{6'h3B, VAR94[2:0]}] = VAR105(VAR74, VAR94[2:0]);
VAR78[{6'h3C, VAR94[2:0]}] = VAR105(VAR13, VAR94[2:0]);
VAR78[{6'h3D, VAR94[2:0]}] = VAR105(VAR8, VAR94[2:0]);
VAR78[{6'h3E, VAR94[2:0]}] = VAR105(VAR72, VAR94[2:0]);
VAR78[{6'h3F, VAR94[2:0]}] = VAR105(VAR46, VAR94[2:0]);
end
for (VAR94=0; VAR94<64; VAR94=VAR94+1)
begin
VAR62[{3'h0,VAR94[5:0]}] = VAR82(VAR69, VAR94);
VAR62[{3'h1,VAR94[5:0]}] = VAR82(VAR103, VAR94);
VAR62[{3'h2,VAR94[5:0]}] = VAR82(VAR6, VAR94);
VAR62[{3'h3,VAR94[5:0]}] = VAR82(VAR30, VAR94);
VAR62[{3'h4,VAR94[5:0]}] = VAR82(VAR116, VAR94);
VAR62[{3'h5,VAR94[5:0]}] = VAR82(VAR55, VAR94);
VAR62[{3'h6,VAR94[5:0]}] = VAR82(VAR3, VAR94);
VAR62[{3'h7,VAR94[5:0]}] = VAR82(VAR20, VAR94);
end
end
end endtask
endmodule | lgpl-3.0 |
Elphel/x353 | control/i2c_writeonly.v | 16,315 | module MODULE1 (VAR63, VAR32, VAR94, VAR54, sync, VAR46, VAR56, VAR47, VAR13, VAR81, VAR29); input VAR63;
input VAR32;
input [ 3:0] VAR94;
input [15:0] VAR54;
input sync;
output VAR46;
output VAR56;
output VAR47;
output VAR13;
output VAR81;
output [2:0] VAR29;
reg [3:0] VAR48; reg [3:0] VAR19;
reg [15:0] VAR89;
reg [15:0] VAR15;
reg [15:0] VAR42;
reg [2:0] VAR84; reg [2:0] VAR30; reg [2:0] VAR58; reg [2:0] VAR86; reg VAR26; reg VAR65; reg VAR78;
reg VAR20;
reg VAR53;
reg VAR83;
reg VAR37; reg [1:0] VAR12;
reg [7:0] VAR28;
reg VAR10; reg VAR71; reg VAR34; wire VAR72= (VAR19[3:0]==4'hf);
wire VAR45= (VAR19[3]==0);
wire VAR38 = (!VAR48[0] && !VAR48[1] && !VAR26) && (VAR34 || VAR37) ;
wire [2:0] VAR29=VAR84[2:0];
reg [5:0] VAR23 [0:7];
wire [5:0] VAR91=VAR23[VAR86[2:0]];
wire [5:0] VAR41=VAR23[VAR58[2:0]];
reg [5:0] VAR2;
reg [5:0] VAR98;
reg [9:0] VAR16; reg VAR57;
reg [1:0] VAR24; reg [5:0] VAR3;
reg VAR80; reg VAR97; reg VAR1; reg [1:0] VAR33; reg [1:0] VAR76; reg [1:0] VAR31; reg [5:0] VAR67; reg [7:0] VAR55;
reg VAR14;
reg VAR62;
reg VAR64;
reg VAR27; reg VAR69; reg VAR95;
reg VAR21;
reg VAR9;
wire VAR56=VAR97? VAR14: VAR95 ;
wire VAR47=VAR97? VAR62: VAR9 ;
wire VAR13=VAR97? 1'b1: VAR69 ;
wire VAR81=VAR97? VAR64: VAR21 ;
wire [7:0] VAR35;
reg [8:0] VAR70;
reg VAR4;
wire VAR7;
reg VAR88;
wire VAR50=(VAR67[5:0]==6'h28);
wire VAR18= (VAR67[5:0]==6'h24);
wire VAR82= (VAR67[5:0]==6'h00);
wire VAR90 = (VAR67[1:0]==2'h0);
wire VAR6 = (VAR67[5:2]==4'h0);
wire VAR36 = VAR67[5] && VAR67[3];
wire VAR79 = VAR67[5] && VAR67[2];
wire VAR49 = !VAR67[5] || (!VAR67[3] && !VAR67[2]);
reg VAR8; reg [1:0] VAR96;
reg VAR99;
reg VAR87;
reg VAR5;
reg VAR52;
reg VAR46;
reg [2:0] VAR74;
assign VAR7=(VAR55[7:0]==8'h2);
always @ (negedge VAR63) begin
if (VAR32) VAR19 [ 3:0] <= VAR94[ 3:0];
if (VAR32 || VAR48[0]) VAR89[15:0] <= VAR54[15:0];
VAR15[15:0] <= VAR89[15:0];
VAR42[15:0] <= VAR15[15:0];
VAR48[3:0] <= {VAR48[2:1],VAR48[0] && !VAR72,VAR32};
VAR27 <= VAR48[0] && VAR72;
if (VAR97) VAR69 <= 1'b0;
end
else if (VAR27 & |VAR89[1:0]) VAR69 <= (VAR89[1:0]!=2'h3);
if (VAR97) VAR95 <= 1'b0;
else if (VAR27 & |VAR89[1:0]) VAR95 <= (VAR89[1:0]==2'h2);
if (VAR97) VAR21 <= 1'b0;
else if (VAR27 & |VAR89[3:2]) VAR21 <= (VAR89[3:2]!=2'h3);
if (VAR97) VAR9 <= 1'b0;
else if (VAR27 & |VAR89[3:2]) VAR9 <= (VAR89[3:2]==2'h2);
VAR78 <= VAR48[0] && VAR72 && VAR89[14];
VAR83 <= VAR48[0] && VAR72 && VAR89[13];
VAR53 <= VAR48[0] && VAR72 && VAR89[11];
VAR20 <= VAR48[0] && VAR72 && VAR89[ 8];
if (VAR53) VAR12[1:0] <= VAR15[10:9];
if (VAR20) VAR28[7:0] <= VAR15[ 7:0];
if (VAR78 || (VAR83 && !VAR15[12])) VAR10 <= 1'b0;
else if (VAR83 && VAR15[12]) VAR10 <= 1'b1;
VAR26 <= VAR38;
VAR65 <= VAR26;
if (VAR78) VAR84[2:0]<=3'h0;
else if (VAR26) VAR84[2:0]<=VAR84[2:0]+1;
if (VAR78) VAR30[2:0]<=3'h7;
else if (VAR26) VAR30[2:0]<=VAR84[2:0];
VAR37 <= VAR78 || (VAR37 && !(VAR26 && ( VAR84[2:0]==3'h7)));
VAR34 <= sync || (VAR34 && !VAR26);
if (VAR48[0]) VAR86[2:0] <= VAR45?((VAR19[2:0]==VAR30[2:0])? VAR84[2:0] : VAR19[2:0]):(VAR84[2:0]+VAR19[2:0]);
else if (VAR26) VAR86[2:0] <= VAR30[2:0];
VAR71 <= VAR48[1] || VAR26;
if (VAR48[1]) VAR2[5:0] <= VAR91[5:0];
if (VAR71) VAR23[VAR86[2:0]] <= VAR65? 6'h0:(VAR2[5:0]+1);
VAR98[5:0] <= VAR41[5:0]; if (VAR48[1]) VAR16[9:1] <= {VAR86[2:0],VAR91[5:0]};
VAR16[0] <= !VAR48[1]; VAR57 <= !VAR78 && (VAR48[1] || (VAR57 && !VAR48[3]));
if (VAR37) VAR58[2:0]<=3'h0;
else if (VAR24[0]) VAR58[2:0]<=VAR58[2:0]+1;
if (VAR78 || VAR24[0]) VAR3[5:0] <= 6'h0;
else if (VAR1) VAR3[5:0] <= VAR3[5:0] + 1;
VAR97 <= !VAR78 && (VAR80 || (VAR97 && !VAR1));
VAR80 <= VAR10 && !VAR97 && !VAR80 && (VAR3[5:0]!= VAR98[5:0]) && !VAR24[1] && !VAR24[0];
VAR24[1:0] <= {VAR24[0], !VAR97 &&
!VAR24[0] &&
(VAR3[5:0] == VAR98[5:0]) &&
(VAR58[2:0]!=VAR84[2:0])};
if (!VAR97) VAR33[1:0] <= VAR12[1:0];
else if (VAR8) VAR33[1:0] <= VAR33[1:0] -1;
if (!VAR97) VAR31[1:0] <= 2'h3;
else if (VAR8) VAR31[1:0] <= VAR31[1:0] + 1;
if (!VAR97) VAR76[1:0] <= 2'h3;
else if (VAR96[1])VAR76[1:0] <= VAR76[1:0] - 1;
if (!VAR97 || VAR88) VAR55[7:0] <= VAR28[7:0];
else VAR55[7:0] <= VAR55[7:0] - 1;
VAR4 <= VAR7; VAR88 <=VAR4;
VAR8 <= VAR82 && VAR4;
VAR96[1:0] <= {VAR96[0],
(VAR50 || (VAR82 && (VAR33[1:0] != 2'h0))) && VAR7 };
VAR99 <= VAR90 && !(VAR82) && VAR4;
VAR87 <= VAR82 && (VAR33[1:0] == 2'h0) && VAR4 ;
VAR1 <= VAR18 && VAR4;
if (VAR96[1]) VAR70[8:0] <= {VAR35[7:0], 1'b1};
else if (VAR99) VAR70[8:0] <= {VAR70[7:0], 1'b1};
if (!VAR97) VAR67[5:0] <= 6'h2a; else if (VAR87) VAR67[5:0] <= 6'h26; else if (VAR96[1]) VAR67[5:0] <= 6'h23; else if (VAR88) VAR67[5:0] <= VAR67[5:0] - 1;
VAR52 <= (VAR36 && (VAR67[1:0]!=2'h0)) ||
(VAR79 && !VAR67[1]) ||
(VAR49 && (VAR67[1] ^VAR67[0])) ||
!VAR97;
VAR5 <= (VAR36 && VAR67[1]) ||
(VAR79 && (VAR67[1:0]==2'h0)) ||
(VAR49 && VAR70[8]) ||
!VAR97;
VAR62 <= VAR5;
VAR14 <= VAR52;
VAR64 <= VAR97 && (!VAR5 || (!VAR6 && !VAR62));
if (VAR32) VAR74[2:0] <= 3'h7;
else if (|VAR74[2:0]) VAR74[2:0] <= VAR74[2:0]-1;
VAR46 <= (VAR10 && ((VAR3[5:0]!= VAR98[5:0]) || (VAR58[2:0]!=VAR84[2:0]))) ||
|VAR74[2:0] ||
VAR97 ||
VAR37;
end
VAR85 VAR60 (
.VAR11(VAR35[7:0]), .VAR22(), .VAR17({VAR58[2:0],
VAR3[5:0],
VAR76[1:0]}), .VAR77(!VAR63), .VAR43(8'h0), .VAR92(1'b0), .VAR73(VAR96[0]), .VAR68(1'b0), .VAR75(1'b0),
.VAR66(), .VAR59(), .VAR40(VAR16[9:0]), .VAR93(!VAR63), .VAR39(VAR42[15:0]), .VAR51(2'b0), .VAR61(VAR57), .VAR25(1'b0), .VAR44(1'b1) );
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dlybuf4s15kapwr/sky130_fd_sc_lp__dlybuf4s15kapwr.pp.symbol.v | 1,410 | module MODULE1 (
input VAR2 ,
output VAR5 ,
input VAR7,
input VAR6 ,
input VAR4 ,
input VAR1 ,
input VAR3
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/fill/sky130_fd_sc_hs__fill_1.v | 1,840 | module MODULE2 (
VAR2,
VAR1,
VAR6 ,
VAR3
);
input VAR2;
input VAR1;
input VAR6 ;
input VAR3 ;
VAR5 VAR4 (
.VAR2(VAR2),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR3(VAR3)
);
endmodule
module MODULE2 ();
supply1 VAR2;
supply0 VAR1;
supply1 VAR6 ;
supply0 VAR3 ;
VAR5 VAR4 ();
endmodule | apache-2.0 |
olajep/oh | src/aes/hdl/aes_128.v | 2,679 | module MODULE2(clk, state, VAR17, out);
input clk;
input [127:0] state, VAR17;
output [127:0] out;
reg [127:0] VAR64, VAR11;
wire [127:0] VAR26, VAR30, VAR45, VAR7, VAR23, VAR44, VAR5, VAR27, VAR54,
VAR43, VAR50, VAR1, VAR66, VAR51, VAR28, VAR24, VAR8, VAR42,
VAR22, VAR19, VAR3, VAR58, VAR25, VAR12, VAR13, VAR60, VAR47, VAR39;
always @ (posedge clk)
begin
VAR64 <= state ^ VAR17;
VAR11 <= VAR17;
end
MODULE1
MODULE1 (clk, VAR11, VAR43, VAR22, 8'h1),
VAR4 (clk, VAR43, VAR50, VAR19, 8'h2),
VAR6 (clk, VAR50, VAR1, VAR3, 8'h4),
VAR18 (clk, VAR1, VAR66, VAR58, 8'h8),
VAR65 (clk, VAR66, VAR51, VAR25, 8'h10),
VAR55 (clk, VAR51, VAR28, VAR12, 8'h20),
VAR59 (clk, VAR28, VAR24, VAR13, 8'h40),
VAR31 (clk, VAR24, VAR8, VAR60, 8'h80),
VAR34 (clk, VAR8, VAR42, VAR47, 8'h1b),
VAR20 (clk, VAR42, , VAR39, 8'h36);
VAR32
VAR57 (clk, VAR64, VAR22, VAR26),
VAR2 (clk, VAR26, VAR19, VAR30),
VAR21 (clk, VAR30, VAR3, VAR45),
VAR37 (clk, VAR45, VAR58, VAR7),
VAR61 (clk, VAR7, VAR25, VAR23),
VAR40 (clk, VAR23, VAR12, VAR44),
VAR36 (clk, VAR44, VAR13, VAR5),
VAR46 (clk, VAR5, VAR60, VAR27),
VAR62 (clk, VAR27, VAR47, VAR54);
VAR16
VAR35 (clk, VAR54, VAR39, out);
endmodule
module MODULE1(clk, in, VAR48, VAR41, VAR38);
input clk;
input [127:0] in;
input [7:0] VAR38;
output reg [127:0] VAR48;
output [127:0] VAR41;
wire [31:0] VAR11, VAR43, VAR50, VAR1,
VAR33, VAR9, VAR14, VAR52;
reg [31:0] VAR15, VAR49, VAR67, VAR29;
wire [31:0] VAR22, VAR19, VAR3, VAR58, VAR10;
assign {VAR11, VAR43, VAR50, VAR1} = in;
assign VAR33 = {VAR11[31:24] ^ VAR38, VAR11[23:0]};
assign VAR9 = VAR33 ^ VAR43;
assign VAR14 = VAR9 ^ VAR50;
assign VAR52 = VAR14 ^ VAR1;
always @ (posedge clk)
{VAR15, VAR49, VAR67, VAR29} <= {VAR33, VAR9, VAR14, VAR52};
VAR56
VAR63 (clk, {VAR1[23:0], VAR1[31:24]}, VAR10);
assign VAR22 = VAR15 ^ VAR10;
assign VAR19 = VAR49 ^ VAR10;
assign VAR3 = VAR67 ^ VAR10;
assign VAR58 = VAR29 ^ VAR10;
always @ (posedge clk)
VAR48 <= {VAR22, VAR19, VAR3, VAR58};
assign VAR41 = {VAR22, VAR19, VAR3, VAR58};
endmodule | mit |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/ENCLab/NVMeHostController_v2_0_0/ba7abda2/src/pcie_hcmd_cq_fifo.v | 9,197 | module MODULE1 # (
parameter VAR46 = 35,
parameter VAR4 = 5
)
(
input clk,
input VAR67,
input VAR66,
input [VAR46-1:0] VAR23,
input [VAR46-1:0] VAR14,
output VAR48,
output VAR1,
input VAR81,
output [VAR46-1:0] VAR49,
output VAR21,
input VAR44,
input VAR64,
input VAR72,
input [VAR46-1:0] VAR31,
input [VAR46-1:0] VAR63,
output VAR73
);
localparam VAR28 = 1;
localparam VAR61 = 3'b001;
localparam VAR29 = 3'b010;
localparam VAR38 = 3'b100;
reg [2:0] VAR11;
reg [2:0] VAR25;
reg [VAR4:0] VAR9;
reg [VAR4:0] VAR60;
wire [VAR4-1:0] VAR59;
reg [VAR4:0] VAR55;
reg VAR62;
reg VAR76;
reg VAR5;
reg VAR17;
reg [3:0] VAR50;
wire VAR35;
reg VAR80;
reg VAR16;
reg VAR83;
reg VAR40;
reg VAR57;
reg VAR42;
reg VAR12;
reg VAR82;
reg VAR32;
reg [VAR46-1:0] VAR41;
reg [VAR46-1:0] VAR6;
reg VAR34;
reg [VAR46-1:0] VAR3;
reg [VAR46-1:0] VAR58;
reg [VAR46-1:0] VAR77;
reg [VAR46-1:0] VAR36;
reg [VAR46-1:0] VAR8;
assign VAR48 = VAR62;
assign VAR73 = VAR32;
always @(posedge VAR44)
begin
VAR57 <= VAR72;
VAR40 <= VAR72 | VAR57;
if(VAR72 == 1) begin
VAR41 <= VAR31;
VAR6 <= VAR63;
end
VAR42 <= VAR76;
VAR12 <= VAR42;
VAR82 <= VAR12;
end
always @(posedge VAR44 or negedge VAR64)
begin
if(VAR64 == 0) begin
VAR32 <= 0;
end
else begin
if(VAR72 == 1)
VAR32 <= 1;
end
else if(VAR12 == 0 && VAR82 == 1)
VAR32 <= 0;
end
end
assign VAR35 = VAR16 & ~VAR83;
always @(posedge clk)
begin
if(VAR66 == 1) begin
VAR58 <= VAR23;
VAR77 <= VAR14;
end
VAR80 <= VAR40;
VAR16 <= VAR80;
VAR83 <= VAR16;
if(VAR35 == 1) begin
VAR36 <= VAR41;
VAR8 <= VAR6;
end
end
always @(posedge clk or negedge VAR67)
begin
if (VAR67 == 0) begin
VAR62 <= 0;
VAR76 <= 0;
end
else begin
if(VAR5 == 1)
VAR62 <= 0;
end
else if(VAR66 == 1)
VAR62 <= 1;
if(VAR17 == 1)
VAR76 <= 0;
end
else if(VAR35 == 1)
VAR76 <= 1;
end
end
always @ (posedge clk or negedge VAR67)
begin
if(VAR67 == 0)
VAR11 <= VAR61;
end
else
VAR11 <= VAR25;
end
always @
begin
case(VAR11)
VAR61: begin
VAR34 <= 0;
VAR5 <= 0;
VAR17 <= 0;
end
VAR29: begin
VAR34 <= 1;
VAR5 <= 0;
VAR17 <= 0;
end
VAR38: begin
VAR34 <= 1;
VAR5 <= VAR50[1];
VAR17 <= VAR50[3];
end
default: begin
VAR34 <= 0;
VAR5 <= 0;
VAR17 <= 0;
end
endcase
end
always @ (*)
begin
case(VAR50) 4'b0001: VAR3 <= VAR58;
4'b0010: VAR3 <= VAR77;
4'b0100: VAR3 <= VAR36;
4'b1000: VAR3 <= VAR8;
endcase
end
assign VAR1 = ~((VAR55[VAR4] ^ VAR9[VAR4])
& (VAR55[VAR4-1:VAR28]
== VAR9[VAR4-1:VAR28]));
assign VAR21 = ~(VAR9[VAR4:VAR28]
== VAR55[VAR4:VAR28]);
always @(posedge clk)
begin
if (VAR67 == 0) begin
VAR9 <= 0;
VAR60 <= 1;
VAR55 <= 0;
end
else begin
if (VAR81 == 1) begin
VAR9 <= VAR60;
VAR60 <= VAR60 + 1;
end
if (VAR34 == 1) begin
VAR55 <= VAR55 + 1;
end
end
end
assign VAR59 = (VAR81 == 1) ? VAR60[VAR4-1:0]
: VAR9[VAR4-1:0];
localparam VAR2 = "7SERIES";
localparam VAR53 = "18Kb";
localparam VAR37 = 0;
localparam VAR43 = VAR46;
localparam VAR24 = VAR46;
localparam VAR7 = "VAR70";
localparam VAR45 = 4;
localparam VAR18 = 9;
localparam VAR54 = VAR18 - VAR4;
generate
wire [VAR18-1:0] VAR30;
wire [VAR18-1:0] VAR19;
wire [VAR54-1:0] VAR27 = 0;
if(VAR54 == 0) begin : VAR71
assign VAR30 = VAR59[VAR4-1:0];
assign VAR19 = VAR55[VAR4-1:0];
end
else begin
assign VAR30 = {VAR27[VAR54-1:0], VAR59[VAR4-1:0]};
assign VAR19 = {VAR27[VAR54-1:0], VAR55[VAR4-1:0]};
end
endgenerate
VAR39 #(
.VAR52 (VAR2),
.VAR51 (VAR53),
.VAR78 (VAR37),
.VAR22 (VAR43),
.VAR13 (VAR24),
.VAR20 (VAR7)
)
VAR10(
.VAR56 (VAR49[VAR43-1:0]),
.VAR33 (VAR3[VAR24-1:0]),
.VAR75 (VAR30),
.VAR47 (clk),
.VAR74 (1'b1),
.VAR65 (1'b1),
.VAR79 (1'b0),
.VAR68 ({VAR45{1'b1}}),
.VAR15 (VAR19),
.VAR26 (clk),
.VAR69 (VAR34)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dlxbp/sky130_fd_sc_lp__dlxbp_lp.v | 2,270 | module MODULE1 (
VAR1 ,
VAR5 ,
VAR7 ,
VAR8,
VAR6,
VAR10,
VAR3 ,
VAR2
);
output VAR1 ;
output VAR5 ;
input VAR7 ;
input VAR8;
input VAR6;
input VAR10;
input VAR3 ;
input VAR2 ;
VAR4 VAR9 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR1 ,
VAR5 ,
VAR7 ,
VAR8
);
output VAR1 ;
output VAR5 ;
input VAR7 ;
input VAR8;
supply1 VAR6;
supply0 VAR10;
supply1 VAR3 ;
supply0 VAR2 ;
VAR4 VAR9 (
.VAR1(VAR1),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dlybuf4s15kapwr/sky130_fd_sc_lp__dlybuf4s15kapwr.pp.blackbox.v | 1,414 | module MODULE1 (
VAR5 ,
VAR6 ,
VAR7 ,
VAR1 ,
VAR4,
VAR2 ,
VAR3
);
output VAR5 ;
input VAR6 ;
input VAR7 ;
input VAR1 ;
input VAR4;
input VAR2 ;
input VAR3 ;
endmodule | apache-2.0 |
tmatsuya/milkymist-ml401 | cores/hpdmc_ddr32/rtl/hpdmc_datactl.v | 4,871 | module MODULE1(
input VAR10,
input VAR12,
input read,
input write,
input [3:0] VAR16,
output reg VAR17,
output reg VAR8,
output [3:0] VAR18,
output reg ack,
output reg VAR6,
output VAR19,
input VAR22,
input [1:0] VAR5
);
reg [2:0] VAR20;
always @(posedge VAR10) begin
if(VAR12) begin
VAR20 <= 3'd0;
VAR17 <= 1'b1;
end else begin
if(read) begin
VAR20 <= 3'd4;
VAR17 <= 1'b0;
end else if(write) begin
VAR20 <= 3'd5;
VAR17 <= 1'b0;
end else begin
if(VAR20 == 3'd1)
VAR17 <= 1'b1;
if(~VAR17)
VAR20 <= VAR20 - 3'd1;
end
end
end
reg [2:0] VAR15;
always @(posedge VAR10) begin
if(VAR12) begin
VAR15 <= 3'd0;
VAR8 <= 1'b1;
end else begin
if(read) begin
VAR15 <= {1'b1, VAR22, ~VAR22};
VAR8 <= 1'b0;
end else if(write) begin
VAR15 <= 3'd3;
VAR8 <= 1'b0;
end else begin
if(VAR15 == 3'd1)
VAR8 <= 1'b1;
if(~VAR8)
VAR15 <= VAR15 - 3'd1;
end
end
end
reg VAR2;
reg VAR7;
reg VAR23;
reg VAR4;
always @(posedge VAR10) begin
if(VAR12) begin
VAR2 <= 1'b0;
VAR7 <= 1'b0;
VAR23 <= 1'b0;
VAR4 <= 1'b0;
end else begin
if(VAR22) begin
VAR2 <= read;
VAR7 <= VAR2;
VAR23 <= VAR7;
VAR4 <= VAR23;
end else begin
VAR7 <= read;
VAR23 <= VAR7;
VAR4 <= VAR23;
end
end
end
reg VAR14;
always @(posedge VAR10) begin
if(VAR12) begin
VAR14 <= 1'b0;
ack <= 1'b0;
end else begin
VAR14 <= VAR4|write;
ack <= VAR14;
end
end
reg VAR1;
reg [2:0] VAR13;
always @(posedge VAR10) begin
if(VAR12) begin
VAR13 <= 3'd0;
VAR6 <= 1'b0;
end else begin
if(VAR1) begin
VAR13 <= 3'b101;
VAR6 <= 1'b1;
end else begin
if(VAR13 == 3'b001)
VAR6 <= 1'b0;
if(VAR6)
VAR13 <= VAR13 - 3'd1;
end
end
end
assign VAR19 = VAR1|(|VAR13);
always @(posedge VAR10) begin
if(VAR12)
VAR1 <= 1'b0;
end
else
VAR1 <= write;
end
VAR11 VAR9(
.VAR10(VAR10),
.VAR12(VAR12),
.VAR22(VAR22),
.VAR5(VAR5),
.read(read & VAR16[0]),
.write(write & VAR16[0]),
.VAR18(VAR18[0])
);
VAR11 VAR24(
.VAR10(VAR10),
.VAR12(VAR12),
.VAR22(VAR22),
.VAR5(VAR5),
.read(read & VAR16[1]),
.write(write & VAR16[1]),
.VAR18(VAR18[1])
);
VAR11 VAR3(
.VAR10(VAR10),
.VAR12(VAR12),
.VAR22(VAR22),
.VAR5(VAR5),
.read(read & VAR16[2]),
.write(write & VAR16[2]),
.VAR18(VAR18[2])
);
VAR11 VAR21(
.VAR10(VAR10),
.VAR12(VAR12),
.VAR22(VAR22),
.VAR5(VAR5),
.read(read & VAR16[3]),
.write(write & VAR16[3]),
.VAR18(VAR18[3])
);
endmodule | lgpl-3.0 |
GLADICOS/SPACEWIRESYSTEMC | altera_work/spw_babasu/spw_babasu/synthesis/submodules/spw_babasu_pll_0.v | 2,078 | module MODULE1(
input wire VAR2,
input wire rst,
output wire VAR28,
output wire VAR24
);
VAR56 #(
.VAR9("false"),
.VAR34("50.0 VAR30"),
.VAR46("VAR48"),
.VAR1(1),
.VAR11("200.000000 VAR30"),
.VAR58("0 VAR55"),
.VAR25(50),
.VAR17("0 VAR30"),
.VAR69("0 VAR55"),
.VAR42(50),
.VAR64("0 VAR30"),
.VAR6("0 VAR55"),
.VAR62(50),
.VAR72("0 VAR30"),
.VAR38("0 VAR55"),
.VAR40(50),
.VAR14("0 VAR30"),
.VAR35("0 VAR55"),
.VAR15(50),
.VAR44("0 VAR30"),
.VAR32("0 VAR55"),
.VAR36(50),
.VAR49("0 VAR30"),
.VAR18("0 VAR55"),
.VAR8(50),
.VAR67("0 VAR30"),
.VAR61("0 VAR55"),
.VAR70(50),
.VAR71("0 VAR30"),
.VAR45("0 VAR55"),
.VAR65(50),
.VAR33("0 VAR30"),
.VAR12("0 VAR55"),
.VAR50(50),
.VAR52("0 VAR30"),
.VAR41("0 VAR55"),
.VAR21(50),
.VAR13("0 VAR30"),
.VAR53("0 VAR55"),
.VAR43(50),
.VAR66("0 VAR30"),
.VAR16("0 VAR55"),
.VAR68(50),
.VAR3("0 VAR30"),
.VAR23("0 VAR55"),
.VAR27(50),
.VAR22("0 VAR30"),
.VAR26("0 VAR55"),
.VAR39(50),
.VAR19("0 VAR30"),
.VAR51("0 VAR55"),
.VAR29(50),
.VAR57("0 VAR30"),
.VAR20("0 VAR55"),
.VAR54(50),
.VAR10("0 VAR30"),
.VAR7("0 VAR55"),
.VAR59(50),
.VAR63("VAR47"),
.VAR60("VAR47")
) VAR31 (
.rst (rst),
.VAR5 ({VAR28}),
.VAR24 (VAR24),
.VAR37 ( ),
.VAR4 (1'b0),
.VAR2 (VAR2)
);
endmodule | gpl-3.0 |
ShepardSiegel/ocpi | rtl/mkFTop_ml555.v | 35,104 | module MODULE1(VAR328,
VAR427,
VAR280,
VAR317,
VAR428,
VAR364,
VAR1,
VAR406,
VAR395,
VAR31,
VAR48,
VAR403,
VAR156,
VAR35,
VAR457);
input VAR328;
input VAR427;
input VAR280;
input VAR317;
input VAR428;
input [7 : 0] VAR364;
input [7 : 0] VAR1;
output [7 : 0] VAR406;
output [7 : 0] VAR395;
output [2 : 0] VAR31;
input VAR48;
output VAR403;
output VAR156;
output VAR35;
output VAR457;
wire [7 : 0] VAR395, VAR406;
wire [2 : 0] VAR31;
wire VAR35, VAR403, VAR156, VAR457;
wire [63 : 0] VAR75;
wire [7 : 0] VAR122, VAR314;
wire [1 : 0] VAR69;
wire VAR397,
VAR264,
VAR116,
VAR124,
VAR443,
VAR22,
VAR311,
VAR405,
VAR309,
VAR287,
VAR315,
VAR379,
VAR141,
VAR237,
VAR267,
VAR258,
VAR100;
reg [7 : 0] VAR206;
wire [7 : 0] VAR19;
wire VAR54;
reg VAR40;
wire VAR169, VAR198;
reg VAR440;
wire VAR15, VAR126;
reg [2 : 0] VAR133;
wire [2 : 0] VAR221;
wire VAR194;
reg VAR159;
wire VAR219, VAR70;
reg [81 : 0] VAR220;
wire [81 : 0] VAR326;
wire VAR176;
reg [81 : 0] VAR127;
wire [81 : 0] VAR425;
wire VAR81;
wire [152 : 0] VAR329, VAR201;
wire [127 : 0] VAR73;
wire [58 : 0] VAR327;
wire [31 : 0] VAR416,
VAR52,
VAR238,
VAR375,
VAR385,
VAR173;
wire [11 : 0] VAR273;
wire [7 : 0] VAR114;
wire [3 : 0] VAR230;
wire [2 : 0] VAR383, VAR175;
wire [1 : 0] VAR297,
VAR41,
VAR356,
VAR357,
VAR269,
VAR447,
VAR353,
VAR344,
VAR360,
VAR25,
VAR46,
VAR419;
wire VAR178,
VAR365,
VAR342,
VAR226,
VAR180,
VAR5,
VAR253,
VAR209,
VAR86,
VAR430,
VAR322,
VAR189,
VAR302,
VAR325,
VAR199,
VAR157,
VAR455,
VAR149,
VAR288,
VAR286,
VAR183;
wire [80 : 0] VAR421, VAR276;
wire VAR393,
VAR399,
VAR420,
VAR290,
VAR179;
wire [80 : 0] VAR129, VAR354;
wire VAR308,
VAR436,
VAR60,
VAR85,
VAR130;
wire VAR333;
wire VAR39;
wire [63 : 0] VAR9,
VAR171,
VAR103;
wire [47 : 0] VAR77;
wire [31 : 0] VAR335;
wire [9 : 0] VAR348;
wire [7 : 0] VAR24,
VAR404,
VAR257,
VAR446,
VAR84,
VAR229,
VAR249,
VAR384,
VAR146;
wire [6 : 0] VAR165;
wire [4 : 0] VAR376;
wire [3 : 0] VAR30;
wire [2 : 0] VAR441,
VAR161;
wire VAR18,
VAR412,
VAR304,
VAR191,
VAR410,
VAR369,
VAR119,
VAR138,
VAR49,
VAR160,
VAR386,
VAR167,
VAR170,
VAR29,
VAR78,
VAR186,
VAR90,
VAR310,
VAR402,
VAR345,
VAR99,
VAR400,
VAR123,
VAR94,
VAR234,
VAR407,
VAR295,
VAR203,
VAR256,
VAR332,
VAR164;
wire [15 : 0] VAR254, VAR109;
wire VAR392, VAR163;
wire VAR11, VAR200, VAR391;
wire [7 : 0] VAR303,
VAR125;
wire VAR143,
VAR396,
VAR319;
wire [152 : 0] VAR131, VAR380;
wire VAR36,
VAR177,
VAR268,
VAR58,
VAR44;
wire [152 : 0] VAR291, VAR152;
wire VAR279,
VAR331,
VAR215,
VAR426,
VAR205;
wire VAR188;
wire VAR429;
wire VAR51,
VAR378,
VAR241,
VAR401,
VAR34,
VAR373,
VAR182;
wire [152 : 0] VAR271, VAR415;
wire [81 : 0] VAR140, VAR37;
wire [80 : 0] VAR274;
wire VAR330,
VAR340,
VAR57;
wire [127 : 0] VAR6, VAR450;
wire [15 : 0] VAR324, VAR282;
assign VAR156 = VAR90 ;
assign VAR35 = 1'b1 ;
assign VAR457 = VAR333 ;
assign VAR406 = VAR249 ;
assign VAR395 = VAR229 ;
assign VAR31 = { ~VAR297, ~VAR11 } ;
assign VAR403 = VAR209 ;
VAR323 VAR434(.VAR168(VAR254),
.VAR66(VAR188),
.VAR153(VAR429),
.VAR225(VAR90),
.VAR212(VAR333),
.VAR266(VAR327),
.VAR48(VAR253),
.VAR261(VAR329),
.VAR453(VAR383),
.VAR187(VAR416),
.VAR23(VAR41),
.VAR132(VAR356),
.VAR362(VAR52),
.VAR368(VAR357),
.VAR435(VAR269),
.VAR4(VAR238),
.VAR121(VAR447),
.VAR55(VAR353),
.VAR377(VAR375),
.VAR371(VAR344),
.VAR42(VAR360),
.VAR347(VAR385),
.VAR10(VAR25),
.VAR137(VAR46),
.VAR239(VAR73),
.VAR65(VAR419),
.VAR247(VAR273),
.VAR154(VAR230),
.VAR414(VAR175),
.VAR112(VAR173),
.VAR367(VAR114),
.VAR148(VAR342),
.VAR424(VAR226),
.VAR72(VAR178),
.VAR27(VAR365),
.VAR56(VAR86),
.VAR233(VAR430),
.VAR305(VAR322),
.VAR135(VAR189),
.VAR155(VAR302),
.VAR195(VAR286),
.VAR111(VAR288),
.VAR456(VAR183),
.VAR79(VAR149),
.VAR243(VAR455),
.VAR118(VAR157),
.VAR92(VAR325),
.VAR358(VAR199),
.VAR246(VAR180),
.VAR260(VAR201),
.VAR95(VAR5),
.VAR413(),
.VAR147(),
.VAR244(),
.VAR31(VAR297),
.VAR296(),
.VAR12(),
.VAR14(),
.VAR321(),
.VAR139(),
.VAR337(),
.VAR224(),
.VAR107(),
.VAR192(),
.VAR83(),
.VAR422(),
.VAR26(),
.VAR172(),
.VAR398(),
.VAR62(),
.VAR242(),
.VAR312(),
.VAR338(),
.VAR417(),
.VAR451(),
.VAR202(),
.VAR108(),
.VAR313(),
.VAR372(),
.VAR263(),
.VAR88(),
.VAR101(),
.VAR216(),
.VAR45(),
.VAR448(),
.VAR265(),
.VAR382(),
.VAR204(),
.VAR289(),
.VAR105(),
.VAR251(),
.VAR144(),
.VAR232(),
.VAR352(),
.VAR208(),
.VAR262(),
.VAR439(),
.VAR449(),
.VAR452(),
.VAR351(),
.VAR145(),
.VAR151(),
.VAR8(),
.VAR248(),
.VAR7(),
.VAR227(),
.VAR403(VAR209),
.VAR53(),
.VAR218(),
.VAR93(),
.VAR270(),
.VAR128());
VAR193 #(.VAR13(32'd81),
.VAR250(32'd1)) VAR87(.VAR21(VAR333),
.VAR225(VAR90),
.VAR110(VAR421),
.VAR277(VAR290),
.VAR285(VAR399),
.VAR388(VAR393),
.VAR336(VAR276),
.VAR339(VAR179),
.VAR281(VAR420));
VAR193 #(.VAR13(32'd81),
.VAR250(32'd1)) VAR454(.VAR21(VAR333),
.VAR225(VAR90),
.VAR110(VAR129),
.VAR277(VAR85),
.VAR285(VAR436),
.VAR388(VAR308),
.VAR336(VAR354),
.VAR339(VAR130),
.VAR281(VAR60));
VAR115 #(.VAR387(32'd0)) VAR196(.VAR225(VAR90),
.VAR184(VAR400),
.VAR294(VAR333));
VAR298 VAR20(.VAR307(VAR280), .VAR214(VAR317), .VAR67(VAR39));
VAR445 VAR185(.VAR343(VAR39),
.VAR355(VAR428),
.VAR255(1'h0),
.VAR259(VAR30),
.VAR76(VAR335),
.VAR437(VAR9),
.VAR71(VAR348),
.VAR316(VAR18),
.VAR2(VAR412),
.VAR394(VAR304),
.VAR211(VAR191),
.VAR301(VAR410),
.VAR134(VAR369),
.VAR63(VAR119),
.VAR117(VAR77),
.VAR190(VAR138),
.VAR366(VAR49),
.VAR341(VAR404),
.VAR17(VAR386),
.VAR299(VAR170),
.VAR300(VAR29),
.VAR97(VAR78),
.VAR33(VAR186),
.VAR207(VAR446),
.VAR245(VAR84),
.VAR278(VAR402),
.VAR381(VAR345),
.VAR98(VAR123),
.VAR442(VAR103),
.VAR61(VAR295),
.VAR438(VAR203),
.VAR181(VAR146),
.VAR320(VAR256),
.VAR390(VAR332),
.VAR235(VAR164),
.VAR68(VAR249),
.VAR346(VAR229),
.VAR150(VAR94),
.VAR349(VAR99),
.VAR213(VAR171),
.VAR104(VAR384),
.VAR284(),
.VAR292(VAR234),
.VAR363(),
.VAR91(VAR165),
.VAR74(),
.VAR334(),
.VAR223(),
.VAR306(),
.VAR411(VAR407),
.VAR283(),
.VAR28(),
.VAR228(VAR310),
.VAR318(),
.VAR418(),
.VAR409(),
.VAR197(VAR24),
.VAR240(VAR376),
.VAR3(VAR441),
.VAR433(),
.VAR89(),
.VAR113(),
.VAR272(),
.VAR252(),
.VAR158(),
.VAR217(),
.VAR82(),
.VAR166(VAR167),
.VAR389(VAR161),
.VAR120(VAR160),
.VAR210(VAR257),
.VAR432(VAR90),
.VAR423(),
.VAR174(),
.VAR142(VAR400));
VAR32 #(.VAR13(32'd16),
.VAR16(16'd0)) VAR162(.VAR293(VAR90),
.VAR231(VAR90),
.VAR275(VAR333),
.VAR43(VAR109),
.VAR96(VAR392),
.VAR370(VAR254),
.VAR50(VAR163));
VAR444 #(.VAR16(1'd0)) VAR59(.VAR293(VAR90),
.VAR231(VAR90),
.VAR275(VAR333),
.VAR43(VAR200),
.VAR96(VAR391),
.VAR370(VAR11));
VAR80 #(.VAR350(32'd8),
.VAR136(32'd8),
.VAR374(32'd3)) VAR408(.VAR293(VAR90),
.VAR231(VAR90),
.VAR275(VAR333),
.VAR43(VAR125),
.VAR361(VAR319),
.VAR236(VAR143),
.VAR370(VAR303),
.VAR38(),
.VAR222(VAR396));
VAR193 #(.VAR13(32'd153),
.VAR250(32'd1)) VAR106(.VAR21(VAR333),
.VAR225(VAR90),
.VAR110(VAR131),
.VAR277(VAR58),
.VAR285(VAR177),
.VAR388(VAR36),
.VAR336(VAR380),
.VAR339(VAR44),
.VAR281(VAR268));
VAR193 #(.VAR13(32'd153),
.VAR250(32'd1)) VAR102(.VAR21(VAR333),
.VAR225(VAR90),
.VAR110(VAR291),
.VAR277(VAR426),
.VAR285(VAR331),
.VAR388(VAR279),
.VAR336(VAR152),
.VAR339(VAR205),
.VAR281(VAR215));
VAR298 VAR64(.VAR307(VAR328), .VAR214(VAR427), .VAR67(VAR188));
VAR115 #(.VAR387(32'd0)) VAR431(.VAR225(VAR188),
.VAR184(VAR333),
.VAR294(VAR429));
assign VAR373 =
VAR420 &&
(!VAR276[79] || VAR205) &&
!VAR220[81] ;
assign VAR182 =
VAR420 && VAR205 && VAR220[81] ;
assign VAR51 =
VAR60 &&
(VAR354[71:64] == 8'd0 ||
!VAR407) ;
assign VAR378 =
VAR268 && VAR130 && !VAR127[81] ;
assign VAR241 =
VAR130 && VAR127[81] ;
assign VAR401 =
VAR396 && VAR159 &&
!VAR440 &&
VAR167 ;
assign VAR34 =
VAR159 && VAR440 &&
!VAR167 ;
assign VAR330 =
VAR373 && !VAR276[79] ;
assign VAR340 =
VAR378 &&
VAR380[135:128] != 8'd0 ;
assign VAR57 =
VAR373 && VAR276[79] ;
assign VAR274 =
(VAR380[135:128] == 8'd0) ?
{ VAR380[152:136], VAR380[127:64] } :
{ VAR380[152],
1'd0,
VAR380[150:136],
VAR380[127:64] } ;
assign VAR140 = { 1'd1, VAR276 } ;
assign VAR37 =
{ 2'd2,
VAR380[151:144],
VAR380[135:128],
VAR380[63:0] } ;
assign VAR271 =
{ VAR276[80:72], VAR282, VAR450 } ;
assign VAR415 =
{ VAR220[80],
VAR276[79],
VAR220[78:72],
VAR324,
VAR6 } ;
assign VAR141 = !VAR354[80] ;
assign VAR237 = VAR264 ;
assign VAR287 = !VAR354[79] ;
assign VAR315 = VAR264 ;
assign VAR405 = 1'd1 ;
assign VAR309 = VAR264 ;
assign VAR122 = VAR354[71:64] ;
assign VAR379 = VAR264 ;
assign VAR75 = VAR354[63:0] ;
assign VAR311 = VAR264 ;
assign VAR443 = 1'd0 ;
assign VAR22 = 1'd1 ;
assign VAR116 = 1'd1 ;
assign VAR124 = 1'd1 ;
assign VAR258 =
VAR167 ;
assign VAR100 = 1'd1 ;
assign VAR314 =
VAR257 ;
assign VAR267 = 1'd1 ;
assign VAR264 =
VAR51 &&
VAR354[71:64] != 8'd0 ;
assign VAR397 =
!VAR234 && VAR179 ;
assign VAR69 = VAR297 ;
assign VAR19 = VAR303 ;
assign VAR54 =
VAR401 ;
assign VAR169 =
!VAR401 ;
assign VAR198 =
VAR401 ||
VAR34 ;
assign VAR15 =
!VAR34 ;
assign VAR126 =
VAR34 ||
VAR401 ;
assign VAR221 =
VAR161 ;
assign VAR194 = 1'd1 ;
assign VAR219 =
VAR160 ;
assign VAR70 = 1'd1 ;
assign VAR326 =
VAR330 ?
VAR140 :
82'h0AAAAAAAAAAAAAAAAAAAA ;
assign VAR176 =
VAR373 && !VAR276[79] ||
VAR182 ;
assign VAR425 =
VAR340 ?
VAR37 :
82'h0AAAAAAAAAAAAAAAAAAAA ;
assign VAR81 =
VAR378 &&
VAR380[135:128] != 8'd0 ||
VAR241 ;
assign VAR327 = 59'h0 ;
assign VAR253 = VAR48 ;
assign VAR329 = VAR152 ;
assign VAR383 = 3'h0 ;
assign VAR416 = 32'h0 ;
assign VAR41 = 2'h0 ;
assign VAR356 = 2'h0 ;
assign VAR52 = 32'h0 ;
assign VAR357 = 2'h0 ;
assign VAR269 = 2'h0 ;
assign VAR238 = 32'h0 ;
assign VAR447 = 2'h0 ;
assign VAR353 = 2'h0 ;
assign VAR375 = 32'h0 ;
assign VAR344 = 2'h0 ;
assign VAR360 = 2'h0 ;
assign VAR385 = 32'h0 ;
assign VAR25 = 2'h0 ;
assign VAR46 = 2'h0 ;
assign VAR73 = 128'h0 ;
assign VAR419 = 2'h0 ;
assign VAR273 = 12'h0 ;
assign VAR230 = 4'h0 ;
assign VAR175 = 3'h0 ;
assign VAR173 = 32'h0 ;
assign VAR114 = 8'h0 ;
assign VAR342 =
VAR180 && VAR215 ;
assign VAR226 =
VAR5 && VAR44 ;
assign VAR178 = 1'b0 ;
assign VAR365 = 1'b0 ;
assign VAR86 = 1'b0 ;
assign VAR430 = 1'b0 ;
assign VAR322 = 1'b0 ;
assign VAR189 = 1'b0 ;
assign VAR302 = 1'b0 ;
assign VAR286 = 1'b0 ;
assign VAR288 = 1'b0 ;
assign VAR183 = 1'b0 ;
assign VAR149 = 1'b0 ;
assign VAR455 = 1'b0 ;
assign VAR157 = 1'b0 ;
assign VAR325 = 1'b0 ;
assign VAR199 = 1'b0 ;
assign VAR421 =
{ !VAR94,
!VAR99,
~VAR165,
~VAR384,
VAR171 } ;
assign VAR290 = VAR397 ;
assign VAR399 =
VAR182 ||
VAR373 ;
assign VAR393 = 1'b0 ;
assign VAR129 =
VAR378 ?
VAR274 :
VAR127[80:0] ;
assign VAR85 =
VAR378 ||
VAR241 ;
assign VAR436 = VAR51 ;
assign VAR308 = 1'b0 ;
assign VAR30 = 4'd15 ;
assign VAR335 = 32'd0 ;
assign VAR9 = 64'h0000000101000A35 ;
assign VAR348 = 10'd0 ;
assign VAR18 = 1'd1 ;
assign VAR412 = 1'd1 ;
assign VAR304 = 1'd1 ;
assign VAR191 = 1'd1 ;
assign VAR410 = 1'd1 ;
assign VAR369 = 1'd1 ;
assign VAR119 = 1'd1 ;
assign VAR77 = 48'd0 ;
assign VAR138 = 1'd1 ;
assign VAR49 = 1'd1 ;
assign VAR404 = VAR206 ;
assign VAR386 = VAR40 ;
assign VAR170 = 1'd1 ;
assign VAR29 = 1'd1 ;
assign VAR78 = 1'd1 ;
assign VAR186 = 1'd1 ;
assign VAR446 = VAR1 ;
assign VAR84 = VAR364 ;
assign VAR402 = 1'b1 ;
assign VAR345 = !VAR397 ;
assign VAR123 = 1'b0 ;
assign VAR103 =
VAR264 ? VAR354[63:0] : 64'h0 ;
assign VAR295 =
!VAR264 || !VAR354[79] ;
assign VAR203 = 1'd1 ;
assign VAR146 =
VAR264 ? ~VAR354[71:64] : 8'd255 ;
assign VAR256 =
!VAR264 || !VAR354[80] ;
assign VAR332 = 1'b1 ;
assign VAR164 = !VAR264 ;
assign VAR109 =
{ VAR24,
VAR376,
VAR441 } ;
assign VAR392 = VAR163 ;
assign VAR200 = !VAR310 ;
assign VAR391 = 1'd1 ;
assign VAR125 = 8'h0 ;
assign VAR319 = 1'b0 ;
assign VAR143 =
VAR401 ;
assign VAR131 = VAR201 ;
assign VAR58 = VAR5 && VAR44 ;
assign VAR177 = VAR378 ;
assign VAR36 = 1'b0 ;
assign VAR291 =
VAR57 ?
VAR271 :
VAR415 ;
assign VAR426 =
VAR373 && VAR276[79] ||
VAR182 ;
assign VAR331 = VAR180 && VAR215 ;
assign VAR279 = 1'b0 ;
assign VAR324 = { VAR220[71:64], VAR276[71:64] } ;
assign VAR6 = { VAR220[63:0], VAR276[63:0] } ;
assign VAR282 = { VAR276[71:64], 8'd0 } ;
assign VAR450 = { VAR276[63:0], 64'hAAAAAAAAAAAAAAAA } ;
always@(posedge VAR90)
begin
if (VAR333 == VAR359)
begin
VAR206 <= VAR47 8'd0;
VAR40 <= VAR47 1'd1;
VAR440 <= VAR47 1'd0;
VAR220 <= VAR47 82'h0AAAAAAAAAAAAAAAAAAAA;
VAR127 <= VAR47 82'h0AAAAAAAAAAAAAAAAAAAA;
end
else
begin
if (VAR54)
VAR206 <= VAR47
VAR19;
if (VAR198)
VAR40 <= VAR47
VAR169;
if (VAR126)
VAR440 <= VAR47
VAR15;
if (VAR176) VAR220 <= VAR47 VAR326;
if (VAR81) VAR127 <= VAR47 VAR425;
end
if (VAR194)
VAR133 <= VAR47
VAR221;
if (VAR70)
VAR159 <= VAR47
VAR219;
end
begin
VAR206 = 8'hAA;
VAR40 = 1'h0;
VAR440 = 1'h0;
VAR133 = 3'h2;
VAR159 = 1'h0;
VAR220 = 82'h2AAAAAAAAAAAAAAAAAAAA;
VAR127 = 82'h2AAAAAAAAAAAAAAAAAAAA;
end | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlymetal6s6s/sky130_fd_sc_hd__dlymetal6s6s.symbol.v | 1,358 | module MODULE1 (
input VAR6,
output VAR5
);
supply1 VAR2;
supply0 VAR1;
supply1 VAR4 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
CMU-SAFARI/NOCulator | hring/hw/buffered/src/vcr_flit_ctrl_dec.v | 11,086 | module MODULE1
(clk, reset, VAR22, VAR36, VAR40,
VAR35, VAR8);
parameter VAR24 = 2;
parameter VAR33 = 2;
localparam VAR10 = VAR24 * VAR33;
parameter VAR6 = 1;
localparam VAR43 = VAR10 * VAR6;
localparam VAR41 = VAR17(VAR43);
parameter VAR20 = 4;
parameter VAR30 = 2;
parameter VAR37 = 1;
parameter VAR29 = VAR31;
localparam VAR1
= ((VAR29 == VAR31) ||
(VAR29 == VAR23)) ?
2 :
(VAR29 == VAR9) ?
(VAR20 - 1) :
-1;
localparam VAR39
= VAR30 * VAR1 + VAR37;
localparam VAR3 = VAR17(VAR39);
localparam VAR15
= VAR3 + ((VAR33 > 1) ? 1 : 0);
parameter VAR46 = VAR32;
parameter VAR26 = 4;
parameter VAR45 = 1;
localparam VAR44
= VAR17(VAR26-VAR45+1);
localparam VAR13 = VAR17(VAR26);
localparam VAR11 = VAR17(VAR20);
localparam VAR7 = VAR30 * VAR11;
localparam VAR14 = VAR17(VAR37);
localparam VAR38 = VAR7 + VAR14;
localparam VAR34
= VAR33 * VAR7 + VAR14;
localparam VAR18
= (VAR46 == VAR47) ?
(VAR15 + VAR34) :
(VAR46 == VAR32) ?
(VAR15 + VAR34 + VAR44) :
-1;
localparam VAR25
= (VAR46 == VAR47) ?
(1 + VAR41 + 1 + 1) :
(VAR46 == VAR32) ?
(1 + VAR41 + 1) :
-1;
parameter VAR12 = VAR4;
input clk;
input reset;
input [0:VAR25-1] VAR22;
input [0:VAR18-1] VAR36;
output [0:VAR43-1] VAR40;
wire [0:VAR43-1] VAR40;
output [0:VAR43-1] VAR35;
wire [0:VAR43-1] VAR35;
output [0:VAR43-1] VAR8;
wire [0:VAR43-1] VAR8;
generate
case(VAR46)
begin
wire VAR19;
assign VAR19 = VAR22[0];
if(VAR43 > 1)
begin
wire [0:VAR41-1] VAR27;
assign VAR27 = VAR22[1:1+VAR41-1];
wire [0:VAR43-1] VAR16;
VAR2
VAR5
(.VAR48(VAR27),
.VAR28(VAR16));
assign VAR40
= {VAR43{VAR19}} & VAR16;
end
else
assign VAR40 = VAR19;
wire VAR21;
assign VAR21 = VAR22[1+VAR41+0];
assign VAR35 = {VAR43{VAR21}};
case(VAR46)
begin
wire VAR42;
assign VAR42 = VAR22[1+VAR41+1];
assign VAR8 = {VAR43{VAR42}};
end
begin
if(VAR26 == 0)
begin
if(VAR26 == VAR45)
assign VAR8 = VAR35;
end
else
begin
begin
end
begin
end
begin
begin
begin
begin
end
begin
begin
begin | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/a31oi/sky130_fd_sc_ms__a31oi.behavioral.v | 1,544 | module MODULE1 (
VAR8 ,
VAR1,
VAR10,
VAR3,
VAR6
);
output VAR8 ;
input VAR1;
input VAR10;
input VAR3;
input VAR6;
supply1 VAR14;
supply0 VAR11;
supply1 VAR7 ;
supply0 VAR5 ;
wire VAR4 ;
wire VAR2;
and VAR13 (VAR4 , VAR3, VAR1, VAR10 );
nor VAR12 (VAR2, VAR6, VAR4 );
buf VAR9 (VAR8 , VAR2 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/o32ai/sky130_fd_sc_hd__o32ai.blackbox.v | 1,392 | module MODULE1 (
VAR7 ,
VAR5,
VAR9,
VAR1,
VAR10,
VAR6
);
output VAR7 ;
input VAR5;
input VAR9;
input VAR1;
input VAR10;
input VAR6;
supply1 VAR8;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR2 ;
endmodule | apache-2.0 |
YurongYou/MIPS_CPU | EX_MEM.v | 1,928 | module MODULE1 (
input clk,
input rst,
input VAR12,
input[VAR32-1:0] VAR22,
input[VAR35-1:0] VAR29,
input VAR10,
input VAR18,
input[VAR35-1:0] VAR44,
input[VAR35-1:0] VAR1,
input[VAR32-1:0] VAR43,
input[VAR35-1:0] VAR41,
input VAR42,
input VAR33,
input VAR3,
input VAR21,
input[VAR6-1:0] VAR2,
output[VAR32-1:0] VAR15,
output[VAR35-1:0] VAR36,
output VAR13,
output VAR23,
output[VAR35-1:0] VAR20,
output[VAR35-1:0] VAR8,
output[VAR35-1:0] VAR39,
output[VAR32-1:0] VAR17,
output VAR27,
output VAR38,
output VAR34,
output VAR31,
output[VAR6-1:0] VAR9
);
VAR40 #(.VAR45(VAR32)) VAR25(clk, rst, VAR12, VAR22, VAR15);
VAR40 #(.VAR45(VAR35)) VAR26(clk, rst, VAR12, VAR29, VAR36);
VAR40 VAR30(clk, rst, VAR12, VAR10, VAR13);
VAR40 VAR37(clk, rst, VAR12, VAR18, VAR23);
VAR40 #(.VAR45(VAR35)) VAR28(clk, rst, VAR12, VAR44, VAR20);
VAR40 #(.VAR45(VAR35)) VAR5(clk, rst, VAR12, VAR1, VAR8);
VAR40 #(.VAR45(VAR32)) VAR19(clk, rst, VAR12, VAR43, VAR17);
VAR40 #(.VAR45(VAR35)) VAR16(clk, rst, VAR12, VAR41, VAR39);
VAR40 VAR4(clk, rst, VAR12, VAR42, VAR27);
VAR40 VAR11(clk, rst, VAR12, VAR33, VAR38);
VAR40 VAR24(clk, rst, VAR12, VAR3, VAR34);
VAR40 VAR14(clk, rst, VAR12, VAR21, VAR31);
VAR40 #(.VAR45(VAR6)) VAR7(clk, rst, VAR12, VAR2, VAR9);
endmodule | mpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.