repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
q3k/q3kmips
rtl/verilog/qm_dcache.v
9,242
module MODULE1( input wire reset, input wire clk, output reg VAR10, input wire [31:0] address, output reg [31:0] VAR29, input wire [31:0] VAR21, input wire VAR19, input wire enable, output wire VAR25, output reg VAR36, output reg [2:0] VAR28, output reg [5:0] VAR26, output reg [29:0] VAR17, input wire VAR11, input wire VAR5, output wire VAR1, output reg VAR33, input wire [6:0] VAR24, input wire VAR4, input wire [31:0] VAR2, input wire VAR27, output wire VAR14, output wire VAR18, output wire VAR12, output wire [31:0] VAR37, input wire VAR8, input wire VAR3, input wire VAR31, input wire [6:0] VAR7, input wire VAR37 ); reg [145:0] VAR16 [4095:0]; reg VAR32; wire [11:0] VAR23; wire VAR6; wire [15:0] VAR15; wire [15:0] VAR30; wire [1:0] VAR38; assign VAR23 = address[15:4]; assign VAR39 = VAR16[VAR23]145]; assign VAR6 = VAR16[VAR23][144]; assign VAR15 = VAR16[VAR23][143:128]; assign VAR30 = address[31:16]; assign VAR38 = address[3:2]; assign VAR1 = ~clk; assign VAR14 = ~clk; assign VAR25 = ~clk; assign VAR12 = 32'b0; generate genvar VAR35; for (VAR35 = 0; VAR35 < 4096; VAR35 = VAR35 + 1) begin: VAR40 always @(posedge clk) begin if (reset) begin VAR16[0] <= {146'b0}; end end end endgenerate always @(posedge clk) begin if (reset) begin VAR32 <= 1; VAR20 <= 0; VAR22 <= 0; VAR36 <= 0; VAR26 <= 0; VAR28 <= 0; VAR17 <= 0; VAR33 <= 0; end end always @(*) begin if (enable) begin if (32'h80000000 <= address && address < 32'h90000000) begin if (VAR6 == VAR32 && VAR15 == VAR30) begin if (VAR38 == 2'b00) VAR9 = VAR16[VAR23][31:0]; end else if (VAR38 == 2'b01) VAR9 = VAR16[VAR23][63:32]; end else if (VAR38 == 2'b10) VAR9 = VAR16[VAR23][95:64]; end else VAR9 = VAR16[VAR23][127:96]; VAR13 = 1; VAR10 = 0; end else begin VAR13 = 0; VAR10 = 1; end end else begin VAR13 = 1; VAR10 = 0; VAR9 = 32'h00000000; end end else begin VAR13 = 0; VAR10 = 0; end end reg [2:0] VAR20; reg [2:0] VAR22; always @(posedge clk) begin if ((VAR10 && !reset && enable && VAR34 == 1) || (VAR20 != 0 && !reset && enable)) begin case (VAR20) 0: begin VAR28 <= 1; VAR26 <= 3; VAR17 <= {1'b0, address[28:0]}; VAR36 <= 1; VAR33 <= 1; VAR20 <= 1; end 1: begin VAR36 <= 0; if (!VAR27) begin VAR16[VAR23][31:0] <= VAR2; VAR20 <= 2; end end 2: begin if (!VAR27) begin VAR16[VAR23][63:32] <= VAR2; VAR20 <= 3; end end 3: begin if (!VAR27) begin VAR16[VAR23][95:64] <= VAR2; VAR20 <= 4; end end 4: begin if (!VAR27) begin VAR16[VAR23][127:96] <= VAR2; VAR20 <= 0; VAR33 <= 0; VAR16[VAR23][143:128] <= VAR30; VAR16[VAR23][144] <= VAR32; end end endcase end if ((VAR10 && !reset && enable && VAR34 == 0) || (VAR22 != 0 && !reset && enable)) begin case (VAR22) 0: begin if (!VAR3) begin VAR18 <= 1; VAR37 <= VAR16[VAR23][31:0]; VAR22 <= 1; end end 1: begin if (!VAR3) begin VAR37 <= VAR16[VAR23][63:32]; VAR22 <= 2; end end 2: begin if (!VAR3) begin VAR37 <= VAR16[VAR23][95:64]; VAR22 <= 3; end end 3: begin if (!VAR3) begin VAR37 <= VAR16[VAR23][127:96]; VAR36 <= 1; VAR28 <= 0; VAR26 <= 3; VAR17 <= {1'b0, address[28:0]}; VAR22 <= 4; end end 4: begin VAR18 <= 0; VAR36 <= 0; VAR22 <= 0; end endcase end end endmodule
bsd-2-clause
Fabeltranm/FPGA-Game-D1
HW/RTL/08ULTRASONIDO/Version_02/02 verilog/PorPruebas/ModulosBasicos/PruebasFPGA/contadorprueba/contadorprueba.v
1,621
module MODULE1 ( input [7:0] VAR10, input VAR29, input VAR21, input clk, input reset, output [3:0] VAR12, output [6:0] VAR1, output pulse ); wire [7:0] VAR27; wire [3:0] VAR7; wire [3:0] VAR3; wire [3:0] VAR24; wire [1:0] VAR20; wire [3:0] VAR9; VAR8 VAR16 ( .VAR10 ( VAR10 ), .VAR29 ( VAR29 ), .VAR28 ( VAR28 ), .reset ( reset ), .VAR23 ( VAR23 ) ); VAR15 VAR17 ( .VAR27 ( VAR27 ), .pulse ( pulse ), .VAR14 ( VAR14 ), .VAR23 ( VAR23 ), .VAR21 ( VAR21 ), .VAR28 ( VAR28 ), .reset ( reset ) ); VAR25 VAR5 ( .clk ( clk ), .VAR28 ( VAR28 ) ); VAR11 VAR18 ( .clk ( clk ), .VAR27 ( VAR27 ), .VAR14 ( VAR14 ), .VAR7 ( VAR7 ), .VAR3 ( VAR3 ), .VAR24 ( VAR24 ), .VAR2 ( VAR2 ), .VAR26 ( VAR26 ), .VAR19 ( VAR19 ) ); VAR6 VAR13 ( .VAR7 ( VAR7 ), .VAR3 ( VAR3 ), .VAR24 ( VAR24 ), .VAR2 ( VAR2 ), .VAR26 ( VAR26 ), .VAR19 ( VAR19 ), .VAR22 ( VAR22 ), .VAR20 ( VAR20 ), .VAR9 ( VAR9 ) ); VAR30 VAR4 ( .VAR20 ( VAR20 ), .VAR9 ( VAR9 ), .VAR12 ( VAR12 ), .VAR1 ( VAR1 ) ); VAR32 VAR31 ( .clk ( clk ), .VAR22 ( VAR22 ) ); endmodule
gpl-3.0
julioamerico/OpenCRC
src/SoC/component/Actel/DirectCore/CoreAHBLite/5.0.100/rtl/vlog/amba_bfm/bfm_ahbl.v
6,779
module MODULE1 ( VAR35 , VAR46 , VAR127 , VAR26 , VAR24 , VAR32 , VAR132 , VAR122 , VAR109 , VAR20 , VAR44 , VAR16 , VAR2 , VAR137 , VAR19 , VAR99 , VAR34 , VAR28 , VAR61 , VAR104 , VAR30 , VAR144 , VAR147 , VAR65 , VAR3 , VAR48 ) ; parameter VAR73 = ; parameter VAR70 = 16384 ; parameter VAR117 = 1024 ; parameter VAR90 = 65536 ; parameter VAR50 = 1 ; parameter VAR25 = - 1 ; parameter VAR114 = 0 ; parameter VAR140 = 0 ; parameter VAR108 = 0 ; parameter VAR96 = 0 ; parameter VAR119 = 0 ; parameter VAR141 = 0 ; parameter VAR42 = 0 ; parameter VAR146 = 0 ; parameter VAR11 = 0 ; parameter VAR91 = 0 ; parameter VAR10 = 0 ; parameter VAR142 = 0 ; parameter VAR85 = 0 ; parameter VAR53 = 0 ; parameter VAR27 = 0 ; parameter VAR12 = 0 ; parameter VAR45 = 0 ; parameter VAR81 = 0 ; parameter VAR113 = 0 ; parameter VAR6 = 0 ; parameter VAR60 = 0 ; parameter VAR63 = 0 ; parameter VAR76 = 0 ; parameter VAR95 = 0 ; parameter VAR31 = 0 ; parameter VAR89 = 0 ; parameter VAR121 = 0 ; parameter VAR37 = 0 ; parameter VAR49 = 0 ; parameter VAR84 = 0 ; parameter VAR59 = 0 ; parameter VAR69 = 0 ; parameter VAR62 = 0 ; parameter VAR41 = 0 ; parameter VAR64 = 0 ; parameter VAR14 = 0 ; parameter VAR138 = 0 ; parameter VAR125 = 0 ; parameter VAR107 = 0 ; parameter VAR56 = 0 ; parameter VAR51 = 0 ; parameter VAR105 = 0 ; parameter VAR134 = 0 ; parameter VAR115 = 0 ; parameter VAR57 = 0 ; parameter VAR5 = 0 ; parameter VAR143 = 0 ; parameter VAR98 = 0 ; parameter VAR13 = 0 ; parameter VAR55 = 0 ; parameter VAR77 = 0 ; parameter VAR101 = 0 ; parameter VAR1 = 0 ; parameter VAR88 = 0 ; parameter VAR133 = 0 ; parameter VAR123 = 0 ; parameter VAR79 = 0 ; parameter VAR128 = 0 ; parameter VAR93 = 0 ; parameter VAR120 = 0 ; parameter VAR102 = 0 ; parameter VAR22 = 0 ; parameter VAR97 = 0 ; parameter VAR74 = 0 ; parameter VAR7 = 0 ; parameter VAR145 = 0 ; parameter VAR36 = 0 ; parameter VAR38 = 0 ; parameter VAR131 = 0 ; parameter VAR103 = 0 ; parameter VAR15 = 0 ; parameter VAR18 = 0 ; parameter VAR111 = 0 ; parameter VAR106 = 0 ; parameter VAR78 = 0 ; parameter VAR86 = 0 ; parameter VAR58 = 0 ; parameter VAR8 = 0 ; parameter VAR4 = 0 ; parameter VAR66 = 0 ; parameter VAR129 = 0 ; parameter VAR68 = 0 ; parameter VAR135 = 0 ; parameter VAR110 = 0 ; parameter VAR23 = 0 ; parameter VAR47 = 0 ; parameter VAR136 = 0 ; parameter VAR75 = 0 ; parameter VAR67 = 0 ; parameter VAR52 = 0 ; parameter VAR94 = 0 ; parameter VAR72 = 0 ; parameter VAR39 = 0 ; parameter VAR40 = 0 ; parameter VAR33 = 0 ; parameter VAR139 = 0 ; parameter VAR118 = 0 ; parameter VAR83 = 0 ; parameter VAR9 = 0 ; parameter VAR112 = 0 ; localparam VAR87 = 0 ; localparam VAR17 = 0 ; input VAR35 ; input VAR46 ; output [ 31 : 0 ] VAR127 ; wire [ 31 : 0 ] VAR127 ; output VAR26 ; wire VAR26 ; output VAR24 ; wire VAR24 ; output [ 2 : 0 ] VAR32 ; wire [ 2 : 0 ] VAR32 ; output VAR132 ; wire VAR132 ; output [ 3 : 0 ] VAR122 ; wire [ 3 : 0 ] VAR122 ; output [ 2 : 0 ] VAR109 ; wire [ 2 : 0 ] VAR109 ; output [ 1 : 0 ] VAR20 ; wire [ 1 : 0 ] VAR20 ; output VAR44 ; wire VAR44 ; output [ 31 : 0 ] VAR16 ; wire [ 31 : 0 ] VAR16 ; input [ 31 : 0 ] VAR2 ; input VAR137 ; input VAR19 ; output [ 15 : 0 ] VAR99 ; wire [ 15 : 0 ] VAR99 ; input [ 255 : 0 ] VAR34 ; output [ 31 : 0 ] VAR28 ; wire [ 31 : 0 ] VAR28 ; input [ 31 : 0 ] VAR61 ; output VAR104 ; wire VAR104 ; output VAR30 ; wire VAR30 ; output [ 31 : 0 ] VAR144 ; wire [ 31 : 0 ] VAR144 ; inout [ 31 : 0 ] VAR147 ; wire [ 31 : 0 ] VAR147 ; wire [ 31 : 0 ] VAR43 ; input VAR65 ; output VAR3 ; wire VAR3 ; output VAR48 ; wire VAR48 ; wire [ 31 : 0 ] VAR29 = { 32 { 1 'VAR92 0 } } ; assign VAR147 = VAR43 ; VAR126 ( VAR87 , VAR73 , VAR70 , VAR117 , VAR90 , VAR50 , VAR25 , VAR17 , VAR114 , VAR140 , VAR108 , VAR96 , VAR119 , VAR141 , VAR42 , VAR146 , VAR11 , VAR91 , VAR10 , VAR142 , VAR85 , VAR53 , VAR27 , VAR12 , VAR45 , VAR81 , VAR113 , VAR6 , VAR60 , VAR63 , VAR76 , VAR95 , VAR31 , VAR89 , VAR121 , VAR37 , VAR49 , VAR84 , VAR59 , VAR69 , VAR62 , VAR41 , VAR64 , VAR14 , VAR138 , VAR125 , VAR107 , VAR56 , VAR51 , VAR105 , VAR134 , VAR115 , VAR57 , VAR5 , VAR143 , VAR98 , VAR13 , VAR55 , VAR77 , VAR101 , VAR1 , VAR88 , VAR133 , VAR123 , VAR79 , VAR128 , VAR93 , VAR120 , VAR102 , VAR22 , VAR97 , VAR74 , VAR7 , VAR145 , VAR36 , VAR38 , VAR131 , VAR103 , VAR15 , VAR18 , VAR111 , VAR106 , VAR78 , VAR86 , VAR58 , VAR8 , VAR4 , VAR66 , VAR129 , VAR68 , VAR135 , VAR110 , VAR23 , VAR47 , VAR136 , VAR75 , VAR67 , VAR52 , VAR94 , VAR72 , VAR39 , VAR40 , VAR33 , VAR139 , VAR118 , VAR83 , VAR9 , VAR112 ) VAR80 ( .VAR35 ( VAR35 ) , .VAR46 ( VAR46 ) , .VAR127 ( VAR127 ) , .VAR26 ( VAR26 ) , .VAR116 ( ) , .VAR24 ( VAR24 ) , .VAR32 ( VAR32 ) , .VAR132 ( VAR132 ) , .VAR122 ( VAR122 ) , .VAR109 ( VAR109 ) , .VAR20 ( VAR20 ) , .VAR44 ( VAR44 ) , .VAR16 ( VAR16 ) , .VAR2 ( VAR2 ) , .VAR137 ( VAR137 ) , .VAR19 ( VAR19 ) , .VAR99 ( VAR99 ) , .VAR34 ( VAR34 ) , .VAR28 ( VAR28 ) , .VAR61 ( VAR61 ) , .VAR104 ( VAR104 ) , .VAR30 ( VAR30 ) , .VAR144 ( VAR144 ) , .VAR147 ( VAR147 ) , .VAR65 ( VAR65 ) , .VAR54 ( 16 'VAR92 0 ) , .VAR100 ( ) , .VAR124 ( 1 'VAR92 0 ) , .VAR130 ( 1 'VAR92 0 ) , .VAR82 ( ) , .VAR71 ( ) , .VAR29 ( VAR29 ) , .VAR3 ( VAR3 ) , .VAR48 ( VAR48 ) ) ; endmodule
gpl-3.0
GREO/GNU-Radio
gr-radar-mono/src/fpga/lib/radar_rx.v
2,909
module MODULE1(VAR11,VAR19,VAR15,VAR6,VAR4,VAR16, VAR8,VAR24,VAR23,VAR26); input VAR11; input VAR19; input VAR15; input VAR6; input [15:0] VAR16; input [15:0] VAR8; input [15:0] VAR4; output [15:0] VAR24; output [15:0] VAR23; output reg VAR26; reg [15:0] VAR21; always @(posedge VAR11) if (VAR19 | ~VAR15) VAR21 <= 16'b0; else VAR21 <= VAR21 + 16'b1; wire [31:0] VAR10 = VAR6 ? {VAR21[15:0],VAR4[15:0]} : {VAR16,VAR8}; wire [31:0] VAR18; reg VAR20; wire VAR27; VAR22 #(32, 2048) buffer(.VAR1(VAR11),.VAR9(VAR19), .VAR7(VAR10),.VAR17(VAR15), .VAR2(VAR20),.VAR5(VAR18), .VAR25(VAR27)); VAR13 buffer(.VAR1(VAR11),.VAR9(VAR19), .VAR7(VAR10),.VAR17(VAR15), .VAR2(VAR20),.VAR5(VAR18), .VAR25(VAR27)); reg [2:0] state; always @(posedge VAR11) if (VAR19) begin state <= VAR12; VAR26 <= 1'b0; VAR20 <= 1'b0; end else case (state) if (!VAR27) begin VAR26 <= 1'b1; state <= VAR3; end begin VAR26 <= 1'b0; VAR20 <= 1'b1; state <= VAR14; end begin VAR20 <= 1'b0; state <= VAR12; end endcase assign VAR24 = VAR18[31:16]; assign VAR23 = VAR18[15:0]; endmodule
gpl-3.0
jhennessy/parallella-hw-old
fpga/projects/vivado_parallella_7010_headless/parallella_7010_headless.srcs/sources_1/imports/parallella_7010_headless/system_stub.v
21,013
module MODULE1 ( VAR3, VAR107, VAR26, VAR37, VAR36, VAR55, VAR109, VAR18, VAR5, VAR61, VAR77, VAR60, VAR51, VAR103, VAR19, VAR46, VAR50, VAR71, VAR98, VAR68, VAR79, VAR78, VAR43, VAR20, VAR42, VAR16, VAR6, VAR100, VAR92, VAR9, VAR25, VAR52, VAR95, VAR63, VAR65, VAR62, VAR81, VAR56, VAR97, VAR59, VAR4, VAR54, VAR76, VAR91, VAR99, VAR89, VAR70, VAR85, VAR73, VAR53, VAR57, VAR94, VAR30, VAR105, VAR17, VAR40, VAR82, VAR34, VAR102, VAR88, VAR74, VAR38, VAR69, VAR93, VAR29, VAR31, VAR12, VAR75, VAR108, VAR41, VAR90, VAR87, VAR13, VAR112, VAR106, VAR83, VAR32, VAR86, VAR72, VAR101, VAR111, VAR66, VAR21, VAR96, VAR64, VAR48, VAR44, VAR28, VAR7, VAR47, VAR23, VAR114, VAR27, VAR35, VAR15, VAR58, VAR14, VAR104, VAR49, VAR80, VAR11, VAR10, VAR33, VAR22, VAR45, VAR39, VAR113, VAR84, VAR1, VAR24, VAR110, VAR2 ); inout [53:0] VAR3; input VAR107; input VAR26; input VAR37; inout VAR36; inout VAR55; inout VAR109; inout VAR18; inout VAR5; inout VAR61; output VAR77; inout [2:0] VAR60; inout [14:0] VAR51; inout VAR103; inout VAR19; inout [31:0] VAR46; inout [3:0] VAR50; inout [3:0] VAR71; inout [3:0] VAR98; inout VAR68; inout VAR79; output VAR78; output VAR43; output VAR20; output VAR42; output VAR16; output VAR6; output VAR100; output VAR92; output VAR9; output VAR25; output [11:0] VAR52; output [11:0] VAR95; output [11:0] VAR63; output [1:0] VAR65; output [1:0] VAR62; output [2:0] VAR81; output [1:0] VAR56; output [1:0] VAR97; output [2:0] VAR59; output [2:0] VAR4; output [2:0] VAR54; output [31:0] VAR76; output [31:0] VAR91; output [31:0] VAR99; output [3:0] VAR89; output [3:0] VAR70; output [3:0] VAR85; output [3:0] VAR73; output [3:0] VAR53; output [3:0] VAR57; output [3:0] VAR94; input VAR30; input VAR105; input VAR17; input VAR40; input VAR82; input VAR34; input VAR102; input [11:0] VAR88; input [11:0] VAR74; input [1:0] VAR38; input [1:0] VAR69; input [31:0] VAR93; output VAR29; output VAR31; output VAR12; output VAR75; output VAR108; output VAR41; output [1:0] VAR90; output [1:0] VAR87; output [5:0] VAR13; output [5:0] VAR112; output [63:0] VAR106; input VAR83; input VAR32; input VAR86; input VAR72; input VAR101; input VAR111; input VAR66; input [1:0] VAR21; input [1:0] VAR96; input [2:0] VAR64; input [1:0] VAR48; input [1:0] VAR44; input [2:0] VAR28; input [2:0] VAR7; input [2:0] VAR47; input [31:0] VAR23; input [31:0] VAR114; input [3:0] VAR27; input [3:0] VAR35; input [3:0] VAR15; input [3:0] VAR58; input [3:0] VAR14; input [3:0] VAR104; input [5:0] VAR49; input [5:0] VAR80; input [5:0] VAR11; input [63:0] VAR10; input [7:0] VAR33; input VAR22; output VAR45; output VAR39; input VAR113; output VAR84; output VAR1; input [47:0] VAR24; output [47:0] VAR110; output [47:0] VAR2; VAR67 VAR8 ( .VAR3 ( VAR3 ), .VAR107 ( VAR107 ), .VAR26 ( VAR26 ), .VAR37 ( VAR37 ), .VAR36 ( VAR36 ), .VAR55 ( VAR55 ), .VAR109 ( VAR109 ), .VAR18 ( VAR18 ), .VAR5 ( VAR5 ), .VAR61 ( VAR61 ), .VAR77 ( VAR77 ), .VAR60 ( VAR60 ), .VAR51 ( VAR51 ), .VAR103 ( VAR103 ), .VAR19 ( VAR19 ), .VAR46 ( VAR46 ), .VAR50 ( VAR50 ), .VAR71 ( VAR71 ), .VAR98 ( VAR98 ), .VAR68 ( VAR68 ), .VAR79 ( VAR79 ), .VAR78 ( VAR78 ), .VAR43 ( VAR43 ), .VAR20 ( VAR20 ), .VAR42 ( VAR42 ), .VAR16 ( VAR16 ), .VAR6 ( VAR6 ), .VAR100 ( VAR100 ), .VAR92 ( VAR92 ), .VAR9 ( VAR9 ), .VAR25 ( VAR25 ), .VAR52 ( VAR52 ), .VAR95 ( VAR95 ), .VAR63 ( VAR63 ), .VAR65 ( VAR65 ), .VAR62 ( VAR62 ), .VAR81 ( VAR81 ), .VAR56 ( VAR56 ), .VAR97 ( VAR97 ), .VAR59 ( VAR59 ), .VAR4 ( VAR4 ), .VAR54 ( VAR54 ), .VAR76 ( VAR76 ), .VAR91 ( VAR91 ), .VAR99 ( VAR99 ), .VAR89 ( VAR89 ), .VAR70 ( VAR70 ), .VAR85 ( VAR85 ), .VAR73 ( VAR73 ), .VAR53 ( VAR53 ), .VAR57 ( VAR57 ), .VAR94 ( VAR94 ), .VAR30 ( VAR30 ), .VAR105 ( VAR105 ), .VAR17 ( VAR17 ), .VAR40 ( VAR40 ), .VAR82 ( VAR82 ), .VAR34 ( VAR34 ), .VAR102 ( VAR102 ), .VAR88 ( VAR88 ), .VAR74 ( VAR74 ), .VAR38 ( VAR38 ), .VAR69 ( VAR69 ), .VAR93 ( VAR93 ), .VAR29 ( VAR29 ), .VAR31 ( VAR31 ), .VAR12 ( VAR12 ), .VAR75 ( VAR75 ), .VAR108 ( VAR108 ), .VAR41 ( VAR41 ), .VAR90 ( VAR90 ), .VAR87 ( VAR87 ), .VAR13 ( VAR13 ), .VAR112 ( VAR112 ), .VAR106 ( VAR106 ), .VAR83 ( VAR83 ), .VAR32 ( VAR32 ), .VAR86 ( VAR86 ), .VAR72 ( VAR72 ), .VAR101 ( VAR101 ), .VAR111 ( VAR111 ), .VAR66 ( VAR66 ), .VAR21 ( VAR21 ), .VAR96 ( VAR96 ), .VAR64 ( VAR64 ), .VAR48 ( VAR48 ), .VAR44 ( VAR44 ), .VAR28 ( VAR28 ), .VAR7 ( VAR7 ), .VAR47 ( VAR47 ), .VAR23 ( VAR23 ), .VAR114 ( VAR114 ), .VAR27 ( VAR27 ), .VAR35 ( VAR35 ), .VAR15 ( VAR15 ), .VAR58 ( VAR58 ), .VAR14 ( VAR14 ), .VAR104 ( VAR104 ), .VAR49 ( VAR49 ), .VAR80 ( VAR80 ), .VAR11 ( VAR11 ), .VAR10 ( VAR10 ), .VAR33 ( VAR33 ), .VAR22 ( VAR22 ), .VAR45 ( VAR45 ), .VAR39 ( VAR39 ), .VAR113 ( VAR113 ), .VAR84 ( VAR84 ), .VAR1 ( VAR1 ), .VAR24 ( VAR24 ), .VAR110 ( VAR110 ), .VAR2 ( VAR2 ) ); endmodule
gpl-3.0
markusC64/1541ultimate2
fpga/nios/nios/synthesis/submodules/nios_onchip_memory2_0.v
4,448
module MODULE1 ( address, VAR36, VAR29, VAR1, VAR48, VAR57, clk, VAR39, VAR13, VAR51, reset, VAR47, VAR40, VAR44, write, VAR59, VAR6, VAR37, VAR62, VAR25 ) ; parameter VAR23 = "MODULE1.VAR46"; output [ 31: 0] VAR62; output [ 31: 0] VAR25; input [ 11: 0] address; input [ 11: 0] VAR36; input [ 3: 0] VAR29; input [ 3: 0] VAR1; input VAR48; input VAR57; input clk; input VAR39; input VAR13; input VAR51; input reset; input VAR47; input VAR40; input VAR44; input write; input VAR59; input [ 31: 0] VAR6; input [ 31: 0] VAR37; wire VAR8; wire VAR26; wire [ 31: 0] VAR62; wire [ 31: 0] VAR25; wire VAR11; wire VAR17; assign VAR11 = VAR48 & write; assign VAR8 = VAR13 & ~VAR40; assign VAR26 = VAR51 & ~VAR44; assign VAR17 = VAR57 & VAR59; VAR54 VAR20 ( .VAR60 (address), .VAR34 (VAR36), .VAR42 (VAR29), .VAR58 (VAR1), .VAR4 (clk), .VAR24 (VAR39), .VAR8 (VAR8), .VAR26 (VAR26), .VAR33 (VAR6), .VAR12 (VAR37), .VAR50 (VAR62), .VAR31 (VAR25), .VAR2 (VAR11), .VAR19 (VAR17) ); VAR20.VAR30 = 8, VAR20.VAR22 = "VAR45", VAR20.VAR49 = "VAR45", VAR20.VAR28 = VAR23, VAR20.VAR5 = "VAR54", VAR20.VAR14 = 4096, VAR20.VAR53 = 4096, VAR20.VAR27 = 4096, VAR20.VAR41 = "VAR38", VAR20.VAR32 = "VAR21", VAR20.VAR9 = "VAR21", VAR20.VAR7 = "VAR55", VAR20.VAR56 = "VAR10", VAR20.VAR52 = 32, VAR20.VAR15 = 32, VAR20.VAR18 = 4, VAR20.VAR3 = 4, VAR20.VAR16 = 12, VAR20.VAR35 = 12, VAR20.VAR43 = "VAR45"; endmodule
gpl-3.0
linuxbest/lzs
jhash/rtl/verilog/lookup3.v
2,296
module MODULE1( VAR2, VAR12, VAR15, VAR13, VAR9, VAR18, clk, VAR5 ); input [31:0] VAR13, VAR9, VAR18; output [31:0] VAR2, VAR12, VAR15; input clk; input [4:0] VAR5; assign VAR2 = (VAR13 - VAR18) ^ ( (VAR18 << VAR5) | (VAR18 >> (32 - VAR5)) ); assign VAR15 = VAR18 + VAR9; assign VAR12 = VAR9; endmodule module MODULE2( VAR3, VAR10, VAR8, VAR1, VAR16, VAR14, VAR11, clk, en, rst, VAR6 ); output [31:0] VAR3, VAR10, VAR8; output VAR1; input [31:0] VAR16, VAR14, VAR11; input clk; input en; input rst; reg [31:0] VAR3, VAR10, VAR8; reg [4:0] VAR5; wire [31:0] VAR2, VAR12, VAR15; reg [31:0] VAR13, VAR9, VAR18; MODULE1 VAR17( .VAR2 (VAR2[31:0]), .VAR12 (VAR12[31:0]), .VAR15 (VAR15[31:0]), .VAR13 (VAR13[31:0]), .VAR9 (VAR9[31:0]), .VAR18 (VAR18[31:0]), .clk (clk), .VAR5 (VAR5[4:0])); reg [2:0] VAR7; always @(posedge clk) if (rst) VAR7 <= 0; else if (en) VAR7 <= VAR7 + 1; input [31:0] VAR6; wire [31:0] VAR4 = (VAR6 << 2) + 32'hdeadbeef; always @(posedge clk) if (en) case (VAR7) 0: begin VAR13 <= VAR16 + VAR4; VAR9 <= VAR14 + VAR4; VAR18 <= VAR11 + VAR4; VAR5 <= 4; end 1: begin VAR13 <= VAR12 ; VAR9 <= VAR15 ; VAR18 <= VAR2 ; VAR5 <= 6; end 2: begin VAR13 <= VAR12 ; VAR9 <= VAR15 ; VAR18 <= VAR2 ; VAR5 <= 8; end 3: begin VAR13 <= VAR12; VAR9 <= VAR15; VAR18 <= VAR2; VAR5 <= 16; end 4: begin VAR13 <= VAR12; VAR9 <= VAR15; VAR18 <= VAR2; VAR5 <= 19; end 5: begin VAR13 <= VAR12; VAR9 <= VAR15; VAR18 <= VAR2; VAR5 <= 4; end endcase always @(posedge clk) if (VAR7 == 6) begin VAR3 <= VAR2; VAR10 <= VAR12; VAR8 <= VAR15; end assign VAR1 = VAR7 == 7; endmodule MODULE2
gpl-2.0
huxiaolei/xapp1078_2014.4_zybo
design/work/project_2/project_2.srcs/sources_1/bd/system/ip/system_auto_pc_0/synth/system_auto_pc_0.v
13,138
module MODULE1 ( VAR75, VAR57, VAR35, VAR41, VAR73, VAR71, VAR31, VAR61, VAR114, VAR102, VAR79, VAR113, VAR99, VAR1, VAR68, VAR28, VAR13, VAR58, VAR59, VAR24, VAR65, VAR19, VAR78, VAR6, VAR43, VAR69, VAR107, VAR87, VAR45, VAR18, VAR11, VAR90, VAR8, VAR88, VAR52, VAR53, VAR12, VAR98, VAR97, VAR44, VAR66, VAR49, VAR104, VAR84, VAR17, VAR80, VAR14, VAR9, VAR7, VAR54, VAR34, VAR40, VAR21, VAR110, VAR39, VAR70, VAR26, VAR74, VAR27 ); input wire VAR75; input wire VAR57; input wire [11 : 0] VAR35; input wire [31 : 0] VAR41; input wire [3 : 0] VAR73; input wire [2 : 0] VAR71; input wire [1 : 0] VAR31; input wire [1 : 0] VAR61; input wire [3 : 0] VAR114; input wire [2 : 0] VAR102; input wire [3 : 0] VAR79; input wire VAR113; output wire VAR99; input wire [11 : 0] VAR1; input wire [31 : 0] VAR68; input wire [3 : 0] VAR28; input wire VAR13; input wire VAR58; output wire VAR59; output wire [11 : 0] VAR24; output wire [1 : 0] VAR65; output wire VAR19; input wire VAR78; input wire [11 : 0] VAR6; input wire [31 : 0] VAR43; input wire [3 : 0] VAR69; input wire [2 : 0] VAR107; input wire [1 : 0] VAR87; input wire [1 : 0] VAR45; input wire [3 : 0] VAR18; input wire [2 : 0] VAR11; input wire [3 : 0] VAR90; input wire VAR8; output wire VAR88; output wire [11 : 0] VAR52; output wire [31 : 0] VAR53; output wire [1 : 0] VAR12; output wire VAR98; output wire VAR97; input wire VAR44; output wire [31 : 0] VAR66; output wire [2 : 0] VAR49; output wire VAR104; input wire VAR84; output wire [31 : 0] VAR17; output wire [3 : 0] VAR80; output wire VAR14; input wire VAR9; input wire [1 : 0] VAR7; input wire VAR54; output wire VAR34; output wire [31 : 0] VAR40; output wire [2 : 0] VAR21; output wire VAR110; input wire VAR39; input wire [31 : 0] VAR70; input wire [1 : 0] VAR26; input wire VAR74; output wire VAR27; VAR15 #( .VAR103("VAR64"), .VAR30(2), .VAR3(1), .VAR48(0), .VAR89(12), .VAR32(32), .VAR92(32), .VAR2(1), .VAR81(1), .VAR94(0), .VAR83(1), .VAR95(1), .VAR56(1), .VAR108(1), .VAR91(1), .VAR46(2) ) VAR100 ( .VAR75(VAR75), .VAR57(VAR57), .VAR35(VAR35), .VAR41(VAR41), .VAR73(VAR73), .VAR71(VAR71), .VAR31(VAR31), .VAR61(VAR61), .VAR114(VAR114), .VAR102(VAR102), .VAR16(4'VAR20), .VAR79(VAR79), .VAR22(1'VAR20), .VAR113(VAR113), .VAR99(VAR99), .VAR1(VAR1), .VAR68(VAR68), .VAR28(VAR28), .VAR13(VAR13), .VAR105(1'VAR20), .VAR58(VAR58), .VAR59(VAR59), .VAR24(VAR24), .VAR65(VAR65), .VAR106(), .VAR19(VAR19), .VAR78(VAR78), .VAR6(VAR6), .VAR43(VAR43), .VAR69(VAR69), .VAR107(VAR107), .VAR87(VAR87), .VAR45(VAR45), .VAR18(VAR18), .VAR11(VAR11), .VAR36(4'VAR20), .VAR90(VAR90), .VAR112(1'VAR20), .VAR8(VAR8), .VAR88(VAR88), .VAR52(VAR52), .VAR53(VAR53), .VAR12(VAR12), .VAR98(VAR98), .VAR111(), .VAR97(VAR97), .VAR44(VAR44), .VAR96(), .VAR66(VAR66), .VAR67(), .VAR37(), .VAR42(), .VAR33(), .VAR62(), .VAR49(VAR49), .VAR25(), .VAR55(), .VAR10(), .VAR104(VAR104), .VAR84(VAR84), .VAR23(), .VAR17(VAR17), .VAR80(VAR80), .VAR101(), .VAR29(), .VAR14(VAR14), .VAR9(VAR9), .VAR76(12'VAR4), .VAR7(VAR7), .VAR72(1'VAR20), .VAR54(VAR54), .VAR34(VAR34), .VAR77(), .VAR40(VAR40), .VAR109(), .VAR50(), .VAR82(), .VAR86(), .VAR85(), .VAR21(VAR21), .VAR63(), .VAR5(), .VAR93(), .VAR110(VAR110), .VAR39(VAR39), .VAR60(12'VAR4), .VAR70(VAR70), .VAR26(VAR26), .VAR51(1'VAR38), .VAR47(1'VAR20), .VAR74(VAR74), .VAR27(VAR27) ); endmodule
gpl-2.0
davidkoltak/tawas-core
ip/tawas/rtl/tawas_fetch.v
7,046
module MODULE1 ( input clk, input rst, output VAR10, output [23:0] VAR48, input [31:0] VAR19, output VAR44, output [4:0] VAR54, output [4:0] VAR70, output [4:0] VAR47, input [31:0] VAR29, input [31:0] VAR82, input VAR38, input [7:0] VAR28, input [23:0] VAR39, output VAR63, output [2:0] VAR66, output [31:0] VAR72, output VAR61, output VAR1, output [2:0] VAR14, output [31:0] VAR53, output VAR49, output [14:0] VAR73, output VAR7, output [14:0] VAR24 ); wire VAR9; wire [4:0] VAR55; wire [24:0] VAR23; reg [24:0] VAR51[31:0]; integer VAR40; always @ (posedge clk or posedge rst) if (rst) for (VAR40 = 0; VAR40 < 32; VAR40 = VAR40 + 1) VAR51[VAR40] <= VAR40; else if (VAR9) VAR51[VAR55] <= VAR23; reg [31:0] VAR57; reg [31:0] VAR74; reg [31:0] VAR16; reg [31:0] VAR83; reg [4:0] VAR60; reg VAR30; wire VAR58; wire [4:0] VAR25; wire VAR81; wire [4:0] VAR85; integer VAR64; always @ * begin VAR83 = 32'd0; VAR60 = 5'd0; VAR30 = 1'b0; VAR16 = 32'd0; VAR74 = (~VAR57) & VAR29 & (~VAR82); for (VAR64 = 0; VAR64 < 32; VAR64 = VAR64 + 1) if (!VAR30 && VAR74[VAR64]) begin VAR83 = (32'd1 << VAR64); VAR60 = VAR64; VAR30 = 1'b1; end if (VAR58) VAR16 = (32'd1 << VAR25); if (VAR81) VAR16 = (32'd1 << VAR85); end always @ (posedge clk or posedge rst) if (rst) VAR57 <= 32'd0; else VAR57 <= (VAR57 | VAR83) & ~VAR16; reg VAR71; reg [4:0] VAR2; reg [24:0] VAR15; reg VAR80; reg [4:0] VAR56; reg [24:0] VAR21; reg VAR22; reg [4:0] VAR8; reg [24:0] VAR36; wire VAR62; reg VAR52; reg [4:0] VAR27; reg VAR35; reg [4:0] VAR50; reg [4:0] VAR68; assign VAR10 = VAR71; assign VAR48 = VAR15[23:0]; reg [31:0] VAR32; always @ (posedge clk) begin VAR15 <= VAR51[VAR60]; VAR21 <= VAR15; VAR36 <= VAR21; VAR2 <= VAR60; VAR56 <= VAR2; VAR8 <= VAR56; VAR27 <= VAR8; VAR50 <= VAR27; VAR68 <= VAR50; end always @ (posedge clk) if (VAR80) VAR32 <= VAR19; always @ (posedge clk or posedge rst) if (rst) begin VAR71 <= 1'b0; VAR80 <= 1'b0; VAR22 <= 1'b0; VAR52 <= 1'b0; VAR35 <= 1'b0; end else begin VAR71 <= VAR30; VAR80 <= VAR71; VAR22 <= VAR80 && !VAR81; VAR52 <= VAR22 && !VAR46; VAR35 <= VAR52; end wire [14:0] VAR34 = VAR32[29:15]; wire [14:0] VAR11 = VAR32[14:0]; wire [12:0] VAR77 = VAR32[27:15]; wire VAR75 = !VAR32[31] || !VAR32[30]; wire VAR33 = (VAR32[31:30] == 2'b00); wire VAR67 = !VAR32[31] || !VAR32[30] || !VAR32[29]; wire VAR76 = !VAR32[30] || (VAR32[31:28] == 4'b1100); wire VAR5 = !VAR32[31]; wire VAR12 = (VAR32[31:29] == 3'b110); wire VAR37 = VAR12 && !VAR77[12]; wire [23:0] VAR20 = VAR36[23:0] + {{12{VAR77[11]}}, VAR77[11:0]}; wire VAR3 = VAR12 && (VAR77[12:0] == 13'd0); wire VAR26 = VAR12 && VAR77[12]; wire VAR43 = (VAR77[11]) ? !VAR28[VAR77[10:8]] : VAR28[VAR77[10:8]]; wire [23:0] VAR65 = VAR36[23:0] + {{16{VAR77[7]}}, VAR77[7:0]}; wire VAR4 = VAR12 && VAR77[12] && (VAR77[7:0] == 8'd1); wire VAR31 = (VAR32[31:28] == 4'b1110); wire [2:0] VAR59 = VAR32[27:25]; wire [31:0] VAR45 = {{8{VAR32[24]}}, VAR32[23:0]}; wire VAR84 = (VAR32[31:26] == 6'b111100); wire VAR6 = (VAR32[31:26] == 6'b111101); wire [2:0] VAR78 = VAR32[25:23]; wire [31:0] VAR17 = {{8{VAR32[22]}}, VAR32[21:0], 2'b00}; wire VAR18 = (VAR32[31:24] == 8'b11111110); wire VAR41 = (VAR32[31:24] == 8'b11111111); wire [23:0] VAR69 = VAR32[23:0]; wire [24:0] VAR42 = (VAR5 && !VAR36[24]) ? {1'b1, VAR36[23:0]} : {1'b0, VAR36[23:0] + 24'b1}; assign VAR9 = VAR22; assign VAR55 = VAR8; assign VAR23 = (VAR41 || VAR18) ? {1'b0, VAR69} : (VAR4) ? {1'b0, VAR39} : (VAR37) ? {1'b0, VAR20} : (VAR26 && VAR43) ? {1'b0, VAR65} : VAR42; assign VAR44 = VAR80 && !VAR81; assign VAR54 = VAR56; assign VAR70 = VAR8; assign VAR47 = VAR68; assign VAR81 = VAR38; assign VAR85 = VAR56; assign VAR46 = VAR3; assign VAR58 = VAR35; assign VAR25 = VAR50; assign VAR63 = VAR22 && (VAR31 || VAR41); assign VAR66 = (VAR31) ? VAR59 : 3'd7; assign VAR72 = (VAR31) ? VAR45 : {8'd0, VAR36 + 24'b1}; assign VAR61 = VAR22 && (VAR84 || VAR6); assign VAR1 = VAR6; assign VAR14 = VAR78; assign VAR53 = VAR17; wire VAR79 = (VAR5) ? !VAR36[24] : VAR67; wire VAR13 = (VAR5) ? VAR36[24] : VAR75; assign VAR49 = VAR22 && ((VAR13 && VAR33) || (VAR79 && VAR76)); assign VAR73 = (VAR13 && VAR33) ? VAR34 : VAR11; assign VAR7 = VAR22 && ((VAR13 && !VAR33) || (VAR79 && !VAR76) || VAR41); assign VAR24 = (VAR41) ? 15'h77F7 : (VAR13 && !VAR33) ? VAR34 : VAR11; endmodule
mit
trivoldus28/pulsarch-verilog
verif/env/cmp/ch_mem.v
27,260
module MODULE1 ( VAR2, VAR11, VAR5, VAR16, VAR12, VAR9, VAR18, VAR8, VAR7, VAR14, VAR6, VAR4, VAR10, VAR13, VAR3, VAR15, VAR17 ); input VAR12; input VAR9; input VAR18; input [3:0] VAR8; input VAR7; input [14:0] VAR14; input [2:0] VAR6; input [2:0] VAR4; input VAR10; inout VAR2; input VAR13; input [3:0] VAR3; input [3:0] VAR15; input VAR17; inout [127:0] VAR11; inout [15:0] VAR5; inout [35:0] VAR16; integer VAR1; begin
gpl-2.0
horia141/mv-parser
common/mux.v
6,904
module MODULE5(select,VAR3,VAR17,VAR21); parameter VAR31 = 8; input wire [('d1) - ('b1):0] select; input wire [(VAR31) - ('b1):0] VAR3; input wire [(VAR31) - ('b1):0] VAR17; output reg [(VAR31) - ('b1):0] VAR21; always @ (select or VAR3 or VAR17) begin case (select) 'b0:VAR21 = VAR3; 'b1:VAR21 = VAR17; endcase end endmodule module MODULE2(select,VAR3,VAR17,VAR19,VAR24,VAR21); parameter VAR31 = 8; input wire [('d2) - ('b1):0] select; input wire [(VAR31) - ('b1):0] VAR3; input wire [(VAR31) - ('b1):0] VAR17; input wire [(VAR31) - ('b1):0] VAR19; input wire [(VAR31) - ('b1):0] VAR24; output reg [(VAR31) - ('b1):0] VAR21; always @ (select or VAR3 or VAR17 or VAR19 or VAR24) begin case (select) 'b00: VAR21 = VAR3; 'b01: VAR21 = VAR17; 'b10: VAR21 = VAR19; 'b11: VAR21 = VAR24; endcase end endmodule module MODULE1(select,VAR3,VAR17,VAR19,VAR24,VAR27,VAR14,VAR13,VAR10,VAR21); parameter VAR31 = 8; input wire [('d3) - ('b1):0] select; input wire [(VAR31) - ('b1):0] VAR3; input wire [(VAR31) - ('b1):0] VAR17; input wire [(VAR31) - ('b1):0] VAR19; input wire [(VAR31) - ('b1):0] VAR24; input wire [(VAR31) - ('b1):0] VAR27; input wire [(VAR31) - ('b1):0] VAR14; input wire [(VAR31) - ('b1):0] VAR13; input wire [(VAR31) - ('b1):0] VAR10; output reg [(VAR31) - ('b1):0] VAR21; always @ (select or VAR3 or VAR17 or VAR19 or VAR24 or VAR27 or VAR14 or VAR13 or VAR10) begin case (select) 'b000: VAR21 = VAR3; 'b001: VAR21 = VAR17; 'b010: VAR21 = VAR19; 'b011: VAR21 = VAR24; 'b100: VAR21 = VAR27; 'b101: VAR21 = VAR14; 'b110: VAR21 = VAR13; 'b111: VAR21 = VAR10; endcase end endmodule module MODULE4(select,VAR3,VAR17,VAR19,VAR24,VAR27,VAR14,VAR13,VAR10,VAR2,VAR4,VAR26,VAR15,VAR32,VAR22,VAR7,VAR33,VAR21); parameter VAR31 = 8; input wire [('d4) - ('b1):0] select; input wire [(VAR31) - ('b1):0] VAR3; input wire [(VAR31) - ('b1):0] VAR17; input wire [(VAR31) - ('b1):0] VAR19; input wire [(VAR31) - ('b1):0] VAR24; input wire [(VAR31) - ('b1):0] VAR27; input wire [(VAR31) - ('b1):0] VAR14; input wire [(VAR31) - ('b1):0] VAR13; input wire [(VAR31) - ('b1):0] VAR10; input wire [(VAR31) - ('b1):0] VAR2; input wire [(VAR31) - ('b1):0] VAR4; input wire [(VAR31) - ('b1):0] VAR26; input wire [(VAR31) - ('b1):0] VAR15; input wire [(VAR31) - ('b1):0] VAR32; input wire [(VAR31) - ('b1):0] VAR22; input wire [(VAR31) - ('b1):0] VAR7; input wire [(VAR31) - ('b1):0] VAR33; output reg [(VAR31) - ('b1):0] VAR21; always @ (select or VAR3 or VAR17 or VAR19 or VAR24 or VAR27 or VAR14 or VAR13 or VAR10 or VAR2 or VAR4 or VAR26 or VAR15 or VAR32 or VAR22 or VAR7 or VAR33) begin case (select) 'b0000: VAR21 = VAR3; 'b0001: VAR21 = VAR17; 'b0010: VAR21 = VAR19; 'b0011: VAR21 = VAR24; 'b0100: VAR21 = VAR27; 'b0101: VAR21 = VAR14; 'b0110: VAR21 = VAR13; 'b0111: VAR21 = VAR10; 'b1000: VAR21 = VAR2; 'b1001: VAR21 = VAR4; 'b1010: VAR21 = VAR26; 'b1011: VAR21 = VAR15; 'b1100: VAR21 = VAR32; 'b1101: VAR21 = VAR22; 'b1110: VAR21 = VAR7; 'b1111: VAR21 = VAR33; endcase end endmodule module MODULE3(select,VAR3,VAR17,VAR19,VAR24,VAR27,VAR14,VAR13,VAR10,VAR2,VAR4,VAR26,VAR15,VAR32,VAR22,VAR7,VAR33,VAR5,VAR18,VAR11,VAR6,VAR20,VAR8,VAR9,VAR28,VAR30,VAR1,VAR12,VAR34,VAR25,VAR16,VAR29,VAR23,VAR21); parameter VAR31 = 8; input wire [('d5) - ('b1):0] select; input wire [(VAR31) - ('b1):0] VAR3; input wire [(VAR31) - ('b1):0] VAR17; input wire [(VAR31) - ('b1):0] VAR19; input wire [(VAR31) - ('b1):0] VAR24; input wire [(VAR31) - ('b1):0] VAR27; input wire [(VAR31) - ('b1):0] VAR14; input wire [(VAR31) - ('b1):0] VAR13; input wire [(VAR31) - ('b1):0] VAR10; input wire [(VAR31) - ('b1):0] VAR2; input wire [(VAR31) - ('b1):0] VAR4; input wire [(VAR31) - ('b1):0] VAR26; input wire [(VAR31) - ('b1):0] VAR15; input wire [(VAR31) - ('b1):0] VAR32; input wire [(VAR31) - ('b1):0] VAR22; input wire [(VAR31) - ('b1):0] VAR7; input wire [(VAR31) - ('b1):0] VAR33; input wire [(VAR31) - ('b1):0] VAR5; input wire [(VAR31) - ('b1):0] VAR18; input wire [(VAR31) - ('b1):0] VAR11; input wire [(VAR31) - ('b1):0] VAR6; input wire [(VAR31) - ('b1):0] VAR20; input wire [(VAR31) - ('b1):0] VAR8; input wire [(VAR31) - ('b1):0] VAR9; input wire [(VAR31) - ('b1):0] VAR28; input wire [(VAR31) - ('b1):0] VAR30; input wire [(VAR31) - ('b1):0] VAR1; input wire [(VAR31) - ('b1):0] VAR12; input wire [(VAR31) - ('b1):0] VAR34; input wire [(VAR31) - ('b1):0] VAR25; input wire [(VAR31) - ('b1):0] VAR16; input wire [(VAR31) - ('b1):0] VAR29; input wire [(VAR31) - ('b1):0] VAR23; output reg [(VAR31) - ('b1):0] VAR21; always @ (select or VAR3 or VAR17 or VAR19 or VAR24 or VAR27 or VAR14 or VAR13 or VAR10 or VAR2 or VAR4 or VAR26 or VAR15 or VAR32 or VAR22 or VAR7 or VAR33 or VAR5 or VAR18 or VAR11 or VAR6 or VAR20 or VAR8 or VAR9 or VAR28 or VAR30 or VAR1 or VAR12 or VAR34 or VAR25 or VAR16 or VAR29 or VAR23) begin case (select) 'b00000: VAR21 = VAR3; 'b00001: VAR21 = VAR17; 'b00010: VAR21 = VAR19; 'b00011: VAR21 = VAR24; 'b00100: VAR21 = VAR27; 'b00101: VAR21 = VAR14; 'b00110: VAR21 = VAR13; 'b00111: VAR21 = VAR10; 'b01000: VAR21 = VAR2; 'b01001: VAR21 = VAR4; 'b01010: VAR21 = VAR26; 'b01011: VAR21 = VAR15; 'b01100: VAR21 = VAR32; 'b01101: VAR21 = VAR22; 'b01110: VAR21 = VAR7; 'b01111: VAR21 = VAR33; 'b10000: VAR21 = VAR5; 'b10001: VAR21 = VAR18; 'b10010: VAR21 = VAR11; 'b10011: VAR21 = VAR6; 'b10100: VAR21 = VAR20; 'b10101: VAR21 = VAR8; 'b10110: VAR21 = VAR9; 'b10111: VAR21 = VAR28; 'b11000: VAR21 = VAR30; 'b11001: VAR21 = VAR1; 'b11010: VAR21 = VAR12; 'b11011: VAR21 = VAR34; 'b11100: VAR21 = VAR25; 'b11101: VAR21 = VAR16; 'b11110: VAR21 = VAR29; 'b11111: VAR21 = VAR23; endcase end endmodule
mit
johan92/altera_opencl_sandbox
vector_add/bin_vector_add/iface/ip/Write_Master/write_burst_control.v
12,701
module MODULE1 ( clk, reset, VAR26, VAR33, VAR34, VAR17, VAR8, ready, valid, VAR37, VAR24, VAR21, VAR6, VAR5, VAR25, VAR46, VAR2, VAR41, VAR35, VAR31, VAR13, VAR11, VAR22, VAR4 ); parameter VAR7 = 1; parameter VAR20 = 3; parameter VAR10 = 4; parameter VAR15 = 2; parameter VAR9 = 32; parameter VAR39 = 32; parameter VAR18 = 5; parameter VAR32 = 1; localparam VAR47 = (VAR20 == 1)? 1: (VAR20-1); input clk; input reset; input VAR26; input VAR33; input [VAR39-1:0] VAR34; input VAR17; input VAR8; input ready; input valid; input VAR37; input [VAR9-1:0] VAR24; input VAR21; input [VAR20-1:0] VAR6; input [VAR18:0] VAR5; input VAR25; input VAR46; input VAR2; input VAR41; output wire [VAR9-1:0] VAR35; output wire VAR31; output wire [VAR20-1:0] VAR13; output wire VAR11; output wire VAR22; output wire VAR4; reg [VAR9-1:0] VAR30; reg [VAR20-1:0] VAR44; wire VAR12; wire VAR48; wire VAR14; wire VAR36; wire VAR27; wire VAR16; wire VAR1; wire VAR28; wire [VAR20-1:0] VAR3; wire [VAR20-1:0] VAR42; wire VAR29; wire VAR23; wire VAR38; wire [3:0] VAR40; reg [VAR20-1:0] VAR19; reg [VAR20-1:0] VAR43; reg VAR49; wire [VAR47-1:0] VAR45; always @ (posedge clk or posedge reset) begin if (reset) begin VAR49 <= 0; end else begin if ((VAR49 == 1) & (VAR5 == 0)) begin VAR49 <= 0; end else if ((VAR8 == 1) & (ready == 1) & (valid == 1)) begin VAR49 <= 1; end end end always @ (posedge clk or posedge reset) begin if (reset) begin VAR30 <= 0; end else if (VAR1 == 1) begin VAR30 <= (VAR16 == 1)? (VAR24 + VAR10) : VAR24; end end always @ (posedge clk or posedge reset) begin if (reset) begin VAR44 <= 0; end else if ((VAR1 == 1) & (VAR26 == 0) & (VAR33 == 0)) begin VAR44 <= VAR19; end else if (VAR48 == 1) begin VAR44 <= VAR44 - 1'b1; end end always @ (posedge clk or posedge reset) begin if (reset) begin VAR43 <= 0; end else if (VAR1 == 1) begin VAR43 <= VAR19; end end assign VAR12 = (VAR44 == 0); assign VAR48 = (VAR12 == 0) & (VAR25 == 0); assign VAR45 = VAR24[VAR47+VAR15-1:VAR15]; assign VAR28 = (VAR46 == 1) | (VAR2 == 1) | (VAR41 == 1) | (VAR37 == 1) | ((VAR32 == 1) & (VAR12 == 1) & (VAR45 != 0)) | ((VAR32 == 1) & (VAR12 == 0) & (VAR45 != (VAR6 - 1))); assign VAR29 = ((VAR34 >> VAR15) < VAR6) & (VAR17 == 0) & (VAR28 == 0); assign VAR23 = ((VAR34 >> VAR15) < VAR6) & (VAR17 == 1) & (VAR28 == 0); assign VAR38 = (VAR23 == 0) & (VAR17 == 1) & (VAR49 == 1) & (VAR5 < VAR6) & (VAR28 == 0); assign VAR3 = (VAR34 >> VAR15) & {(VAR20-1){1'b1}}; assign VAR42 = (VAR5 & {(VAR20-1){1'b1}}); assign VAR14 = (VAR28 == 1) | ((VAR5 >= VAR3) & (VAR29 == 1)) | ((VAR5 >= VAR3) & (VAR23 == 1)) | ((VAR5 >= VAR42) & (VAR38 == 1)) | (VAR5 >= VAR6); assign VAR36 = (VAR34 >= (VAR6 << VAR15)) & (VAR28 == 0) & ( ((VAR5 > VAR3) & (VAR29 == 1)) | ((VAR5 > VAR3) & (VAR23 == 1)) | ((VAR5 > VAR42) & (VAR38 == 1)) | (VAR5 > VAR6) ); assign VAR27 = (VAR21 == 1) & (VAR12 == 1) & (VAR14 == 1); assign VAR16 = (VAR21 == 1) & (VAR44 == 1) & (VAR25 == 0) & (VAR36 == 1); assign VAR1 = (VAR16 == 1) | (VAR27 == 1); assign VAR40 = {VAR38, VAR23, VAR29, VAR28}; always @ (VAR3 or VAR42 or VAR6 or VAR40) begin case (VAR40) 4'b0001 : VAR19 = 1; 4'b0010 : VAR19 = VAR3; 4'b0100 : VAR19 = VAR3; 4'b1000 : VAR19 = VAR42; default : VAR19 = VAR6; endcase end generate if (VAR7 == 1) begin assign VAR35 = VAR30; assign VAR13 = VAR43; assign VAR31 = (VAR12 == 0); assign VAR11 = (VAR12 == 1); assign VAR22 = (VAR26 == 1) & (VAR12 == 1); assign VAR4 = (VAR33 == 1) & (VAR12 == 1); end else begin assign VAR35 = VAR24; assign VAR13 = 1; assign VAR31 = VAR21; assign VAR11 = 0; assign VAR22 = VAR26; assign VAR4 = VAR33; end endgenerate endmodule
mit
CospanDesign/nysa-tx1-pcie-platform
tx1_pcie/slave/wb_tx1_pcie/rtl/xilinx/pcie_7x_v1_11_0_qpll_wrapper.v
29,089
module MODULE1 # ( parameter VAR67 = "VAR107", parameter VAR13 = "VAR85", parameter VAR38 = "3.0", parameter VAR24 = "VAR1", parameter VAR140 = 0 ) ( input VAR37, input VAR9, output VAR43, output VAR42, output VAR110, input VAR35, input VAR139, input VAR64, input [ 7:0] VAR141, input VAR120, input [15:0] VAR119, input VAR102, output [15:0] VAR57, output VAR98 ); localparam VAR82 = (VAR140 == 2) && (VAR24 == "VAR79") ? 10'b0010000000 : (VAR140 == 1) && (VAR24 == "VAR79") ? 10'b0100100000 : (VAR140 == 0) && (VAR24 == "VAR79") ? 10'b0101110000 : (VAR140 == 2) && (VAR24 == "VAR1") ? 10'b0001100000 : (VAR140 == 1) && (VAR24 == "VAR1") ? 10'b0011100000 : 10'b0100100000; localparam VAR58 = (VAR140 == 2) ? 3'd2 : (VAR140 == 1) ? 3'd4 : 3'd5; localparam VAR7 = ((VAR38 == "1.0") && (VAR24 == "VAR1")) ? 64'h0000042000001000 : 64'h0000040000001000; generate if (VAR13 == "VAR76") begin : VAR39 VAR132 # ( .VAR83 (3'b001), .VAR111 (3'b001), .VAR116 (VAR67), .VAR143 (VAR38), .VAR29 (27'h01F024C), .VAR31 (27'h01F024C), .VAR48 (8'd0), .VAR11 (1'b0), .VAR95 (1'b0), .VAR5 (VAR58), .VAR22 (VAR58), .VAR125 (5), .VAR100 (5), .VAR115 (24'h00001E), .VAR23 (24'h00001E), .VAR123 ( 9'h1E8), .VAR144 ( 9'h1E8), .VAR90 (1), .VAR86 (1), .VAR7 (64'h0000000000050001), .VAR59 (16'd0), .VAR10 (16'd0) ) VAR54 ( .VAR28 ( 1'd0), .VAR112 ( 1'd0), .VAR45 (VAR37), .VAR75 ( 1'd0), .VAR108 ( 1'd0), .VAR88 ( 1'd0), .VAR70 ( 1'd0), .VAR68 ( 1'd0), .VAR118 (VAR9), .VAR40 (VAR9), .VAR106 ( 1'd1), .VAR63 ( 1'd1), .VAR72 ( 3'd1), .VAR51 ( 3'd1), .VAR61 (16'd0), .VAR97 ( 5'd0), .VAR55 (VAR43), .VAR80 (), .VAR96 (VAR42), .VAR49 (), .VAR84 (VAR110), .VAR41 (), .VAR14 (), .VAR138 (), .VAR47 (), .VAR32 (), .VAR131 (), .VAR77 (VAR35), .VAR124 ( 1'd1), .VAR26 (VAR139), .VAR109 ( 1'd1), .VAR4 (VAR64), .VAR71 (VAR141), .VAR36 (VAR120), .VAR81 (VAR119), .VAR19 (VAR102), .VAR69 (VAR57), .VAR46 (VAR98), .VAR6 ( 1'd1), .VAR3 ( 1'd1), .VAR128 ( 1'd1), .VAR142 ( 5'd31), .VAR92 ( 1'd1), .VAR117 ( 8'd0), .VAR66 ( 1'd1), .VAR135 (), .VAR129 (), .VAR133 () ); end else if (VAR13 == "VAR73") begin : VAR74 VAR21 # ( .VAR50 (3'b001), .VAR116 (VAR67), .VAR143 ("2.0"), .VAR127 (27'h04801C7), .VAR101 ( 4'b1111), .VAR12 ( 6'b010000), .VAR8 ( 1'd0), .VAR78 (10'h0FF), .VAR137 ( 1'd0), .VAR134 ( 1'd0), .VAR82 (VAR82), .VAR18 ( 1'd0), .VAR62 ( 1'd1), .VAR104 (24'h000006), .VAR105 (16'h05E8), .VAR15 ( 4'hD), .VAR25 ( 1), .VAR33 ( 1'd0), .VAR113 ( 2'd0), .VAR7 (64'h0000040000001050), .VAR2 (32'd0), .VAR103 ( 2'b00), .VAR59 (16'd0), .VAR10 (16'd0) ) VAR60 ( .VAR56 ( 1'd0), .VAR45 (VAR37), .VAR75 ( 1'd0), .VAR136 ( 1'd0), .VAR89 ( 1'd0), .VAR17 ( 1'd0), .VAR121 ( 1'd0), .VAR65 (VAR9), .VAR94 ( 1'd1), .VAR53 ( 3'd1), .VAR87 (16'd0), .VAR93 ( 5'b11111), .VAR91 (VAR43), .VAR126 (VAR42), .VAR16 (VAR110), .VAR130 (), .VAR52 (), .VAR20 (), .VAR30 (VAR35), .VAR99 (VAR139), .VAR44 ( 1'd0), .VAR4 (VAR64), .VAR71 (VAR141), .VAR36 (VAR120), .VAR81 (VAR119), .VAR19 (VAR102), .VAR69 (VAR57), .VAR46 (VAR98), .VAR6 ( 1'd1), .VAR3 ( 1'd1), .VAR128 ( 1'd1), .VAR142 ( 5'd31), .VAR92 ( 1'd1), .VAR117 ( 8'd0), .VAR66 ( 1'd1), .VAR27 (), .VAR133 () ); end else begin : VAR34 VAR114 # ( .VAR50 ( 3'b001), .VAR116 (VAR67), .VAR143 (VAR38), .VAR127 (27'h06801C1), .VAR12 ( 6'b010000), .VAR8 ( 1'd0), .VAR78 (10'h01F), .VAR137 ( 1'd0), .VAR134 ( 1'd0), .VAR82 (VAR82), .VAR18 ( 1'd0), .VAR62 ( 1'd1), .VAR105 (16'h21E8), .VAR15 ( 4'hD), .VAR25 (1), .VAR7 (VAR7) ) VAR122 ( .VAR56 ( 1'd0), .VAR45 (VAR37), .VAR75 ( 1'd0), .VAR136 ( 1'd0), .VAR89 ( 1'd0), .VAR17 ( 1'd0), .VAR121 ( 1'd0), .VAR65 (VAR9), .VAR94 ( 1'd1), .VAR53 ( 3'd1), .VAR87 (16'd0), .VAR93 ( 5'b11111), .VAR91 (VAR43), .VAR126 (VAR42), .VAR16 (VAR110), .VAR130 (), .VAR52 (), .VAR20 (), .VAR30 (VAR35), .VAR99 (VAR139), .VAR44 ( 1'd0), .VAR4 (VAR64), .VAR71 (VAR141), .VAR36 (VAR120), .VAR81 (VAR119), .VAR19 (VAR102), .VAR69 (VAR57), .VAR46 (VAR98), .VAR6 ( 1'd1), .VAR3 ( 1'd1), .VAR128 ( 1'd1), .VAR142 ( 5'd31), .VAR117 ( 8'd0), .VAR66 ( 1'd1), .VAR27 () ); end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfsbp/sky130_fd_sc_hdll__sdfsbp.functional.pp.v
2,330
module MODULE1 ( VAR15 , VAR19 , VAR16 , VAR20 , VAR14 , VAR1 , VAR22, VAR7 , VAR12 , VAR17 , VAR11 ); output VAR15 ; output VAR19 ; input VAR16 ; input VAR20 ; input VAR14 ; input VAR1 ; input VAR22; input VAR7 ; input VAR12 ; input VAR17 ; input VAR11 ; wire VAR18 ; wire VAR6 ; wire VAR4; not VAR10 (VAR6 , VAR22 ); VAR8 VAR9 (VAR4, VAR20, VAR14, VAR1 ); VAR3 VAR5 VAR21 (VAR18 , VAR4, VAR16, VAR6, , VAR7, VAR12); buf VAR2 (VAR15 , VAR18 ); not VAR13 (VAR19 , VAR18 ); endmodule
apache-2.0
sukinull/vivado_zed_pieces
axigpio_w_linux_uio/project_uio/project_uio.srcs/sources_1/ipshared/xilinx.com/processing_system7_bfm_v2_0/e69044ca/hdl/processing_system7_bfm_v2_0_intr_rd_mem.v
2,271
module MODULE1( VAR2, VAR19, VAR3, VAR16, req, VAR18, VAR4, VAR10, VAR17, VAR9, VAR21 ); input VAR2, VAR19; output VAR3, VAR16; input VAR21, VAR9; input [VAR15-1:0] VAR17, VAR10; input req, VAR18; input [VAR1-1:0] VAR4; reg [VAR14-1:0] VAR13 = 0, VAR8 = 0; reg [VAR7-1:0] VAR11 [0:VAR20-1]; wire VAR3, VAR16; assign VAR16 = (VAR13 === VAR8)?1'b1: 1'b0; assign VAR3 = ((VAR13[VAR14-1]!== VAR8[VAR14-1]) && (VAR13[VAR14-2:0] === VAR8[VAR14-2:0]))?1'b1 :1'b0; task VAR6; output [VAR7-1:0] VAR12; begin VAR12 = VAR11[VAR8[VAR14-1:0]]; if(VAR8[VAR14-2:0] === VAR20-1) VAR8[VAR14-2:0] = 0; end else VAR8 = VAR8 + 1; end endtask reg state; reg VAR5; always@(negedge VAR19 or posedge VAR2) begin if(!VAR19) begin VAR13 <= 0; VAR8 <= 0; state <= 0; VAR5 <= 0; end else begin case (state) 0 : begin state <= 0; VAR5 <= 0; if(req)begin state <= 1; VAR5 <= VAR18; end end 1 : begin state <= 1; if(VAR9 | VAR21 | VAR5) begin if(VAR21) VAR11[VAR13[VAR14-2:0]] <= {VAR17,VAR4}; end else if(VAR9) VAR11[VAR13[VAR14-2:0]] <= {VAR10,VAR4}; end else VAR11[VAR13[VAR14-2:0]] <= VAR4; if(VAR13[VAR14-2:0] === VAR20-1) VAR13[VAR14-2:0] <= 0; end else VAR13 <= VAR13 + 1; state <= 0; VAR5 <= 0; end end endcase end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkinv/sky130_fd_sc_ls__clkinv.pp.blackbox.v
1,251
module MODULE1 ( VAR4 , VAR6 , VAR5, VAR3, VAR1 , VAR2 ); output VAR4 ; input VAR6 ; input VAR5; input VAR3; input VAR1 ; input VAR2 ; endmodule
apache-2.0
CospanDesign/nysa-verilog
verilog/axi/slave/axi_nes/rtl/hci/hci_back.v
23,524
module MODULE1 ( input wire clk, input wire rst, input wire VAR28, output wire VAR74, input wire VAR14, output wire [15:0] VAR20, input wire [ 7:0] VAR83, output reg [ 7:0] VAR33, output reg VAR73, output wire VAR51, output reg VAR11, output reg [ 3:0] VAR1, output reg [ 7:0] VAR37, input wire [ 7:0] VAR60, output reg VAR12, output wire [15:0] VAR85, input wire [ 7:0] VAR34, output wire [ 7:0] VAR56, output wire [39:0] VAR24, output wire VAR3 ); localparam [7:0] VAR6 = 8'h00, VAR4 = 8'h01, VAR48 = 8'h02, VAR66 = 8'h03, VAR29 = 8'h04, VAR38 = 8'h05, VAR67 = 8'h06, VAR31 = 8'h07, VAR7 = 8'h08, VAR62 = 8'h09, VAR59 = 8'h0A, VAR75 = 8'h0B, VAR45 = 8'h0C; localparam VAR61 = 0, VAR57 = 1; localparam [4:0] VAR42 = 5'h00, VAR84 = 5'h01, VAR16 = 5'h02, VAR78 = 5'h03, VAR2 = 5'h04, VAR5 = 5'h05, VAR13 = 5'h06, VAR77 = 5'h07, VAR82 = 5'h08, VAR76 = 5'h09, VAR55 = 5'h0A, VAR25 = 5'h0B, VAR68 = 5'h0C, VAR9 = 5'h0D, VAR41 = 5'h0E, VAR47 = 5'h0F, VAR30 = 5'h10, VAR80 = 5'h11, VAR52 = 5'h12; reg [ 4:0] VAR50, VAR26; reg [ 2:0] VAR64, VAR19; reg [16:0] VAR71, VAR17; reg [15:0] VAR72, VAR15; reg [ 1:0] VAR81, VAR63; reg [39:0] VAR79, VAR69; reg VAR46, VAR32; reg [7:0] VAR39, VAR44; reg VAR8, VAR22; reg VAR65; wire [7:0] VAR58; wire VAR21; wire VAR10; wire VAR23; always @(posedge clk) begin if (rst) begin VAR50 <= VAR84; VAR64 <= 0; VAR71 <= 0; VAR72 <= 16'h0000; VAR81 <= 0; VAR79 <= 40'h0000000000; VAR46 <= 1'b0; VAR39 <= 8'h00; VAR8 <= 1'b0; end else begin VAR50 <= VAR26; VAR64 <= VAR19; VAR71 <= VAR17; VAR72 <= VAR15; VAR81 <= VAR63; VAR79 <= VAR69; VAR46 <= VAR32; VAR39 <= VAR44; VAR8 <= VAR22; end end VAR43 #(.VAR40(100000000), .VAR36(38400), .VAR27(8), .VAR70(1), .VAR18(1)) VAR35 ( .clk(clk), .reset(rst), .VAR28(VAR28), .VAR54(VAR39), .VAR65(VAR65), .VAR49(VAR8), .VAR74(VAR74), .VAR53(VAR58), .VAR21(VAR21), .VAR10(VAR10), .VAR23(VAR23) ); always @* begin VAR26 = VAR50; VAR19 = VAR64; VAR17 = VAR71; VAR15 = VAR72; VAR63 = VAR81; VAR69 = VAR79; VAR32 = 1'b0; VAR65 = 1'b0; VAR44 = 8'h00; VAR22 = 1'b0; VAR73 = 1'b1; VAR33 = VAR58; VAR1 = 0; VAR37 = 0; VAR11 = 1'b0; VAR12 = 1'b0; if (VAR23) VAR63[VAR61] = 1'b1; case (VAR50) VAR42: begin if (VAR14) begin VAR26 = VAR84; end else if (!VAR21) begin VAR65 = 1'b1; if (VAR58 == VAR66) begin VAR26 = VAR84; end else if (VAR58 == VAR31) begin VAR44 = 8'h00; VAR22 = 1'b1; end end end VAR84: begin if (!VAR21) begin VAR65 = 1'b1; VAR19 = 0; case (VAR58) VAR6: VAR26 = VAR16; VAR4: VAR26 = VAR2; VAR48: VAR26 = VAR13; VAR66: VAR26 = VAR84; VAR38: VAR26 = VAR82; VAR67: VAR26 = VAR76; VAR7: VAR26 = VAR25; VAR62: VAR26 = VAR68; VAR59: VAR26 = VAR41; VAR75: VAR26 = VAR30; VAR45: VAR26 = VAR80; VAR29: begin VAR26 = VAR42; end VAR31: begin VAR44 = 8'h01; VAR22 = 1'b1; end default: begin VAR63[VAR57] = 1'b1; VAR26 = VAR84; end endcase end end VAR16: begin if (!VAR21) begin VAR65 = 1'b1; VAR19 = VAR64 + 3'h1; if (VAR64 == 0) begin VAR17 = VAR58; end else begin VAR17 = { VAR58, VAR71[7:0] }; VAR26 = (VAR17) ? VAR78 : VAR84; end end end VAR78: begin if (!VAR21) begin VAR65 = 1'b1; VAR17 = VAR71 - 17'h00001; VAR44 = VAR58; VAR22 = 1'b1; if (VAR17 == 0) VAR26 = VAR84; end end VAR2: begin if (!VAR21) begin VAR65 = 1'b1; VAR19 = VAR64 + 3'h1; if (VAR64 == 0) begin VAR15 = VAR58; end else if (VAR64 == 1) begin VAR15 = { VAR58, VAR72[7:0] }; end else if (VAR64 == 2) begin VAR17 = VAR58; end else begin VAR17 = { VAR58, VAR71[7:0], 1'b0 }; VAR26 = (VAR17) ? VAR5 : VAR84; end end end VAR5: begin if (~VAR71[0]) begin VAR17 = VAR71 - 17'h00001; end else begin if (!VAR10) begin VAR17 = VAR71 - 17'h00001; VAR44 = VAR83; VAR22 = 1'b1; VAR15 = VAR72 + 16'h0001; if (VAR17 == 0) VAR26 = VAR84; end end end VAR13: begin if (!VAR21) begin VAR65 = 1'b1; VAR19 = VAR64 + 3'h1; if (VAR64 == 0) begin VAR15 = VAR58; end else if (VAR64 == 1) begin VAR15 = { VAR58, VAR72[7:0] }; end else if (VAR64 == 2) begin VAR17 = VAR58; end else begin VAR17 = { VAR58, VAR71[7:0] }; VAR26 = (VAR17) ? VAR77 : VAR84; end end end VAR77: begin if (!VAR21) begin VAR65 = 1'b1; VAR17 = VAR71 - 17'h00001; VAR15 = VAR72 + 16'h0001; VAR73 = 1'b0; if (VAR17 == 0) VAR26 = VAR84; end end VAR82: begin if (!VAR21 && !VAR10) begin VAR65 = 1'b1; VAR1 = VAR58[3:0]; VAR44 = VAR60; VAR22 = 1'b1; VAR26 = VAR84; end end VAR76: begin if (!VAR21) begin VAR65 = 1'b1; VAR15 = VAR58; VAR26 = VAR55; end end VAR55: begin if (!VAR21) begin VAR65 = 1'b1; VAR1 = VAR72[3:0]; VAR11 = 1'b1; VAR37 = VAR58; VAR26 = VAR84; end end VAR25: begin if (!VAR10) begin VAR44 = VAR81; VAR22 = 1'b1; VAR26 = VAR84; end end VAR68: begin if (!VAR21) begin VAR65 = 1'b1; VAR19 = VAR64 + 3'h1; if (VAR64 == 0) begin VAR15 = VAR58; end else if (VAR64 == 1) begin VAR15 = { VAR58, VAR72[7:0] }; end else if (VAR64 == 2) begin VAR17 = VAR58; end else begin VAR17 = { VAR58, VAR71[7:0], 1'b0 }; VAR26 = (VAR17) ? VAR9 : VAR84; end end end VAR9: begin if (~VAR71[0]) begin VAR17 = VAR71 - 17'h00001; end else begin if (!VAR10) begin VAR17 = VAR71 - 17'h00001; VAR44 = VAR34; VAR22 = 1'b1; VAR15 = VAR72 + 16'h0001; if (VAR17 == 0) VAR26 = VAR84; end end end VAR41: begin if (!VAR21) begin VAR65 = 1'b1; VAR19 = VAR64 + 3'h1; if (VAR64 == 0) begin VAR15 = VAR58; end else if (VAR64 == 1) begin VAR15 = { VAR58, VAR72[7:0] }; end else if (VAR64 == 2) begin VAR17 = VAR58; end else begin VAR17 = { VAR58, VAR71[7:0] }; VAR26 = (VAR17) ? VAR47 : VAR84; end end end VAR47: begin if (!VAR21) begin VAR65 = 1'b1; VAR17 = VAR71 - 17'h00001; VAR15 = VAR72 + 16'h0001; VAR12 = 1'b1; if (VAR17 == 0) VAR26 = VAR84; end end VAR30: begin VAR19 = VAR64 + 3'h1; if (VAR64 == 0) begin VAR15 = 16'h2000; end else if (VAR64 == 1) begin VAR73 = 1'b0; VAR33 = 8'h00; VAR15 = 16'h0000; end else if (VAR64 == 2) begin VAR15 = 16'h2001; end else if (VAR64 == 3) begin VAR73 = 1'b0; VAR33 = 8'h00; VAR15 = 16'h0000; end else if (VAR64 == 4) begin VAR15 = 16'h2002; end else if (VAR64 == 5) begin VAR15 = 16'h0000; VAR26 = VAR84; end end VAR80: begin VAR17 = 16'h0004; VAR26 = VAR52; end VAR52: begin if (!VAR21) begin VAR65 = 1'b1; VAR17 = VAR71 - 17'h00001; VAR69 = { VAR79[31:0], VAR58 }; if (VAR71 == 0) begin VAR26 = VAR84; VAR32 = 1'b1; end end end endcase end assign VAR20 = VAR72; assign VAR51 = (VAR50 != VAR42); assign VAR85 = VAR72; assign VAR56 = VAR58; assign VAR24 = VAR79; assign VAR3 = VAR46; endmodule
mit
MartinMosbeck/NoCMonitor
buildCONNECT4x4/mkInputVCQueues.v
20,029
module MODULE1(VAR25, VAR59, VAR48, VAR18, VAR40, VAR14, VAR62, VAR28, VAR34, VAR8); input VAR25; input VAR59; input VAR48; input [69 : 0] VAR18; input VAR40; input VAR14; input VAR62; output [69 : 0] VAR28; output [1 : 0] VAR34; output [1 : 0] VAR8; wire [69 : 0] VAR28; wire [1 : 0] VAR34, VAR8; wire [2 : 0] VAR20, VAR1; wire [1 : 0] VAR26, VAR56; reg [2 : 0] VAR72; wire [2 : 0] VAR17; wire VAR68; reg [2 : 0] VAR30; wire [2 : 0] VAR52; wire VAR57; reg VAR47; wire VAR12, VAR5; reg VAR53; wire VAR19, VAR33; reg VAR46; wire VAR66, VAR49; reg VAR65; wire VAR37, VAR4; reg [2 : 0] VAR39; wire [2 : 0] VAR27; wire VAR55; reg [2 : 0] VAR60; wire [2 : 0] VAR67; wire VAR63; wire [69 : 0] VAR73, VAR21; wire [3 : 0] VAR29, VAR24; wire VAR15; wire [2 : 0] VAR54, VAR50, VAR44, VAR36, VAR23, VAR71; wire VAR3, VAR31, VAR42, VAR10, VAR70, VAR13, VAR2, VAR61, VAR38, VAR35, VAR45, VAR9, VAR58, VAR51; assign VAR28 = VAR21 ; assign VAR34 = { VAR53, VAR47 } ; assign VAR8 = { VAR65, VAR46 } ; VAR22 #( 32'd70, 32'd4) VAR69(.VAR25(VAR25), .VAR32(VAR59), .VAR6(VAR29), .VAR16(VAR24), .VAR7(VAR73), .VAR41(VAR15), .VAR74(VAR21)); assign VAR56 = { 1'd1, VAR48 } ; assign VAR26 = { 1'd1, VAR14 } ; assign VAR1 = VAR50 + 3'd1 ; assign VAR20 = VAR54 + 3'd1 ; assign VAR17 = VAR36 ; assign VAR68 = VAR62 && VAR26[1] && !VAR26[0] ; assign VAR52 = VAR36 ; assign VAR57 = VAR62 && VAR26[1] && VAR26[0] ; assign VAR12 = VAR51 ; assign VAR5 = VAR51 || VAR62 && VAR26[1] && VAR38 ; assign VAR19 = VAR58 ; assign VAR33 = VAR58 || VAR62 && VAR26[1] && VAR61 ; assign VAR66 = !VAR40 || !VAR56[1] || !VAR13 ; assign VAR49 = VAR40 && VAR56[1] && VAR13 || VAR9 ; assign VAR37 = !VAR40 || !VAR56[1] || !VAR70 ; assign VAR4 = VAR40 && VAR56[1] && VAR70 || VAR45 ; assign VAR27 = VAR44 ; assign VAR55 = VAR40 && VAR56[1] && !VAR56[0] ; assign VAR67 = VAR44 ; assign VAR63 = VAR40 && VAR56[1] && VAR56[0] ; assign VAR29 = { VAR48, VAR50 } ; assign VAR24 = { VAR14, VAR54 } ; assign VAR73 = VAR18 ; assign VAR15 = VAR40 ; assign VAR3 = VAR14 ? !VAR53 : !VAR47 ; assign VAR31 = VAR48 ? !VAR65 : !VAR46 ; assign VAR42 = VAR36 == VAR71 ; assign VAR10 = VAR44 == VAR23 ; assign VAR70 = (!VAR62 || !VAR26[1] || VAR56[0] != VAR26[0]) && VAR10 && VAR56[0] ; assign VAR13 = (!VAR62 || !VAR26[1] || VAR56[0] != VAR26[0]) && VAR10 && !VAR56[0] ; assign VAR2 = (!VAR62 || !VAR26[1] || VAR56[0] != VAR26[0]) && VAR10 ; assign VAR61 = (!VAR40 || !VAR56[1] || VAR26[0] != VAR56[0]) && VAR42 && VAR26[0] ; assign VAR38 = (!VAR40 || !VAR56[1] || VAR26[0] != VAR56[0]) && VAR42 && !VAR26[0] ; assign VAR35 = (!VAR40 || !VAR56[1] || VAR26[0] != VAR56[0]) && VAR42 ; assign VAR54 = VAR14 ? VAR30 : VAR72 ; assign VAR50 = VAR48 ? VAR60 : VAR39 ; assign VAR45 = VAR62 && VAR26[1] && (!VAR40 || !VAR56[1] || VAR26[0] != VAR56[0]) && VAR26[0] ; assign VAR9 = VAR62 && VAR26[1] && (!VAR40 || !VAR56[1] || VAR26[0] != VAR56[0]) && !VAR26[0] ; assign VAR58 = VAR40 && VAR56[1] && (!VAR62 || !VAR26[1] || VAR56[0] != VAR26[0]) && VAR56[0] ; assign VAR51 = VAR40 && VAR56[1] && (!VAR62 || !VAR26[1] || VAR56[0] != VAR26[0]) && !VAR56[0] ; assign VAR44 = VAR40 ? VAR1 : 3'd0 ; assign VAR36 = VAR62 ? VAR20 : 3'd0 ; assign VAR23 = VAR56[0] ? VAR30 : VAR72 ; assign VAR71 = VAR26[0] ? VAR60 : VAR39 ; always@(posedge VAR25) begin if (!VAR59) begin VAR72 <= VAR11 3'd0; VAR30 <= VAR11 3'd0; VAR47 <= VAR11 1'd0; VAR53 <= VAR11 1'd0; VAR46 <= VAR11 1'd1; VAR65 <= VAR11 1'd1; VAR39 <= VAR11 3'd0; VAR60 <= VAR11 3'd0; end else begin if (VAR68) VAR72 <= VAR11 VAR17; if (VAR57) VAR30 <= VAR11 VAR52; if (VAR5) VAR47 <= VAR11 VAR12; if (VAR33) VAR53 <= VAR11 VAR19; if (VAR49) VAR46 <= VAR11 VAR66; if (VAR4) VAR65 <= VAR11 VAR37; if (VAR55) VAR39 <= VAR11 VAR27; if (VAR63) VAR60 <= VAR11 VAR67; end end begin VAR72 = 3'h2; VAR30 = 3'h2; VAR47 = 1'h0; VAR53 = 1'h0; VAR46 = 1'h0; VAR65 = 1'h0; VAR39 = 3'h2; VAR60 = 3'h2; end always@(negedge VAR25) begin if (VAR59) if (VAR40 && VAR31) (""); if (VAR59) if (VAR40 && VAR31) ("%VAR43", "VAR64"); if (VAR59) if (VAR40 && VAR31) (""); if (VAR59) if (VAR40 && VAR31) (" : "); if (VAR59) if (VAR40 && VAR31) if (VAR59) if (VAR40 && VAR31) (""); if (VAR59) if (VAR40 && VAR31) ("%VAR43", "VAR64"); if (VAR59) if (VAR40 && VAR31) (""); if (VAR59) if (VAR40 && VAR31) (" : "); if (VAR59) if (VAR40 && VAR31) if (VAR59) if (VAR40 && VAR31) if (VAR59) if (VAR40 && VAR31) if (VAR59) if (VAR40) (""); if (VAR59) if (VAR62 && VAR3) if (VAR59) if (VAR62 && VAR3) if (VAR59) if (VAR62) (""); if (VAR59) if (VAR40 && VAR56[1] && VAR2) (""); if (VAR59) if (VAR62 && VAR26[1] && VAR35) (""); end endmodule
gpl-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_2L_006bits.v
1,917
module MODULE2 ( clk, VAR6, VAR9, VAR15, VAR8, VAR1, VAR2, VAR3, VAR29, sum, ); input clk; input [VAR27+0-1:0] VAR6, VAR9, VAR15, VAR8, VAR1, VAR2, VAR3, VAR29; output [VAR27 :0] sum; reg [VAR27 :0] sum; wire [VAR27+3-1:0] VAR23; wire [VAR27+2-1:0] VAR34, VAR31; wire [VAR27+1-1:0] VAR13, VAR17, VAR26, VAR16; reg [VAR27+0-1:0] VAR4, VAR32, VAR5, VAR30, VAR20, VAR19, VAR10, VAR33; MODULE1 VAR7(VAR34, VAR31, VAR23 ); MODULE1 VAR11(VAR13, VAR17, VAR34 ); MODULE1 VAR22(VAR26, VAR16, VAR31 ); MODULE1 VAR12(VAR4, VAR32, VAR13); MODULE1 VAR14(VAR5, VAR30, VAR17); MODULE1 VAR18(VAR20, VAR19, VAR26); MODULE1 VAR28(VAR10, VAR33, VAR16); always @(posedge clk) begin VAR4 <= VAR6; VAR32 <= VAR9; VAR5 <= VAR15; VAR30 <= VAR8; VAR20 <= VAR1; VAR19 <= VAR2; VAR10 <= VAR3; VAR33 <= VAR29; sum <= VAR23; sum <= VAR34; end endmodule module MODULE1(VAR21,VAR25,sum); parameter VAR24 = 0; input [VAR27+VAR24-1:0] VAR21; input [VAR27+VAR24-1:0] VAR25; output [VAR27+VAR24:0] sum; assign sum = VAR21 + VAR25; endmodule
mit
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v
3,765
module MODULE1 ,parameter VAR1(VAR16) ,parameter VAR1(VAR25) ,parameter VAR1(VAR15) ,parameter VAR19 = 1 ,parameter VAR24 = 0 ,parameter VAR3 = VAR30(VAR26) ) (input VAR20 ,input VAR11 ,input [VAR19-1:0][VAR3-1:0] VAR8 ,output [VAR19-1:0][VAR3-1:0] VAR14 ,input [VAR3-1:0] VAR10 ,output [VAR3-1:0] VAR22 ); VAR32 [VAR19-1:0] VAR12, VAR9; VAR32 [VAR19-1:0] VAR31, VAR13; VAR32 VAR6, VAR27; VAR32 VAR23, VAR4; assign VAR12 = VAR8; assign VAR14 = VAR9; assign VAR6 = VAR10; assign VAR22 = VAR27; for (genvar VAR5 = 0; VAR5 < VAR19; VAR5++) begin : VAR28 assign VAR9[VAR5].VAR18 = VAR13[VAR5].VAR18; assign VAR9[VAR5].VAR33 = VAR13[VAR5].VAR33; assign VAR9[VAR5].VAR17 = VAR31[VAR5].VAR17; end assign VAR27.VAR18 = VAR4.VAR18; assign VAR27.VAR33 = VAR4.VAR33; assign VAR27.VAR17 = VAR23.VAR17; VAR2 ,.VAR16(VAR16) ,.VAR25(VAR25) ,.VAR19(VAR19) ,.VAR15(VAR15) ,.VAR24(VAR24) ) VAR29 (.VAR20(VAR20) ,.VAR11(VAR11) ,.VAR8(VAR8) ,.VAR14(VAR31) ,.VAR10(VAR10) ,.VAR22(VAR4) ); VAR21 ,.VAR16(VAR16) ,.VAR25(VAR25) ,.VAR19(VAR19) ,.VAR15(VAR15) ,.VAR24(VAR24) ) VAR7 (.VAR20(VAR20) ,.VAR11(VAR11) ,.VAR8(VAR8) ,.VAR14(VAR13) ,.VAR10(VAR10) ,.VAR22(VAR23) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlxtn/sky130_fd_sc_hdll__dlxtn.functional.pp.v
1,795
module MODULE1 ( VAR13 , VAR2 , VAR6, VAR5 , VAR7 , VAR10 , VAR3 ); output VAR13 ; input VAR2 ; input VAR6; input VAR5 ; input VAR7 ; input VAR10 ; input VAR3 ; wire VAR1 ; wire VAR11; not VAR12 (VAR1 , VAR6 ); VAR9 VAR4 (VAR11 , VAR2, VAR1, , VAR5, VAR7); buf VAR8 (VAR13 , VAR11 ); endmodule
apache-2.0
takeshineshiro/fpga_linear_128
matchfilter.v
11,351
module MODULE1 ( clk, VAR6, VAR10, VAR9, VAR11, VAR3, VAR1, VAR4, VAR2, VAR8); input clk; input VAR6; input [14:0] VAR10; input VAR9; input VAR11; input [1:0] VAR3; output [29:0] VAR1; output VAR4; output VAR2; output [1:0] VAR8; VAR5 VAR7( .clk(clk), .VAR6(VAR6), .VAR10(VAR10), .VAR9(VAR9), .VAR11(VAR11), .VAR3(VAR3), .VAR1(VAR1), .VAR4(VAR4), .VAR2(VAR2), .VAR8(VAR8)); endmodule
mit
chcbaram/Altera_DE0_nano_Exam
prj_niosii_pwm/niosii/synthesis/submodules/niosii_onchip_memory2_0.v
2,961
module MODULE1 ( address, VAR7, VAR8, clk, VAR34, reset, VAR17, write, VAR18, VAR20 ) ; parameter VAR4 = "MODULE1.VAR24"; output [ 31: 0] VAR20; input [ 13: 0] address; input [ 3: 0] VAR7; input VAR8; input clk; input VAR34; input reset; input VAR17; input write; input [ 31: 0] VAR18; wire VAR11; wire [ 31: 0] VAR20; wire VAR6; assign VAR6 = VAR8 & write; assign VAR11 = VAR34 & ~VAR17; VAR25 VAR19 ( .VAR30 (address), .VAR29 (VAR7), .VAR31 (clk), .VAR11 (VAR11), .VAR26 (VAR18), .VAR23 (VAR20), .VAR32 (VAR6) ); VAR19.VAR1 = VAR4, VAR19.VAR5 = "VAR25", VAR19.VAR10 = 12000, VAR19.VAR21 = 12000, VAR19.VAR9 = "VAR14", VAR19.VAR2 = "VAR33", VAR19.VAR15 = "VAR28", VAR19.VAR22 = "VAR3", VAR19.VAR12 = 32, VAR19.VAR13 = 4, VAR19.VAR16 = 14; endmodule
mit
cpulabs/gci-std-display
rtl/display_controller/gci_std_display_command.v
5,969
module MODULE1 #( parameter VAR51 = 640, parameter VAR16 = 480, parameter VAR66 = 19, parameter VAR11 = 23 )( input wire VAR40, input wire VAR26, input wire VAR32, input wire VAR24, input wire VAR58, output wire VAR55, input wire VAR21, input wire [VAR11-1:0] VAR36, input wire [31:0] VAR46, output wire VAR39, input wire VAR44, output wire [VAR11-1:0] VAR9, output wire [23:0] VAR33 ); localparam VAR4 = 3'h0; localparam VAR34 = 3'h1; localparam VAR67 = 3'h2; localparam VAR61 = 3'h3; localparam VAR35 = 3'h4; reg [2:0] VAR7; wire VAR3; wire VAR53; wire VAR45; wire VAR15; wire VAR50; wire [31:0] VAR14; wire [VAR11-1:0] VAR19; reg VAR30; reg [VAR11-1:0] VAR18; reg [23:0] VAR38; wire VAR5; wire VAR60; wire VAR49; wire [VAR11-1:0] VAR62; wire [23:0] VAR64; wire VAR63; wire VAR56; wire VAR23; wire [VAR11-1:0] VAR54; wire [23:0] VAR12; reg VAR6; always @* begin case(VAR7) VAR4 : VAR6 = !VAR53 && !VAR44; VAR34 : VAR6 = !VAR53 && !VAR5; VAR67 : VAR6 = 1'b0; VAR61 : VAR6 = !VAR53 && !VAR63; VAR35 : VAR6 = 1'b0; default : VAR6 = 1'b0; endcase end wire VAR29 = VAR6 && VAR15; wire VAR65 = VAR6 && VAR45 && !VAR15; VAR57 #(35+VAR11, 16, 4) VAR1 .VAR40(VAR40), .VAR26(VAR26), .VAR20(1'b0), .VAR2(), .VAR48(VAR24 && !VAR3), .VAR10({VAR32, VAR58, VAR21, VAR46, VAR46}), .VAR28(VAR3), .VAR25(), .VAR13(VAR6), .VAR17({VAR45, VAR15, VAR50, VAR19, VAR14}), .VAR31(VAR53), .VAR42() ); always@(posedge VAR40 or negedge VAR26)begin if(!VAR26)begin VAR7 <= VAR4; end else begin case(VAR7) VAR4: begin if(VAR29)begin VAR7 <= VAR61; end else if(VAR65)begin VAR7 <= VAR34; end else begin VAR7 <= VAR4; end end VAR34: begin if(!VAR5)begin VAR7 <= VAR67; end end VAR67: begin if(VAR60)begin VAR7 <= VAR4; end end VAR61: begin if(!VAR63)begin VAR7 <= VAR35; end end VAR35: begin if(VAR56)begin VAR7 <= VAR4; end end default: begin VAR7 <= VAR4; end endcase end end always@(posedge VAR40 or negedge VAR26)begin if(!VAR26)begin VAR30 <= 1'b0; VAR18 <= {VAR11{1'b0}}; VAR38 <= 24'h0; end else begin if(!VAR44)begin VAR30 <= !VAR53; VAR18 <= VAR19; VAR38 <= VAR14[23:0]; end end end VAR47 #(VAR51, VAR16, VAR66, VAR11) VAR37( .VAR40(VAR40), .VAR26(VAR26), .VAR22(1'b0), .VAR24(VAR7 == VAR34), .VAR55(VAR5), .VAR36(VAR19), .VAR46(VAR14), .VAR8(VAR60) .VAR39(VAR49), .VAR44(VAR44), .VAR9(VAR62), .VAR33(VAR64) ); VAR43 #(VAR51, VAR16, VAR66, VAR11) VAR41( .VAR40(VAR40), .VAR26(VAR26), .VAR22(1'b0), .VAR24(VAR7 == VAR61), .VAR55(VAR63), .VAR46(VAR14), .VAR8(VAR56) .VAR39(VAR23), .VAR44(VAR44), .VAR9(VAR54), .VAR33(VAR12) ); reg VAR52; reg [VAR11-1:0] VAR59; reg [23:0] VAR27; always @* begin case(VAR7) VAR4: begin VAR52 = VAR30; VAR59 = VAR18; VAR27 = VAR38; end VAR67: begin VAR52 = VAR49; VAR59 = VAR62; VAR27 = VAR64; end VAR35: begin VAR52 = VAR23; VAR59 = VAR54; VAR27 = VAR12; end default: begin VAR52 = VAR30; VAR59 = VAR18; VAR27 = VAR38; end endcase end assign VAR55 = VAR3; assign VAR39 = !VAR44 && VAR52; assign VAR9 = VAR59; assign VAR33 = VAR27; endmodule
bsd-2-clause
UCR-CS179-SUMMER2014/NES_FPGA
source/NES_FPGA/nios_system/synthesis/submodules/altera_up_video_alpha_blender_normal.v
9,997
module MODULE1 ( VAR5, VAR19, VAR10, VAR34, VAR9 ); input [29: 0] VAR5; input [39: 0] VAR19; output [ 9: 0] VAR10; output [ 9: 0] VAR34; output [ 9: 0] VAR9; wire [ 9: 0] VAR28; wire [17: 0] VAR27; wire [17: 0] VAR24; wire [17: 0] VAR20; wire [17: 0] VAR17; wire [17: 0] VAR29; wire [17: 0] VAR18; assign VAR10 = {1'b0, VAR27[17:9]} + {1'b0, VAR17[17:9]}; assign VAR34 = {1'b0, VAR24[17:9]} + {1'b0, VAR29[17:9]}; assign VAR9 = {1'b0, VAR20[17:9]} + {1'b0, VAR18[17:9]}; assign VAR28 = 10'h3FF - VAR19[39:30]; VAR21 VAR11 ( .VAR22 (1'b0), .VAR30 (1'b1), .VAR13 (1'b0), .sum (1'b0), .VAR32 (VAR19[29:21]), .VAR1 (VAR19[39:31]), .VAR6 (VAR27) ); VAR11.VAR4 = "VAR12=5", VAR11.VAR31 = "VAR33", VAR11.VAR3 = "VAR16", VAR11.VAR14 = 9, VAR11.VAR2 = 9, VAR11.VAR15 = 18; VAR21 VAR7 ( .VAR22 (1'b0), .VAR30 (1'b1), .VAR13 (1'b0), .sum (1'b0), .VAR32 (VAR19[19:11]), .VAR1 (VAR19[39:31]), .VAR6 (VAR24) ); VAR7.VAR4 = "VAR12=5", VAR7.VAR31 = "VAR33", VAR7.VAR3 = "VAR16", VAR7.VAR14 = 9, VAR7.VAR2 = 9, VAR7.VAR15 = 18; VAR21 VAR23 ( .VAR22 (1'b0), .VAR30 (1'b1), .VAR13 (1'b0), .sum (1'b0), .VAR32 (VAR19[ 9: 1]), .VAR1 (VAR19[39:31]), .VAR6 (VAR20) ); VAR23.VAR4 = "VAR12=5", VAR23.VAR31 = "VAR33", VAR23.VAR3 = "VAR16", VAR23.VAR14 = 9, VAR23.VAR2 = 9, VAR23.VAR15 = 18; VAR21 VAR25 ( .VAR22 (1'b0), .VAR30 (1'b1), .VAR13 (1'b0), .sum (1'b0), .VAR32 (VAR5[29:21]), .VAR1 (VAR28[ 9: 1]), .VAR6 (VAR17) ); VAR25.VAR4 = "VAR12=5", VAR25.VAR31 = "VAR33", VAR25.VAR3 = "VAR16", VAR25.VAR14 = 9, VAR25.VAR2 = 9, VAR25.VAR15 = 18; VAR21 VAR26 ( .VAR22 (1'b0), .VAR30 (1'b1), .VAR13 (1'b0), .sum (1'b0), .VAR32 (VAR5[19:11]), .VAR1 (VAR28[ 9: 1]), .VAR6 (VAR29) ); VAR26.VAR4 = "VAR12=5", VAR26.VAR31 = "VAR33", VAR26.VAR3 = "VAR16", VAR26.VAR14 = 9, VAR26.VAR2 = 9, VAR26.VAR15 = 18; VAR21 VAR8 ( .VAR22 (1'b0), .VAR30 (1'b1), .VAR13 (1'b0), .sum (1'b0), .VAR32 (VAR5[ 9: 1]), .VAR1 (VAR28[ 9: 1]), .VAR6 (VAR18) ); VAR8.VAR4 = "VAR12=5", VAR8.VAR31 = "VAR33", VAR8.VAR3 = "VAR16", VAR8.VAR14 = 9, VAR8.VAR2 = 9, VAR8.VAR15 = 18; endmodule
mit
GSejas/Aproximate-Arithmetic-Operators
add_approx_flow/integracion_fisica/front_end/db/SINGLE/Approx_adder_GDAN8M8P5_syn.v
9,791
module MODULE1 ( VAR248, VAR316, VAR159, VAR269 ); input [15:0] VAR316; input [15:0] VAR159; output [16:0] VAR269; input VAR248; wire VAR219, VAR174, VAR128, VAR268, VAR64, VAR141, VAR115, VAR346, VAR290, VAR104, VAR344, VAR256, VAR300, VAR119, VAR283, VAR192, VAR195, VAR177, VAR305, VAR142, VAR317, VAR255, VAR231, VAR137, VAR151, VAR327, VAR6, VAR322, VAR347, VAR309, VAR120, VAR156, VAR282, VAR214, VAR259, VAR12, VAR261, VAR277, VAR2, VAR308, VAR112, VAR298, VAR190, VAR339, VAR35, VAR122, VAR144, VAR98, VAR204, VAR83, VAR260, VAR355, VAR66, VAR129, VAR38, VAR116, VAR187, VAR73, VAR108, VAR34, VAR318, VAR169, VAR105, VAR58, VAR27, VAR33, VAR208, VAR245, VAR9, VAR167, VAR244, VAR180, VAR170, VAR234, VAR201, VAR353, VAR253, VAR276, VAR135, VAR335, VAR307, VAR55, VAR251, VAR23, VAR343, VAR363, VAR172, VAR213, VAR207, VAR68, VAR19, VAR147, VAR237, VAR100, VAR348, VAR352, VAR86, VAR249, VAR185, VAR161, VAR160, VAR140, VAR69, VAR216, VAR118, VAR175, VAR323, VAR168, VAR198, VAR365, VAR18, VAR49, VAR101, VAR262, VAR264, VAR107, VAR80, VAR61, VAR199, VAR79, VAR313, VAR155, VAR85, VAR205, VAR194, VAR296, VAR239, VAR76, VAR235, VAR51, VAR304, VAR274, VAR342, VAR8, VAR75, VAR263, VAR152, VAR247, VAR90, VAR20, VAR37, VAR225, VAR157, VAR125, VAR227; VAR111 VAR124 ( .VAR223(VAR33), .VAR63(VAR107), .VAR257(VAR208) ); VAR111 VAR303 ( .VAR223(VAR85), .VAR63(VAR316[15]), .VAR257(VAR8) ); VAR96 VAR50 ( .VAR223(VAR169), .VAR63(VAR316[13]), .VAR257(VAR76) ); VAR133 VAR24 ( .VAR223(VAR318), .VAR63(VAR159[13]), .VAR257(VAR169) ); VAR148 VAR113 ( .VAR223(VAR105), .VAR63(VAR34), .VAR257(VAR318) ); VAR111 VAR321 ( .VAR223(VAR313), .VAR63(VAR248), .VAR257(VAR58) ); VAR111 VAR149 ( .VAR223(VAR129), .VAR63(VAR316[11]), .VAR257(VAR253) ); VAR111 VAR350 ( .VAR223(VAR38), .VAR63(VAR316[12]), .VAR257(VAR343) ); VAR215 VAR102 ( .VAR314(VAR316[6]), .VAR63(VAR214), .VAR257(VAR101) ); VAR111 VAR315 ( .VAR223(VAR282), .VAR63(VAR316[6]), .VAR257(VAR49) ); VAR226 VAR196 ( .VAR314(VAR159[9]), .VAR63(VAR122), .VAR257(VAR190) ); VAR121 VAR288 ( .VAR223(VAR98), .VAR63(VAR159[8]), .VAR257(VAR122) ); VAR42 VAR16 ( .VAR223(VAR305), .VAR63(VAR34), .VAR257(VAR119) ); VAR164 VAR188 ( .VAR223(VAR174), .VAR257(VAR34) ); VAR164 VAR273 ( .VAR223(VAR219), .VAR257(VAR174) ); VAR254 VAR222 ( .VAR145(VAR159[1]), .VAR211(VAR159[0]), .VAR301(VAR174), .VAR257(VAR104) ); VAR111 VAR356 ( .VAR223(VAR190), .VAR63(VAR248), .VAR257(VAR339) ); VAR182 VAR165 ( .VAR145(VAR69), .VAR211(VAR316[1]), .VAR301(VAR161), .VAR257(VAR290) ); VAR200 VAR150 ( .VAR223(VAR38), .VAR63(VAR316[12]), .VAR257(VAR308) ); VAR182 VAR287 ( .VAR145(VAR69), .VAR211(VAR140), .VAR301(VAR160), .VAR257(VAR269[1]) ); VAR65 VAR143 ( .VAR223(VAR248), .VAR257(VAR219) ); VAR96 VAR1 ( .VAR223(VAR85), .VAR63(VAR316[15]), .VAR257(VAR342) ); VAR13 VAR77 ( .VAR223(VAR155), .VAR63(VAR159[15]), .VAR257(VAR85) ); VAR226 VAR362 ( .VAR314(VAR159[13]), .VAR63(VAR105), .VAR257(VAR313) ); VAR11 VAR291 ( .VAR223(VAR352), .VAR63(VAR118), .VAR257(VAR269[2]) ); VAR26 VAR361 ( .VAR223(VAR264), .VAR63(VAR262), .VAR257(VAR269[6]) ); VAR329 VAR15 ( .VAR145(VAR55), .VAR211(VAR308), .VAR301(VAR116), .VAR257(VAR187) ); VAR357 VAR53 ( .VAR223(VAR247), .VAR63(VAR255), .VAR257(VAR177) ); VAR42 VAR271 ( .VAR223(VAR355), .VAR63(VAR316[10]), .VAR257(VAR35) ); VAR131 VAR285 ( .VAR223(VAR290), .VAR63(VAR175), .VAR257(VAR352) ); VAR357 VAR228 ( .VAR223(VAR283), .VAR63(VAR316[3]), .VAR257(VAR255) ); VAR357 VAR92 ( .VAR223(VAR344), .VAR63(VAR316[2]), .VAR257(VAR231) ); VAR41 VAR59 ( .VAR166(VAR159[0]), .VAR367(VAR316[0]), .VAR301(VAR161), .VAR257(VAR269[0]) ); VAR131 VAR293 ( .VAR223(VAR316[0]), .VAR63(VAR159[0]), .VAR257(VAR161) ); VAR154 VAR203 ( .VAR223(VAR227), .VAR257(VAR147) ); VAR357 VAR325 ( .VAR223(VAR75), .VAR63(VAR8), .VAR257(VAR263) ); VAR281 VAR178 ( .VAR145(VAR79), .VAR211(VAR199), .VAR301(VAR61), .VAR257(VAR152) ); VAR250 VAR103 ( .VAR223(VAR120), .VAR63(VAR159[6]), .VAR257(VAR277) ); VAR310 VAR267 ( .VAR223(VAR142), .VAR63(VAR305), .VAR257(VAR120) ); VAR226 VAR40 ( .VAR314(VAR159[11]), .VAR63(VAR112), .VAR257(VAR108) ); VAR250 VAR183 ( .VAR223(VAR190), .VAR63(VAR159[10]), .VAR257(VAR112) ); VAR329 VAR236 ( .VAR145(VAR207), .VAR211(VAR35), .VAR301(VAR66), .VAR257(VAR234) ); VAR30 VAR330 ( .VAR145(VAR9), .VAR211(VAR225), .VAR301(VAR167), .VAR257(VAR207) ); VAR30 VAR56 ( .VAR145(VAR327), .VAR211(VAR159[4]), .VAR301(VAR248), .VAR257(VAR6) ); VAR254 VAR7 ( .VAR145(VAR313), .VAR211(VAR159[14]), .VAR301(VAR248), .VAR257(VAR155) ); VAR250 VAR32 ( .VAR223(VAR108), .VAR63(VAR159[12]), .VAR257(VAR105) ); VAR164 VAR221 ( .VAR223(VAR199), .VAR257(VAR274) ); VAR30 VAR22 ( .VAR145(VAR323), .VAR211(VAR231), .VAR301(VAR255), .VAR257(VAR151) ); VAR13 VAR29 ( .VAR223(VAR119), .VAR63(VAR159[3]), .VAR257(VAR283) ); VAR26 VAR306 ( .VAR223(VAR152), .VAR63(VAR263), .VAR257(VAR269[15]) ); VAR82 VAR312 ( .VAR223(VAR159[4]), .VAR63(VAR159[3]), .VAR345(VAR159[5]), .VAR257(VAR142) ); VAR26 VAR54 ( .VAR223(VAR156), .VAR63(VAR159[6]), .VAR257(VAR214) ); VAR111 VAR132 ( .VAR223(VAR120), .VAR63(VAR248), .VAR257(VAR156) ); VAR26 VAR351 ( .VAR223(VAR6), .VAR63(VAR159[5]), .VAR257(VAR322) ); VAR111 VAR364 ( .VAR223(VAR98), .VAR63(VAR248), .VAR257(VAR204) ); VAR96 VAR324 ( .VAR223(VAR83), .VAR63(VAR316[8]), .VAR257(VAR37) ); VAR30 VAR241 ( .VAR145(VAR234), .VAR211(VAR353), .VAR301(VAR253), .VAR257(VAR55) ); VAR13 VAR71 ( .VAR223(VAR268), .VAR63(VAR159[4]), .VAR257(VAR64) ); VAR65 VAR62 ( .VAR223(VAR175), .VAR257(VAR185) ); VAR84 VAR99 ( .VAR145(VAR216), .VAR211(VAR177), .VAR301(VAR194), .VAR257(VAR269[4]) ); VAR111 VAR279 ( .VAR223(VAR101), .VAR63(VAR49), .VAR257(VAR262) ); VAR111 VAR258 ( .VAR223(VAR244), .VAR63(VAR167), .VAR257(VAR180) ); VAR111 VAR70 ( .VAR223(VAR35), .VAR63(VAR237), .VAR257(VAR100) ); VAR111 VAR47 ( .VAR223(VAR276), .VAR63(VAR253), .VAR257(VAR135) ); VAR111 VAR10 ( .VAR223(VAR308), .VAR63(VAR343), .VAR257(VAR363) ); VAR17 VAR210 ( .VAR223(VAR205), .VAR63(VAR115), .VAR257(VAR216) ); VAR81 VAR74 ( .VAR223(VAR245), .VAR63(VAR208), .VAR257(VAR269[14]) ); VAR95 VAR193 ( .VAR223(VAR159[1]), .VAR63(VAR159[0]), .VAR345(VAR159[2]), .VAR257(VAR305) ); VAR65 VAR72 ( .VAR223(VAR343), .VAR257(VAR116) ); VAR65 VAR171 ( .VAR223(VAR237), .VAR257(VAR66) ); VAR238 VAR146 ( .VAR223(VAR277), .VAR63(VAR34), .VAR257(VAR317) ); VAR65 VAR354 ( .VAR223(VAR159[3]), .VAR257(VAR128) ); VAR111 VAR162 ( .VAR223(VAR305), .VAR63(VAR128), .VAR257(VAR327) ); VAR111 VAR359 ( .VAR223(VAR327), .VAR63(VAR248), .VAR257(VAR268) ); VAR357 VAR252 ( .VAR223(VAR64), .VAR63(VAR316[4]), .VAR257(VAR205) ); VAR65 VAR265 ( .VAR223(VAR64), .VAR257(VAR141) ); VAR215 VAR3 ( .VAR314(VAR316[4]), .VAR63(VAR141), .VAR257(VAR115) ); VAR111 VAR126 ( .VAR223(VAR174), .VAR63(VAR159[0]), .VAR257(VAR346) ); VAR13 VAR233 ( .VAR223(VAR346), .VAR63(VAR159[1]), .VAR257(VAR69) ); VAR357 VAR25 ( .VAR223(VAR69), .VAR63(VAR316[1]), .VAR257(VAR175) ); VAR337 VAR28 ( .VAR223(VAR104), .VAR63(VAR159[2]), .VAR257(VAR344) ); VAR65 VAR328 ( .VAR223(VAR344), .VAR257(VAR256) ); VAR215 VAR136 ( .VAR314(VAR316[2]), .VAR63(VAR256), .VAR257(VAR300) ); VAR357 VAR368 ( .VAR223(VAR231), .VAR63(VAR300), .VAR257(VAR118) ); VAR254 VAR280 ( .VAR145(VAR352), .VAR211(VAR118), .VAR301(VAR231), .VAR257(VAR90) ); VAR65 VAR97 ( .VAR223(VAR283), .VAR257(VAR192) ); VAR215 VAR240 ( .VAR314(VAR316[3]), .VAR63(VAR192), .VAR257(VAR195) ); VAR357 VAR336 ( .VAR223(VAR255), .VAR63(VAR195), .VAR257(VAR323) ); VAR65 VAR31 ( .VAR223(VAR323), .VAR257(VAR20) ); VAR357 VAR229 ( .VAR223(VAR90), .VAR63(VAR20), .VAR257(VAR247) ); VAR357 VAR242 ( .VAR223(VAR177), .VAR63(VAR216), .VAR257(VAR194) ); VAR26 VAR286 ( .VAR223(VAR317), .VAR63(VAR159[7]), .VAR257(VAR261) ); VAR65 VAR60 ( .VAR223(VAR205), .VAR257(VAR137) ); VAR281 VAR209 ( .VAR145(VAR151), .VAR211(VAR216), .VAR301(VAR137), .VAR257(VAR309) ); VAR133 VAR332 ( .VAR223(VAR322), .VAR63(VAR316[5]), .VAR257(VAR296) ); VAR65 VAR138 ( .VAR223(VAR322), .VAR257(VAR347) ); VAR139 VAR173 ( .VAR301(VAR309), .VAR44(VAR296), .VAR166(VAR347), .VAR367(VAR316[5]), .VAR257(VAR198) ); VAR65 VAR46 ( .VAR223(VAR214), .VAR257(VAR282) ); VAR65 VAR220 ( .VAR223(VAR49), .VAR257(VAR259) ); VAR94 VAR5 ( .VAR145(VAR198), .VAR211(VAR259), .VAR301(VAR101), .VAR257(VAR12) ); VAR246 VAR48 ( .VAR223(VAR261), .VAR63(VAR316[7]), .VAR302(VAR12), .VAR278(VAR227), .VAR326(VAR269[7]) ); VAR14 VAR218 ( .VAR314(VAR159[7]), .VAR63(VAR277), .VAR257(VAR98) ); VAR111 VAR78 ( .VAR223(VAR108), .VAR63(VAR248), .VAR257(VAR2) ); VAR13 VAR270 ( .VAR223(VAR2), .VAR63(VAR159[12]), .VAR257(VAR38) ); VAR148 VAR294 ( .VAR223(VAR112), .VAR63(VAR34), .VAR257(VAR298) ); VAR133 VAR284 ( .VAR223(VAR298), .VAR63(VAR159[11]), .VAR257(VAR129) ); VAR250 VAR39 ( .VAR223(VAR129), .VAR63(VAR316[11]), .VAR257(VAR353) ); VAR13 VAR358 ( .VAR223(VAR339), .VAR63(VAR159[10]), .VAR257(VAR355) ); VAR148 VAR266 ( .VAR223(VAR122), .VAR63(VAR34), .VAR257(VAR144) ); VAR133 VAR191 ( .VAR223(VAR144), .VAR63(VAR159[9]), .VAR257(VAR260) ); VAR250 VAR21 ( .VAR223(VAR260), .VAR63(VAR316[9]), .VAR257(VAR9) ); VAR13 VAR52 ( .VAR223(VAR204), .VAR63(VAR159[8]), .VAR257(VAR83) ); VAR96 VAR45 ( .VAR223(VAR9), .VAR63(VAR37), .VAR257(VAR213) ); VAR357 VAR91 ( .VAR223(VAR35), .VAR63(VAR213), .VAR257(VAR201) ); VAR96 VAR93 ( .VAR223(VAR353), .VAR63(VAR201), .VAR257(VAR307) ); VAR111 VAR299 ( .VAR223(VAR308), .VAR63(VAR307), .VAR257(VAR73) ); VAR357 VAR360 ( .VAR223(VAR83), .VAR63(VAR316[8]), .VAR257(VAR225) ); VAR357 VAR202 ( .VAR223(VAR260), .VAR63(VAR316[9]), .VAR257(VAR167) ); VAR357 VAR181 ( .VAR223(VAR355), .VAR63(VAR316[10]), .VAR257(VAR237) ); VAR36 VAR349 ( .VAR145(VAR147), .VAR211(VAR73), .VAR301(VAR187), .VAR257(VAR199) ); VAR357 VAR158 ( .VAR223(VAR169), .VAR63(VAR316[13]), .VAR257(VAR235) ); VAR36 VAR114 ( .VAR145(VAR274), .VAR211(VAR76), .VAR301(VAR235), .VAR257(VAR245) ); VAR81 VAR197 ( .VAR223(VAR58), .VAR63(VAR159[14]), .VAR257(VAR27) ); VAR250 VAR106 ( .VAR223(VAR27), .VAR63(VAR316[14]), .VAR257(VAR80) ); VAR65 VAR4 ( .VAR223(VAR80), .VAR257(VAR33) ); VAR357 VAR311 ( .VAR223(VAR27), .VAR63(VAR316[14]), .VAR257(VAR107) ); VAR254 VAR134 ( .VAR145(VAR147), .VAR211(VAR37), .VAR301(VAR225), .VAR257(VAR170) ); VAR65 VAR340 ( .VAR223(VAR9), .VAR257(VAR244) ); VAR337 VAR320 ( .VAR223(VAR170), .VAR63(VAR180), .VAR257(VAR269[9]) ); VAR254 VAR319 ( .VAR145(VAR147), .VAR211(VAR201), .VAR301(VAR234), .VAR257(VAR335) ); VAR65 VAR289 ( .VAR223(VAR353), .VAR257(VAR276) ); VAR337 VAR67 ( .VAR223(VAR335), .VAR63(VAR135), .VAR257(VAR269[11]) ); VAR65 VAR295 ( .VAR223(VAR307), .VAR257(VAR23) ); VAR65 VAR331 ( .VAR223(VAR55), .VAR257(VAR251) ); VAR254 VAR333 ( .VAR145(VAR147), .VAR211(VAR23), .VAR301(VAR251), .VAR257(VAR172) ); VAR337 VAR212 ( .VAR223(VAR172), .VAR63(VAR363), .VAR257(VAR269[12]) ); VAR65 VAR206 ( .VAR223(VAR213), .VAR257(VAR19) ); VAR65 VAR176 ( .VAR223(VAR207), .VAR257(VAR68) ); VAR254 VAR130 ( .VAR145(VAR147), .VAR211(VAR19), .VAR301(VAR68), .VAR257(VAR348) ); VAR337 VAR334 ( .VAR223(VAR348), .VAR63(VAR100), .VAR257(VAR269[10]) ); VAR337 VAR123 ( .VAR223(VAR161), .VAR63(VAR316[1]), .VAR257(VAR140) ); VAR65 VAR297 ( .VAR223(VAR69), .VAR257(VAR86) ); VAR232 VAR341 ( .VAR223(VAR161), .VAR63(VAR316[1]), .VAR345(VAR86), .VAR257(VAR249) ); VAR275 VAR272 ( .VAR145(VAR161), .VAR211(VAR185), .VAR301(VAR249), .VAR257(VAR160) ); VAR65 VAR110 ( .VAR223(VAR296), .VAR257(VAR18) ); VAR65 VAR87 ( .VAR223(VAR216), .VAR257(VAR168) ); VAR57 VAR179 ( .VAR223(VAR168), .VAR63(VAR323), .VAR345(VAR175), .VAR224(VAR118), .VAR257(VAR365) ); VAR275 VAR230 ( .VAR145(VAR18), .VAR211(VAR365), .VAR301(VAR198), .VAR257(VAR264) ); VAR148 VAR366 ( .VAR223(VAR80), .VAR63(VAR76), .VAR257(VAR79) ); VAR254 VAR163 ( .VAR145(VAR80), .VAR211(VAR235), .VAR301(VAR107), .VAR257(VAR61) ); VAR254 VAR127 ( .VAR145(VAR152), .VAR211(VAR342), .VAR301(VAR8), .VAR257(VAR269[16]) ); VAR111 VAR117 ( .VAR223(VAR194), .VAR63(VAR205), .VAR257(VAR239) ); VAR337 VAR43 ( .VAR223(VAR239), .VAR63(VAR296), .VAR257(VAR269[5]) ); VAR65 VAR89 ( .VAR223(VAR76), .VAR257(VAR51) ); VAR111 VAR292 ( .VAR223(VAR51), .VAR63(VAR235), .VAR257(VAR304) ); VAR26 VAR184 ( .VAR223(VAR274), .VAR63(VAR304), .VAR257(VAR269[13]) ); VAR65 VAR109 ( .VAR223(VAR342), .VAR257(VAR75) ); VAR84 VAR153 ( .VAR145(VAR20), .VAR211(VAR90), .VAR301(VAR247), .VAR257(VAR269[3]) ); VAR65 VAR217 ( .VAR223(VAR37), .VAR257(VAR157) ); VAR111 VAR338 ( .VAR223(VAR157), .VAR63(VAR225), .VAR257(VAR125) ); VAR337 VAR189 ( .VAR223(VAR227), .VAR63(VAR125), .VAR257(VAR269[8]) ); VAR186 ("VAR88.VAR243"); endmodule
apache-2.0
asicguy/gplgpu
hdl/mc_graph/mc_vga.v
8,074
module MODULE1 ( input VAR58, input VAR6, input VAR39, input VAR43, input VAR21, input [17:0] VAR46, input VAR33, input [3:0] VAR12, input [31:0] VAR5, input VAR63, input VAR52, input VAR30, input [31:0] VAR14, input VAR29, output reg VAR10, output reg [17:0] VAR62, output reg VAR59, output reg VAR40, output reg VAR38, output [31:0] VAR2, output [3:0] VAR35, output [31:0] VAR42 ); reg VAR34; reg VAR3; reg VAR9; reg VAR54; reg [1:0] VAR44, VAR7; reg [1:0] VAR8; reg VAR18; reg VAR32; reg [1:0] VAR20; wire VAR23; wire VAR53; wire VAR61; wire VAR24; wire [56:0] VAR11; wire [56:0] VAR1; wire [3:0] VAR37; wire VAR50; wire [5:0] VAR15; parameter VAR41 = 3'h6, VAR55 = 2'b00, VAR31 = 2'b01, VAR36 = 2'b10, VAR47 = 2'b11, VAR49 = 2'b00, VAR48 = 2'b01, VAR17 = 2'b10; always @(posedge VAR6 or negedge VAR39) begin if (!VAR39) begin VAR40 <= 1'b0; VAR3 <= 1'b0; VAR34 <= 1'b0; VAR20 <= 2'b0; end else begin VAR3 <= 1'b0; VAR20 <= {VAR20[0], VAR29}; if (VAR43 && ~VAR40 && ~VAR15[5]) begin VAR34 <= VAR21; VAR40 <= 1'b1; end else if (VAR40) begin VAR3 <= 1'b1; VAR40 <= 1'b0; end end end always @(posedge VAR58 or negedge VAR39) begin if (!VAR39) begin VAR10 <= 1'b0; VAR44 <= VAR55; VAR18 <= 1'b0; end else begin VAR18 <= VAR52; VAR44 <= VAR7; if (VAR54 && ~VAR63) begin VAR10 <= 1'b1; VAR59 <= VAR11[54]; VAR62 <= VAR11[53:36]; end else if (VAR63) begin VAR10 <= 1'b0; end end end always @* begin VAR9 = 1'b0; VAR54 = 1'b0; case (VAR44) VAR55: begin if (~VAR53) begin VAR9 = 1'b1; VAR7 = VAR31; end else VAR7 = VAR55; end VAR31: begin VAR54 = 1'b1; if (VAR10) VAR7 = VAR36; end else VAR7 = VAR31; end VAR36: begin if (VAR63) begin if (VAR59 && ~VAR53) begin VAR9 = 1'b1; VAR7 = VAR31; end else if (~VAR59) VAR7 = VAR47; end else VAR7 = VAR55; end else VAR7 = VAR36; end VAR47: begin if (VAR18) begin if (~VAR53) begin VAR9 = 1'b1; VAR7 = VAR31; end else VAR7 = VAR55; end else VAR7 = VAR47; end endcase end always @(posedge VAR6 or negedge VAR39) if (~VAR39) begin VAR38 <= 1'b1; VAR32 <= 1'b0; VAR8 <= VAR49; end else begin VAR38 <= 1'b1; case (VAR8) VAR49: begin if (~VAR23 & ~VAR32) begin VAR32 <= 1'b1; VAR8 <= VAR48; end end VAR48: begin VAR32 <= 1'b0; VAR8 <= VAR17; end VAR17: begin VAR38 <= 1'b0; VAR8 <= VAR49; end default: VAR8 <= VAR49; endcase end assign VAR1 = {2'b0, VAR34, VAR46, VAR12, VAR5}; VAR28 VAR4 ( .VAR25 (VAR1), .VAR27 (VAR3), .VAR60 (VAR9), .VAR51 (VAR58), .VAR57 (VAR6), .VAR16 (~VAR39), .VAR13 (VAR11), .VAR22 (VAR53), .VAR56 (VAR24), .VAR26 (VAR61), .VAR15 (VAR15) ); VAR45 VAR19 ( .VAR25 (VAR14), .VAR27 (VAR30), .VAR60 (VAR32), .VAR51 (VAR6), .VAR57 (VAR58), .VAR16 (~VAR39), .VAR13 (VAR42), .VAR22 (VAR23), .VAR56 () ); assign {VAR37, VAR2} = VAR11[35:0]; assign VAR35 = VAR37; endmodule
gpl-3.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_dmac_v1_00_a/hdl/verilog/dest_axi_mm.v
7,176
module MODULE1 ( input VAR15, input VAR80, input VAR11, output VAR26, input [31:VAR65] VAR66, input [3:0] VAR89, input [2:0] VAR40, input enable, output VAR72, input VAR52, input VAR27, output VAR43, output VAR25, input VAR42, output [1:0] VAR74, output VAR46, input [VAR56-1:0] VAR16, output [VAR56-1:0] VAR58, output [VAR56-1:0] VAR73, output [VAR56-1:0] VAR17, input VAR1, input VAR13, input VAR64, input VAR3, output VAR20, input [VAR12-1:0] VAR78, input VAR70, output VAR76, output [31:0] VAR71, output [ 7:0] VAR50, output [ 2:0] VAR51, output [ 1:0] VAR23, output [ 2:0] VAR7, output [ 3:0] VAR63, output [VAR12-1:0] VAR33, output [(VAR12/8)-1:0] VAR28, input VAR83, output VAR6, output VAR34, input VAR29, input [ 1:0] VAR77, output VAR30 ); parameter VAR56 = 3; parameter VAR12 = 64; parameter VAR65 = 3; parameter VAR10 = 24; wire [VAR56-1:0] VAR73; wire [VAR56-1:0] VAR17; reg [(VAR12/8)-1:0] VAR81; wire VAR38; wire VAR41; wire VAR86; wire VAR4; wire VAR57; wire VAR61; assign VAR43 = VAR27; VAR79 #( .VAR37(2) ) VAR88 ( .clk(VAR15), .VAR84(VAR80), .VAR82(VAR11), .VAR44(VAR26), .VAR19({ VAR38, VAR86 }), .VAR45({ VAR41, VAR4 }) ); VAR21 #( .VAR10(VAR10), .VAR65(VAR65), .VAR56(VAR56) ) VAR22 ( .clk(VAR15), .VAR84(VAR80), .enable(enable), .VAR72(VAR57), .VAR52(VAR52), .VAR9(VAR17), .VAR2(VAR16), .VAR27(VAR27), .VAR11(VAR38), .VAR26(VAR41), .VAR66(VAR66), .VAR89(VAR89), .VAR8(VAR13), .VAR91(VAR70), .VAR39(VAR76), .addr(VAR71), .VAR36(VAR50), .VAR90(VAR51), .VAR54(VAR23), .VAR35(VAR7), .VAR85(VAR63) ); wire VAR20; VAR87 # ( .VAR56(VAR56), .VAR48(VAR12) ) VAR55 ( .clk(VAR15), .VAR84(VAR80), .enable(VAR57), .VAR72(VAR61), .VAR16(VAR17), .VAR58(VAR73), .VAR27(VAR27), .VAR8(VAR1), .VAR11(VAR86), .VAR26(VAR4), .VAR89(VAR89), .VAR53(VAR3), .VAR67(VAR20), .VAR68(VAR78), .VAR31(VAR6), .VAR5(VAR83), .VAR47(VAR33), .VAR14(VAR34) ); assign VAR20 = VAR20 | ~VAR72; always @(*) begin if (VAR1 & VAR34) begin VAR81 <= (1 << (VAR40 + 1)) - 1; end else begin VAR81 <= 8'b11111111; end end assign VAR28 = VAR81; VAR32 #( .VAR56(VAR56) ) VAR24 ( .clk(VAR15), .VAR84(VAR80), .VAR69(VAR29), .VAR62(VAR30), .VAR49(VAR77), .enable(VAR61), .VAR72(VAR72), .VAR9(VAR58), .VAR2(VAR73), .VAR27(VAR27), .VAR8(VAR64), .VAR59(VAR25), .VAR75(VAR42), .VAR18(VAR74), .VAR60(VAR46) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/and2b/sky130_fd_sc_hs__and2b_1.v
2,009
module MODULE1 ( VAR2 , VAR5 , VAR7 , VAR6, VAR3 ); output VAR2 ; input VAR5 ; input VAR7 ; input VAR6; input VAR3; VAR4 VAR1 ( .VAR2(VAR2), .VAR5(VAR5), .VAR7(VAR7), .VAR6(VAR6), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR2 , VAR5, VAR7 ); output VAR2 ; input VAR5; input VAR7 ; supply1 VAR6; supply0 VAR3; VAR4 VAR1 ( .VAR2(VAR2), .VAR5(VAR5), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfbbp/sky130_fd_sc_ms__sdfbbp.behavioral.pp.v
3,364
module MODULE1 ( VAR1 , VAR9 , VAR30 , VAR15 , VAR11 , VAR38 , VAR22 , VAR18, VAR16 , VAR7 , VAR14 , VAR27 ); output VAR1 ; output VAR9 ; input VAR30 ; input VAR15 ; input VAR11 ; input VAR38 ; input VAR22 ; input VAR18; input VAR16 ; input VAR7 ; input VAR14 ; input VAR27 ; wire VAR35 ; wire VAR31 ; wire VAR21 ; reg VAR37 ; wire VAR4 ; wire VAR25 ; wire VAR26 ; wire VAR33 ; wire VAR36 ; wire VAR19; wire VAR13 ; wire VAR2 ; wire VAR10 ; wire VAR34 ; wire VAR32 ; wire VAR24 ; wire VAR17 ; wire VAR20 ; not VAR28 (VAR35 , VAR19 ); not VAR29 (VAR31 , VAR36 ); VAR6 VAR5 (VAR13, VAR4, VAR25, VAR26 ); VAR3 VAR23 (VAR21 , VAR31, VAR35, VAR33, VAR13, VAR37, VAR16, VAR7); assign VAR2 = ( VAR16 === 1'b1 ); assign VAR10 = ( VAR2 && ( VAR19 === 1'b1 ) ); assign VAR34 = ( VAR2 && ( VAR36 === 1'b1 ) ); assign VAR32 = ( VAR10 & VAR34 ); assign VAR24 = ( ( VAR26 === 1'b0 ) && VAR32 ); assign VAR17 = ( ( VAR26 === 1'b1 ) && VAR32 ); assign VAR20 = ( ( VAR4 !== VAR25 ) && VAR32 ); buf VAR8 (VAR1 , VAR21 ); not VAR12 (VAR9 , VAR21 ); endmodule
apache-2.0
webmaster442/prog-elektonikak
Kodok/Verilog/10.v
1,183
module MODULE3(clk, VAR2) input clk; output VAR2; wire [3:0] VAR2; reg [2:0] VAR1; always @(posedge clk) begin VAR1 <= VAR1 + 1; if (VAR1 > 3'b100) VAR1 = 3'b000; assign VAR2 = (VAR1 == 3'b000) ? 4'b0001 : (VAR1 == 3'b001) ? 4'b0010 : (VAR1 == 3'b011) ? 4'b0100 : (VAR1 == 3'b100) ? 4'b1000 : 4'b0000; end endmodule module MODULE2(clk, VAR2) input clk; output VAR2; wire [3:0] VAR2; reg [2:0] VAR1; always @(posedge clk) begin VAR1 <= VAR1 + 1; if (VAR1 > 3'b100) VAR1 = 3'b000; assign VAR2 = (VAR1 == 3'b000) ? 4'b0011 : (VAR1 == 3'b001) ? 4'b0110 : (VAR1 == 3'b011) ? 4'b1100 : (VAR1 == 3'b100) ? 4'b1001 : 4'b0000; end endmodule module MODULE1(clk, VAR2) input clk; output VAR2; wire [3:0] VAR2; reg [2:0] VAR1; always @(posedge clk) begin VAR1 <= VAR1 + 1; assign VAR2 = (VAR1 == 3'b000) ? 4'b0001 : (VAR1 == 3'b001) ? 4'b0011 : (VAR1 == 3'b010) ? 4'b0010 : (VAR1 == 3'b011) ? 4'b0110 : (VAR1 == 3'b100) ? 4'b0100 : (VAR1 == 3'b101) ? 4'b1100 : (VAR1 == 3'b110) ? 4'b1000 : (VAR1 == 3'b111) ? 4'b1001 : 4'b0000; end endmodule
bsd-2-clause
lvd2/ngs
fpga/current/top.v
15,387
module MODULE1( VAR137, VAR21, VAR198, VAR112, VAR86, VAR93, VAR221, VAR24, VAR212, VAR253, VAR171, VAR138, VAR148, VAR100, VAR58, VAR99, VAR282, VAR228, VAR2, VAR227, VAR183, VAR1, VAR200, VAR73, VAR31, VAR216, VAR39, VAR106, VAR65, VAR210, VAR15, VAR245, VAR25, VAR251, VAR169, VAR46, VAR128, VAR225, VAR104, VAR164, VAR209, VAR82, VAR78, VAR123, VAR74, VAR273, VAR89, VAR208, VAR279, VAR68, VAR79, VAR237, VAR150, VAR218, VAR9, VAR244, VAR114, VAR49, VAR127, VAR41, VAR83, VAR61, VAR152 ); input VAR137; input VAR21; output VAR198; output VAR112; input VAR86; inout wire [ 7:0] VAR93; inout wire [15:0] VAR221; input VAR24; input VAR212; input VAR253; input VAR171; input VAR138; output VAR148; output VAR100; output VAR58; input VAR99; output reg VAR282; output reg VAR228; output reg VAR2; output reg VAR227; output reg VAR183; output reg VAR1; output reg VAR200; output reg VAR73; output reg VAR31; output reg VAR216; output reg VAR39; output reg VAR106; output reg VAR65; output reg VAR210; inout [7:0] VAR15; input [7:0] VAR245; input VAR25; input VAR251; input VAR169; input VAR46; input VAR128; input VAR225; input VAR104; output VAR164; output VAR209; output VAR82; output VAR78; output VAR123; output VAR74; output VAR273; output VAR89; output VAR208; output VAR279; output VAR68; input VAR79; input VAR237; input VAR150; output VAR218; output VAR9; output VAR244; input VAR114; output VAR49; input VAR127; output VAR41; output VAR83; output VAR61; output VAR152; wire VAR102; wire VAR168; wire [7:0] VAR267; wire [7:0] VAR142; wire [7:0] VAR72; wire VAR40; wire VAR42; wire VAR140; wire VAR205; wire VAR186; wire VAR121; wire [21:14] VAR231; wire [3:0] VAR14; wire VAR204; wire VAR26; wire VAR188; wire [21:0] VAR214; wire [7:0] VAR239; wire VAR236; wire VAR122; wire VAR250; wire VAR190; wire VAR155; wire VAR126; wire VAR220; wire VAR213; wire [21:0] VAR240; wire VAR180; wire [7:0] VAR12; wire [7:0] VAR98; wire VAR3 ; wire VAR215 ; wire VAR53; wire VAR162 ; wire VAR60 ; wire VAR32; wire VAR130 ; wire VAR18 ; wire VAR19; wire VAR50 ; wire VAR156 ; wire VAR92; wire [ 7:0] VAR139 ; wire [ 7:0] VAR222 ; wire [ 7:0] VAR224; wire [21:0] VAR55 ; wire [21:0] VAR229 ; wire [21:0] VAR181; wire VAR54,VAR165; wire VAR276; wire [7:0] VAR266; wire [7:0] VAR43; wire VAR206; wire [7:0] VAR7; wire [7:0] VAR133; wire [7:0] VAR219; wire VAR113; wire VAR96; wire VAR70; wire [7:0] VAR187; wire [1:0] VAR197; wire VAR207; wire VAR67,VAR117; wire [7:0] VAR167,VAR48,VAR257,VAR22; wire [7:0] VAR4; wire VAR265; wire VAR182; wire VAR29; wire [2:0] VAR269; wire [7:0] VAR272; wire VAR62,VAR90,VAR268; wire [7:0] VAR160; wire [7:0] VAR94; wire [7:0] VAR35; wire [7:0] VAR103; wire [7:0] VAR193; wire [7:0] VAR166; wire VAR263; wire [1:0] VAR259; wire VAR196; wire VAR246; wire VAR252; wire VAR28; wire VAR275; wire VAR33; wire VAR63; wire VAR134; wire VAR44; wire [2:0] VAR108; wire VAR192; wire VAR47; wire VAR170; wire VAR223; wire VAR271; wire [7:0] VAR5; wire [2:0] VAR238; VAR119 VAR131( .clk(VAR137), .VAR194( VAR86 ), .VAR274( VAR168 ), .VAR30( VAR102 ) ); always @* begin if( VAR102 == 1'b0 ) VAR282 <= 1'b0; end else VAR282 <= 1'VAR59; end assign VAR155 = (~VAR99) & VAR236; reg [7:0] VAR189; always @* begin if( VAR155 ) begin if( VAR122 ) VAR189 = 8'VAR10; end else VAR189 = VAR239; end else if( (!VAR138) && (!VAR24) ) begin VAR189 = { 2'b11, VAR238, 3'b111 }; end else begin if( VAR265==1'b1 ) VAR189 = 8'VAR10; end else VAR189 = VAR4; end end assign VAR93 = VAR189; reg [15:0] VAR254; always @* begin VAR254[15:14] = 2'VAR37; if( VAR155 ) begin VAR254[13:0] = VAR214[13:0]; {VAR39, VAR1, VAR183, VAR227, VAR2, VAR228} = { VAR214[21], VAR214[18:14] }; {VAR216,VAR31,VAR73,VAR200} = ~( 4'b0001<<VAR214[20:19] ); VAR106 = 1'b1; VAR65 = VAR250; VAR210 = VAR190; end else begin VAR254[13:0] = 14'VAR154; {VAR39, VAR1, VAR183, VAR227, VAR2, VAR228} = { VAR231[21], VAR231[18:14] }; VAR200 = VAR14[0]; VAR73 = VAR14[1]; VAR31 = VAR14[2]; VAR216 = VAR14[3]; VAR106 = VAR204; VAR65 = VAR26; VAR210 = VAR188; end end assign VAR221 = VAR254; VAR243 VAR23( .VAR107(VAR137), .VAR45(VAR102), .VAR168(VAR168), .VAR100(VAR100), .VAR15(VAR15), .VAR245(VAR245), .VAR25(VAR25), .VAR251(VAR251), .VAR169(VAR169), .VAR46(VAR46), .VAR128(VAR128), .VAR225(VAR225), .VAR164(VAR164), .VAR209(VAR209), .VAR104(VAR104), .VAR82(VAR82), .VAR78(VAR78), .VAR123(VAR123), .VAR36(VAR267), .VAR281(VAR142), .VAR136(VAR72), .VAR147(VAR40), .VAR52(VAR42), .VAR140(VAR140), .VAR120(VAR205), .VAR184(VAR186), .VAR121(VAR121), .VAR256(VAR276), .VAR211(VAR206), .VAR153(VAR54), .VAR115(VAR165), .VAR278(VAR43), .VAR258(VAR266), .VAR44(VAR44) ); VAR118 VAR118 ( .clk(VAR137), .VAR45(VAR102), .VAR58(VAR58), .VAR99(VAR99), .VAR214(VAR214), .VAR239(VAR239), .VAR84(VAR93), .VAR236(VAR236), .VAR122(VAR122), .VAR250(VAR250), .VAR190(VAR190), .VAR157(), .VAR213(VAR213), .VAR126(VAR126), .VAR220(VAR220), .VAR180(VAR180), .VAR12(VAR12), .VAR98(VAR98), .VAR240(VAR240) ); VAR77 VAR77 ( .clk (VAR137 ), .VAR45(VAR102), .VAR57(VAR130 ), .VAR105(VAR18 ), .VAR175(VAR19), .VAR280(1'b0 ), .VAR91(VAR55 ), .VAR264(VAR229 ), .VAR233(VAR181), .VAR110(22'd0 ), .VAR191(VAR50 ), .VAR11(VAR156 ), .VAR226(VAR92), .VAR232(1'b1 ), .VAR174(VAR139 ), .VAR217(VAR222 ), .VAR151(8'd0 ), .VAR17(8'd0 ), .VAR116(VAR3 ), .VAR111(VAR215 ), .VAR255(VAR53), .VAR71( ), .VAR149(VAR162 ), .VAR247(VAR60 ), .VAR66(VAR32), .VAR109( ), .VAR213 (VAR213 ), .VAR240(VAR240), .VAR180 (VAR180 ), .VAR98 (VAR98 ), .VAR126 (VAR126 ), .VAR220 (VAR220 ) ); VAR234 VAR234 ( .clk(VAR137), .VAR45(VAR102), .VAR80(VAR113), .VAR261(VAR207), .VAR76(VAR197), .din(VAR187), .dout(VAR7), .VAR256(VAR276), .VAR211(VAR206), .VAR54(VAR54), .VAR165(VAR165), .VAR135(VAR43), .VAR202(VAR266), .VAR213 (VAR130 ), .VAR126 (VAR3 ), .VAR220 (VAR162 ), .VAR180 (VAR50 ), .VAR12 (VAR12 ), .VAR98 (VAR139 ), .VAR240(VAR55) ); VAR6 VAR6 ( .clk (VAR137 ), .VAR45(VAR102), .VAR33 (VAR63), .VAR134 (VAR134 ), .VAR85(VAR166 ), .din (VAR187), .dout (VAR133 ), .VAR80(VAR96 ), .VAR261 (VAR207 ), .VAR76 (VAR197 ), .VAR240(VAR229), .VAR98 (VAR222 ), .VAR180 (VAR156 ), .VAR213 (VAR18 ), .VAR126 (VAR215 ), .VAR220 (VAR60 ), .VAR176(VAR47) ); VAR230 VAR230 ( .clk (VAR137 ), .VAR45(VAR102), .VAR160 (VAR94 ), .VAR246(VAR252), .VAR28 (VAR28 ), .VAR132 (VAR127 ), .din (VAR187), .dout (VAR219 ), .VAR80(VAR70 ), .VAR261 (VAR207 ), .VAR76 (VAR197 ), .VAR240(VAR181), .VAR12 (VAR12 ), .VAR180 (VAR92 ), .VAR213 (VAR19 ), .VAR126 (VAR53 ), .VAR220 (VAR32 ), .VAR176(VAR170) ); VAR262 VAR242 ( .VAR185(VAR221[14]), .VAR159(VAR221[15]), .VAR212(VAR212), .VAR253(VAR253), .VAR171(VAR171), .VAR228(VAR231[14]), .VAR2(VAR231[15]), .VAR227(VAR231[16]), .VAR183(VAR231[17]), .VAR1(VAR231[18]), .VAR39(VAR231[21]), .VAR200(VAR14[0]), .VAR73(VAR14[1]), .VAR31(VAR14[2]), .VAR216(VAR14[3]), .VAR106(VAR204), .VAR65(VAR26), .VAR210(VAR188), .VAR67(VAR67), .VAR117(VAR117), .VAR167(VAR167), .VAR48(VAR48), .VAR257(VAR257), .VAR22(VAR22) ); VAR178 VAR260 ( .dout(VAR4), .din(VAR93), .VAR95(VAR265), .VAR221(VAR221), .VAR24(VAR24), .VAR212(VAR212), .VAR253(VAR253), .VAR171(VAR171), .VAR45(VAR102), .VAR107(VAR137), .VAR198(VAR198), .VAR112(VAR112), .VAR182(VAR182), .VAR29(VAR29), .VAR269(VAR269), .VAR272(VAR272), .VAR62(VAR62), .VAR90(VAR90), .VAR268(VAR268), .VAR241(VAR267), .VAR8(VAR40), .VAR158(VAR42), .VAR140(VAR140), .VAR201(VAR142), .VAR173(VAR72), .VAR101(VAR205), .VAR270(VAR186), .VAR121(VAR121), .VAR67(VAR67), .VAR117(VAR117), .VAR167(VAR167), .VAR48(VAR48), .VAR257(VAR257), .VAR22(VAR22), .VAR160(VAR160), .VAR246(VAR246), .VAR132(VAR127), .VAR275(VAR275), .VAR129(VAR9), .VAR145(VAR49), .VAR103(VAR103), .VAR35(VAR35), .VAR263(VAR263), .VAR259(VAR259), .VAR196(VAR196), .VAR195(VAR279), .VAR237(VAR237), .VAR150(VAR150), .VAR193(VAR193), .VAR166(VAR166), .VAR33(VAR33), .VAR63(VAR63), .VAR187(VAR187), .VAR197(VAR197), .VAR207(VAR207), .VAR7 (VAR7 ), .VAR133 (VAR133 ), .VAR219(VAR219), .VAR113 (VAR113 ), .VAR96 (VAR96 ), .VAR70(VAR70), .VAR249(VAR152), .VAR44(VAR44), .VAR108(VAR108), .VAR223(VAR223), .VAR271(VAR271), .VAR5(VAR5) ); VAR81 VAR248( .VAR51(VAR21), .VAR62(VAR62), .VAR90(VAR90), .VAR268(VAR268), .VAR87(VAR182), .VAR13(VAR29), .VAR97(VAR269), .VAR235(VAR272), .VAR56(VAR74), .VAR38(VAR273), .VAR199(VAR89) ); VAR69 VAR143 ( .clk (VAR137 ), .VAR45(VAR102), .VAR138 (VAR138 ), .VAR24(VAR24), .VAR148(VAR148), .din(VAR93), .VAR27(VAR5), .VAR238(VAR238), .VAR179(VAR223), .VAR163(VAR271), .VAR203( {VAR170, VAR47, VAR192} ) ); VAR124 VAR172 ( .VAR88 (VAR137 ), .VAR21(VAR21), .VAR161(VAR108), .VAR146(VAR192) ); VAR283 VAR34 ( .VAR51(VAR137), .VAR177(VAR41), .VAR64(VAR83), .VAR75(VAR61), .din( VAR252 ? VAR94 : VAR160), .VAR125( VAR246 || VAR252 ), .VAR144( {1'b0,VAR275} ), .VAR16(1'b0), .VAR20(VAR28), .dout() ); VAR283 VAR141 ( .VAR51(VAR137), .VAR177(VAR218), .VAR64(VAR244), .VAR16(VAR114), .din(VAR35), .dout(VAR103), .VAR125(VAR263), .VAR20(VAR196), .VAR144(VAR259), .VAR75() ); VAR283 VAR277 ( .VAR51(VAR137), .VAR177(VAR208), .VAR64(VAR68), .VAR16(VAR79), .din(VAR193), .dout(VAR166), .VAR125(VAR33|VAR63), .VAR144(2'b00), .VAR75(), .VAR20(VAR134) ); endmodule
gpl-3.0
P3Stor/P3Stor
pcie/app/REQ_QUEUE_WRAPPER.v
6,567
module MODULE1( clk, VAR39, en, VAR35, VAR24, VAR5, VAR19, VAR30, VAR20, VAR36, VAR4, VAR26, VAR25, VAR16, VAR38 ); parameter VAR8 = 64; parameter VAR44 = 64; parameter VAR23 = 64; parameter VAR37 = 8'h01; parameter VAR7 = 8'h02; parameter VAR15 = 2'b01; parameter VAR17 = 2'b10; input clk , VAR39; input en; input [127:0] VAR35; input VAR24; output [9:0] VAR5; output VAR19; output VAR30; input VAR20; output [127:0] VAR36; output VAR4; input VAR26; output [127:0] VAR25; output [31:0] VAR16; output VAR38; reg [31:0] VAR16; reg VAR38; wire VAR2 = !VAR39 | !en; wire [9:0] VAR11; wire [6:0] VAR18; wire [127:0] VAR27; reg VAR40; wire [9:0] VAR3 , VAR34; wire [6:0] VAR6 , VAR28; wire [9:0] VAR12 , VAR21; reg VAR33 , VAR32; reg [3:0] VAR41; reg [1:0] VAR9; assign VAR18 = VAR11 >> 3; assign VAR5 = VAR8 - VAR18; assign VAR6 = VAR3 >> 3; assign VAR28 = VAR34 >> 3; assign VAR12 = VAR44 - VAR6; assign VAR21 = VAR23 - VAR28; assign VAR30 = ( VAR6 == 0 ) ? 1'b1 : 1'b0; assign VAR4 = ( VAR28 == 0 ) ? 1'b1 : 1'b0; VAR29 VAR13 ( .clk( clk ), .VAR2( VAR2 ), .din( VAR35 ), .VAR43( VAR24 ), .VAR10( VAR40 ), .dout( VAR27 ), .VAR31( VAR19 ), .VAR42( ), .VAR22( VAR11 ) ); VAR29 VAR14 ( .clk( clk ), .VAR2( VAR2 ), .din( VAR27 ), .VAR43( VAR33 ), .VAR10( VAR20 ), .dout( VAR36 ), .VAR31( ), .VAR42( ), .VAR22( VAR3 ) ); VAR29 VAR1 ( .clk( clk ), .VAR2( VAR2 ), .din( VAR27 ), .VAR43( VAR32 ), .VAR10( VAR26 ), .dout( VAR25 ), .VAR31( ), .VAR42( ), .VAR22( VAR34 ) ); always @ ( posedge clk ) begin if( !VAR39 || !en ) begin VAR40 <= 1'b0; VAR33 <= 1'b0; VAR32 <= 1'b0; VAR41 <= 4'b0; VAR16 <= 32'b0; VAR38 <= 1'b0; VAR9 <= VAR15; end else begin case ( VAR9 ) VAR15: begin if( VAR18 != 0 ) begin case ( VAR27[103:96] ) VAR37: begin if( VAR12 != 0 ) begin VAR40 <= 1'b1; VAR33 <= 1'b1; VAR41 <= 4'b1; VAR16 <= VAR16 + 1'b1; VAR9 <= VAR17; end end VAR7: begin if( VAR21 != 0 ) begin VAR40 <= 1'b1; VAR32 <= 1'b1; VAR41 <= 4'b1; VAR16 <= VAR16 + 1'b1; VAR9 <= VAR17; end end default: begin VAR40 <= 1'b1; VAR41 <= 4'b1; VAR16 <= VAR16 + 1'b1; VAR38 <= 1'b1; VAR9 <= VAR17; end endcase end end VAR17: begin if( VAR41 < 8 ) begin VAR41 <= VAR41 + 1'b1; VAR9 <= VAR17; end else begin VAR40 <= 1'b0; VAR32 <= 1'b0; VAR33 <= 1'b0; VAR9 <= VAR15; end end default: begin VAR9 <= VAR15; end endcase end end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dfrbp/sky130_fd_sc_ms__dfrbp_2.v
2,441
module MODULE2 ( VAR11 , VAR6 , VAR5 , VAR3 , VAR4, VAR2 , VAR10 , VAR9 , VAR7 ); output VAR11 ; output VAR6 ; input VAR5 ; input VAR3 ; input VAR4; input VAR2 ; input VAR10 ; input VAR9 ; input VAR7 ; VAR8 VAR1 ( .VAR11(VAR11), .VAR6(VAR6), .VAR5(VAR5), .VAR3(VAR3), .VAR4(VAR4), .VAR2(VAR2), .VAR10(VAR10), .VAR9(VAR9), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR11 , VAR6 , VAR5 , VAR3 , VAR4 ); output VAR11 ; output VAR6 ; input VAR5 ; input VAR3 ; input VAR4; supply1 VAR2; supply0 VAR10; supply1 VAR9 ; supply0 VAR7 ; VAR8 VAR1 ( .VAR11(VAR11), .VAR6(VAR6), .VAR5(VAR5), .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
scalable-networks/ext
uhd/fpga/usrp2/control_lib/CRC16_D16.v
3,487
module MODULE1 (input [15:0] VAR3, input [15:0] VAR5, output [15:0] VAR4); assign VAR4 = VAR1(VAR3,VAR5); function [15:0] VAR1; input [15:0] VAR3; input [15:0] VAR5; reg [15:0] VAR2; reg [15:0] VAR6; reg [15:0] VAR4; begin VAR2 = VAR3; VAR6 = VAR5; VAR4[0] = VAR2[12] ^ VAR2[11] ^ VAR2[8] ^ VAR2[4] ^ VAR2[0] ^ VAR6[0] ^ VAR6[4] ^ VAR6[8] ^ VAR6[11] ^ VAR6[12]; VAR4[1] = VAR2[13] ^ VAR2[12] ^ VAR2[9] ^ VAR2[5] ^ VAR2[1] ^ VAR6[1] ^ VAR6[5] ^ VAR6[9] ^ VAR6[12] ^ VAR6[13]; VAR4[2] = VAR2[14] ^ VAR2[13] ^ VAR2[10] ^ VAR2[6] ^ VAR2[2] ^ VAR6[2] ^ VAR6[6] ^ VAR6[10] ^ VAR6[13] ^ VAR6[14]; VAR4[3] = VAR2[15] ^ VAR2[14] ^ VAR2[11] ^ VAR2[7] ^ VAR2[3] ^ VAR6[3] ^ VAR6[7] ^ VAR6[11] ^ VAR6[14] ^ VAR6[15]; VAR4[4] = VAR2[15] ^ VAR2[12] ^ VAR2[8] ^ VAR2[4] ^ VAR6[4] ^ VAR6[8] ^ VAR6[12] ^ VAR6[15]; VAR4[5] = VAR2[13] ^ VAR2[12] ^ VAR2[11] ^ VAR2[9] ^ VAR2[8] ^ VAR2[5] ^ VAR2[4] ^ VAR2[0] ^ VAR6[0] ^ VAR6[4] ^ VAR6[5] ^ VAR6[8] ^ VAR6[9] ^ VAR6[11] ^ VAR6[12] ^ VAR6[13]; VAR4[6] = VAR2[14] ^ VAR2[13] ^ VAR2[12] ^ VAR2[10] ^ VAR2[9] ^ VAR2[6] ^ VAR2[5] ^ VAR2[1] ^ VAR6[1] ^ VAR6[5] ^ VAR6[6] ^ VAR6[9] ^ VAR6[10] ^ VAR6[12] ^ VAR6[13] ^ VAR6[14]; VAR4[7] = VAR2[15] ^ VAR2[14] ^ VAR2[13] ^ VAR2[11] ^ VAR2[10] ^ VAR2[7] ^ VAR2[6] ^ VAR2[2] ^ VAR6[2] ^ VAR6[6] ^ VAR6[7] ^ VAR6[10] ^ VAR6[11] ^ VAR6[13] ^ VAR6[14] ^ VAR6[15]; VAR4[8] = VAR2[15] ^ VAR2[14] ^ VAR2[12] ^ VAR2[11] ^ VAR2[8] ^ VAR2[7] ^ VAR2[3] ^ VAR6[3] ^ VAR6[7] ^ VAR6[8] ^ VAR6[11] ^ VAR6[12] ^ VAR6[14] ^ VAR6[15]; VAR4[9] = VAR2[15] ^ VAR2[13] ^ VAR2[12] ^ VAR2[9] ^ VAR2[8] ^ VAR2[4] ^ VAR6[4] ^ VAR6[8] ^ VAR6[9] ^ VAR6[12] ^ VAR6[13] ^ VAR6[15]; VAR4[10] = VAR2[14] ^ VAR2[13] ^ VAR2[10] ^ VAR2[9] ^ VAR2[5] ^ VAR6[5] ^ VAR6[9] ^ VAR6[10] ^ VAR6[13] ^ VAR6[14]; VAR4[11] = VAR2[15] ^ VAR2[14] ^ VAR2[11] ^ VAR2[10] ^ VAR2[6] ^ VAR6[6] ^ VAR6[10] ^ VAR6[11] ^ VAR6[14] ^ VAR6[15]; VAR4[12] = VAR2[15] ^ VAR2[8] ^ VAR2[7] ^ VAR2[4] ^ VAR2[0] ^ VAR6[0] ^ VAR6[4] ^ VAR6[7] ^ VAR6[8] ^ VAR6[15]; VAR4[13] = VAR2[9] ^ VAR2[8] ^ VAR2[5] ^ VAR2[1] ^ VAR6[1] ^ VAR6[5] ^ VAR6[8] ^ VAR6[9]; VAR4[14] = VAR2[10] ^ VAR2[9] ^ VAR2[6] ^ VAR2[2] ^ VAR6[2] ^ VAR6[6] ^ VAR6[9] ^ VAR6[10]; VAR4[15] = VAR2[11] ^ VAR2[10] ^ VAR2[7] ^ VAR2[3] ^ VAR6[3] ^ VAR6[7] ^ VAR6[10] ^ VAR6[11]; VAR1 = VAR4; end endfunction endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand2b/sky130_fd_sc_hdll__nand2b_4.v
2,163
module MODULE2 ( VAR8 , VAR9 , VAR3 , VAR1, VAR5, VAR6 , VAR7 ); output VAR8 ; input VAR9 ; input VAR3 ; input VAR1; input VAR5; input VAR6 ; input VAR7 ; VAR4 VAR2 ( .VAR8(VAR8), .VAR9(VAR9), .VAR3(VAR3), .VAR1(VAR1), .VAR5(VAR5), .VAR6(VAR6), .VAR7(VAR7) ); endmodule module MODULE2 ( VAR8 , VAR9, VAR3 ); output VAR8 ; input VAR9; input VAR3 ; supply1 VAR1; supply0 VAR5; supply1 VAR6 ; supply0 VAR7 ; VAR4 VAR2 ( .VAR8(VAR8), .VAR9(VAR9), .VAR3(VAR3) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfxtp/sky130_fd_sc_hvl__sdfxtp.blackbox.v
1,348
module MODULE1 ( VAR8 , VAR1, VAR2 , VAR7, VAR4 ); output VAR8 ; input VAR1; input VAR2 ; input VAR7; input VAR4; supply1 VAR9; supply0 VAR3; supply1 VAR5 ; supply0 VAR6 ; endmodule
apache-2.0
Fabeltranm/FPGA-Game-D1
HW/RTL/06PCM-AUDIO-MICROFONO/Version_01/02 verilog/Otros/Prueba4.2/fifo.v
3,735
module MODULE1 # (parameter VAR16 = 400, VAR9 = 8)( input reset, VAR13, input rd, wr, input [VAR9-1:0] din, output [VAR9-1:0] dout, output VAR7, output VAR22, output reg VAR3 ); wire VAR11; wire VAR10; reg VAR12, VAR25; reg [VAR9-1:0] out; VAR4 VAR3 = 0; reg [1:0] VAR23; reg [1:0] VAR5; assign VAR11 = VAR12; assign VAR10 = VAR25; reg [VAR9-1:0] VAR24[2**VAR16-1:0]; reg [VAR16-1:0] VAR2, VAR21, VAR6; reg [VAR16-1:0] VAR1, VAR19, VAR8; reg VAR17, VAR15, VAR20, VAR14; assign VAR18 = VAR11 & ~VAR22; always @ (posedge VAR13)begin if(wr && ~rd) begin if(VAR23) begin VAR12<=0; VAR23<=VAR23+1; end else begin VAR12<=1; VAR23<=0; end end else VAR12<=0; end always @ (posedge VAR13)begin if(rd && ~wr) begin if(VAR5) begin VAR25<=0; VAR5<=VAR5+1; end else begin VAR25<=1; VAR5<=0; end end else VAR25<=0; end always @ (posedge VAR13) begin if(VAR18) VAR24[VAR2] <= din; end always @ (posedge VAR13) begin if(VAR10) out <= VAR24[VAR1]; end always @ (posedge VAR13 or posedge reset) begin if (reset) begin VAR2 <= 0; VAR1 <= 0; VAR17 <= 1'b0; VAR15 <= 1'b1; VAR3=0; end else begin VAR2 <= VAR21; VAR1 <= VAR19; VAR17 <= VAR20; VAR15 <= VAR14; VAR3=1; end end always @(VAR13) begin VAR6 = VAR2 + 1; VAR8 = VAR1 + 1; VAR21 = VAR2; VAR19 = VAR1; VAR20 = VAR17; VAR14 = VAR15; case({VAR11,VAR10}) 2'b01: begin if(~VAR7) begin VAR19 = VAR8; VAR20 = 1'b0; if(VAR8 == VAR2) VAR14 = 1'b1; end end 2'b10: begin if(~VAR22) begin VAR21 = VAR6; VAR14 = 1'b0; if(VAR6 == (2**VAR16-1)) VAR20 = 1'b1; end end 2'b11: begin VAR21 = VAR6; VAR19 = VAR8; end endcase end assign VAR22 = VAR17; assign VAR7 = VAR15; assign dout = out; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/einvn/sky130_fd_sc_hdll__einvn_8.v
2,166
module MODULE1 ( VAR8 , VAR9 , VAR7, VAR1, VAR6, VAR3 , VAR4 ); output VAR8 ; input VAR9 ; input VAR7; input VAR1; input VAR6; input VAR3 ; input VAR4 ; VAR2 VAR5 ( .VAR8(VAR8), .VAR9(VAR9), .VAR7(VAR7), .VAR1(VAR1), .VAR6(VAR6), .VAR3(VAR3), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR8 , VAR9 , VAR7 ); output VAR8 ; input VAR9 ; input VAR7; supply1 VAR1; supply0 VAR6; supply1 VAR3 ; supply0 VAR4 ; VAR2 VAR5 ( .VAR8(VAR8), .VAR9(VAR9), .VAR7(VAR7) ); endmodule
apache-2.0
ThotIP/async_fifo
src/vlog/async_bidir_ramif_fifo.v
6,071
module MODULE1 parameter VAR30 = 8, parameter VAR8 = 4, parameter VAR74 = "VAR21" ) ( input wire VAR63, input wire VAR72, input wire VAR34, input wire [VAR30-1:0] VAR50, input wire VAR7, output wire [VAR30-1:0] VAR62, output wire VAR59, output wire VAR1, output wire VAR28, output wire VAR17, input wire VAR67, input wire VAR29, input wire VAR39, input wire VAR26, input wire [VAR30-1:0] VAR80, input wire VAR69, output wire [VAR30-1:0] VAR76, output wire VAR51, output wire VAR25, output wire VAR78, output wire VAR83, input wire VAR55, output wire VAR70, output wire [VAR30-1:0] VAR75, input wire [VAR30-1:0] VAR65, output wire [VAR8-1:0] VAR27, output wire VAR23, output wire VAR18, output wire VAR53, output wire [VAR30-1:0] VAR35, input wire [VAR30-1:0] VAR81, output wire [VAR8-1:0] VAR10, output wire VAR12, output wire VAR14 ); wire [VAR8-1:0] VAR56, VAR31; wire [VAR8-1:0] VAR66, VAR33, VAR45, VAR38; wire [ VAR8:0] VAR64, VAR43, VAR77, VAR15; wire [ VAR8:0] VAR61, VAR40, VAR4, VAR32; assign VAR56 = VAR67 ? VAR66 : VAR33; assign VAR31 = VAR55 ? VAR45 : VAR38; VAR13 #(VAR8) VAR16 ( .VAR44 (VAR63), .VAR60 (VAR72), .VAR71 (VAR40), .VAR46 (VAR32) ); VAR13 #(VAR8) VAR52 ( .VAR44 (VAR63), .VAR60 (VAR72), .VAR71 (VAR43), .VAR46 (VAR15) ); VAR68 #(VAR8) VAR11 ( .VAR58 (VAR63), .VAR79 (VAR72), .VAR24 (VAR34), .VAR20 (VAR15), .VAR73 (VAR1), .VAR3 (VAR59), .VAR48 (VAR66), .VAR57 (VAR64) ); VAR19 #(VAR8) VAR37 ( .VAR41 (VAR63), .VAR5 (VAR72), .VAR47 (VAR7), .VAR42 (VAR32), .VAR49 (VAR17), .VAR6 (VAR28), .VAR36 (VAR33), .VAR2 (VAR61) ); VAR13 #(VAR8) VAR82 ( .VAR44 (VAR29), .VAR60 (VAR39), .VAR71 (VAR64), .VAR46 (VAR77) ); VAR13 #(VAR8) VAR22 ( .VAR44 (VAR29), .VAR60 (VAR39), .VAR71 (VAR61), .VAR46 (VAR4) ); VAR68 #(VAR8) VAR9 ( .VAR58 (VAR29), .VAR79 (VAR39), .VAR24 (VAR26), .VAR20 (VAR4), .VAR73 (VAR25), .VAR3 (VAR51), .VAR48 (VAR45), .VAR57 (VAR40) ); VAR19 #(VAR8) VAR54 ( .VAR41 (VAR29), .VAR5 (VAR39), .VAR47 (VAR69), .VAR42 (VAR77), .VAR49 (VAR83), .VAR6 (VAR78), .VAR36 (VAR38), .VAR2 (VAR43) ); assign VAR70 = VAR63; assign VAR75 = VAR50; assign VAR62 = VAR65; assign VAR27 = VAR56; assign VAR23 = VAR7 & !VAR67; assign VAR18 = VAR34 & VAR67; assign VAR53 = VAR29; assign VAR35 = VAR80; assign VAR76 = VAR81; assign VAR10 = VAR31; assign VAR12 = VAR69 & !VAR55; assign VAR14 = VAR26 & VAR55; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/and4b/sky130_fd_sc_hd__and4b.blackbox.v
1,320
module MODULE1 ( VAR5 , VAR6, VAR4 , VAR3 , VAR2 ); output VAR5 ; input VAR6; input VAR4 ; input VAR3 ; input VAR2 ; supply1 VAR1; supply0 VAR7; supply1 VAR8 ; supply0 VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlrbn/sky130_fd_sc_hs__dlrbn.behavioral.v
2,502
module MODULE1 ( VAR8, VAR13 , VAR16 , VAR4 , VAR14 , VAR19 , VAR12 ); input VAR8; input VAR13 ; input VAR16 ; output VAR4 ; output VAR14 ; input VAR19 ; input VAR12 ; wire VAR3 ; wire VAR20 ; reg VAR1 ; wire VAR5 ; wire VAR23 ; wire VAR21 ; wire VAR24; wire VAR15 ; wire VAR11 ; wire VAR6 ; wire VAR7 ; not VAR17 (VAR3 , VAR24 ); not VAR10 (VAR20, VAR23 ); VAR2 VAR18 (VAR15 , VAR5, VAR20, VAR3, VAR1, VAR19, VAR12); assign VAR11 = ( VAR19 === 1'b1 ); assign VAR6 = ( VAR11 && ( VAR24 === 1'b1 ) ); assign VAR7 = ( VAR11 && ( VAR8 === 1'b1 ) ); buf VAR22 (VAR4 , VAR15 ); not VAR9 (VAR14 , VAR15 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o31a/sky130_fd_sc_hs__o31a_2.v
2,195
module MODULE2 ( VAR4 , VAR6 , VAR3 , VAR8 , VAR9 , VAR5, VAR2 ); output VAR4 ; input VAR6 ; input VAR3 ; input VAR8 ; input VAR9 ; input VAR5; input VAR2; VAR7 VAR1 ( .VAR4(VAR4), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8), .VAR9(VAR9), .VAR5(VAR5), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR4 , VAR6, VAR3, VAR8, VAR9 ); output VAR4 ; input VAR6; input VAR3; input VAR8; input VAR9; supply1 VAR5; supply0 VAR2; VAR7 VAR1 ( .VAR4(VAR4), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8), .VAR9(VAR9) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfrbp/sky130_fd_sc_lp__dfrbp.behavioral.v
2,313
module MODULE1 ( VAR12 , VAR21 , VAR20 , VAR11 , VAR1 ); output VAR12 ; output VAR21 ; input VAR20 ; input VAR11 ; input VAR1; supply1 VAR3; supply0 VAR8; supply1 VAR10 ; supply0 VAR23 ; wire VAR18 ; wire VAR6 ; reg VAR14 ; wire VAR22 ; wire VAR7; wire VAR5 ; wire VAR2 ; wire VAR9 ; wire VAR16 ; not VAR4 (VAR6 , VAR7 ); VAR19 VAR13 (VAR18 , VAR22, VAR5, VAR6, VAR14, VAR3, VAR8); assign VAR9 = ( VAR2 && ( VAR7 === 1'b1 ) ); assign VAR16 = ( VAR2 && ( VAR1 === 1'b1 ) ); buf VAR15 (VAR12 , VAR18 ); not VAR17 (VAR21 , VAR18 ); endmodule
apache-2.0
frisnit/fpga-noise
verilog/clock_mgr.v
2,749
module MODULE1(VAR52, VAR17, VAR25, VAR31); input VAR52; output VAR17; output VAR25; output VAR31; wire VAR30; wire VAR10; wire VAR19; wire VAR49; wire VAR13; assign VAR13 = 0; assign VAR25 = VAR19; assign VAR31 = VAR30; VAR45 VAR27 (.VAR48(VAR10), .VAR4(VAR17)); VAR14 VAR46 (.VAR48(VAR52), .VAR4(VAR19)); VAR45 VAR16 (.VAR48(VAR49), .VAR4(VAR30)); VAR23 #( .VAR54("1X"), .VAR24(2.0), .VAR44(1), .VAR15(16), .VAR29("VAR43"), .VAR28(83.333), .VAR1("VAR35"), .VAR32("VAR26"), .VAR6("VAR5"), .VAR22("VAR5"), .VAR53("VAR38"), .VAR47(16'hC080), .VAR41(0), .VAR34("VAR43") ) VAR40 (.VAR39(VAR30), .VAR50(VAR19), .VAR55(VAR13), .VAR11(VAR13), .VAR2(VAR13), .VAR3(VAR13), .VAR37(VAR13), .VAR21(), .VAR12(VAR10), .VAR33(), .VAR9(VAR49), .VAR42(), .VAR36(), .VAR18(), .VAR56(), .VAR51(), .VAR20(), .VAR7(), .VAR8()); endmodule
mit
MartinMosbeck/NoCMonitor
buildCONNECT4x4/mkRouterInputArbitersStatic.v
11,654
module MODULE1(VAR16, VAR8, VAR17, VAR1, VAR11, VAR9, VAR14, VAR15, VAR10, VAR7, VAR12, VAR5, VAR2, VAR3, VAR13, VAR6, VAR4); input VAR16; input VAR8; input [4 : 0] VAR17; output [4 : 0] VAR1; input VAR11; input [4 : 0] VAR9; output [4 : 0] VAR14; input VAR15; input [4 : 0] VAR10; output [4 : 0] VAR7; input VAR12; input [4 : 0] VAR5; output [4 : 0] VAR2; input VAR3; input [4 : 0] VAR13; output [4 : 0] VAR6; input VAR4; wire [4 : 0] VAR1, VAR14, VAR7, VAR2, VAR6; assign VAR1 = { VAR17[4], !VAR17[4] && VAR17[3], !VAR17[4] && !VAR17[3] && VAR17[2], !VAR17[4] && !VAR17[3] && !VAR17[2] && VAR17[1], !VAR17[4] && !VAR17[3] && !VAR17[2] && !VAR17[1] && VAR17[0] } ; assign VAR14 = { !VAR9[0] && VAR9[4], !VAR9[0] && !VAR9[4] && VAR9[3], !VAR9[0] && !VAR9[4] && !VAR9[3] && VAR9[2], !VAR9[0] && !VAR9[4] && !VAR9[3] && !VAR9[2] && VAR9[1], VAR9[0] } ; assign VAR7 = { !VAR10[1] && !VAR10[0] && VAR10[4], !VAR10[1] && !VAR10[0] && !VAR10[4] && VAR10[3], !VAR10[1] && !VAR10[0] && !VAR10[4] && !VAR10[3] && VAR10[2], VAR10[1], !VAR10[1] && VAR10[0] } ; assign VAR2 = { !VAR5[2] && !VAR5[1] && !VAR5[0] && VAR5[4], !VAR5[2] && !VAR5[1] && !VAR5[0] && !VAR5[4] && VAR5[3], VAR5[2], !VAR5[2] && VAR5[1], !VAR5[2] && !VAR5[1] && VAR5[0] } ; assign VAR6 = { !VAR13[3] && !VAR13[2] && !VAR13[1] && !VAR13[0] && VAR13[4], VAR13[3], !VAR13[3] && VAR13[2], !VAR13[3] && !VAR13[2] && VAR13[1], !VAR13[3] && !VAR13[2] && !VAR13[1] && VAR13[0] } ; endmodule
gpl-2.0
andrewandrepowell/axiplasma
hdl/projects/VC707/bd/mig_wrap/ip/mig_wrap_auto_cc_0/synth/mig_wrap_auto_cc_0.v
16,272
module MODULE1 ( VAR54, VAR68, VAR108, VAR96, VAR51, VAR20, VAR69, VAR22, VAR25, VAR26, VAR82, VAR72, VAR87, VAR92, VAR34, VAR36, VAR103, VAR53, VAR112, VAR104, VAR18, VAR35, VAR107, VAR37, VAR61, VAR88, VAR97, VAR89, VAR110, VAR115, VAR7, VAR45, VAR113, VAR38, VAR85, VAR71, VAR78, VAR98, VAR47, VAR15, VAR64, VAR29, VAR106, VAR56, VAR66, VAR5, VAR27, VAR75, VAR12, VAR42, VAR4, VAR90, VAR50, VAR44, VAR101, VAR40, VAR48, VAR76, VAR65, VAR74, VAR6, VAR8, VAR39, VAR81, VAR2, VAR30, VAR32, VAR63, VAR58, VAR62, VAR23, VAR33, VAR99, VAR70, VAR79, VAR43, VAR105, VAR84, VAR102, VAR93, VAR9, VAR28 ); input wire VAR54; input wire VAR68; input wire [3 : 0] VAR108; input wire [31 : 0] VAR96; input wire [7 : 0] VAR51; input wire [2 : 0] VAR20; input wire [1 : 0] VAR69; input wire [0 : 0] VAR22; input wire [3 : 0] VAR25; input wire [2 : 0] VAR26; input wire [3 : 0] VAR82; input wire [3 : 0] VAR72; input wire VAR87; output wire VAR92; input wire [31 : 0] VAR34; input wire [3 : 0] VAR36; input wire VAR103; input wire VAR53; output wire VAR112; output wire [3 : 0] VAR104; output wire [1 : 0] VAR18; output wire VAR35; input wire VAR107; input wire [3 : 0] VAR37; input wire [31 : 0] VAR61; input wire [7 : 0] VAR88; input wire [2 : 0] VAR97; input wire [1 : 0] VAR89; input wire [0 : 0] VAR110; input wire [3 : 0] VAR115; input wire [2 : 0] VAR7; input wire [3 : 0] VAR45; input wire [3 : 0] VAR113; input wire VAR38; output wire VAR85; output wire [3 : 0] VAR71; output wire [31 : 0] VAR78; output wire [1 : 0] VAR98; output wire VAR47; output wire VAR15; input wire VAR64; input wire VAR29; input wire VAR106; output wire [3 : 0] VAR56; output wire [31 : 0] VAR66; output wire [7 : 0] VAR5; output wire [2 : 0] VAR27; output wire [1 : 0] VAR75; output wire [0 : 0] VAR12; output wire [3 : 0] VAR42; output wire [2 : 0] VAR4; output wire [3 : 0] VAR90; output wire [3 : 0] VAR50; output wire VAR44; input wire VAR101; output wire [31 : 0] VAR40; output wire [3 : 0] VAR48; output wire VAR76; output wire VAR65; input wire VAR74; input wire [3 : 0] VAR6; input wire [1 : 0] VAR8; input wire VAR39; output wire VAR81; output wire [3 : 0] VAR2; output wire [31 : 0] VAR30; output wire [7 : 0] VAR32; output wire [2 : 0] VAR63; output wire [1 : 0] VAR58; output wire [0 : 0] VAR62; output wire [3 : 0] VAR23; output wire [2 : 0] VAR33; output wire [3 : 0] VAR99; output wire [3 : 0] VAR70; output wire VAR79; input wire VAR43; input wire [3 : 0] VAR105; input wire [31 : 0] VAR84; input wire [1 : 0] VAR102; input wire VAR93; input wire VAR9; output wire VAR28; VAR83 #( .VAR95("VAR49"), .VAR55(4), .VAR1(32), .VAR10(32), .VAR91(1), .VAR13(2), .VAR60(1), .VAR80(0), .VAR94(0), .VAR17(1), .VAR21(1), .VAR16(1), .VAR24(1), .VAR109(1), .VAR100(1), .VAR73(1), .VAR11(3) ) VAR59 ( .VAR54(VAR54), .VAR68(VAR68), .VAR108(VAR108), .VAR96(VAR96), .VAR51(VAR51), .VAR20(VAR20), .VAR69(VAR69), .VAR22(VAR22), .VAR25(VAR25), .VAR26(VAR26), .VAR82(VAR82), .VAR72(VAR72), .VAR14(1'VAR114), .VAR87(VAR87), .VAR92(VAR92), .VAR86(4'VAR114), .VAR34(VAR34), .VAR36(VAR36), .VAR103(VAR103), .VAR57(1'VAR114), .VAR53(VAR53), .VAR112(VAR112), .VAR104(VAR104), .VAR18(VAR18), .VAR31(), .VAR35(VAR35), .VAR107(VAR107), .VAR37(VAR37), .VAR61(VAR61), .VAR88(VAR88), .VAR97(VAR97), .VAR89(VAR89), .VAR110(VAR110), .VAR115(VAR115), .VAR7(VAR7), .VAR45(VAR45), .VAR113(VAR113), .VAR111(1'VAR114), .VAR38(VAR38), .VAR85(VAR85), .VAR71(VAR71), .VAR78(VAR78), .VAR98(VAR98), .VAR47(VAR47), .VAR52(), .VAR15(VAR15), .VAR64(VAR64), .VAR29(VAR29), .VAR106(VAR106), .VAR56(VAR56), .VAR66(VAR66), .VAR5(VAR5), .VAR27(VAR27), .VAR75(VAR75), .VAR12(VAR12), .VAR42(VAR42), .VAR4(VAR4), .VAR90(VAR90), .VAR50(VAR50), .VAR46(), .VAR44(VAR44), .VAR101(VAR101), .VAR3(), .VAR40(VAR40), .VAR48(VAR48), .VAR76(VAR76), .VAR67(), .VAR65(VAR65), .VAR74(VAR74), .VAR6(VAR6), .VAR8(VAR8), .VAR77(1'VAR114), .VAR39(VAR39), .VAR81(VAR81), .VAR2(VAR2), .VAR30(VAR30), .VAR32(VAR32), .VAR63(VAR63), .VAR58(VAR58), .VAR62(VAR62), .VAR23(VAR23), .VAR33(VAR33), .VAR99(VAR99), .VAR70(VAR70), .VAR19(), .VAR79(VAR79), .VAR43(VAR43), .VAR105(VAR105), .VAR84(VAR84), .VAR102(VAR102), .VAR93(VAR93), .VAR41(1'VAR114), .VAR9(VAR9), .VAR28(VAR28) ); endmodule
mit
CospanDesign/nysa-verilog
verilog/axi/slave/axi_nes/rtl/cpu/apu/apu_length_counter.v
4,125
module MODULE1 ( input wire VAR8, input wire VAR3, input wire VAR5, input wire VAR2, input wire VAR9, input wire [4:0] VAR6, input wire VAR10, output wire VAR7 ); reg [7:0] VAR1, VAR4; always @(posedge VAR8) begin if (VAR3) begin VAR1 <= 8'h00; end else begin VAR1 <= VAR4; end end always @* begin VAR4 = VAR1; if (!VAR5) begin VAR4 = 8'h00; end else if (VAR10) begin case (VAR6) 5'h00: VAR4 = 8'h0A; 5'h01: VAR4 = 8'hFE; 5'h02: VAR4 = 8'h14; 5'h03: VAR4 = 8'h02; 5'h04: VAR4 = 8'h28; 5'h05: VAR4 = 8'h04; 5'h06: VAR4 = 8'h50; 5'h07: VAR4 = 8'h06; 5'h08: VAR4 = 8'hA0; 5'h09: VAR4 = 8'h08; 5'h0A: VAR4 = 8'h3C; 5'h0B: VAR4 = 8'h0A; 5'h0C: VAR4 = 8'h0E; 5'h0D: VAR4 = 8'h0C; 5'h0E: VAR4 = 8'h1A; 5'h0F: VAR4 = 8'h0E; 5'h10: VAR4 = 8'h0C; 5'h11: VAR4 = 8'h10; 5'h12: VAR4 = 8'h18; 5'h13: VAR4 = 8'h12; 5'h14: VAR4 = 8'h30; 5'h15: VAR4 = 8'h14; 5'h16: VAR4 = 8'h60; 5'h17: VAR4 = 8'h16; 5'h18: VAR4 = 8'hC0; 5'h19: VAR4 = 8'h18; 5'h1A: VAR4 = 8'h48; 5'h1B: VAR4 = 8'h1A; 5'h1C: VAR4 = 8'h10; 5'h1D: VAR4 = 8'h1C; 5'h1E: VAR4 = 8'h20; 5'h1F: VAR4 = 8'h1E; endcase end else if (VAR9 && !VAR2 && (VAR1 != 8'h00)) begin VAR4 = VAR1 - 8'h01; end end assign VAR7 = (VAR1 != 8'h00); endmodule
mit
ShepardSiegel/ocpi
coregen/pcie_4243_trn_v6es_gtx_x4_250/example_design/pcie_app_v6.v
11,726
module MODULE1 ( input VAR76, input VAR89, input VAR5, input [5:0] VAR4, input VAR14, input VAR54, input VAR3, output [63:0] VAR87, output VAR93, output VAR74, output VAR64, output VAR81, output VAR33, output VAR30, output VAR24, output VAR88, input [63:0] VAR19, input VAR49, input VAR41, input VAR58, input VAR52, input VAR40, input VAR44, input [6:0] VAR35, output VAR47, output VAR84, input [11:0] VAR22, input [7:0] VAR27, input [11:0] VAR42, input [7:0] VAR7, input [11:0] VAR86, input [7:0] VAR85, output [2:0] VAR29, input [31:0] VAR77, input VAR56, output [31:0] VAR2, output [3:0] VAR34, output [9:0] VAR92, output VAR79, output VAR57, output VAR25, output VAR37, output VAR75, output VAR94, output VAR26, output VAR21, output VAR46, output VAR82, output [47:0] VAR65, input VAR20, output VAR43, input VAR70, output VAR13, output [7:0] VAR38, input [7:0] VAR1, input [2:0] VAR17, input VAR67, input VAR9, input VAR11, output VAR32, input VAR36, output VAR63, output VAR39, input [7:0] VAR60, input [4:0] VAR95, input [2:0] VAR83, input [15:0] VAR71, input [15:0] VAR96, input [15:0] VAR73, input [15:0] VAR31, input [15:0] VAR51, input [15:0] VAR45, input [15:0] VAR15, input [2:0] VAR72, output [1:0] VAR90, input [5:0] VAR53, output [1:0] VAR18, output VAR10, output VAR12, output VAR28, input [1:0] VAR97, input VAR23, input VAR50, input VAR55, input [2:0] VAR68, input VAR62, input [1:0] VAR66, input VAR6, output [63:0] VAR48 ); wire [7:0] VAR59; assign VAR29 = 3'b0; assign VAR84 = 1'b0; assign VAR30 = 1'b1; assign VAR24 = 1'b0; assign VAR78 = 1'b1; assign VAR25 = 1'b1; assign VAR37 = 1'b1; assign VAR75 = 1'b1; assign VAR94 = 1'b1; assign VAR26 = 1'b1; assign VAR21 = 1'b1; assign VAR46 = 1'b0; assign VAR82 = 1'b1; assign VAR39 = 1'b1; assign VAR63 = 1'b1; assign VAR88 = 1'b0; assign VAR13 = 1'b1; assign VAR43 = 1'b1; assign VAR92 = 0; assign VAR57 = 1; assign VAR90 = 0; assign VAR18 = 0; assign VAR10 = 0; assign VAR12 = 0; assign VAR28 = 1'b1; assign VAR38 = 8'b0; assign VAR65 = 47'h0; assign VAR2 = 0; assign VAR34 = 4'hf; assign VAR79 = 1; assign VAR48 = {VAR80, VAR91}; assign VAR93 = (VAR59 == 8'h0F) ? 1'b1 : 1'b0; wire [15:0] VAR69 = { VAR60, VAR95, VAR83 }; wire VAR8 = VAR96[2]; VAR16 VAR16 ( .VAR76 ( VAR76 ), .VAR89 ( VAR89 ), .VAR5 ( VAR5 ), .VAR87 ( VAR87 ), .VAR93 ( VAR59 ), .VAR74 ( VAR74 ), .VAR64 ( VAR64 ), .VAR81 ( VAR81 ), .VAR33 ( VAR33 ), .VAR3 ( VAR3 ), .VAR61 ( 1'b1 ), .VAR19 ( VAR19 ), .VAR49 ( (VAR49 == 1'b1) ? 8'h0F : 8'h00), .VAR41 ( VAR41 ), .VAR58 ( VAR58 ), .VAR52 ( VAR52 ), .VAR40 ( VAR40 ), .VAR47 ( VAR47 ), .VAR35 ( VAR35 ), .VAR36 ( VAR36 ), .VAR32 ( VAR32 ), .VAR69 ( VAR69 ), .VAR8 (VAR8 ) ); endmodule
lgpl-3.0
gajjanag/6111_Project
assets/flash_IO/flash_int.v
3,323
module MODULE1(reset, VAR20, VAR5, address, VAR7, VAR16, VAR10, VAR19, VAR17, VAR18, VAR11, VAR8, VAR1, VAR4, VAR15); parameter VAR6 = 5; parameter VAR2 = 1000; parameter VAR9 = 30; input reset, VAR20; input [1:0] VAR5; input [22:0] address; input [15:0] VAR7; output [15:0] VAR16; output VAR10; inout [15:0] VAR19; output [23:0] VAR17; output VAR18, VAR11, VAR8; output VAR1, VAR15; input VAR4; reg [1:0] VAR14; reg [15:0] VAR16; reg VAR10; reg [15:0] VAR12; reg VAR13; reg [23:0] VAR17; reg VAR11, VAR8, VAR1; assign VAR18 = VAR11 && VAR8; assign VAR15 = 1; assign VAR19 = VAR13 ? VAR12 : 16'VAR3; begin begin begin begin end begin end begin begin begin
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o221ai/sky130_fd_sc_hd__o221ai.behavioral.v
1,688
module MODULE1 ( VAR11 , VAR12, VAR17, VAR4, VAR10, VAR9 ); output VAR11 ; input VAR12; input VAR17; input VAR4; input VAR10; input VAR9; supply1 VAR6; supply0 VAR2; supply1 VAR14 ; supply0 VAR16 ; wire VAR7 ; wire VAR5 ; wire VAR15; or VAR1 (VAR7 , VAR10, VAR4 ); or VAR3 (VAR5 , VAR17, VAR12 ); nand VAR13 (VAR15, VAR5, VAR7, VAR9); buf VAR8 (VAR11 , VAR15 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a2bb2o/sky130_fd_sc_hdll__a2bb2o_2.v
2,479
module MODULE2 ( VAR4 , VAR10, VAR1, VAR9 , VAR6 , VAR2, VAR11, VAR8 , VAR5 ); output VAR4 ; input VAR10; input VAR1; input VAR9 ; input VAR6 ; input VAR2; input VAR11; input VAR8 ; input VAR5 ; VAR3 VAR7 ( .VAR4(VAR4), .VAR10(VAR10), .VAR1(VAR1), .VAR9(VAR9), .VAR6(VAR6), .VAR2(VAR2), .VAR11(VAR11), .VAR8(VAR8), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR4 , VAR10, VAR1, VAR9 , VAR6 ); output VAR4 ; input VAR10; input VAR1; input VAR9 ; input VAR6 ; supply1 VAR2; supply0 VAR11; supply1 VAR8 ; supply0 VAR5 ; VAR3 VAR7 ( .VAR4(VAR4), .VAR10(VAR10), .VAR1(VAR1), .VAR9(VAR9), .VAR6(VAR6) ); endmodule
apache-2.0
jhennessy/parallella-hw-old
fpga/hdl/elink/ewrapper_link_top.v
15,596
module MODULE1 ( VAR1, VAR104, VAR106, VAR87, VAR12, VAR131, VAR132, VAR169, VAR56, VAR138, VAR35, VAR65, VAR54, VAR160, VAR122, VAR149, VAR59, VAR9, VAR68, VAR123, VAR159, VAR119, reset, VAR142, VAR27, VAR165, VAR37, VAR74, VAR141, VAR114, VAR140, VAR91, VAR143, VAR23, VAR154, VAR145, VAR57, VAR102, VAR136, VAR121, VAR139, VAR151, VAR147, VAR95, VAR64, VAR125, VAR52 ); input reset; input VAR142; input VAR27; input VAR165; input [1:0] VAR37; input VAR74; input VAR141; input [1:0] VAR114; input [3:0] VAR140; input [31:0] VAR91; input [31:0] VAR143; input [31:0] VAR23; input VAR154; input VAR145; input [7:0] VAR57; input [7:0] VAR102; input VAR136; input VAR121; input VAR139; input VAR151; input VAR147; input VAR95; input VAR64; input VAR125; input VAR52; output VAR1; output VAR104; output VAR106; output [1:0] VAR87; output [3:0] VAR12; output [31:0] VAR131; output [31:0] VAR132; output [31:0] VAR169; output VAR56; output VAR138; output [7:0] VAR35; output [7:0] VAR65; output VAR54; output VAR160; output VAR122; output VAR149; output VAR59; output VAR9; output VAR68; output VAR123; output VAR159; output VAR119; wire [71:0] VAR112; wire [63:0] VAR129; wire [7:0] VAR101; wire [8:0] VAR79; wire [8:0] VAR48; wire [71:0] VAR30; wire VAR14; wire [8:0] VAR5; wire [8:0] VAR33; wire VAR29; wire VAR124; wire VAR134; wire VAR166; wire VAR107; wire VAR161; wire VAR47; wire VAR130; wire VAR6 = 1'b0; wire VAR6 = 1'b1; assign VAR129[63:0] = VAR30[63:0]; assign VAR101[7:0] = VAR30[71:64]; assign VAR79[8:0] = {VAR136,VAR57[7:0]}; assign VAR48[8:0] = {VAR121,VAR102[7:0]}; VAR31 VAR157 (.VAR67 (VAR129[63:0]), .VAR92 (VAR101[7:0]), .VAR133 (VAR14), .VAR107 (VAR107), .VAR161 (VAR161), .VAR1 (VAR1), .VAR104 (VAR104), .VAR106 (VAR106), .VAR87 (VAR87[1:0]), .VAR12 (VAR12[3:0]), .VAR131 (VAR131[31:0]), .VAR132 (VAR132[31:0]), .VAR169 (VAR169[31:0]), .reset (reset), .VAR154 (VAR154), .VAR145 (VAR145)); VAR70 VAR53 ( .VAR155 (VAR14), .VAR20 (VAR30[71:0]), .VAR13 (VAR139), .VAR15 (VAR151), .VAR128 (reset), .VAR18 (reset), .VAR98(VAR79[8:0]), .VAR46(VAR48[8:0]), .VAR90 (1'b0)); assign VAR54 = VAR5[8]; assign VAR160 = VAR33[8]; assign VAR35[7:0] = VAR5[7:0]; assign VAR65[7:0] = VAR33[7:0]; VAR89 VAR126 (.VAR171 (VAR134), .VAR56 (VAR56), .VAR138 (VAR138), .VAR112 (VAR112[71:0]), .reset (reset), .VAR1 (VAR1), .VAR74 (VAR74), .VAR141 (VAR141), .VAR114 (VAR114[1:0]), .VAR140 (VAR140[3:0]), .VAR91 (VAR91[31:0]), .VAR143 (VAR143[31:0]), .VAR23 (VAR23[31:0]), .VAR47 (VAR47), .VAR130 (VAR130), .VAR52 (VAR52)); VAR88 VAR78( .VAR111 (VAR142), .VAR83 (reset), .VAR19 (VAR29), .VAR42 (VAR124), .VAR164 (VAR134), .VAR58 (VAR166), .VAR66 ()); VAR99 VAR158( .VAR173(VAR5[8:0]), .VAR26(VAR33[8:0]), .VAR84(VAR122), .VAR94(VAR149), .VAR85 (VAR124), .VAR117 (VAR166), .VAR3 (VAR134), .VAR128 (reset), .VAR18 (reset), .VAR27 (VAR27), .VAR7(VAR112[71:0])); wire VAR97; reg [8:1] VAR22; reg [1:0] VAR39; reg VAR51; always @ (posedge VAR134) begin VAR39 <= VAR37; VAR51 <= VAR165; if(VAR51) case(VAR39) 2'b00: VAR22 <= 8'b10101010; 2'b01: VAR22 <= 8'b11001100; 2'b10: VAR22 <= 8'b11110000; default: VAR22 <= {8{~VAR22[1]}}; endcase end else VAR22 <= 8'b00000000; end VAR63 .VAR76("VAR80"), .VAR116("VAR163"), .VAR146(8), .VAR69(1'b0), .VAR36(1'b0), .VAR113("VAR34"), .VAR40(1'b0), .VAR168(1'b0), .VAR170("VAR44"), .VAR108("VAR44"), .VAR110(1) ) VAR25 ( .VAR167(), .VAR175(VAR97), .VAR144(), .VAR16(), .VAR60(), .VAR115(), .VAR100(), .VAR174(VAR29), .VAR172(VAR134), .VAR137(VAR22[1]), .VAR43(VAR22[2]), .VAR71(VAR22[3]), .VAR10(VAR22[4]), .VAR21(VAR22[5]), .VAR77(VAR22[6]), .VAR103(VAR22[7]), .VAR32(VAR22[8]), .VAR62(1'b1), .VAR150(reset), .VAR96(1'b0), .VAR135(1'b0), .VAR81(1'b0), .VAR109(1'b0), .VAR156(1'b0), .VAR177(1'b0), .VAR17(1'b0), .VAR93(1'b0) ); reg VAR51; always @ (posedge VAR134) VAR51 <= VAR165; assign VAR97 = VAR29 & VAR51; VAR73 VAR55 (.VAR11 (VAR159), .VAR24 (VAR119), .VAR4 (VAR97)); VAR73 VAR49 (.VAR11 (VAR59), .VAR24 (VAR9), .VAR4 (VAR107 ^ VAR6)); VAR73 VAR162 (.VAR11 (VAR68), .VAR24 (VAR123), .VAR4 (VAR161 ^ VAR6)); wire [1:0] VAR61; VAR82 VAR176 (.VAR4 (VAR147), .VAR153 (VAR95), .VAR11 (VAR61[0]), .VAR24 (VAR61[1])); assign VAR47 = VAR6 ? VAR61[1] : VAR61[0]; assign VAR130 = VAR64 ^ VAR6; wire [7:0] VAR118; wire [7:0] VAR86; wire [35:0] VAR8; wire [35:0] VAR148; wire [35:0] VAR75; wire [35:0] VAR72; assign VAR118[7:0] = {VAR56, VAR138, VAR140[1:0], VAR114[1:0], VAR141, VAR74}; assign VAR86[7:0] = {VAR154, VAR145, VAR12[1:0], VAR87[1:0], VAR106, VAR104}; VAR105 VAR105(.VAR50 (VAR112[71:0]), .VAR41 (VAR8[35:0]), .VAR174 (VAR134)); VAR105 VAR120(.VAR50 (VAR30[71:0]), .VAR41 (VAR148[35:0]), .VAR174 (VAR1)); VAR120 VAR152(.VAR50 (VAR91[31:0]), .VAR2 (VAR23[31:0]), .VAR38 (VAR143[31:0]), .VAR127 (VAR118[7:0]), .VAR41 (VAR75[35:0]), .VAR174 (VAR1)); VAR120 VAR45(.VAR50 (VAR131[31:0]), .VAR2 (VAR169[31:0]), .VAR38 (VAR132[31:0]), .VAR127 (VAR86[7:0]), .VAR41 (VAR72[35:0]), .VAR174 (VAR1)); VAR28 VAR28(.VAR8 (VAR8[35:0]), .VAR148 (VAR148[35:0]), .VAR75 (VAR75[35:0]), .VAR72 (VAR72[35:0])); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and3b/sky130_fd_sc_hdll__and3b.pp.blackbox.v
1,322
module MODULE1 ( VAR5 , VAR7 , VAR8 , VAR6 , VAR1, VAR3, VAR2 , VAR4 ); output VAR5 ; input VAR7 ; input VAR8 ; input VAR6 ; input VAR1; input VAR3; input VAR2 ; input VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nand2/sky130_fd_sc_hvl__nand2.behavioral.v
1,370
module MODULE1 ( VAR4, VAR6, VAR8 ); output VAR4; input VAR6; input VAR8; supply1 VAR2; supply0 VAR5; supply1 VAR7 ; supply0 VAR10 ; wire VAR3; nand VAR9 (VAR3, VAR8, VAR6 ); buf VAR1 (VAR4 , VAR3 ); endmodule
apache-2.0
olgirard/openmsp430
core/synthesis/actel/src/omsp_frontend.v
29,790
module MODULE1 ( VAR119, VAR114, VAR103, VAR69, VAR5, VAR41, VAR25, VAR34, VAR136, VAR128, VAR122, VAR17, VAR8, VAR61, VAR12, VAR129, VAR36, VAR134, VAR57, VAR113, VAR107, VAR56, VAR30, VAR124, VAR77, VAR73, VAR18, irq, VAR6, VAR35, VAR70, VAR99, VAR110, VAR80, VAR48 ); output VAR119; output VAR114; output [3:0] VAR103; output VAR69; output [7:0] VAR5; output [7:0] VAR41; output [11:0] VAR25; output VAR34; output [15:0] VAR136; output [15:0] VAR128; output VAR122; output [7:0] VAR17; output [15:0] VAR8; output [7:0] VAR61; output [15:0] VAR12; output [2:0] VAR129; output [13:0] VAR36; output [15:0] VAR134; output VAR57; output VAR113; output [15:0] VAR107; output [15:0] VAR56; input VAR30; input VAR124; input [3:0] VAR77; input VAR73; input VAR18; input [13:0] irq; input VAR6; input [15:0] VAR35; input VAR70; input [15:0] VAR99; input VAR110; input VAR80; input VAR48; reg [2:0] VAR47; reg [2:0] VAR106; reg [1:0] VAR52; wire [1:0] VAR9; wire VAR133; wire [2:0] VAR10; wire VAR53; reg [15:0] VAR95; reg [3:0] VAR39; parameter VAR132 = 3'h0; parameter VAR118 = 3'h1; parameter VAR84 = 3'h2; parameter VAR94 = 3'h3; parameter VAR120 = 3'h4; parameter VAR29 = 3'h5; always @(VAR47 or VAR52 or VAR9 or VAR110 or VAR69 or VAR69 or VAR133 or VAR30 or VAR124 or VAR103) case(VAR47) VAR29 : VAR106 = (VAR133 & ~VAR124) ? VAR132 : (~VAR30 & ~VAR124) ? VAR84 : VAR29; VAR132: VAR106 = VAR118; VAR118 : VAR106 = VAR84; VAR84 : VAR106 = VAR133 ? VAR132 : (VAR30 | VAR124) & VAR69 ? VAR29 : VAR124 & (VAR103==VAR116) ? VAR29 : VAR110 ? VAR84 : ~VAR69 & ~(VAR103==VAR116) ? VAR84 : (VAR9!=2'b00) ? VAR94 : VAR84; VAR94 : VAR106 = VAR133 ? VAR132 : VAR110 ? VAR84 : (VAR52!=2'b01) ? VAR120 : VAR84; VAR120 : VAR106 = VAR133 ? VAR132 : VAR84; default : VAR106 = VAR132; endcase always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR47 <= VAR132; else VAR47 <= VAR106; wire VAR114 = ((VAR47==VAR84) & (VAR69 | (VAR103==VAR116))); wire VAR108 = VAR114 | VAR133; wire VAR62 = ~((VAR47==VAR84) & ~(VAR69 | (VAR103==VAR116))) & ~(VAR39==VAR116); reg VAR119; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR119 <= 1'b0; else VAR119 <= VAR124 & (VAR106==VAR29); reg VAR102; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR102 <= 1'b0; else if (VAR70) VAR102 <= 1'b1; else if (VAR47==VAR118) VAR102 <= 1'b0; reg VAR122; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR122 <= 1'b1; else if (VAR69) VAR122 <= 1'b0; assign VAR133 = (VAR102 | ((|irq | VAR48) & VAR18)) & ~VAR124 & (VAR69 | (VAR47==VAR29)); reg [3:0] VAR88; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR88 <= 4'hf; else if (VAR133) VAR88 <= VAR102 ? 4'he : irq[13] ? 4'hd : irq[12] ? 4'hc : irq[11] ? 4'hb : (irq[10] | VAR48) ? 4'ha : irq[9] ? 4'h9 : irq[8] ? 4'h8 : irq[7] ? 4'h7 : irq[6] ? 4'h6 : irq[5] ? 4'h5 : irq[4] ? 4'h4 : irq[3] ? 4'h3 : irq[2] ? 4'h2 : irq[1] ? 4'h1 : irq[0] ? 4'h0 : 4'hf; wire [15:0] VAR98 = {11'h7ff, VAR88, 1'b0}; wire [15:0] VAR93 = (16'h0001 << VAR88) & {16{(VAR47==VAR132)}}; wire [13:0] VAR36 = VAR93[13:0]; wire VAR113 = VAR93[14]; reg [15:0] VAR107; wire [15:0] VAR78 = VAR107 + {14'h0000, VAR62, 1'b0}; wire [15:0] VAR56 = VAR110 ? VAR99 : (VAR47==VAR132) ? VAR98 : (VAR47==VAR118) ? VAR35 : VAR78; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR107 <= 16'h0000; else VAR107 <= VAR56; reg VAR4; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR4 <= 16'h0000; else VAR4 <= VAR73; wire [15:0] VAR134 = VAR56; wire VAR57 = VAR62 | VAR110 | (VAR47==VAR132) | VAR4 | (VAR119 & ~VAR124); wire [15:0] VAR115 = VAR35; wire VAR28 = (VAR41[VAR105] | VAR41[VAR86] | VAR41[VAR2] | VAR41[VAR85]); wire VAR123 = (VAR5[VAR105] | VAR5[VAR86] | VAR5[VAR2]); wire [15:0] VAR37 = ((VAR47==VAR94) & VAR41[VAR86]) | ((VAR47==VAR120) & VAR5[VAR86]) | ((VAR47==VAR94) & ~VAR41[VAR86] & ~(VAR106==VAR120) & VAR5[VAR86]) ? 16'hfffe : 16'h0000; wire [15:0] VAR42 = VAR115 + VAR37; reg [15:0] VAR8; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR8 <= 16'h0000; else if (VAR108 & VAR53) VAR8 <= VAR95; else if (VAR108 & VAR10[VAR58]) VAR8 <= {{5{VAR115[9]}},VAR115[9:0],1'b0}; else if ((VAR47==VAR94) & VAR28) VAR8 <= VAR42; wire VAR125 = (VAR47==VAR94) & VAR28; reg [15:0] VAR128; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR128 <= 16'h0000; else if ((VAR47==VAR94) & ~VAR28) VAR128 <= VAR42; else if (VAR47==VAR120) VAR128 <= VAR42; wire VAR71 = (((VAR47==VAR94) & ~VAR28) | (VAR47==VAR120)); reg [2:0] VAR129; assign VAR10 = {(VAR115[15:14]!=2'b00), (VAR115[15:13]==3'b001), (VAR115[15:13]==3'b000)} & {3{~VAR133}}; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR129 <= 3'b000; else if (VAR108) VAR129 <= VAR10; reg [7:0] VAR61; wire [7:0] VAR68 = VAR133 ? 8'h80 : ((8'h01<<VAR115[9:7]) & {8{VAR10[VAR121]}}); always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR61 <= 8'h00; else if (VAR108) VAR61 <= VAR68; reg [2:0] VAR75; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR75 <= 3'h0; else if (VAR108) VAR75 <= VAR115[12:10]; wire [7:0] VAR17 = (8'h01<<VAR75) & {8{VAR129[VAR58]}}; wire [15:0] VAR19 = (16'h0001<<VAR115[15:12]) & {16{VAR10[VAR32]}}; wire [11:0] VAR112 = VAR19[15:4]; reg [3:0] VAR127; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR127 <= 4'h0; else if (VAR108) VAR127 <= VAR115[3:0]; wire [15:0] VAR136 = VAR119 ? (16'h0001 << VAR77) : VAR129[VAR58] ? 16'h0001 : VAR61[VAR54] | VAR61[VAR38] | VAR61[VAR23] ? 16'h0002 : (16'h0001 << VAR127); reg [3:0] VAR97; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR97 <= 4'h0; else if (VAR108) VAR97 <= VAR115[11:8]; wire [15:0] VAR12 = VAR129[VAR32] ? (16'h0001 << VAR97) : VAR61[VAR11] ? 16'h0002 : VAR61[VAR54] ? 16'h0001 : VAR129[VAR121] ? (16'h0001 << VAR127) : 16'h0000; reg [12:0] VAR31; wire [3:0] VAR50 = VAR10[VAR121] ? VAR115[3:0] : VAR115[11:8]; always @(VAR50 or VAR115 or VAR10) begin if (VAR10[VAR58]) VAR31 = 13'b0000000000001; end else if (VAR50==4'h3) case (VAR115[5:4]) 2'b11 : VAR31 = 13'b1000000000000; 2'b10 : VAR31 = 13'b0100000000000; 2'b01 : VAR31 = 13'b0010000000000; default: VAR31 = 13'b0001000000000; endcase else if (VAR50==4'h2) case (VAR115[5:4]) 2'b11 : VAR31 = 13'b0000100000000; 2'b10 : VAR31 = 13'b0000010000000; 2'b01 : VAR31 = 13'b0000001000000; default: VAR31 = 13'b0000000000001; endcase else if (VAR50==4'h0) case (VAR115[5:4]) 2'b11 : VAR31 = 13'b0000000100000; 2'b10 : VAR31 = 13'b0000000000100; 2'b01 : VAR31 = 13'b0000000010000; default: VAR31 = 13'b0000000000001; endcase else case (VAR115[5:4]) 2'b11 : VAR31 = 13'b0000000001000; 2'b10 : VAR31 = 13'b0000000000100; 2'b01 : VAR31 = 13'b0000000000010; default: VAR31 = 13'b0000000000001; endcase end assign VAR53 = |VAR31[12:7]; reg [7:0] VAR41; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR41 <= 8'h00; else if (VAR108) VAR41 <= {VAR53, VAR31[6:0]}; always @(VAR31) begin if (VAR31[7]) VAR95 = 16'h0004; end else if (VAR31[8]) VAR95 = 16'h0008; else if (VAR31[9]) VAR95 = 16'h0000; else if (VAR31[10]) VAR95 = 16'h0001; else if (VAR31[11]) VAR95 = 16'h0002; else if (VAR31[12]) VAR95 = 16'hffff; else VAR95 = 16'h0000; end reg [7:0] VAR126; wire [3:0] VAR81 = VAR115[3:0]; always @(VAR81 or VAR115 or VAR10) begin if (~VAR10[VAR32]) VAR126 = 8'b00000000; end else if (VAR81==4'h2) case (VAR115[7]) 1'b1 : VAR126 = 8'b01000000; default: VAR126 = 8'b00000001; endcase else if (VAR81==4'h0) case (VAR115[7]) 2'b1 : VAR126 = 8'b00010000; default: VAR126 = 8'b00000001; endcase else case (VAR115[7]) 2'b1 : VAR126 = 8'b00000010; default: VAR126 = 8'b00000001; endcase end reg [7:0] VAR5; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR5 <= 8'h00; else if (VAR108) VAR5 <= VAR126; reg VAR34; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR34 <= 1'b0; else if (VAR108) VAR34 <= VAR115[6] & ~VAR10[VAR58] & ~VAR133 & ~VAR124; assign VAR9 = {1'b0, (VAR31[VAR105] | VAR31[VAR86] | VAR31[VAR2] | VAR31[VAR85])} + {1'b0, ((VAR126[VAR105] | VAR126[VAR86] | VAR126[VAR2]) & ~VAR10[VAR121])}; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR52 <= 2'b00; else if (VAR108) VAR52 <= VAR9; reg [3:0] VAR103; wire VAR21 = VAR31[VAR105] | VAR31[VAR86] | VAR31[VAR2]; wire VAR65 = VAR31[VAR101] | VAR31[VAR44] | VAR31[VAR85] | VAR68[VAR11]; wire VAR66 = VAR126[VAR105] | VAR126[VAR86] | VAR126[VAR2]; wire VAR3 = VAR5[VAR105] | VAR5[VAR86] | VAR5[VAR2]; wire VAR27 = VAR126[VAR105] | VAR68[VAR38] | VAR68[VAR23] | VAR68[VAR11]; wire VAR13 = VAR5[VAR105] | VAR61[VAR38] | VAR61[VAR23] | VAR61[VAR11]; wire VAR96 = (VAR126[VAR79] & (VAR115[3:0]==4'h0)) | VAR10[VAR58] | VAR68[VAR11]; reg VAR24; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR24 <= 1'b0; else if (VAR96 & VAR108) VAR24 <= 1'b1; else if (VAR103==VAR7) VAR24 <= 1'b0; reg VAR90; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR90 <= 1'b0; else if (VAR103==VAR60) VAR90 <= 1'b1; else if (VAR103==VAR33) VAR90 <= 1'b0; reg VAR67; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR67 <= 1'b0; else if (VAR129[VAR121] & (VAR103==VAR92)) VAR67 <= 1'b1; else if ((VAR103==VAR46) || (VAR103==VAR33)) VAR67 <= 1'b0; reg VAR51; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR51 <= 1'b0; else if (VAR103==VAR60) VAR51 <= 1'b0; else if (VAR71) VAR51 <= 1'b1; wire [3:0] VAR22 = ~VAR119 & VAR68[VAR54] ? VAR135 : VAR124 | (VAR47==VAR29) ? VAR116 : VAR30 ? VAR116 : VAR21 ? VAR89 : VAR65 ? VAR92 : VAR66 ? VAR40 : VAR27 ? VAR60 : VAR117; always @(VAR103 or VAR3 or VAR13 or VAR125 or VAR71 or VAR51 or VAR24 or VAR90 or VAR22 or VAR67) case(VAR103) VAR13 ? VAR60 : VAR117; VAR51) ? VAR60 : VAR40; VAR24 ? VAR7 : VAR67 ? VAR46 : VAR22; default : VAR39 = VAR135; endcase always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR103 <= VAR15; else VAR103 <= VAR39; wire VAR69 = VAR24 ? (VAR103==VAR7) : VAR90 ? (VAR103==VAR33) : VAR67 ? (VAR103==VAR46) : (VAR103==VAR117); reg [11:0] VAR25; wire VAR87 = VAR112[VAR14] | VAR112[VAR49] | VAR112[VAR63] | VAR112[VAR72] ; wire VAR111 = VAR112[VAR14] | VAR112[VAR63]; wire VAR76 = VAR112[VAR16] | VAR112[VAR59] | VAR112[VAR49]; wire VAR55 = VAR112[VAR64] | VAR112[VAR16] | VAR112[VAR14] | VAR112[VAR49] | VAR112[VAR63] | VAR10[VAR58] | VAR68[VAR11]; wire VAR131 = VAR112[VAR83] | VAR112[VAR72] | VAR112[VAR100]; wire VAR109 = VAR112[VAR104]; wire VAR82 = VAR112[VAR26]; wire VAR43 = VAR112[VAR59]; wire VAR130 = VAR112[VAR100] | VAR112[VAR83] | VAR68[VAR45]; wire VAR20 = VAR112[VAR64] | VAR112[VAR16] | VAR112[VAR14] | VAR112[VAR49] | VAR112[VAR63] | VAR112[VAR59] | VAR112[VAR100] | VAR112[VAR26] | VAR112[VAR83] | VAR68[VAR137] | VAR68[VAR91] | VAR68[VAR45]; wire VAR74 = VAR68[VAR137] | VAR68[VAR91]; wire VAR1 = VAR112[VAR63] | VAR112[VAR100]; always @(posedge VAR6 or posedge VAR80) if (VAR80) VAR25 <= 12'h000; else if (VAR108) VAR25 <= {VAR1, VAR74, VAR20, VAR130, VAR43, VAR82, VAR109, VAR131, VAR55, VAR76, VAR111, VAR87}; endmodule
bsd-3-clause
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/clkbuf/gf180mcu_fd_sc_mcu9t5v0__clkbuf_4.behavioral.pp.v
1,174
module MODULE1( VAR2, VAR4, VAR6, VAR5 ); input VAR2; inout VAR6, VAR5; output VAR4; VAR7 VAR1(.VAR2(VAR2),.VAR4(VAR4),.VAR6(VAR6),.VAR5(VAR5)); VAR7 VAR3(.VAR2(VAR2),.VAR4(VAR4),.VAR6(VAR6),.VAR5(VAR5));
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/adi_common_v1_00_a/hdl/verilog/vdma_core.v
8,409
module MODULE1 ( VAR28, VAR17, VAR34, VAR26, VAR2, VAR56, VAR23, VAR32, VAR3, VAR8, VAR41, VAR48, VAR22, VAR24); parameter VAR4 = 64; localparam VAR54 = VAR4 - 1; localparam VAR37 = 6'd3; localparam VAR36 = 6'd60; localparam VAR45 = 6'd40; localparam VAR9 = 6'd50; input VAR28; input VAR17; output VAR34; input VAR26; input [VAR54:0] VAR2; output VAR56; output VAR23; output VAR32; input VAR3; input VAR8; input VAR41; output VAR48; output [VAR54:0] VAR22; input [31:0] VAR24; reg VAR58 = 'd0; reg VAR16 = 'd0; reg VAR25 = 'd0; reg VAR43 = 'd0; reg [ 5:0] VAR27 = 'd0; reg [ 5:0] VAR50 = 'd0; reg VAR15 = 'd0; reg VAR1 = 'd0; reg VAR48 = 'd0; reg [VAR54:0] VAR22 = 'd0; reg [31:0] VAR38 = 'd0; reg VAR34 = 'd0; reg [ 5:0] VAR55 = 'd0; reg [ 5:0] VAR14 = 'd0; reg [ 5:0] VAR53 = 'd0; reg [ 5:0] VAR7 = 'd0; reg VAR56 = 'd0; reg VAR10 = 'd0; reg VAR20 = 'd0; reg VAR23 = 'd0; reg VAR32 = 'd0; reg VAR29 = 'd0; reg VAR59 = 'd0; reg VAR30 = 'd0; reg [ 5:0] VAR49 = 'd0; reg [VAR54:0] VAR40 = 'd0; wire VAR19; wire [ 6:0] VAR5; wire VAR44; wire VAR51; wire [VAR54:0] VAR11; function [7:0] VAR12; input [7:0] VAR46; reg [7:0] VAR42; begin VAR42[7] = VAR46[7]; VAR42[6] = VAR46[7] ^ VAR46[6]; VAR42[5] = VAR46[6] ^ VAR46[5]; VAR42[4] = VAR46[5] ^ VAR46[4]; VAR42[3] = VAR46[4] ^ VAR46[3]; VAR42[2] = VAR46[3] ^ VAR46[2]; VAR42[1] = VAR46[2] ^ VAR46[1]; VAR42[0] = VAR46[1] ^ VAR46[0]; VAR12 = VAR42; end endfunction function [7:0] VAR33; input [7:0] VAR42; reg [7:0] VAR46; begin VAR46[7] = VAR42[7]; VAR46[6] = VAR46[7] ^ VAR42[6]; VAR46[5] = VAR46[6] ^ VAR42[5]; VAR46[4] = VAR46[5] ^ VAR42[4]; VAR46[3] = VAR46[4] ^ VAR42[3]; VAR46[2] = VAR46[3] ^ VAR42[2]; VAR46[1] = VAR46[2] ^ VAR42[1]; VAR46[0] = VAR46[1] ^ VAR42[0]; VAR33 = VAR46; end endfunction always @(posedge VAR3) begin if (VAR8 == 1'b1) begin VAR58 <= 'd0; VAR16 <= 'd0; VAR25 <= 'd0; VAR43 <= 'd0; end else begin VAR58 <= VAR59; VAR16 <= VAR58; VAR25 <= VAR29; VAR43 <= VAR25; end if ((VAR16 == 1'b0) || (VAR43 == 1'b1) || (VAR8 == 1'b1)) begin VAR27 <= 6'd0; end else if (VAR41 == 1'b1) begin VAR27 <= VAR27 + 1'b1; end VAR50 <= VAR12(VAR27); VAR15 <= VAR41; VAR1 <= VAR15; VAR48 <= VAR1; VAR22 <= VAR11; end always @(posedge VAR28) begin if ((VAR29 == 1'b1) || (VAR17 == 1'b1) || (VAR38 >= VAR24)) begin VAR38 <= 16'd0; end else begin VAR38 <= VAR38 + 1'b1; end if (VAR38 == 32'd1) begin VAR34 <= 1'b1; end else begin VAR34 <= 1'b0; end end assign VAR5 = {1'b1, VAR49} - VAR53; assign VAR44 = (VAR7 < VAR37) ? VAR10 : 1'b0; assign VAR51 = (VAR7 > VAR36) ? VAR20 : 1'b0; always @(posedge VAR28) begin if (VAR17 == 1'b1) begin VAR55 <= 'd0; VAR14 <= 'd0; end else begin VAR55 <= VAR50; VAR14 <= VAR55; end VAR53 <= VAR33(VAR14); VAR7 <= VAR5[5:0]; if (VAR7 >= VAR9) begin VAR56 <= 1'b0; end else if (VAR7 <= VAR45) begin VAR56 <= 1'b1; end if (VAR7 > VAR36) begin VAR10 <= 1'b1; end else begin VAR10 <= 1'b0; end if (VAR7 < VAR37) begin VAR20 <= 1'b1; end else begin VAR20 <= 1'b0; end VAR23 <= VAR44; VAR32 <= VAR51; VAR29 <= VAR23 | VAR32; end assign VAR19 = VAR26 & VAR56; always @(posedge VAR28) begin if (VAR17 == 1'b1) begin VAR59 <= 1'b0; end else if (VAR19 == 1'b1) begin VAR59 <= 1'b1; end VAR30 <= VAR19; if ((VAR29 == 1'b1) || (VAR17 == 1'b1)) begin VAR49 <= 6'd0; end else if (VAR30 == 1'b1) begin VAR49 <= VAR49 + 1'b1; end VAR40 <= VAR2; end VAR57 #(.VAR4(VAR4), .VAR6(6)) VAR47 ( .VAR13 (VAR28), .VAR18 (VAR30), .VAR52 (VAR49), .VAR21 (VAR40), .VAR35 (VAR3), .VAR31 (VAR27), .VAR39 (VAR11)); endmodule
mit
DProvinciani/Arquitectura_TPF
Codigo_fuente/6-pipe_registers/PC_counter.v
1,484
module MODULE1 parameter VAR13=32 ) ( input wire clk, input wire reset, input wire VAR14, input wire VAR6, input wire [VAR13-1:0] VAR4, input wire [VAR13-1:0] VAR8, input wire VAR7, input wire VAR3, output wire [VAR13-1:0] VAR11, output wire [VAR13-1:0] VAR5 ); reg [VAR13-1:0] VAR15; VAR10 VAR1 ( .VAR9(VAR15), .VAR2(4), .VAR12(VAR5) ); always@(posedge clk) if (reset) VAR15 <= 0; else if (VAR14) begin if (VAR6 == 1) end VAR15 <= VAR15; else begin if (VAR7 == 1) VAR15 <= VAR4; end else if (VAR3 == 1) VAR15 <= VAR8; else VAR15 <= VAR5; end end assign VAR11 = VAR15; endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nor2/gf180mcu_fd_sc_mcu9t5v0__nor2_4.functional.v
1,040
module MODULE1( VAR3, VAR7, VAR4 ); input VAR4, VAR7; output VAR3; wire VAR1; not VAR5( VAR1, VAR4 ); wire VAR8; not VAR6( VAR8, VAR7 ); and VAR2( VAR3, VAR1, VAR8 ); endmodule
apache-2.0
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/acl_fp_mul_double.v
29,970
module MODULE1 ( VAR47, VAR3, VAR50, VAR57, VAR64) ; input VAR47; input VAR3; input [63:0] VAR50; input [63:0] VAR57; output [63:0] VAR64; tri1 VAR47; reg VAR59; reg VAR55; reg VAR60; reg VAR51; reg VAR61; reg VAR14; reg VAR12; reg VAR23; reg [12:0] VAR30; reg [12:0] VAR34; reg VAR6; reg VAR37; reg [11:0] VAR17; reg [10:0] VAR38; reg VAR40; reg VAR16; reg VAR11; reg VAR28; reg VAR31; reg VAR43; reg VAR20; reg VAR44; reg VAR21; reg VAR41; reg [51:0] VAR29; reg [52:0] VAR56; reg [53:0] VAR26; reg VAR42; reg [0:0] VAR25; reg [0:0] VAR22; reg [0:0] VAR49; reg [0:0] VAR36; reg [0:0] VAR4; reg VAR8; wire [11:0] VAR53; wire [12:0] VAR33; wire [12:0] VAR45; wire [53:0] VAR62; wire [105:0] VAR2; wire VAR63; wire [12:0] VAR52; wire [10:0] VAR13; wire [10:0] VAR24; wire [51:0] VAR54; wire [10:0] VAR5; wire [10:0] VAR58; wire [51:0] VAR9; wire VAR39; wire VAR32; wire [12:0] VAR46; wire [10:0] VAR7; wire VAR15; wire [52:0] VAR35; wire [53:0] VAR48; wire [10:0] VAR18; wire [11:0] VAR1; wire VAR19; wire VAR27; wire [51:0] VAR10;
mit
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_3L_028bits.v
1,917
module MODULE2 ( clk, VAR5, VAR31, VAR16, VAR4, VAR1, VAR21, VAR14, VAR7, sum, ); input clk; input [VAR29+0-1:0] VAR5, VAR31, VAR16, VAR4, VAR1, VAR21, VAR14, VAR7; output [VAR29 :0] sum; reg [VAR29 :0] sum; wire [VAR29+3-1:0] VAR28; wire [VAR29+2-1:0] VAR6, VAR10; wire [VAR29+1-1:0] VAR23, VAR8, VAR34, VAR25; reg [VAR29+0-1:0] VAR32, VAR11, VAR2, VAR3, VAR20, VAR15, VAR12, VAR30; MODULE1 VAR18(VAR6, VAR10, VAR28 ); MODULE1 VAR22(VAR23, VAR8, VAR6 ); MODULE1 VAR26(VAR34, VAR25, VAR10 ); MODULE1 VAR33(VAR32, VAR11, VAR23); MODULE1 VAR27(VAR2, VAR3, VAR8); MODULE1 VAR9(VAR20, VAR15, VAR34); MODULE1 VAR13(VAR12, VAR30, VAR25); always @(posedge clk) begin VAR32 <= VAR5; VAR11 <= VAR31; VAR2 <= VAR16; VAR3 <= VAR4; VAR20 <= VAR1; VAR15 <= VAR21; VAR12 <= VAR14; VAR30 <= VAR7; sum <= VAR28; sum <= VAR6; end endmodule module MODULE1(VAR19,VAR17,sum); parameter VAR24 = 0; input [VAR29+VAR24-1:0] VAR19; input [VAR29+VAR24-1:0] VAR17; output [VAR29+VAR24:0] sum; assign sum = VAR19 + VAR17; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/fill_diode/sky130_fd_sc_ms__fill_diode.pp.blackbox.v
1,204
module MODULE1 ( VAR1, VAR2, VAR3 , VAR4 ); input VAR1; input VAR2; input VAR3 ; input VAR4 ; endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v
2,232
module MODULE1 (input VAR34 , input VAR7 , input VAR20 , input [1:0] VAR15 , output VAR8 , output VAR42 ); wire [1:0] VAR38; wire [1:0] VAR18; wire [3:0] VAR19; wire VAR44; VAR3 VAR37 (.VAR40(VAR19[1]),.VAR29()); VAR3 VAR31 (.VAR40(VAR19[2]),.VAR29()); VAR26 VAR35 (.VAR40(VAR19[2]),.VAR29()); VAR3 VAR25 (.VAR40(VAR19[3]),.VAR29()); VAR26 VAR22 (.VAR40(VAR19[3]),.VAR29()); VAR26 VAR10 (.VAR40(VAR19[3]),.VAR29()); VAR26 VAR23 (.VAR40(VAR34), .VAR29(VAR44)); VAR12 VAR5 (.VAR40(VAR44), .VAR29(VAR19[0])); VAR12 VAR30 (.VAR40(VAR44), .VAR29(VAR19[1])); VAR12 VAR13 (.VAR40(VAR44), .VAR29(VAR19[2])); VAR12 VAR14 (.VAR40(VAR44), .VAR29(VAR19[3])); VAR39 VAR24 (.VAR23(VAR38 [0]),.VAR5 (VAR15[0]), .VAR4(VAR7) ,.VAR28(VAR18[0] )); VAR36 VAR2 (.VAR1(VAR18[0]),.VAR45(VAR8), .VAR33 (VAR38[0]), .VAR6(), .VAR21(VAR20)); VAR27 VAR43 (.VAR23(VAR19[3]), .VAR5(VAR19[2]), .VAR30(VAR19[1]), .VAR13(VAR19[0]) ,.VAR17(VAR38[0]), .VAR41(VAR38[1]) ,.VAR29(VAR8) ); VAR36 VAR9 (.VAR1(VAR18[1]),.VAR45(VAR8), .VAR33 (VAR38[1]), .VAR6(), .VAR21(VAR20)); VAR39 VAR32 (.VAR23(VAR38 [1]),.VAR5 (VAR15[1]), .VAR4(VAR7) ,.VAR28(VAR18[1] )); VAR16 VAR11 (.VAR40(VAR8), .VAR28(VAR42) ); endmodule
bsd-3-clause
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/NIOS_SYSTEMV3/synthesis/submodules/NIOS_SYSTEMV3_SYSTEM_HALT.v
1,938
module MODULE1 ( address, clk, VAR2, VAR6, VAR5 ) ; output [ 31: 0] VAR5; input [ 1: 0] address; input clk; input VAR2; input VAR6; wire VAR4; wire VAR3; wire VAR1; reg [ 31: 0] VAR5; assign VAR4 = 1; assign VAR1 = {1 {(address == 0)}} & VAR3; always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) VAR5 <= 0; end else if (VAR4) VAR5 <= {32'b0 | VAR1}; end assign VAR3 = VAR2; endmodule
gpl-2.0
CospanDesign/nysa-sata
rtl/command/sata_command_layer.v
18,446
module MODULE1 ( input rst, input VAR1, input clk, input VAR54, input VAR111, input VAR17, input VAR26, output VAR138, output reg VAR109, input VAR58, input [15:0] VAR13, output VAR104, input VAR113, input VAR44, output reg VAR130, input [7:0] VAR42, input [15:0] VAR30, input [47:0] VAR101, input [31:0] VAR119, input VAR33, output [1:0] VAR114, input [1:0] VAR49, output [23:0] VAR34, output VAR60, output [31:0] VAR136, output VAR48, input VAR129, input VAR121, output [23:0] VAR86, input VAR137, output reg VAR126, output VAR8, output reg VAR146, output VAR50, input VAR122, input VAR112, input VAR67, input VAR65, input VAR2, input VAR82, input VAR76, input VAR47, input VAR64, input VAR51, input VAR115, input [15:0] VAR53, input [7:0] VAR152, output [7:0] VAR38, output reg [15:0] VAR148, output [7:0] VAR62, output [3:0] VAR155, output [7:0] VAR40, output [47:0] VAR92, output [15:0] VAR106, input VAR28, input VAR81, input [3:0] VAR74, input [7:0] VAR78, input [47:0] VAR32, input [15:0] VAR77, input [7:0] VAR85, input [7:0] VAR41, output VAR7, output VAR27, output VAR55, output VAR57, output VAR16, output VAR105, output VAR144, output VAR71, output VAR24, output VAR83, output VAR108, output VAR19, output VAR120, output VAR3, output VAR59, output VAR5, input VAR117, output [31:0] VAR116, output VAR139, input VAR36, output [23:0] VAR128, input VAR134, input [31:0] VAR132, output [1:0] VAR4, input [1:0] VAR91, output [23:0] VAR72, output [3:0] VAR102, output [3:0] VAR99 ); parameter VAR154 = 4'h0; parameter VAR56 = 4'h1; parameter VAR25 = 4'h2; parameter VAR68 = 4'h1; parameter VAR14 = 4'h2; parameter VAR29 = 4'h3; reg [3:0] VAR103; reg VAR141; reg [7:0] VAR149; wire VAR133; reg VAR107; reg VAR20; wire VAR46; wire VAR66; reg [3:0] VAR70; reg VAR87; reg VAR143; reg VAR147; wire [1:0] VAR15; wire [1:0] VAR89; wire [23:0] VAR95; wire VAR127; wire [31:0] VAR52; wire VAR140; wire VAR61; wire VAR151; wire [23:0] VAR18; wire [31:0] VAR93; wire VAR12; wire [31:0] VAR11; wire [1:0] VAR39; wire [1:0] VAR124; wire [23:0] VAR73; wire VAR150; wire VAR69; wire VAR135; wire [31:0] VAR88; wire VAR153; wire [23:0] VAR45; wire VAR142; wire VAR10; VAR9 # ( .VAR31 (VAR63 ), .VAR100 (VAR84 ) ) VAR21 ( .reset (VAR12 ), .VAR80 (VAR54 ), .VAR6 (VAR52 ), .VAR97 (VAR15 ), .VAR35 (VAR89 ), .VAR75 (VAR95 ), .VAR37 (VAR127 ), .VAR145 (VAR60 ), .VAR118 (clk ), .VAR79 (VAR140 ), .VAR123 (VAR61 ), .VAR22 (VAR151 ), .VAR94 (VAR18 ), .VAR125 (VAR93 ), .VAR90 ( ) ); VAR9 # ( .VAR31 (VAR63 ), .VAR100 (VAR84 ) ) VAR43 ( .reset (VAR10 ), .VAR80 (clk ), .VAR6 (VAR11 ), .VAR97 (VAR39 ), .VAR35 (VAR124 ), .VAR75 (VAR45 ), .VAR37 (VAR150 ), .VAR145 ( ), .VAR118 (VAR17 ), .VAR79 (VAR142 ), .VAR123 (VAR135 ), .VAR22 (VAR153 ), .VAR94 (VAR73 ), .VAR125 (VAR88 ), .VAR90 ( ) ); assign VAR139 = VAR61 && VAR147; assign VAR128 = VAR18; assign VAR116 = VAR93; assign VAR151 = VAR36; assign VAR140 = VAR117; assign VAR4 = VAR39; assign VAR72 = 24'h00800; assign VAR11 = VAR132; assign VAR124 = VAR91; assign VAR150 = VAR134; assign VAR10 = (rst && VAR26); assign VAR12 = (rst && VAR111); assign VAR52 = VAR119; assign VAR127 = VAR33; assign VAR114 = VAR15; assign VAR89 = VAR49; assign VAR34 = VAR95; assign VAR136 = VAR88; assign VAR48 = VAR135; assign VAR153 = VAR129; assign VAR86 = VAR73; assign VAR142 = VAR121; assign VAR24 = VAR85[7]; assign VAR83 = VAR85[6]; assign VAR108 = VAR85[5]; assign VAR19 = VAR85[4]; assign VAR120 = VAR85[3]; assign VAR3 = VAR85[2]; assign VAR59 = VAR85[1]; assign VAR5 = VAR85[0]; assign VAR7 = VAR41[7]; assign VAR27 = VAR41[6]; assign VAR55 = VAR41[5]; assign VAR57 = VAR41[4]; assign VAR16 = VAR41[3]; assign VAR105 = VAR41[2]; assign VAR144 = VAR41[1]; assign VAR71 = VAR41[0]; assign VAR8 = VAR113; assign VAR50 = VAR87 ||VAR107; assign VAR133 = (VAR103 == VAR154) && (VAR70 == VAR154) && VAR137; assign VAR138 = VAR133; assign VAR38 = VAR42; assign VAR106 = VAR30; assign VAR92 = VAR101; assign VAR62 = {5'h00, VAR141, 2'b00}; assign VAR155 = 4'h0; assign VAR40 = VAR98; assign VAR46 = VAR149[VAR23]; assign VAR66 = VAR149[VAR110]; assign VAR104 = VAR149[VAR131]; assign VAR102 = VAR103; assign VAR99 = VAR70; always @ (posedge clk) begin if (rst || (!VAR1)) begin VAR103 <= VAR154; VAR148 <= VAR96; VAR141 <= 0; VAR146 <= 0; VAR107 <= 0; VAR130 <= 0; VAR149 <= 0; VAR109 <= 0; VAR126 <= 0; end else begin VAR146 <= 0; VAR107 <= 0; VAR130 <= 0; if (VAR112) begin VAR109 <= 0; VAR148 <= VAR96; end if (VAR113) begin VAR148 <= VAR13; VAR109 <= 1; end case (VAR103) VAR154: begin if (VAR44 && !VAR141) begin VAR141 <= 1; VAR146 <= 1; end if (VAR133) begin if (!VAR44 && VAR141) begin VAR141 <= 0; VAR146 <= 1; end end if(VAR67) begin if (VAR115) begin VAR103 <= VAR56; end else begin VAR103 <= VAR25; end end if (VAR82) begin VAR149 <= VAR85; end if (VAR112) begin VAR149 <= VAR85; end end VAR56: begin if (VAR65) begin VAR130 <= 1; VAR103 <= VAR154; VAR149 <= VAR152; end end VAR25: begin if (VAR151) begin VAR107 <= 0; VAR103 <= VAR154; VAR149 <= VAR152; end end default: begin VAR103 <= VAR154; end endcase if (VAR58) begin VAR103 <= VAR154; VAR126 <= 1; VAR109 <= 0; end end end always @ (posedge clk) begin if (rst || !VAR1) begin VAR70 <= VAR154; VAR87 <= 0; VAR147 <= 0; VAR143 <= 0; end else begin VAR87 <= 0; if (VAR122) begin VAR143 <= 1; end case (VAR70) VAR154: begin VAR147 <= 0; if (VAR133) begin if (VAR143) begin VAR70 <= VAR68; end end end VAR68: begin if (VAR143) begin VAR143 <= 0; VAR147 <= 1; VAR70 <= VAR14; end end VAR14: begin if (VAR151) begin VAR147 <= 0; VAR70 <= VAR29; end end VAR29: begin if (VAR137) begin VAR87 <= 1; VAR143 <= 0; VAR70 <= VAR154; end end default: begin VAR70 <= VAR154; end endcase if (VAR44) begin VAR70 <= VAR154; end if (VAR112) begin VAR70 <= VAR154; end if (VAR58) begin VAR70 <= VAR154; end end end endmodule
mit
ECE492-Team5/Platform
soc-platform-quartusii/soc_system/synthesis/submodules/soc_system_sysid_qsys.v
1,419
module MODULE1 ( address, VAR2, VAR1, VAR3 ) ; output [ 31: 0] VAR3; input address; input VAR2; input VAR1; wire [ 31: 0] VAR3; assign VAR3 = address ? 1490159079 : 2899645186; endmodule
gpl-3.0
Marcoslz22/Tercer_Proyecto
control_digitos_2.v
3,747
module MODULE1 ( input [7:0] VAR2, input [3:0]VAR17, input [3:0]VAR8, input [3:0]VAR3, input VAR11, input VAR9, input wire clk, input wire [3:0] VAR1, input [3:0] VAR15, output reg [3:0] VAR4, VAR10, VAR13, VAR14, VAR5, VAR7, VAR16, VAR12, VAR6 ); always @(posedge clk) if (~VAR11) if (VAR9) case (VAR15) 4'b0000: VAR4<=VAR1; 4'b0001: VAR10<=VAR1; 4'b0010: VAR13<=VAR1; 4'b0011: VAR14<=VAR1; 4'b0100: VAR5<=VAR1; 4'b0101: VAR7<=VAR1; 4'b0110: VAR16<=VAR1; 4'b0111: VAR12<=VAR1; 4'b1000: VAR6<=VAR1; default: begin VAR4<=VAR4; VAR10<=VAR10; VAR13<=VAR13; VAR14<=VAR14; VAR7<=VAR7; VAR5<=VAR5; VAR16<=VAR16; VAR12<=VAR12; VAR6<=VAR6; end endcase else begin VAR4<=VAR4; VAR10<=VAR10; VAR13<=VAR13; VAR14<=VAR14; VAR5<=VAR5; VAR7<=VAR7; VAR16<=VAR16; VAR12<=VAR12; VAR6<=VAR6; end else case (VAR2) 8'h7d: begin if (VAR15==4'b0011) VAR14<=VAR8; end else if (VAR15==4'b0100) VAR5<=VAR17; else if (VAR15==4'b0101) VAR7<=VAR3; else begin VAR14<=VAR14; VAR5<=VAR5; VAR7<=VAR7; end end 8'h6c: begin if (VAR15==4'b0000) VAR4<=VAR3; end else if (VAR15==4'b0001) VAR10<=VAR8; else if (VAR15==4'b0010) VAR13<=VAR17; else begin VAR4<=VAR4; VAR10<=VAR10; VAR13<=VAR13; end end 8'h75: begin if (VAR15==4'b0110) VAR16<=VAR3; end else if (VAR15==4'b0111) VAR12<=VAR8; else if (VAR15==4'b1000) VAR6<=VAR17; else begin VAR16<=VAR16; VAR12<=VAR12; VAR6<=VAR6; end end default: begin VAR4<=VAR4; VAR10<=VAR10; VAR13<=VAR13; VAR14<=VAR14; VAR5<=VAR5; VAR7<=VAR7; VAR16<=VAR16; VAR12<=VAR12; VAR6<=VAR6; end endcase endmodule
mit
csail-csg/riscy-OOO
procs/asic/bluespec_verilog/RevertReg.v
1,506
module MODULE1(VAR4, VAR5, VAR6, VAR3); parameter VAR2 = 1; parameter VAR1 = { VAR2 {1'b0} } ; input VAR4; input VAR3; input [VAR2 - 1 : 0] VAR6; output [VAR2 - 1 : 0] VAR5; assign VAR5 = VAR1; endmodule
mit
rurume/openrisc_vision_hardware
ISE/or1200_sys.v
8,038
module MODULE1( input VAR53, input VAR99, input [15:0] VAR194, input VAR193, output VAR157, output [31:0] VAR154, input VAR30, output [31:0]VAR101, input VAR37, input [15:0] VAR60, input [31:0] VAR140, output [31:0] VAR97, output [7:0] VAR14, output VAR33, output [7:0] VAR19, input [1:0] VAR107 ); wire rst = ~VAR99; wire VAR188; wire VAR192; wire VAR131; wire [31:0] VAR6; wire [31:0] VAR142; wire [31:0] VAR64; wire [3:0] VAR196; wire VAR139; wire VAR7; wire VAR96; wire VAR82; wire VAR29; wire VAR50; wire [31:0] VAR18; wire [31:0] VAR106; wire [31:0] VAR104; wire [3:0] VAR102; wire VAR32; wire VAR17; wire VAR71; wire VAR144; wire VAR141; wire VAR12; wire [31:0] VAR105; wire [31:0] VAR109; wire [31:0] VAR89; wire [3:0] VAR191; wire VAR8; wire VAR156; wire VAR114; wire VAR163; wire [31:0] VAR130; wire [31:0] VAR112; wire [31:0] VAR108; wire [3:0] VAR153; wire VAR42; wire VAR52; wire VAR38; wire VAR178; wire VAR25; wire VAR177; wire [31:0] VAR103; wire [31:0] VAR175; wire [31:0] VAR182; wire [3:0] VAR36; wire VAR11; wire VAR41; VAR98 VAR146( .VAR53(VAR53), .VAR189(rst), .VAR72({18'b0,VAR41,VAR38}), .VAR77(2'b00), .VAR101(VAR101), .VAR24(VAR53), .VAR63(rst), .VAR55(VAR188), .VAR149(VAR7), .VAR94(VAR96), .VAR66(VAR6), .VAR2(VAR192), .VAR147(VAR64), .VAR21(VAR131), .VAR67(VAR139), .VAR76(VAR196), .VAR113(VAR142), .VAR23(), .VAR174(VAR53), .VAR74(rst), .VAR28(VAR82), .VAR179(VAR17), .VAR133(VAR71), .VAR86(VAR18), .VAR49(VAR29), .VAR120(VAR104), .VAR59(VAR50), .VAR186(VAR32), .VAR168(VAR102), .VAR43(VAR106), .VAR166(), .VAR197(VAR30), .VAR48(1'b0), .VAR115(), .VAR190(), .VAR22(), .VAR169(), .VAR47(1'b0), .VAR62(1'b0), .VAR83(0), .VAR57(0), .VAR122(), .VAR10(), .VAR111(0), .VAR4(), .VAR125(), .VAR45(), .VAR164(), .VAR121(), .VAR143(), .VAR184(), .VAR160(), .VAR87() ); VAR180 VAR183( .VAR53(VAR53), .VAR189(rst), .VAR13(VAR142), .VAR100(VAR6), .VAR65(VAR64), .VAR162(VAR196), .VAR73(VAR139), .VAR185(VAR192), .VAR135(VAR131), .VAR20(VAR188), .VAR201(VAR7), .VAR51(VAR96), .VAR200(VAR106), .VAR176(VAR18), .VAR110(VAR104), .VAR31(VAR102), .VAR35(VAR32), .VAR158(VAR29), .VAR5(VAR50), .VAR79(VAR82), .VAR39(VAR17), .VAR91(VAR71), .VAR116(VAR109), .VAR44(VAR105), .VAR78(VAR89), .VAR152(VAR191), .VAR172(VAR8), .VAR26(VAR141), .VAR34(VAR12), .VAR118(VAR144), .VAR95(0), .VAR85(0), .VAR68(VAR112), .VAR145(VAR130), .VAR70(VAR108), .VAR132(VAR153), .VAR148(VAR42), .VAR16(VAR114), .VAR81(VAR163), .VAR136(VAR156), .VAR161(VAR52), .VAR93(0), .VAR159(VAR175), .VAR40(VAR103), .VAR119(VAR182), .VAR128(VAR36), .VAR187(VAR11), .VAR80(VAR25), .VAR195(VAR177), .VAR75(VAR178), .VAR90(0), .VAR127(0) ); VAR54 VAR137( .VAR53(VAR53), .VAR189(rst), .VAR1(VAR12), .VAR126(VAR141), .VAR123(VAR144), .VAR56(VAR89), .VAR61(VAR191), .VAR15(VAR8), .VAR173(VAR105), .VAR165(VAR109), .VAR30(VAR30), .VAR37(VAR37), .VAR60(VAR60), .VAR140(VAR140), .VAR97(VAR97), .VAR19(VAR19), .VAR107(VAR107) ); VAR9 VAR155( .VAR171(VAR53), .VAR138(rst), .VAR126(VAR114), .VAR92(VAR108), .VAR181(VAR130), .VAR61(VAR153), .VAR15(VAR42), .VAR1(VAR163), .VAR69(VAR112), .VAR123(VAR156), .VAR151(VAR52), .VAR170(VAR38), .VAR167({16'b0,VAR194}), .VAR134(VAR154), .VAR84()); VAR58 VAR3( .VAR14(VAR14), .VAR33(VAR33), .VAR171(VAR53), .VAR138(rst), .VAR92(VAR182[4:0]), .VAR181(VAR103), .VAR69(VAR175), .VAR15(VAR11), .VAR1(VAR177), .VAR126(VAR25), .VAR123(VAR178), .VAR61(VAR36), .VAR46(VAR41), .VAR27(VAR157), .VAR88(VAR193), .VAR124(), .VAR199(1'b0), .VAR129(), .VAR198(1'b0), .VAR117(1'b0), .VAR150(1'b0) ); endmodule
gpl-2.0
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_075.v
1,549
module MODULE2 ( VAR6, VAR8 ); input [31:0] VAR6; output [31:0] VAR8; wire [31:0] VAR3, VAR2, VAR4, VAR9, VAR12, VAR7, VAR11, VAR15, VAR10, VAR5; assign VAR3 = VAR6; assign VAR7 = VAR3 << 1; assign VAR15 = VAR4 << 3; assign VAR2 = VAR3 << 5; assign VAR4 = VAR3 + VAR2; assign VAR9 = VAR3 << 12; assign VAR12 = VAR4 + VAR9; assign VAR11 = VAR12 + VAR7; assign VAR10 = VAR11 + VAR15; assign VAR5 = VAR10 << 1; assign VAR8 = VAR5; endmodule module MODULE1( VAR6, VAR8, clk ); input [31:0] VAR6; output [31:0] VAR8; reg [31:0] VAR8; input clk; reg [31:0] VAR13; wire [30:0] VAR14; always @(posedge clk) begin VAR13 <= VAR6; VAR8 <= VAR14; end MODULE2 MODULE1( .VAR6(VAR13), .VAR8(VAR14) ); endmodule
mit
olajep/oh
src/emesh/hdl/emesh_wralign.v
2,174
module MODULE1 ( VAR2, VAR1, VAR4 ); input [1:0] VAR1; input [63:0] VAR4; output [63:0] VAR2; wire [3:0] VAR3; assign VAR3[0]= (VAR1[1:0]==2'b00); assign VAR3[1]= (VAR1[1:0]==2'b01); assign VAR3[2]= (VAR1[1:0]==2'b10); assign VAR3[3]= (VAR1[1:0]==2'b11); assign VAR2[7:0] = VAR4[7:0]; assign VAR2[15:8] = {(8){VAR3[0]}} & VAR4[7:0] | {(8){(|VAR3[3:1])}} & VAR4[15:8] ; assign VAR2[23:16] = {(8){(|VAR3[1:0])}} & VAR4[7:0] | {(8){(|VAR3[3:2])}} & VAR4[23:16] ; assign VAR2[31:24] = {(8){VAR3[0]}} & VAR4[7:0] | {(8){VAR3[1]}} & VAR4[15:8] | {(8){(|VAR3[3:2])}} & VAR4[31:24] ; assign VAR2[39:32] = {(8){(|VAR3[2:0])}} & VAR4[7:0] | {(8){VAR3[3]}} & VAR4[39:32] ; assign VAR2[47:40] = {(8){VAR3[0]}} & VAR4[7:0] | {(8){(|VAR3[2:1])}} & VAR4[15:8] | {(8){VAR3[3]}} & VAR4[47:40] ; assign VAR2[55:48] = {(8){(|VAR3[1:0])}} & VAR4[7:0] | {(8){VAR3[2]}} & VAR4[23:16] | {(8){VAR3[3]}} & VAR4[55:48] ; assign VAR2[63:56] = {(8){VAR3[0]}} & VAR4[7:0] | {(8){VAR3[1]}} & VAR4[15:8] | {(8){VAR3[2]}} & VAR4[31:24] | {(8){VAR3[3]}} & VAR4[63:56] ; endmodule
mit
markusC64/1541ultimate2
fpga/nios_c5/nios/nios_bb.v
1,775
module MODULE1 ( VAR6, VAR32, VAR7, VAR22, VAR9, VAR38, VAR26, VAR13, VAR5, VAR25, VAR4, VAR30, VAR11, VAR23, VAR16, VAR31, VAR18, VAR41, VAR24, VAR39, VAR10, VAR27, VAR29, VAR12, VAR34, VAR33, VAR3, VAR35, VAR28, VAR19, VAR1, VAR2, VAR15, VAR20, VAR14, VAR21, VAR37, VAR17, VAR8, VAR40, VAR36); input VAR6; input VAR32; input [7:0] VAR7; output VAR22; output [7:0] VAR9; output VAR38; output [19:0] VAR26; input VAR13; input [25:0] VAR5; input VAR25; input [3:0] VAR4; input [31:0] VAR30; input VAR11; input [7:0] VAR23; output [7:0] VAR16; output [31:0] VAR31; output VAR18; output [7:0] VAR41; output [13:0] VAR24; output [1:0] VAR39; output [0:0] VAR10; output [0:0] VAR27; output [0:0] VAR29; output [0:0] VAR12; output [0:0] VAR34; output [0:0] VAR33; output [0:0] VAR3; output [0:0] VAR35; inout [7:0] VAR28; inout [0:0] VAR19; inout [0:0] VAR1; output [0:0] VAR2; input VAR15; input [31:0] VAR20; output [31:0] VAR14; input VAR21; output VAR37; output VAR17; output VAR8; output VAR40; output VAR36; endmodule
gpl-3.0
iafnan/es2-hardwaresecurity
or1200/rtl/verilog/or1200/or1200_sb_fifo.v
5,310
module MODULE1( VAR7, VAR16, VAR4, VAR1, VAR2, VAR13, VAR17, VAR9 ); parameter VAR5 = 68; parameter VAR11 = VAR15; parameter VAR12 = VAR3; input VAR7; input VAR16; input [VAR5-1:0] VAR4; input VAR1; input VAR2; output [VAR5-1:0] VAR13; output VAR17; output VAR9; reg [VAR5-1:0] VAR10 [VAR12-1:0]; reg [VAR5-1:0] VAR13; reg [VAR11+1:0] VAR8; reg [VAR11-1:0] VAR6; reg [VAR11-1:0] VAR14; reg VAR9; reg VAR17; always @(posedge VAR7 or posedge VAR16) if (VAR16) begin VAR17 <= 1'b0; VAR9 <= 1'b1; VAR6 <= {VAR11{1'b0}}; VAR14 <= {VAR11{1'b0}}; VAR8 <= {VAR11+2{1'b0}}; VAR13 <= {VAR5{1'b0}}; end else if (VAR1 && VAR2) begin VAR10[VAR6] <= VAR4; if (VAR6 >= VAR12-1) VAR6 <= {VAR11{1'b0}}; end else VAR6 <= VAR6 + 1'b1; if (VAR9) begin VAR13 <= VAR4; end else begin VAR13 <= VAR10[VAR14]; end if (VAR14 >= VAR12-1) VAR14 <= {VAR11{1'b0}}; else VAR14 <= VAR14 + 1'b1; end else if (VAR1 && !VAR17) begin VAR10[VAR6] <= VAR4; VAR8 <= VAR8 + 1'b1; VAR9 <= 1'b0; if (VAR8 >= (VAR12-1)) begin VAR17 <= 1'b1; VAR8 <= VAR12; end if (VAR6 >= VAR12-1) VAR6 <= {VAR11{1'b0}}; end else VAR6 <= VAR6 + 1'b1; end else if (VAR2 && !VAR9) begin VAR13 <= VAR10[VAR14]; VAR8 <= VAR8 - 1'b1; VAR17 <= 1'b0; if (VAR8 <= 1) begin VAR9 <= 1'b1; VAR8 <= {VAR11+2{1'b0}}; end if (VAR14 >= VAR12-1) VAR14 <= {VAR11{1'b0}}; end else VAR14 <= VAR14 + 1'b1; end endmodule
gpl-3.0
Jawanga/ece385final
finalproject/synthesis/submodules/finalproject_mm_interconnect_1.v
16,024
module MODULE1 ( input wire VAR35, input wire VAR73, input wire [21:0] VAR91, output wire VAR84, input wire [0:0] VAR30, input wire [3:0] VAR62, input wire VAR19, output wire [31:0] VAR9, output wire VAR43, input wire VAR88, input wire [31:0] VAR87, input wire VAR42, output wire [1:0] VAR38, output wire VAR75, output wire VAR70, input wire [31:0] VAR60, output wire [31:0] VAR76, output wire VAR37 ); wire VAR26; wire [31:0] VAR68; wire VAR94; wire [21:0] VAR51; wire VAR40; wire [3:0] VAR49; wire VAR23; wire VAR89; wire VAR64; wire [31:0] VAR44; wire [2:0] VAR95; VAR36 #( .VAR31 (22), .VAR69 (32), .VAR1 (1), .VAR90 (4), .VAR77 (22), .VAR55 (3), .VAR59 (1), .VAR10 (1), .VAR33 (0), .VAR32 (0), .VAR86 (0), .VAR57 (1), .VAR56 (1), .VAR45 (1), .VAR53 (0), .VAR13 (0), .VAR5 (4), .VAR98 (1), .VAR99 (0), .VAR18 (0), .VAR12 (0), .VAR80 (0), .VAR14 (0) ) VAR66 ( .clk (VAR35), .reset (VAR73), .VAR65 (VAR51), .VAR25 (VAR95), .VAR4 (VAR40), .VAR15 (VAR64), .VAR39 (VAR26), .VAR97 (VAR23), .VAR81 (VAR49), .VAR8 (VAR68), .VAR41 (VAR44), .VAR54 (VAR89), .VAR63 (VAR94), .VAR22 (VAR91), .VAR67 (VAR84), .VAR78 (VAR30), .VAR28 (VAR62), .VAR46 (VAR19), .VAR34 (VAR9), .VAR72 (VAR43), .VAR24 (VAR88), .VAR6 (VAR87), .VAR74 (VAR42), .VAR11 (1'b0), .VAR83 (1'b0), .VAR29 (1'b0), .VAR27 (1'b0), .VAR47 (), .VAR52 (1'b1), .VAR82 (2'b00), .VAR58 (), .VAR85 (1'b0), .VAR21 () ); VAR7 #( .VAR31 (2), .VAR69 (32), .VAR3 (32), .VAR1 (1), .VAR90 (4), .VAR92 (4), .VAR77 (22), .VAR55 (3), .VAR79 (0), .VAR56 (0), .VAR45 (0), .VAR48 (0), .VAR53 (0), .VAR13 (0), .VAR5 (4), .VAR98 (0), .VAR99 (0), .VAR18 (0), .VAR12 (0), .VAR93 (0), .VAR96 (0), .VAR17 (2), .VAR2 (2), .VAR20 (2), .VAR16 (2) ) VAR50 ( .clk (VAR35), .reset (VAR73), .VAR65 (VAR51), .VAR25 (VAR95), .VAR4 (VAR40), .VAR15 (VAR64), .VAR39 (VAR26), .VAR97 (VAR23), .VAR81 (VAR49), .VAR8 (VAR68), .VAR41 (VAR44), .VAR54 (VAR89), .VAR63 (VAR94), .VAR22 (VAR38), .VAR24 (VAR75), .VAR46 (VAR70), .VAR34 (VAR60), .VAR6 (VAR76), .VAR29 (VAR37), .VAR83 (), .VAR11 (), .VAR78 (), .VAR28 (), .VAR72 (1'b0), .VAR67 (1'b0), .VAR61 (), .VAR27 (), .VAR52 (), .VAR47 (1'b0), .VAR74 (), .VAR71 (), .VAR82 (), .VAR58 (2'b00), .VAR85 (), .VAR21 (1'b0) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlymetal6s4s/sky130_fd_sc_lp__dlymetal6s4s.behavioral.pp.v
1,868
module MODULE1 ( VAR4 , VAR1 , VAR5, VAR9, VAR11 , VAR2 ); output VAR4 ; input VAR1 ; input VAR5; input VAR9; input VAR11 ; input VAR2 ; wire VAR3 ; wire VAR8; buf VAR12 (VAR3 , VAR1 ); VAR6 VAR7 (VAR8, VAR3, VAR5, VAR9); buf VAR10 (VAR4 , VAR8 ); endmodule
apache-2.0
8l/kestrel
2/nexys2/j1a/T_j1a.v
20,104
module MODULE1; reg VAR2; reg VAR1; reg [15:0] VAR12; reg VAR11; reg [15:0] VAR10; wire [13:1] VAR8; wire VAR14; wire VAR6; wire [15:1] VAR13; wire [15:0] VAR9; wire VAR7; wire VAR3; VAR4 VAR5 ( .VAR2(VAR2), .VAR1(VAR1), .VAR8(VAR8), .VAR12(VAR12), .VAR14(VAR14), .VAR6(VAR6), .VAR11(VAR11), .VAR13(VAR13), .VAR9(VAR9), .VAR10(VAR10), .VAR7(VAR7), .VAR3(VAR3) ); always begin VAR1 <= ~VAR1; end
apache-2.0
alexforencich/hdg2000
fpga/lib/axis/rtl/axis_arb_mux_4.v
5,253
module MODULE1 # ( parameter VAR32 = 8, parameter VAR34 = "VAR17", parameter VAR18 = "VAR5" ) ( input wire clk, input wire rst, input wire [VAR32-1:0] VAR24, input wire VAR2, output wire VAR8, input wire VAR14, input wire VAR33, input wire [VAR32-1:0] VAR12, input wire VAR31, output wire VAR11, input wire VAR35, input wire VAR6, input wire [VAR32-1:0] VAR20, input wire VAR38, output wire VAR1, input wire VAR41, input wire VAR36, input wire [VAR32-1:0] VAR15, input wire VAR10, output wire VAR19, input wire VAR40, input wire VAR16, output wire [VAR32-1:0] VAR13, output wire VAR27, input wire VAR30, output wire VAR28, output wire VAR3 ); wire [3:0] request; wire [3:0] acknowledge; wire [3:0] VAR23; wire VAR7; wire [1:0] VAR21; assign acknowledge[0] = VAR2 & VAR8 & VAR14; assign request[0] = VAR2 & ~acknowledge[0]; assign acknowledge[1] = VAR31 & VAR11 & VAR35; assign request[1] = VAR31 & ~acknowledge[1]; assign acknowledge[2] = VAR38 & VAR1 & VAR41; assign request[2] = VAR38 & ~acknowledge[2]; assign acknowledge[3] = VAR10 & VAR19 & VAR40; assign request[3] = VAR10 & ~acknowledge[3]; VAR9 #( .VAR32(VAR32) ) VAR26 ( .clk(clk), .rst(rst), .VAR24(VAR24), .VAR2(VAR2 & VAR23[0]), .VAR8(VAR8), .VAR14(VAR14), .VAR33(VAR33), .VAR12(VAR12), .VAR31(VAR31 & VAR23[1]), .VAR11(VAR11), .VAR35(VAR35), .VAR6(VAR6), .VAR20(VAR20), .VAR38(VAR38 & VAR23[2]), .VAR1(VAR1), .VAR41(VAR41), .VAR36(VAR36), .VAR15(VAR15), .VAR10(VAR10 & VAR23[3]), .VAR19(VAR19), .VAR40(VAR40), .VAR16(VAR16), .VAR13(VAR13), .VAR27(VAR27), .VAR30(VAR30), .VAR28(VAR28), .VAR3(VAR3), .enable(VAR7), .select(VAR21) ); VAR4 #( .VAR39(4), .VAR22(VAR34), .VAR37("VAR29"), .VAR18(VAR18) ) VAR25 ( .clk(clk), .rst(rst), .request(request), .acknowledge(acknowledge), .VAR23(VAR23), .VAR7(VAR7), .VAR21(VAR21) ); endmodule
mit
luebbers/reconos
support/pcores/plb_tft_cntlr_ref_v1_00_e/hdl/verilog/v_sync.v
16,286
module MODULE1( clk, VAR11, rst, VAR6, VAR28, VAR9, VAR20); input clk; input VAR11; input rst; output VAR6; output VAR28; output VAR9; output VAR20; reg VAR28; reg VAR6; reg [0:1] VAR26; reg [0:4] VAR12; reg [0:8] VAR4; reg [0:3] VAR2; reg VAR18; reg VAR19; reg VAR5; reg VAR21; reg VAR27; reg VAR25; reg VAR17; reg VAR29; reg VAR10; reg VAR9; reg VAR20; reg VAR1; parameter [0:4] VAR15 = 5'b00001; parameter [0:4] VAR13 = 5'b00010; parameter [0:4] VAR14 = 5'b00100; parameter [0:4] VAR22 = 5'b01000; parameter [0:4] VAR3 = 5'b10000; reg [0:4] VAR8 ; reg [0:4] VAR7; reg VAR16; reg VAR24; reg VAR23; always @ (posedge clk) begin VAR16 <= VAR11; VAR23 <= VAR11 & ~VAR16; VAR24 <= ~VAR11 & VAR16; end always @ (posedge clk) begin if (rst) VAR8 = VAR15; end else if (VAR23) VAR8 = VAR7; end always @ (VAR8 or VAR10 or VAR9 or VAR20 or VAR1) begin case (VAR8) VAR15: begin VAR18 = 0; VAR27 = 1; VAR19 = 0; VAR25 = 1; VAR5 = 0; VAR17 = 1; VAR21 = 0; VAR29 = 1; VAR6 = 1; VAR28 = 0; VAR7 = VAR13; end VAR13: begin VAR18 = 1; VAR27 = 0; VAR19 = 0; VAR25 = 1; VAR5 = 0; VAR17 = 1; VAR21 = 0; VAR29 = 1; VAR6 = 0; VAR28 = 0; if (VAR10 == 0) VAR7 = VAR13; end else VAR7 = VAR14; end VAR14: begin VAR18 = 0; VAR27 = 1; VAR19 = 1; VAR25 = 0; VAR5 = 0; VAR17 = 1; VAR21 = 0; VAR29 = 1; VAR6 = 1; VAR28 = 0; if (VAR9 == 0) VAR7 = VAR14; end else VAR7 = VAR22; end VAR22: begin VAR18 = 0; VAR27 = 1; VAR19 = 0; VAR25 = 1; VAR5 = 1; VAR17 = 0; VAR21 = 0; VAR29 = 1; VAR6 = 1; VAR28 = 1; if (VAR20 == 0) VAR7 = VAR22; end else VAR7 = VAR3; end VAR3: begin VAR18 = 0; VAR27 = 1; VAR19 = 0; VAR25 = 1; VAR5 = 0; VAR17 = 1; VAR21 = 1; VAR29 = 0; VAR6 = 1; VAR28 = 0; if (VAR1 == 0) VAR7 = VAR3; end else VAR7 = VAR13; end default: begin VAR18 = 0; VAR27 = 1; VAR19 = 0; VAR25 = 1; VAR5 = 0; VAR17 = 1; VAR21 = 1; VAR29 = 0; VAR6 = 1; VAR28 = 0; VAR7 = VAR15; end endcase end always @(posedge clk) begin if (VAR27) begin VAR26 = 2'b0; VAR10 = 0; end else if (VAR24) begin if (VAR18) begin if (VAR26 == 1) begin VAR26 = VAR26 + 1; VAR10 = 1; end else begin VAR26 = VAR26 + 1; VAR10 = 0; end end end end always @(posedge clk) begin if (VAR25) begin VAR12 = 5'b0; VAR9 = 0; end else if (VAR24) begin if (VAR19) begin if (VAR12 == 30) begin VAR12 = VAR12 + 1; VAR9 = 1; end else begin VAR12 = VAR12 + 1; VAR9 = 0; end end end end always @(posedge clk) begin if (VAR17) begin VAR4 = 9'b0; VAR20 = 0; end else if (VAR24) begin if (VAR5) begin if (VAR4 == 479) begin VAR4 = VAR4 + 1; VAR20 = 1; end else begin VAR4 = VAR4 + 1; VAR20 = 0; end end end end always @(posedge clk) begin if (VAR29) begin VAR2 = 4'b0; VAR1 = 0; end else if (VAR24) begin if (VAR21) begin if (VAR2 == 11) begin VAR2 = VAR2 + 1; VAR1 = 1; end else begin VAR2 = VAR2 + 1; VAR1 = 0; end end end end endmodule
gpl-3.0
CospanDesign/vivado-ip-cores
ip/axi_pmod_tft/verilog/axi/slave/axi_pmod_tft/rtl/nh_lcd_data_writer.v
7,507
module MODULE1#( parameter VAR66 = 24, parameter VAR64 = 12 )( input rst, input clk, output [31:0] VAR18, input VAR11, input [31:0] VAR5, input [31:0] VAR13, input VAR43, input VAR38, input VAR62, output [1:0] VAR8, input [1:0] VAR19, input VAR25, output [23:0] VAR28, input [VAR66:0] VAR55, output VAR39, output reg [7:0] VAR29, input [7:0] VAR52, output VAR59, output VAR49, output VAR20, input VAR60, input VAR31, input VAR35, input VAR1 ); localparam VAR42 = 4'h0; localparam VAR27 = 4'h1; localparam VAR10 = 4'h2; localparam VAR6 = 4'h3; localparam VAR67 = 4'h4; localparam VAR33 = 4'h5; localparam VAR2 = 4'h6; localparam VAR12 = 4'h7; localparam VAR40 = 4'h8; localparam VAR56 = 4'h9; reg [3:0] state; reg [7:0] VAR4; reg [7:0] VAR37; reg [7:0] VAR22; wire VAR7; wire VAR9; reg VAR48; wire [23:0] VAR15; reg [23:0] VAR54; wire [24:0] VAR46; reg [31:0] VAR45; reg VAR41; wire VAR61; reg VAR53; reg VAR65; reg VAR23; reg VAR16; VAR63 #( .VAR58 (VAR66 + 1 ), .VAR36 (VAR64 ) )VAR57 ( .reset (rst || VAR62 ), .VAR34 (VAR38 ), .VAR30 (VAR8 ), .VAR26 (VAR19 ), .VAR47 (VAR28 ), .VAR3 (VAR25 ), .VAR24 (VAR55 ), .VAR44 (clk ), .VAR17 (VAR7 ), .VAR32 (VAR9 ), .VAR51 (VAR48 ), .VAR50 (VAR15 ), .VAR14 (VAR46 ) ); assign VAR39 = VAR65; assign VAR59 = VAR53; assign VAR49 = 0; assign VAR20 = 1; assign VAR18[0] = VAR11; assign VAR18[1] = VAR39; assign VAR18[2] = VAR59; assign VAR18[3] = VAR49; assign VAR18[16:13] = state; assign VAR18[21] = VAR20; assign VAR18[31:22] = 10'b0; assign VAR61 = VAR48; assign VAR7 = VAR41; always @ (posedge clk) begin if (rst) begin VAR54 <= 0; VAR4 <= 0; VAR37 <= 0; VAR22 <= 0; VAR16 <= 0; VAR48 <= 0; end else begin if (VAR9 && !VAR48) begin VAR48 <= 1; VAR54 <= 0; end else if (VAR48) begin if (VAR54 < VAR15) begin if (VAR7) begin VAR54 <= VAR54 + 1; VAR4 <= VAR46[23:16]; VAR37 <= VAR46[15: 8]; VAR22 <= VAR46[ 7: 0]; VAR16 <= VAR46[24]; end end else begin VAR48 <= 0; end end end end always @ (posedge clk) begin VAR53 <= 0; VAR65 <= 1; VAR41 <= 0; if (rst) begin state <= VAR42; VAR45 <= 0; VAR29 <= VAR21; end else begin case (state) VAR42: begin VAR29 <= VAR21; if (VAR11) begin if (VAR61) begin if (VAR45 == 0) begin if (VAR60) begin VAR41 <= 1; VAR65 <= 0; VAR53 <= 1; state <= VAR27; end end else if (VAR45 > 0) begin state <= VAR27; VAR41 <= 1; end end end end VAR27: begin state <= VAR10; end VAR10: begin state <= VAR6; end VAR6: begin VAR53 <= 1; state <= VAR67; VAR29 <= VAR4; end VAR67: begin state <= VAR33; end VAR33: begin VAR53 <= 1; state <= VAR2; VAR29 <= VAR37; end VAR2: begin state <= VAR12; end VAR12: begin VAR53 <= 1; VAR29 <= VAR22; if (VAR16) begin if (VAR45 < (VAR13 - 1)) begin VAR45 <= VAR45 + 1; state <= VAR42; end else begin state <= VAR56; end end else if (VAR61) begin VAR41 <= 1; state <= VAR40; end else begin state <= VAR42; end end VAR40: begin state <= VAR6; end VAR56: begin if (!VAR60) begin VAR45 <= 0; state <= VAR42; end end endcase end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlygate4sd3/sky130_fd_sc_ls__dlygate4sd3.pp.symbol.v
1,322
module MODULE1 ( input VAR4 , output VAR6 , input VAR3 , input VAR2, input VAR1, input VAR5 ); endmodule
apache-2.0
unsignedzero/verilogLabs
labs/lab11/shift/shift_fifo.v
1,358
module MODULE1 ( VAR4, VAR2, VAR1, VAR5, clk, rst ); input VAR4, VAR2, clk, rst; input VAR1; output VAR5; reg VAR5; reg [7:0] VAR3; reg [2:0] VAR6; always @(posedge clk or posedge rst) if (rst) begin VAR6 <= 3'h0; VAR3 <= 8'h00; end else begin if (VAR4) begin {VAR3, VAR5} <= {1'b0, VAR3}; VAR6 <= VAR6 - 1; end else if (VAR2) begin VAR3[VAR6] <= VAR1; VAR6 <= VAR6 + 1; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a41oi/sky130_fd_sc_hs__a41oi.functional.v
1,962
module MODULE1 ( VAR6, VAR12, VAR2 , VAR8 , VAR5 , VAR11 , VAR13 , VAR15 ); input VAR6; input VAR12; output VAR2 ; input VAR8 ; input VAR5 ; input VAR11 ; input VAR13 ; input VAR15 ; wire VAR13 VAR7 ; wire VAR3 ; wire VAR16; and VAR14 (VAR7 , VAR8, VAR5, VAR11, VAR13 ); nor VAR1 (VAR3 , VAR15, VAR7 ); VAR4 VAR10 (VAR16, VAR3, VAR6, VAR12); buf VAR9 (VAR2 , VAR16 ); endmodule
apache-2.0
strigeus/fpganes
src/clk_wiz_v3_6.v
6,891
module MODULE1 ( input VAR30, output VAR77, input VAR71, output VAR73 ); VAR39 VAR8 (.VAR54 (VAR59), .VAR15 (VAR30)); wire [15:0] VAR25; wire VAR69; wire VAR13; wire VAR49; wire VAR63; wire VAR66; wire VAR58; wire VAR64; wire VAR7; wire VAR75; wire VAR4; wire VAR74; wire VAR76; wire VAR40; wire VAR37; wire VAR26; wire VAR22; wire VAR57; VAR47 .VAR31 ("VAR65"), .VAR55 ("VAR46"), .VAR27 ("VAR65"), .VAR44 (5), .VAR61 (47.250), .VAR68 (0.000), .VAR42 ("VAR65"), .VAR28 (44.000), .VAR72 (0.000), .VAR62 (0.500), .VAR20 ("VAR65"), .VAR12 (10.000), .VAR56 (0.010)) VAR35 (.VAR45 (VAR49), .VAR32 (VAR66), .VAR53 (VAR34), .VAR11 (VAR58), .VAR77 (VAR64), .VAR33 (VAR7), .VAR18 (VAR75), .VAR78 (VAR4), .VAR10 (VAR74), .VAR1 (VAR76), .VAR48 (VAR40), .VAR52 (VAR37), .VAR16 (VAR26), .VAR17 (VAR63), .VAR30 (VAR59), .VAR23 (1'b0), .VAR43 (1'b1), .VAR3 (7'h0), .VAR51 (1'b0), .VAR14 (1'b0), .VAR5 (16'h0), .VAR36 (VAR25), .VAR29 (VAR69), .VAR6 (1'b0), .VAR60 (1'b0), .VAR41 (1'b0), .VAR67 (1'b0), .VAR50 (VAR13), .VAR73 (VAR73), .VAR24 (VAR57), .VAR2 (VAR22), .VAR21 (1'b0), .VAR38 (VAR71)); VAR19 VAR70 (.VAR54 (VAR63), .VAR15 (VAR49)); VAR19 VAR9 (.VAR54 (VAR77), .VAR15 (VAR34)); endmodule
gpl-3.0
Cosmos-OpenSSD/Cosmos-plus-OpenSSD
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/ipshared/ENCLab/NVMeHostController_v2_0_0/ba7abda2/src/pcie_tx.v
7,060
module MODULE1 # ( parameter VAR65 = 128, parameter VAR60 = 36 ) ( input VAR30, input VAR27, input [15:0] VAR8, output VAR50, input VAR49, input VAR33, input VAR18, input VAR14, output [VAR65-1:0] VAR16, output [(VAR65/8)-1:0] VAR43, output [3:0] VAR31, output VAR21, output VAR67, input VAR47, input [7:0] VAR24, input [15:0] VAR37, input [11:2] VAR23, input [11:0] VAR38, input [6:0] VAR13, input [63:0] VAR58, output VAR6, input VAR53, input [7:0] VAR35, input [11:2] VAR2, input [VAR60-1:2] VAR68, output VAR70, input VAR52, input [7:0] VAR41, input [11:2] VAR9, input [VAR60-1:2] VAR3, output VAR10, input VAR15, input [7:0] VAR20, input [11:2] VAR71, input [VAR60-1:2] VAR19, output VAR64, input VAR62, input [7:0] VAR63, input [11:2] VAR29, input [VAR60-1:2] VAR22, output VAR39, output VAR25, input [VAR65-1:0] VAR4, output VAR59, input VAR36, input [7:0] VAR1, input [11:2] VAR7, input [VAR60-1:2] VAR56, output VAR51, output VAR11, input [VAR65-1:0] VAR5, output VAR12 ); wire VAR34; wire [5:0] VAR45; wire [2:0] VAR28; wire [11:2] VAR57; wire [127:0] VAR48; wire [31:0] VAR55; wire VAR66; VAR54 # ( .VAR65 (VAR65) ) VAR46( .VAR30 (VAR30), .VAR27 (VAR27), .VAR8 (VAR8), .VAR49 (VAR49), .VAR33 (VAR33), .VAR18 (VAR18), .VAR47 (VAR47), .VAR24 (VAR24), .VAR37 (VAR37), .VAR23 (VAR23), .VAR38 (VAR38), .VAR13 (VAR13), .VAR58 (VAR58), .VAR6 (VAR6), .VAR53 (VAR53), .VAR35 (VAR35), .VAR2 (VAR2), .VAR68 (VAR68), .VAR70 (VAR70), .VAR52 (VAR52), .VAR41 (VAR41), .VAR9 (VAR9), .VAR3 (VAR3), .VAR10 (VAR10), .VAR15 (VAR15), .VAR20 (VAR20), .VAR71 (VAR71), .VAR19 (VAR19), .VAR64 (VAR64), .VAR62 (VAR62), .VAR63 (VAR63), .VAR29 (VAR29), .VAR22 (VAR22), .VAR39 (VAR39), .VAR36 (VAR36), .VAR1 (VAR1), .VAR7 (VAR7), .VAR56 (VAR56), .VAR51 (VAR51), .VAR40 (VAR34), .VAR69 (VAR45), .VAR42 (VAR28), .VAR17 (VAR57), .VAR61 (VAR48), .VAR32 (VAR55), .VAR44 (VAR66) ); VAR72 # ( .VAR65 (VAR65) ) VAR26( .VAR30 (VAR30), .VAR27 (VAR27), .VAR50 (VAR50), .VAR14 (VAR14), .VAR16 (VAR16), .VAR43 (VAR43), .VAR31 (VAR31), .VAR21 (VAR21), .VAR67 (VAR67), .VAR40 (VAR34), .VAR69 (VAR45), .VAR42 (VAR28), .VAR17 (VAR57), .VAR61 (VAR48), .VAR32 (VAR55), .VAR44 (VAR66), .VAR25 (VAR25), .VAR4 (VAR4), .VAR59 (VAR59), .VAR11 (VAR11), .VAR5 (VAR5), .VAR12 (VAR12) ); endmodule
gpl-3.0
XCopter-HSU/XCopter
documentations/Bumblebee_Documentation/SoPC/NIOS_MCAPI_Base_v07/soc_system/synthesis/submodules/hps_sdram_p0_acv_hard_addr_cmd_pads.v
9,229
module MODULE1( VAR82, VAR15, VAR41, VAR107, VAR79, VAR3, VAR11, VAR85, VAR22, VAR44, VAR14, VAR114, VAR27, VAR90, VAR103, VAR40, VAR26, VAR31, VAR10, VAR77, VAR64, VAR19, VAR108, VAR32, VAR38, VAR59, VAR48, VAR45, VAR101 ); parameter VAR81 = ""; parameter VAR97 = ""; parameter VAR9 = ""; parameter VAR36 = ""; parameter VAR95 = ""; parameter VAR51 = ""; parameter VAR83 = ""; parameter VAR67 = ""; parameter VAR46 = ""; parameter VAR61 = ""; parameter VAR50 = ""; parameter VAR116 = ""; parameter VAR98 = ""; parameter VAR29 = ""; parameter VAR54 = ""; parameter VAR20 = ""; parameter VAR57 = ""; parameter VAR55 = ""; input VAR82; input VAR15; input VAR79; input VAR41; input VAR107; input VAR3; input VAR11; input [VAR54-1:0] VAR22; input [VAR46-1:0] VAR85; input [VAR61-1:0] VAR44; input [VAR50-1:0] VAR14; input [VAR116-1:0] VAR114; input [VAR98-1:0] VAR27; input [VAR29-1:0] VAR103; input [VAR29-1:0] VAR40; input [VAR29-1:0] VAR26; input [VAR29-1:0] VAR90; input [VAR29-1:0] VAR31; output [VAR97-1:0] VAR10; output [VAR9-1:0] VAR77; output [VAR36-1:0] VAR64; output [VAR95-1:0] VAR19; output [VAR83-1:0] VAR108; output [VAR67-1:0] VAR32; output [VAR67-1:0] VAR38; output [VAR67-1:0] VAR59; output VAR48; output [VAR51-1:0] VAR45; output [VAR51-1:0] VAR101; localparam VAR121 = VAR36 + VAR95 + VAR83 + VAR67 + VAR67 + VAR67; localparam VAR74 = VAR97 + VAR9 + VAR121 + 1; localparam VAR73 = "false"; wire [VAR74-1:0] VAR125; generate genvar VAR56; for (VAR56 = 0; VAR56 < VAR74; VAR56 = VAR56 + 1) begin: VAR76 wire VAR28; VAR53 # ( .VAR94(VAR54), .VAR20(VAR20), .VAR57(VAR57), .VAR55(VAR55) ) VAR110 ( .VAR41(VAR107), .VAR115(VAR11), .VAR109 (VAR3), .VAR96(VAR22), .VAR93(VAR125[VAR56]) ); end endgenerate VAR102 VAR39( .VAR21(VAR85), .VAR122(1'b1), .VAR111(VAR10), .VAR89({VAR97{VAR41}}), .VAR66(VAR125[VAR97-1:0]) ); VAR102 VAR87( .VAR21(VAR44), .VAR122(1'b1), .VAR111(VAR77), .VAR89({VAR9{VAR41}}), .VAR66(VAR125[VAR97 + VAR9 - 1: VAR97]) ); VAR102 VAR25( .VAR21({ VAR90, VAR40, VAR103, VAR27, VAR114, VAR14 }), .VAR122(1'b1), .VAR111({ VAR32, VAR59, VAR38, VAR108, VAR19, VAR64 }), .VAR89({VAR121{VAR41}}), .VAR66(VAR125[VAR97 + VAR9 + VAR121 - 1: VAR97 + VAR9]) ); VAR102 VAR78( .VAR21(VAR31), .VAR122(1'b1), .VAR111(VAR48), .VAR89(VAR41), .VAR66(VAR125[VAR97 + VAR9 + VAR121]) ); wire [4:0] VAR72; wire [4:0] VAR49; wire VAR13; wire [4:0] VAR80; wire [1:0] VAR7; wire [2:0] VAR65; wire [VAR51-1:0] VAR6; wire [VAR51-1:0] VAR69; generate genvar VAR119; for (VAR119=0; VAR119<VAR51; VAR119=VAR119+1) begin: VAR47 if(VAR73 == "true") begin VAR53 # ( .VAR94(VAR54), .VAR20(VAR20), .VAR57(VAR57), .VAR55(VAR55) ) VAR104 ( .VAR41(VAR107), .VAR115(VAR11), .VAR109 (VAR3), .VAR96(VAR22), .VAR93(VAR6[VAR119]) ); end else begin wire [3:0] VAR99; wire [3:0] VAR118; assign VAR99 = {VAR107,VAR11,VAR3,1'b0}; if (VAR55 == "true") begin assign VAR118 = VAR99; end else begin VAR4 VAR105 ( .VAR60 (VAR99), .VAR124 (VAR118) ); end wire [3:0] VAR43; VAR70 VAR112 ( .VAR2 (VAR118[1]), .VAR75 (VAR22), .VAR84(VAR43) ); VAR33 VAR126 ( .VAR2 (VAR43[0]), .VAR2 (VAR43), .VAR84 (VAR6[VAR119]) ); end wire VAR30; wire VAR1; if(VAR73 == "true") begin assign VAR30 = 1'b0; assign VAR1 = 1'b1; end else begin assign VAR30 = VAR26[0]; assign VAR1 = VAR26[1]; end VAR63 VAR113( .VAR52 (1'b0), .VAR5 (1'b0), .VAR58 (VAR30), .VAR23 (VAR1), .VAR111 (VAR69[VAR119]), .VAR37 (1'b1), .VAR117 (VAR6[VAR119]), .VAR92 (1'b1) ); VAR113.VAR18 = "VAR86", VAR113.VAR91 = VAR81, VAR113.VAR88 = "VAR35", VAR113.VAR34 = "VAR86", VAR113.VAR16 = "VAR63", VAR113.VAR62 = "VAR86", VAR113.VAR100 = "VAR35", VAR113.VAR17 = 1; wire VAR123; assign VAR123 = VAR69[VAR119]; VAR71 VAR106( .VAR21 (VAR123), .VAR111 (VAR45[VAR119]), .VAR12 (VAR101[VAR119]) ); end endgenerate endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a311o/sky130_fd_sc_hd__a311o.functional.pp.v
2,064
module MODULE1 ( VAR18 , VAR11 , VAR10 , VAR15 , VAR9 , VAR14 , VAR4, VAR8, VAR13 , VAR5 ); output VAR18 ; input VAR11 ; input VAR10 ; input VAR15 ; input VAR9 ; input VAR14 ; input VAR4; input VAR8; input VAR13 ; input VAR5 ; wire VAR17 ; wire VAR6 ; wire VAR1; and VAR12 (VAR17 , VAR15, VAR11, VAR10 ); or VAR16 (VAR6 , VAR17, VAR14, VAR9 ); VAR7 VAR2 (VAR1, VAR6, VAR4, VAR8); buf VAR3 (VAR18 , VAR1 ); endmodule
apache-2.0
camsoupa/cc3000
cc3000fpga/component/Actel/DirectCore/CoreAPB3/4.0.100/rtl/vlog/core_obfuscated/coreapb3.v
26,358
module MODULE1 ( parameter [ 5 : 0 ] VAR183 = 32 , parameter VAR28 = 0 , parameter [ 0 : 0 ] VAR124 = 1 , parameter [ 0 : 0 ] VAR111 = 1 , parameter [ 0 : 0 ] VAR174 = 1 , parameter [ 0 : 0 ] VAR88 = 1 , parameter [ 0 : 0 ] VAR170 = 1 , parameter [ 0 : 0 ] VAR148 = 1 , parameter [ 0 : 0 ] VAR5 = 1 , parameter [ 0 : 0 ] VAR118 = 1 , parameter [ 0 : 0 ] VAR169 = 1 , parameter [ 0 : 0 ] VAR134 = 1 , parameter [ 0 : 0 ] VAR130 = 1 , parameter [ 0 : 0 ] VAR80 = 1 , parameter [ 0 : 0 ] VAR39 = 1 , parameter [ 0 : 0 ] VAR40 = 1 , parameter [ 0 : 0 ] VAR33 = 1 , parameter [ 0 : 0 ] VAR87 = 1 , parameter [ 0 : 0 ] VAR48 = 0 , parameter [ 0 : 0 ] VAR146 = 0 , parameter [ 0 : 0 ] VAR141 = 0 , parameter [ 0 : 0 ] VAR81 = 0 , parameter [ 0 : 0 ] VAR119 = 0 , parameter [ 0 : 0 ] VAR155 = 0 , parameter [ 0 : 0 ] VAR20 = 0 , parameter [ 0 : 0 ] VAR129 = 0 , parameter [ 0 : 0 ] VAR126 = 0 , parameter [ 0 : 0 ] VAR182 = 0 , parameter [ 0 : 0 ] VAR7 = 0 , parameter [ 0 : 0 ] VAR62 = 0 , parameter [ 0 : 0 ] VAR70 = 0 , parameter [ 0 : 0 ] VAR78 = 0 , parameter [ 0 : 0 ] VAR185 = 0 , parameter [ 0 : 0 ] VAR63 = 0 , parameter [ 5 : 0 ] VAR90 = 32 , parameter [ 3 : 0 ] VAR43 = 7 ) ( input [ 31 : 0 ] VAR104, input VAR173, input VAR101, input [ 31 : 0 ] VAR121, input VAR96, input VAR165, input VAR32, input [ 31 : 0 ] VAR8, output wire [ 31 : 0 ] VAR59, output wire VAR127, output wire VAR131, output reg [ 31 : 0 ] VAR6, output wire VAR30, output wire VAR46, output wire [ 31 : 0 ] VAR115, output wire VAR75, output wire VAR122, output wire VAR99, output wire VAR92, output wire VAR82, output wire VAR71, output wire VAR45, output wire VAR27, output wire VAR50, output wire VAR112, output wire VAR150, output wire VAR161, output wire VAR95, output wire VAR17, output wire VAR73, output wire VAR153, output reg VAR16, input [ 31 : 0 ] VAR133, input [ 31 : 0 ] VAR25, input [ 31 : 0 ] VAR1, input [ 31 : 0 ] VAR109, input [ 31 : 0 ] VAR178, input [ 31 : 0 ] VAR93, input [ 31 : 0 ] VAR160, input [ 31 : 0 ] VAR132, input [ 31 : 0 ] VAR177, input [ 31 : 0 ] VAR163, input [ 31 : 0 ] VAR120, input [ 31 : 0 ] VAR151, input [ 31 : 0 ] VAR41, input [ 31 : 0 ] VAR181, input [ 31 : 0 ] VAR159, input [ 31 : 0 ] VAR9, input [ 31 : 0 ] VAR94, input VAR105, input VAR137, input VAR157, input VAR66, input VAR38, input VAR49, input VAR60, input VAR67, input VAR162, input VAR158, input VAR86, input VAR136, input VAR77, input VAR52, input VAR139, input VAR54, input VAR108, input VAR184, input VAR76, input VAR55, input VAR166, input VAR47, input VAR143, input VAR179, input VAR142, input VAR156, input VAR35, input VAR12, input VAR144, input VAR125, input VAR42, input VAR149, input VAR19, input VAR107 ) ; localparam VAR114 = 0 ; localparam VAR56 = 1 ; localparam VAR83 = 2 ; localparam VAR22 = 3 ; localparam VAR44 = 4 ; localparam VAR176 = 5 ; localparam VAR89 = 6 ; localparam VAR113 = 7 ; localparam VAR171 = 8 ; localparam VAR13 = 9 ; localparam VAR4 = 10 ; localparam VAR74 = 11 ; localparam VAR91 = 12 ; localparam VAR128 = 13 ; localparam VAR85 = 14 ; localparam VAR57 = 15 ; localparam VAR23 = 16 ; localparam VAR61 = 17 ; localparam [ 15 : 0 ] VAR180 = ( VAR124 || VAR48 || ( VAR28 == VAR83 ) ) * ( 2 ** 0 ) ; localparam [ 15 : 0 ] VAR103 = ( VAR111 || VAR146 || ( VAR28 == VAR22 ) ) * ( 2 ** 1 ) ; localparam [ 15 : 0 ] VAR65 = ( VAR174 || VAR141 || ( VAR28 == VAR44 ) ) * ( 2 ** 2 ) ; localparam [ 15 : 0 ] VAR34 = ( VAR88 || VAR81 || ( VAR28 == VAR176 ) ) * ( 2 ** 3 ) ; localparam [ 15 : 0 ] VAR29 = ( VAR170 || VAR119 || ( VAR28 == VAR89 ) ) * ( 2 ** 4 ) ; localparam [ 15 : 0 ] VAR15 = ( VAR148 || VAR155 || ( VAR28 == VAR113 ) ) * ( 2 ** 5 ) ; localparam [ 15 : 0 ] VAR18 = ( VAR5 || VAR20 || ( VAR28 == VAR171 ) ) * ( 2 ** 6 ) ; localparam [ 15 : 0 ] VAR58 = ( VAR118 || VAR129 || ( VAR28 == VAR13 ) ) * ( 2 ** 7 ) ; localparam [ 15 : 0 ] VAR26 = ( VAR169 || VAR126 || ( VAR28 == VAR4 ) ) * ( 2 ** 8 ) ; localparam [ 15 : 0 ] VAR123 = ( VAR134 || VAR182 || ( VAR28 == VAR74 ) ) * ( 2 ** 9 ) ; localparam [ 15 : 0 ] VAR110 = ( VAR130 || VAR7 || ( VAR28 == VAR91 ) ) * ( 2 ** 10 ) ; localparam [ 15 : 0 ] VAR102 = ( VAR80 || VAR62 || ( VAR28 == VAR128 ) ) * ( 2 ** 11 ) ; localparam [ 15 : 0 ] VAR117 = ( VAR39 || VAR70 || ( VAR28 == VAR85 ) ) * ( 2 ** 12 ) ; localparam [ 15 : 0 ] VAR172 = ( VAR40 || VAR78 || ( VAR28 == VAR57 ) ) * ( 2 ** 13 ) ; localparam [ 15 : 0 ] VAR31 = ( VAR33 || VAR185 || ( VAR28 == VAR23 ) ) * ( 2 ** 14 ) ; localparam [ 15 : 0 ] VAR145 = ( VAR87 || VAR63 || ( VAR28 == VAR61 ) ) * ( 2 ** 15 ) ; localparam [ 15 : 0 ] VAR168 = { VAR63 , VAR185 , VAR78 , VAR70 , VAR62 , VAR7 , VAR182 , VAR126 , VAR129 , VAR20 , VAR155 , VAR119 , VAR81 , VAR141 , VAR146 , VAR48 } ; localparam [ 15 : 0 ] VAR79 = VAR168 & { ( VAR28 != VAR61 ) , ( VAR28 != VAR23 ) , ( VAR28 != VAR57 ) , ( VAR28 != VAR85 ) , ( VAR28 != VAR128 ) , ( VAR28 != VAR91 ) , ( VAR28 != VAR74 ) , ( VAR28 != VAR4 ) , ( VAR28 != VAR13 ) , ( VAR28 != VAR171 ) , ( VAR28 != VAR113 ) , ( VAR28 != VAR89 ) , ( VAR28 != VAR176 ) , ( VAR28 != VAR44 ) , ( VAR28 != VAR22 ) , ( VAR28 != VAR83 ) } ; wire [ 31 : 0 ] VAR24 ; wire [ 31 : 0 ] VAR97 ; wire [ 31 : 0 ] VAR72 ; wire [ 31 : 0 ] VAR3 ; wire [ 31 : 0 ] VAR98 ; wire [ 31 : 0 ] VAR100 ; wire [ 31 : 0 ] VAR154 ; wire [ 31 : 0 ] VAR21 ; wire [ 31 : 0 ] VAR2 ; wire [ 31 : 0 ] VAR53 ; wire [ 31 : 0 ] VAR175 ; wire [ 31 : 0 ] VAR164 ; wire [ 31 : 0 ] VAR140 ; wire [ 31 : 0 ] VAR84 ; wire [ 31 : 0 ] VAR37 ; wire [ 31 : 0 ] VAR51 ; wire [ 31 : 0 ] VAR152 ; wire [ 31 : 0 ] VAR135 ; wire [ 15 : 0 ] VAR138 ; wire [ 15 : 0 ] VAR68 ; reg [ 15 : 0 ] VAR14 ; reg [ 15 : 0 ] VAR36 ; wire [ 3 : 0 ] VAR116 ; wire [ 31 : 0 ] VAR147 ; wire [ 31 : 0 ] VAR106 ; wire [ 31 : 0 ] VAR11 ; wire [ 31 : 0 ] VAR64 ; wire VAR69 ; wire VAR167 ; assign VAR64 = 32 'VAR10 0 ; assign VAR69 = 1 'VAR10 1 ; assign VAR167 = 1 'VAR10 0 ; assign VAR30 = VAR96 ; assign VAR46 = VAR165 ; assign VAR115 = VAR8 [ 31 : 0 ] ; assign VAR116 = VAR121 [ VAR90 - 1 : VAR90 - 4 ] ; always @ case ( VAR43 ) 2 : VAR6 = { VAR11 [ 31 : 12 ] , VAR121 [ 11 : 0 ] } ; 3 : VAR6 = { VAR11 [ 31 : 16 ] , VAR121 [ 11 : 8 ] , VAR106 [ 11 : 8 ] , VAR121 [ 7 : 0 ] } ; 4 : VAR6 = { VAR11 [ 31 : 20 ] , VAR121 [ 11 : 8 ] , VAR106 [ 15 : 8 ] , VAR121 [ 7 : 0 ] } ; 5 : VAR6 = { VAR11 [ 31 : 24 ] , VAR121 [ 11 : 8 ] , VAR106 [ 19 : 8 ] , VAR121 [ 7 : 0 ] } ; 6 : VAR6 = { VAR11 [ 31 : 28 ] , VAR121 [ 11 : 8 ] , VAR106 [ 23 : 8 ] , VAR121 [ 7 : 0 ] } ; 7 : VAR6 = { VAR121 [ 11 : 8 ] , VAR106 [ 27 : 8 ] , VAR121 [ 7 : 0 ] } ; 8 : VAR6 = { VAR106 [ 31 : 8 ] , VAR121 [ 7 : 0 ] } ; endcase end endgenerate generate if ( VAR90 == 16 ) begin always @ case ( VAR43 ) 2 : VAR6 = { VAR11 [ 31 : 20 ] , VAR121 [ 19 : 0 ] } ; 3 : VAR6 = { VAR11 [ 31 : 20 ] , VAR121 [ 19 : 0 ] } ; 4 : VAR6 = { VAR11 [ 31 : 20 ] , VAR121 [ 19 : 0 ] } ; 5 : VAR6 = { VAR11 [ 31 : 24 ] , VAR121 [ 19 : 16 ] , VAR106 [ 19 : 16 ] , VAR121 [ 15 : 0 ] } ; 6 : VAR6 = { VAR11 [ 31 : 28 ] , VAR121 [ 19 : 16 ] , VAR106 [ 23 : 16 ] , VAR121 [ 15 : 0 ] } ; 7 : VAR6 = { VAR121 [ 19 : 16 ] , VAR106 [ 27 : 16 ] , VAR121 [ 15 : 0 ] } ; 8 : VAR6 = { VAR106 [ 31 : 16 ] , VAR121 [ 15 : 0 ] } ; endcase end endgenerate generate if ( VAR90 == 24 ) begin always @ case ( VAR43 ) 2 : VAR6 = { VAR11 [ 31 : 28 ] , VAR121 [ 27 : 0 ] } ; 3 : VAR6 = { VAR11 [ 31 : 28 ] , VAR121 [ 27 : 0 ] } ; 4 : VAR6 = { VAR11 [ 31 : 28 ] , VAR121 [ 27 : 0 ] } ; 5 : VAR6 = { VAR11 [ 31 : 28 ] , VAR121 [ 27 : 0 ] } ; 6 : VAR6 = { VAR11 [ 31 : 28 ] , VAR121 [ 27 : 0 ] } ; 7 : VAR6 = { VAR121 [ 27 : 24 ] , VAR106 [ 27 : 24 ] , VAR121 [ 23 : 0 ] } ; 8 : VAR6 = { VAR106 [ 31 : 24 ] , VAR121 [ 23 : 0 ] } ; endcase end endgenerate generate if ( VAR90 == 32 ) begin always @(*) VAR6 = VAR121 [ 31 : 0 ] ; end endgenerate endmodule
mit
PerezFederico/UART_Arquitectura
SeparadorNumeros.v
4,941
module MODULE1 (input [31:0] VAR11, input VAR15, input VAR8, input clk, output reg [7:0] VAR9 = 0, output reg VAR13 = 0 ); reg [3:0] VAR21; reg [3:0] VAR2; reg [3:0] VAR17; reg [3:0] VAR19; reg [3:0] VAR14; reg [3:0] VAR6; reg [3:0] VAR10; reg [3:0] VAR3; reg [3:0] VAR7; reg [3:0] VAR5; reg VAR23 = 0; reg VAR22 = 0; reg [3:0] state = VAR18; reg [3:0] VAR20 = VAR18; integer VAR12 = 10; always@(posedge clk) begin state = VAR20; end always@(posedge clk) begin case(state) VAR18: begin if(!VAR15) VAR20 = VAR18; end else VAR20 = VAR16; end VAR16: begin if(!VAR23) VAR20 = VAR16; end else VAR20 = VAR1; end VAR1: begin if(!VAR22)VAR20 = VAR1; end else VAR20 = VAR18; end endcase end integer VAR4; integer VAR24 = 0; always@(posedge clk) begin if(state == VAR18) begin VAR22 = 0; VAR23 = 0; VAR13 = 0; VAR12 = 10; end if(state == VAR16) begin VAR21 = 4'd0; VAR2 = 4'd0; VAR17 = 4'd0; VAR19 = 4'd0; VAR14 = 4'd0; VAR6 = 4'd0; VAR10 = 4'd0; VAR3 = 4'd0; VAR7 = 4'd0; VAR5 = 4'd0; for(VAR4=31;VAR4>=0; VAR4=VAR4-1) begin if(VAR21 >= 5) VAR21 = VAR21 + 3; if(VAR2 >= 5) VAR2 = VAR2 + 3; if(VAR17 >= 5) VAR17 = VAR17 + 3; if(VAR19 >= 5) VAR19 = VAR19 + 3; if(VAR14 >= 5) VAR14 = VAR14 + 3; if(VAR6 >= 5) VAR6 = VAR6 + 3; if(VAR10 >= 5) VAR10 = VAR10 + 3; if(VAR3 >= 5) VAR3 = VAR3 + 3; if(VAR7 >= 5) VAR7 = VAR7 + 3; if(VAR5 >= 5) VAR5 = VAR5 + 3; VAR21 = VAR21 << 1; VAR21[0] = VAR2[3]; VAR2 = VAR2 << 1; VAR2[0] = VAR17[3]; VAR17 = VAR17 << 1; VAR17[0] = VAR19[3]; VAR19 = VAR19 << 1; VAR19[0] = VAR14[3]; VAR14 = VAR14 << 1; VAR14[0] = VAR6[3]; VAR6 = VAR6 << 1; VAR6[0] = VAR10[3]; VAR10 = VAR10 << 1; VAR10[0] = VAR3[3]; VAR3 = VAR3 << 1; VAR3[0] = VAR7[3]; VAR7 = VAR7 << 1; VAR7[0] = VAR5[3]; VAR5 = VAR5 << 1; VAR5[0] = VAR11[VAR4]; end VAR23 = 1; end if(state == VAR1) begin if(VAR8) begin case(VAR12) 10: begin if(VAR24 == 0) begin VAR9 <= VAR21 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 - 1; end 9: begin if(VAR24 == 0) begin VAR9 <= VAR2 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 8: begin if(VAR24 == 0) begin VAR9 <= VAR17 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 7: begin if(VAR24 == 0) begin VAR9 <= VAR19 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 6: begin if(VAR24 == 0) begin VAR9 <= VAR14 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 5: begin if(VAR24 == 0) begin VAR9 <= VAR6 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 4: begin if(VAR24 == 0) begin VAR9 <= VAR10 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 3: begin if(VAR24 == 0) begin VAR9 <= VAR3 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 2: begin if(VAR24 == 0) begin VAR9 <= VAR7 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end 1: begin if(VAR24 == 0) begin VAR9 <= VAR5 + 48; VAR13 = 1; VAR12 = VAR12 - 1; VAR24 = 4; end else VAR24 = VAR24 -1; end default: begin VAR13 = 0; VAR12 = 10; VAR22 = 1; end endcase end else VAR13 = 0; end end endmodule
gpl-3.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_ad9643_v6_00_a/hdl/verilog/axi_ad9643.v
14,532
module MODULE1 ( VAR122, VAR67, VAR7, VAR44, VAR27, VAR108, VAR22, VAR61, VAR59, VAR63, VAR143, VAR168, VAR160, VAR100, VAR106, VAR102, VAR17, VAR128, VAR29, VAR90, VAR116, VAR149, VAR81, VAR161, VAR148, VAR57, VAR147, VAR4, VAR126, VAR105, VAR62, VAR80, VAR64, VAR54, VAR25, VAR18); parameter VAR8 = 0; parameter VAR117 = 0; parameter VAR91 = "VAR97"; parameter VAR33 = 32'hffff; parameter VAR24 = 32'hffffffff; parameter VAR41 = 32'h00000000; input VAR122; input VAR67; input [13:0] VAR7; input [13:0] VAR44; input VAR27; input VAR108; output VAR22; output VAR61; input VAR59; input VAR63; input VAR143; output VAR168; output [63:0] VAR160; input VAR100; input VAR106; input VAR102; input VAR17; input [31:0] VAR128; output VAR29; input VAR90; input [31:0] VAR116; input [ 3:0] VAR149; output VAR81; output VAR161; output [ 1:0] VAR148; input VAR57; input VAR147; input [31:0] VAR4; output VAR126; output VAR105; output [ 1:0] VAR62; output [31:0] VAR80; input VAR64; output VAR54; output VAR25; output [59:0] VAR18; reg VAR22 = 'd0; reg [ 1:0] VAR162 = 'd0; reg VAR103 = 'd0; reg [63:0] VAR101 = 'd0; reg VAR65 = 'd0; reg VAR174 = 'd0; reg VAR87 = 'd0; reg [31:0] VAR164 = 'd0; reg VAR93 = 'd0; wire VAR136; wire VAR95; wire VAR40; wire VAR73; wire VAR141; wire [13:0] VAR157; wire [13:0] VAR109; wire VAR140; wire VAR169; wire [15:0] VAR74; wire [15:0] VAR31; wire [15:0] VAR43; wire [15:0] VAR45; wire VAR155; wire VAR55; wire VAR66; wire VAR151; wire VAR133; wire VAR178; wire VAR113; wire VAR77; wire VAR118; wire VAR51; wire VAR167; wire VAR76; wire VAR9; wire VAR34; wire [ 7:0] VAR56; wire [ 4:0] VAR60; wire [ 4:0] VAR159; wire VAR2; wire VAR130; wire VAR153; wire VAR23; wire [63:0] VAR53; wire VAR42; wire VAR21; wire VAR134; wire VAR20; wire [13:0] VAR138; wire [31:0] VAR5; wire [31:0] VAR144; wire VAR19; wire [31:0] VAR72; wire VAR125; wire [31:0] VAR177; wire VAR50; assign VAR40 = VAR106; assign VAR95 = VAR102; assign VAR25 = 1'b1; assign VAR18[15: 0] = VAR43; assign VAR18[31:16] = VAR45; assign VAR18[45:32] = VAR157; assign VAR18[59:46] = VAR109; assign VAR73 = (VAR8 == 32'd0) ? VAR22 : VAR59; assign VAR141 = (VAR8 == 32'd0) ? VAR61 : VAR63; always @(posedge VAR54) begin if (VAR136 == 1'b1) begin VAR22 <= 1'b0; end else begin VAR22 <= 1'b1; end end always @(posedge VAR54) begin VAR162 <= VAR162 + 1'b1; case ({VAR55, VAR155}) 2'b11: begin VAR103 <= VAR162[0] & VAR73; VAR101 <= {VAR45, VAR43, VAR101[63:32]}; end 2'b10: begin VAR103 <= VAR162[0] & VAR162[1] & VAR73; VAR101 <= {VAR45, VAR101[63:16]}; end 2'b01: begin VAR103 <= VAR162[0] & VAR162[1] & VAR73; VAR101 <= {VAR43, VAR101[63:16]}; end default: begin VAR103 <= VAR73; VAR101 <= {4{16'hdead}}; end endcase end always @(negedge VAR95 or posedge VAR40) begin if (VAR95 == 0) begin VAR65 <= 'd0; VAR174 <= 'd0; VAR87 <= 'd0; VAR164 <= 'd0; VAR93 <= 'd0; end else begin VAR65 <= VAR66 | VAR178; VAR174 <= VAR151 | VAR113; VAR87 <= VAR133 | VAR77; VAR164 <= VAR144 | VAR72 | VAR177; VAR93 <= VAR19 | VAR125 | VAR50; end end VAR154 #(.VAR83(0), .VAR48(0)) VAR104 ( .VAR54 (VAR54), .VAR136 (VAR136), .VAR101 (VAR157), .VAR92 (VAR140), .VAR107 (VAR74), .VAR28 (VAR31), .VAR172 (VAR43), .VAR70 (VAR155), .VAR96 (VAR66), .VAR88 (VAR151), .VAR98 (VAR133), .VAR95 (VAR95), .VAR40 (VAR40), .VAR79 (VAR134), .VAR58 (VAR20), .VAR84 (VAR138), .VAR114 (VAR5), .VAR164 (VAR72), .VAR93 (VAR125)); VAR154 #(.VAR83(1), .VAR48(1)) VAR85 ( .VAR54 (VAR54), .VAR136 (VAR136), .VAR101 (VAR109), .VAR92 (VAR169), .VAR107 (VAR31), .VAR28 (VAR74), .VAR172 (VAR45), .VAR70 (VAR55), .VAR96 (VAR178), .VAR88 (VAR113), .VAR98 (VAR77), .VAR95 (VAR95), .VAR40 (VAR40), .VAR79 (VAR134), .VAR58 (VAR20), .VAR84 (VAR138), .VAR114 (VAR5), .VAR164 (VAR177), .VAR93 (VAR50)); assign VAR168 = VAR103; assign VAR160 = VAR101; VAR163 #( .VAR117 (VAR117), .VAR91 (VAR91)) VAR39 ( .VAR122 (VAR122), .VAR67 (VAR67), .VAR7 (VAR7), .VAR44 (VAR44), .VAR27 (VAR27), .VAR108 (VAR108), .VAR54 (VAR54), .VAR111 (VAR157), .VAR35 (VAR109), .VAR69 (VAR140), .VAR3 (VAR169), .VAR89 (VAR167), .VAR71 (VAR118), .VAR37 (VAR51), .VAR143 (VAR143), .VAR124 (VAR76), .VAR13 (VAR9), .VAR156 (VAR34), .VAR15 (VAR56), .VAR16 (VAR60), .VAR135 (VAR159), .VAR115 (VAR2), .VAR171 (VAR130)); VAR49 #( .VAR8(VAR8), .VAR152(32'h00060061) ) VAR6 ( .VAR78 (), .VAR54 (VAR54), .VAR136 (VAR136), .VAR82 (), .VAR71 (VAR118), .VAR37 (VAR51), .VAR89 (VAR167), .VAR99 (VAR65), .VAR175 (VAR174), .VAR180 (VAR87), .VAR1 (32'd1), .VAR143 (VAR143), .VAR124 (VAR76), .VAR13 (VAR9), .VAR156 (VAR34), .VAR15 (VAR56), .VAR16 (VAR60), .VAR135 (VAR159), .VAR115 (VAR2), .VAR171 (VAR130), .VAR142 (1'd0), .VAR145 (), .VAR12 (), .VAR173 (), .VAR123 (), .VAR14 (), .VAR94 (16'd0), .VAR179 (1'd0), .VAR170 (VAR54), .VAR150 (VAR61), .VAR139 (), .VAR119 (), .VAR165 (VAR100), .VAR36 (1'b0), .VAR52 (32'h00000008), .VAR112 (VAR21), .VAR158 (), .VAR47 (8'd0), .VAR95 (VAR95), .VAR40 (VAR40), .VAR79 (VAR134), .VAR58 (VAR20), .VAR84 (VAR138), .VAR114 (VAR5), .VAR164 (VAR144), .VAR93 (VAR19)); VAR132 #( .VAR129 (VAR24), .VAR176 (VAR41)) VAR86 ( .VAR95 (VAR95), .VAR40 (VAR40), .VAR68 (VAR17), .VAR11 (VAR128), .VAR46 (VAR29), .VAR10 (VAR90), .VAR32 (VAR116), .VAR131 (VAR149), .VAR26 (VAR81), .VAR120 (VAR161), .VAR166 (VAR148), .VAR75 (VAR57), .VAR38 (VAR147), .VAR30 (VAR4), .VAR127 (VAR126), .VAR110 (VAR105), .VAR121 (VAR62), .VAR146 (VAR80), .VAR137 (VAR64), .VAR79 (VAR134), .VAR58 (VAR20), .VAR84 (VAR138), .VAR114 (VAR5), .VAR164 (VAR164), .VAR93 (VAR93)); endmodule
mit
richard42/CoCo3FPGA
uart_6551.v
9,887
module MODULE1( VAR51, VAR33, VAR9, VAR28, VAR48, VAR12, VAR32, VAR5, VAR55, VAR57, VAR14, VAR52, VAR31, VAR21, VAR39, VAR37, VAR56, VAR16 ); input VAR51; output VAR33; input VAR9; input VAR28; input VAR48; input [7:0] VAR12; output [7:0] VAR32; output VAR5; input [1:0] VAR55; input [1:0] VAR14; input VAR57; output VAR52; input VAR31; output VAR21; input VAR39; input VAR37; output VAR56; input VAR16; reg [7:0] VAR18; reg [7:0] VAR44; wire [7:0] VAR25; reg [7:0] VAR58; wire [7:0] VAR36; reg [7:0] VAR6; reg [7:0] VAR63; reg VAR38; reg VAR53; reg VAR46; wire VAR1; reg VAR10; reg VAR3; reg VAR64; reg VAR49; reg VAR26; reg [10:0] VAR2; wire VAR43; wire VAR33; wire VAR41; wire [1:0] VAR23; wire VAR8; wire VAR7; wire VAR13; wire VAR59; reg [7:0] VAR4; wire VAR35; wire VAR27; reg VAR45; reg VAR30; reg [1:0] VAR34; wire VAR54; reg VAR40; reg VAR47; always @ (negedge VAR28 or negedge VAR8) begin if(~VAR8) begin VAR2 <= 11'h000; VAR30 <= 1'b0; end else case (VAR2) 11'h000: begin VAR2 <= 11'h001; VAR30 <= ~VAR30; end 11'h002: begin if(VAR6[3:0] == 4'hF) VAR2 <= 11'h000; end else VAR2 <= 11'h003; end 11'h005: begin if(VAR6[3:0] == 4'hE) VAR2 <= 11'h000; end else VAR2 <= 11'h006; end 11'h007: begin if(VAR6[3:0] == 4'hD) VAR2 <= 11'h000; end else VAR2 <= 11'h008; end 11'h00B: begin if(VAR6[3:0] == 4'hC) VAR2 <= 11'h000; end else VAR2 <= 11'h00C; end 11'h00F: begin if(VAR6[3:0] == 4'hB) VAR2 <= 11'h000; end else VAR2 <= 11'h010; end 11'h017: begin if(VAR6[3:0] == 4'hA) VAR2 <= 11'h000; end else VAR2 <= 11'h018; end 11'h01F: begin if(VAR6[3:0] == 4'h9) VAR2 <= 11'h000; end else VAR2 <= 11'h020; end 11'h02F: begin if(VAR6[3:0] == 4'h8) VAR2 <= 11'h000; end else VAR2 <= 11'h030; end 11'h05F: begin if(VAR6[3:0] == 4'h7) VAR2 <= 11'h000; end else VAR2 <= 11'h060; end 11'h0BF: begin if(VAR6[3:0] == 4'h6) VAR2 <= 11'h000; end else VAR2 <= 11'h0C0; end 11'h17F: begin if(VAR6[3:0] == 4'h5) VAR2 <= 11'h000; end else VAR2 <= 11'h180; end 11'h1AB: begin if(VAR6[3:0] == 4'h4) VAR2 <= 11'h000; end else VAR2 <= 11'h1AC; end 11'h20B: begin if(VAR6[3:0] == 4'h3) VAR2 <= 11'h000; end else VAR2 <= 11'h20C; end 11'h2FF: begin if(VAR6[3:0] == 4'h2) VAR2 <= 11'h000; end else VAR2 <= 11'h300; end 11'h47F: begin VAR2 <= 11'h000; end default: begin VAR2 <= VAR2 +1'b1; end endcase end assign VAR43 = (VAR6[3:0] == 4'h0) ? VAR28: VAR30; assign VAR33 = (VAR6[4] == 1'b0) ? VAR9: VAR30; assign VAR8 = VAR45 ? 1'b0: VAR51; always @ (negedge VAR43) begin VAR4 <= {VAR4[6:0], VAR27}; end assign VAR35 = (VAR63[4:2] == 3'b100) ? VAR4[7]: VAR31; assign VAR52 = (VAR63[4:2] == 3'b100) ? 1'b1: VAR27; assign VAR36 = {!VAR5, VAR16, VAR37, VAR49, VAR26, VAR38, VAR53, VAR46}; assign VAR32 = (VAR14 == 2'b00) ? VAR58: (VAR14 == 2'b01) ? VAR36: (VAR14 == 2'b10) ? VAR63: VAR6; assign VAR5 = ({VAR63[1:0], VAR26} == 3'b011) ? 1'b0: ({VAR63[3:2], VAR63[0], VAR49} == 4'b0111) ? 1'b0: 1'b1; assign VAR21 = (VAR63[3:2] == 2'b00); assign VAR56 = ~VAR63[0]; assign VAR7 = (VAR6[7] == 1'b0) ? 1'b0: ({VAR6[7:5], VAR63[5]} == 4'b1001) ? 1'b0: 1'b1; assign VAR59 = ~VAR63[5]; assign VAR23 = VAR6[6:5]; always @ (negedge VAR48 or negedge VAR51) begin if(!VAR51) VAR45 <= 1'b1; end else begin if({VAR57, VAR55, VAR14} == 5'b00101) VAR45 <= 1'b1; end else VAR45 <= 1'b0; end end always @ (negedge VAR48 or negedge VAR8) begin if(!VAR8) begin VAR26 <= 1'b0; VAR34 <= 2'b00; VAR18 <= 8'h00; VAR6 <= 8'h00; VAR63 <= 8'h00; VAR49 <= 1'b1; VAR64 <= 1'b0; VAR58 <= 8'h00; VAR38 <= 1'b0; VAR53 <= 1'b0; VAR46 <= 1'b0; VAR3 <= 1'b1; VAR10 <= 1'b1; VAR40 <= 1'b0; VAR47 <= 1'b0; end else begin VAR3 <= VAR10; VAR10 <= VAR1; VAR47 <= VAR40; VAR40 <= VAR54; case (VAR34) 2'b00: begin if(VAR47) begin VAR26 <= 1'b1; VAR34 <= 2'b01; VAR58 <= VAR25; VAR38 <= 1'b0; VAR46 <= (VAR13 & !VAR59); VAR53 <= VAR41; end end 2'b01: begin if({VAR57, VAR55, VAR14} == 5'b10100) begin VAR26 <= 1'b0; VAR34 <= 2'b10; VAR46 <= 1'b0; VAR38 <= 1'b0; VAR53 <= 1'b0; end else begin if(~VAR47) VAR34 <= 2'b11; end end 2'b10: begin if(~VAR47) VAR34 <= 2'b00; end 2'b11: begin if({VAR57, VAR55, VAR14} == 5'b10100) begin VAR26 <= 1'b0; VAR34 <= 2'b00; VAR46 <= 1'b0; VAR38 <= 1'b0; VAR53 <= 1'b0; end else begin if(VAR47) begin VAR26 <= 1'b1; VAR34 <= 2'b01; VAR38 <= 1'b1; VAR46 <= (VAR13 & !VAR59); VAR53 <= VAR41; VAR58 <= VAR25; end end end endcase if({VAR57, VAR55, VAR14} == 5'b00100) VAR44 <= VAR12; if({VAR57, VAR55, VAR14} == 5'b00110) VAR63 <= VAR12; if({VAR57, VAR55, VAR14} == 5'b00111) VAR6 <= VAR12; if(~VAR49 & VAR3 & ~VAR64 & ~(VAR55 == 2'b01)) begin VAR18 <= VAR44; VAR49 <= 1'b1; VAR64 <= 1'b1; end else begin if({VAR57, VAR55, VAR14} == 5'b00100) VAR49 <= 1'b0; if(~VAR3) VAR64 <= 1'b0; end end end VAR61 VAR22( .VAR51(VAR8), .VAR24(VAR43), .VAR27(VAR27), .VAR64(VAR64), .VAR1(VAR1), .VAR50(VAR7), .VAR17(VAR23), .VAR42(VAR59), .VAR29(VAR63[7:6]), .VAR39(VAR39), .VAR18(VAR18) ); VAR15 VAR60( .VAR51(VAR8), .VAR24(VAR33), .VAR35(VAR35), .VAR25(VAR25), .VAR11(VAR23), .VAR20(VAR59), .VAR62(VAR63[7:6]), .VAR13(VAR13), .VAR53(VAR41), .VAR19(VAR54) ); endmodule
bsd-3-clause
svofski/mahponk
src/tehgame.v
6,326
module MODULE1(clk, VAR28, reset, VAR11, VAR34, VAR24, VAR10, VAR26, VAR22, VAR55, VAR39, VAR9, VAR38, VAR59); parameter VAR21 = 10'd640; parameter VAR65 = 10'd480; parameter VAR5 = 10'd64; parameter VAR37 = 8; input clk, VAR28; input reset; input VAR11; input VAR34; input [9:0] VAR24; input [9:0] VAR10; input [9:0] VAR26; input [9:0] VAR22; input VAR55; output [2:0] VAR39; output [9:0] VAR9; output VAR38; output VAR59; reg [7:0] VAR66; reg VAR41; assign VAR59 = VAR41; reg [3:0] VAR7; reg VAR33; reg [3:0] VAR18; always @(posedge clk) begin VAR33 = !reset & (VAR30 | VAR36 | VAR19 | VAR40); if (VAR33) begin VAR18 <= 0; if (VAR30) VAR7 <= 0; end else if (VAR36) VAR7 <= 1; end else if (VAR19 | VAR40) begin VAR18 <= 1; VAR7 <= 2; end end end VAR54 VAR13(VAR28, VAR38, VAR33, VAR7, VAR18); parameter VAR61 = 0, VAR15 = 1, VAR45 = 2, VAR23 = 3, VAR12 = 4, VAR62 = 5, VAR14 = 6, VAR42 = 7; reg[3:0] state; reg VAR4; reg VAR29; reg VAR63; reg [11:0] VAR53; wire[9:0] VAR48; wire[9:0] VAR9; always @(posedge VAR55 or posedge reset or posedge VAR11) begin if (reset) state <= VAR62; end else if (VAR11) state <= VAR61; else begin case (state) VAR61: begin VAR63 <= 1; VAR29 <= 0; VAR41 <= 1; state <= VAR15; end VAR15: begin VAR63 <= 0; VAR4 <= 1; VAR53 <= 1024; state <= VAR45; end VAR45: begin if (VAR53 == 0) begin VAR4 <= 0; state <= VAR23; end else begin VAR53 <= VAR53 - 1; end end VAR23: begin if (VAR19 | VAR40) begin VAR29 <= VAR40; if (VAR57 == 8'h21 || VAR1 == 8'h21) begin VAR53 <= 4095; VAR4 <= 1; state <= VAR12; end else begin state <= VAR15; end end end VAR12: begin VAR66 <= VAR66 - 1; if (VAR66 == 0) VAR41 = !VAR41; end VAR62: begin VAR4 <= 1; state <= VAR12; end default: begin state <= VAR61; end endcase end end reg[9:0] VAR27; reg[9:0] VAR60; reg[9:0] VAR56; reg[9:0] VAR32; always @(posedge clk) begin VAR27 <= VAR26 - VAR5/2; VAR60 <= VAR26 + VAR5/2; VAR56 <= VAR22 - VAR5/2; VAR32 <= VAR22 + VAR5/2; end VAR25 VAR64(clk, VAR34, VAR24, VAR10, VAR40, VAR19, VAR63, VAR57, VAR1, VAR39[0]); wire VAR51; wire VAR52; wire VAR30 = VAR51 | VAR52; wire[9:0] VAR8; wire[9:0] VAR6; wire VAR35; wire [7:0] VAR57; wire [7:0] VAR1; VAR3 #(15, 16) VAR31(VAR55, VAR48, VAR9, VAR27, VAR60, VAR35, VAR51); VAR3 #(640-32, 16) VAR46(VAR55, VAR48, VAR9, VAR56, VAR32, VAR35, VAR52); VAR17 VAR49(VAR51, VAR9, VAR26, VAR8); VAR17 VAR2(VAR52, VAR9, VAR22, VAR6); wire VAR19; wire VAR40; wire VAR36; VAR50 #(VAR21,VAR65,VAR37) VAR50(clk, VAR4, VAR55, VAR48, VAR9, VAR30, VAR35, ({10{VAR51}} & VAR8) | ({10{VAR52}} & VAR6), VAR29, VAR19, VAR40, VAR36); wire VAR47, VAR16; assign VAR39[1] = VAR47 | VAR16; VAR20 #(VAR5, 15) VAR44(clk, VAR27, VAR60, VAR24, VAR10, VAR47); VAR20 #(VAR5, 640-32) VAR43(clk, VAR56, VAR32, VAR24, VAR10, VAR16); VAR58 #(VAR37) VAR58(clk, VAR24, VAR10, VAR48, VAR9, VAR39[2]); endmodule
bsd-2-clause
alexforencich/xfcp
lib/eth/rtl/ssio_ddr_in.v
4,137
module MODULE1 # ( parameter VAR31 = "VAR11", parameter VAR17 = "VAR6", parameter VAR14 = "VAR27", parameter VAR13 = 1 ) ( input wire VAR22, input wire [VAR13-1:0] VAR3, output wire VAR36, output wire [VAR13-1:0] VAR37, output wire [VAR13-1:0] VAR26 ); wire VAR5; wire VAR19; generate if (VAR31 == "VAR2") begin if (VAR14 == "VAR41") begin VAR41 VAR4 ( .VAR35(VAR22), .VAR23(VAR5) ); assign VAR19 = VAR5; assign VAR36 = VAR5; end else if (VAR14 == "VAR15") begin assign VAR5 = VAR22; VAR28 VAR30 ( .VAR35(VAR5), .VAR23(VAR19) ); VAR15 #( .VAR40("VAR12") ) VAR9 ( .VAR35(VAR5), .VAR23(VAR36), .VAR21(1'b1), .VAR29(1'b0) ); end else if (VAR14 == "VAR28") begin assign VAR5 = VAR22; VAR28 VAR30 ( .VAR35(VAR5), .VAR23(VAR19) ); VAR41 VAR4 ( .VAR35(VAR5), .VAR23(VAR36) ); end else if (VAR14 == "VAR27") begin VAR27 #( .VAR39(1), .VAR33("VAR38"), .VAR20("VAR32"), .VAR8("VAR32") ) VAR30 ( .VAR35(VAR22), .VAR18(VAR5), .VAR34(VAR19), .VAR24() ); VAR41 VAR4 ( .VAR35(VAR5), .VAR23(VAR36) ); end end else begin assign VAR19 = VAR22; assign VAR5 = VAR22; assign VAR36 = VAR5; end endgenerate VAR7 #( .VAR31(VAR31), .VAR17(VAR17), .VAR13(VAR13) ) VAR16 ( .clk(VAR19), .VAR25(VAR3), .VAR1(VAR37), .VAR10(VAR26) ); endmodule
mit
manu3193/ControladorElevadorTDD
DecoBCDto7seg.v
1,434
module MODULE1( VAR5, o1, o2, o3, o4, o5, o6, o7, VAR4, VAR3, VAR2, VAR1 ); input [2:0] VAR5; output reg o1, o2, o3, o4, o5, o6, o7; output VAR4, VAR3, VAR2, VAR1; always @(o1, o2, o3, o4, o5, o6, o7,VAR5) begin o1 = !( (!VAR5[0]&!VAR5[2]) | VAR5[1] ); o2 = 1'b0; o3 = !( (!VAR5[1]) | VAR5[0] ); o4 = !( (!VAR5[2]&!VAR5[0]) | VAR5[1] ); o5 = !( (!VAR5[2]&!VAR5[0]) ); o6 = !( (!VAR5[1]&!VAR5[0]) ); o7 = !( VAR5[2] | VAR5[1] ); end assign VAR4 = 1'b0; assign VAR3 = 1'b0; assign VAR2 = 1'b0; assign VAR1 = 1'b0; endmodule
mit
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/usbf/usbf_rf.v
57,726
module MODULE1( clk, VAR8, rst, VAR257, VAR75, VAR161, din, dout, VAR36, VAR158, VAR224, VAR145, VAR244, VAR238, VAR100, VAR103, VAR267, VAR118, VAR35, VAR39, VAR252, VAR48, VAR14, VAR42, VAR82, VAR196, VAR163, VAR28, VAR151, VAR258, VAR233, VAR164, VAR203, VAR266, VAR141, VAR201, VAR256, VAR47, VAR199, VAR260, VAR138, VAR92, VAR126, VAR214, VAR178, VAR165, VAR13, VAR263 ); input clk, VAR8, rst; input [6:0] VAR257; input VAR75; input VAR161; input [31:0] din; output [31:0] dout; output VAR36, VAR158; output [15:0] VAR224; input [15:0] VAR145; input [31:0] VAR244; input [3:0] VAR238; output VAR100; input VAR103; input VAR267; input VAR118; input VAR35; input VAR39; input VAR252; input VAR48; input VAR14; input VAR42; input VAR82; input VAR196; input VAR163; output [31:0] VAR28; output [31:0] VAR151; output [31:0] VAR258; output [6:0] VAR233; output VAR164, VAR203; input [31:0] VAR266; input [7:0] VAR141; output [3:0] VAR201; output VAR256; input [1:0] VAR47; input VAR199; input VAR260; input VAR138; input VAR92; input VAR126; input VAR178; input VAR214; input VAR165; input VAR13; output VAR263; wire [31:0] VAR30, VAR78, VAR237, VAR88; wire [31:0] VAR225, VAR73, VAR114, VAR27; wire [31:0] VAR209, VAR65, VAR227, VAR173; wire [31:0] VAR127, VAR7, VAR245, VAR19; wire VAR234, VAR213, VAR194, VAR97; wire VAR125, VAR109, VAR70, VAR177; wire VAR68, VAR148, VAR116, VAR66; wire VAR241, VAR142, VAR160, VAR5; wire VAR85, VAR93, VAR104, VAR50; wire VAR255, VAR107, VAR129, VAR87; wire VAR155, VAR185, VAR91, VAR215; wire VAR182, VAR180, VAR183, VAR198; wire VAR110, VAR248, VAR210, VAR69; wire VAR192, VAR46, VAR102, VAR166; wire VAR167, VAR208, VAR89, VAR189; wire VAR38, VAR62, VAR218, VAR147; wire VAR193, VAR162, VAR20, VAR204; wire VAR168, VAR246, VAR18, VAR40; wire VAR56, VAR59, VAR184, VAR128; wire VAR211, VAR262, VAR206, VAR170; wire VAR98, VAR231, VAR239, VAR90; wire VAR16, VAR259, VAR187, VAR235; wire VAR188, VAR212, VAR232, VAR108; wire VAR29, VAR205, VAR195, VAR146; wire [31:0] VAR99, VAR202, VAR217, VAR67; wire [31:0] VAR49, VAR112, VAR84, VAR23; wire [31:0] VAR22, VAR83, VAR52, VAR229; wire [31:0] VAR76, VAR10, VAR169, VAR86; wire [31:0] VAR21, VAR55, VAR249, VAR242; wire [31:0] VAR149, VAR12, VAR17, VAR120; wire [31:0] VAR121, VAR181, VAR61, VAR1; wire [31:0] VAR172, VAR137, VAR31, VAR63; wire [31:0] VAR140, VAR2, VAR25, VAR156; wire [31:0] VAR43, VAR197, VAR264, VAR230; wire [31:0] VAR254, VAR115, VAR81, VAR134; wire [31:0] VAR144, VAR4, VAR32, VAR45; wire VAR216, VAR228; wire VAR122, VAR247; wire VAR101, VAR74; wire VAR176, VAR175; wire VAR131, VAR240; wire VAR53, VAR71; wire VAR26, VAR179; wire VAR54, VAR64; wire VAR171, VAR44; wire VAR236, VAR24; wire VAR220, VAR139; wire VAR37, VAR105; wire VAR119, VAR152; wire VAR130, VAR150; wire VAR154, VAR72; wire VAR33, VAR265; reg VAR164; reg VAR203; reg [31:0] VAR3; reg [31:0] dout; wire [31:0] VAR58; reg [6:0] VAR233; reg [8:0] VAR174, VAR157; reg VAR41; reg [31:0] VAR28; reg [31:0] VAR151; reg [31:0] VAR258; reg [3:0] VAR201; reg VAR256; reg [7:0] VAR77; reg VAR159; reg [8:0] VAR136; reg [15:0] VAR117; reg VAR96, VAR253; wire VAR153, VAR250; reg VAR34, VAR200; wire VAR191, VAR226; reg VAR6; reg VAR60; reg VAR186; reg VAR143; reg VAR95; reg VAR222, VAR263; wire VAR106, VAR243; wire VAR94, VAR15; reg VAR36, VAR158; assign VAR58 = {27'h0, VAR47, VAR199, VAR260, VAR138}; always @(VAR257 or VAR58 or VAR233 or VAR157 or VAR174 or VAR117 or VAR136 or VAR266 or VAR77) case(VAR257[2:0]) 3'h0: VAR3 = VAR58; 3'h1: VAR3 = { 25'h0, VAR233}; 3'h2: VAR3 = { 7'h0, VAR174, 7'h0, VAR157}; 3'h3: VAR3 = { 3'h0, VAR136, 4'h0, VAR117}; 3'h4: VAR3 = VAR266; default: VAR3 = { 24'h0, VAR77 +1'b1}; endcase always @(posedge VAR8) VAR159 <= VAR257[6:0] == 7'h3 & VAR75; always @(posedge VAR8) VAR77 <= VAR141; reg VAR223; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR223 <= 1'b0; else if(VAR257[6:0] == 7'h5 && VAR161) VAR223 <= 1'b1; else if(VAR256) VAR223 <= 1'b0; always @(posedge clk) VAR256 <= VAR223; reg [3:0] VAR9; always @(posedge VAR8) if(VAR257[6:0] == 7'h5 && VAR161) VAR9 <= din[3:0]; always @(posedge clk) VAR201 <= VAR9; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR222 <= 1'b0; else if(VAR257[6:0] == 7'h0 && VAR161) VAR222 <= din[5]; else if(VAR263) VAR222 <= 1'b0; always @(posedge clk) VAR263 <= VAR222; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR233 <= 7'h0; else if(VAR257[6:0] == 7'h1 && VAR161) VAR233 <= din[6:0]; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) begin VAR157 <= 9'h0; VAR174 <= 9'h0; end else if(VAR257[6:0] == 7'h2 && VAR161) begin VAR174 <= din[24:16]; VAR157 <= din[08:00]; end always @(posedge VAR8) case(VAR257[6:2]) 5'h00: dout <= VAR3; 5'h01: dout <= VAR3; 5'h02: dout <= 32'h0; 5'h03: dout <= 32'h0; 5'h04: dout <= VAR30; 5'h05: dout <= VAR78; 5'h06: dout <= VAR237; 5'h07: dout <= VAR88; 5'h08: dout <= VAR225; 5'h09: dout <= VAR73; 5'h0a: dout <= VAR114; 5'h0b: dout <= VAR27; 5'h0c: dout <= VAR209; 5'h0d: dout <= VAR65; 5'h0e: dout <= VAR227; 5'h0f: dout <= VAR173; 5'h10: dout <= VAR127; 5'h11: dout <= VAR7; 5'h12: dout <= VAR245; 5'h13: dout <= VAR19; endcase assign VAR234 = (VAR257[6:2] == 5'h04) & VAR75; assign VAR213 = (VAR257[6:2] == 5'h05) & VAR75; assign VAR194 = (VAR257[6:2] == 5'h06) & VAR75; assign VAR97 = (VAR257[6:2] == 5'h07) & VAR75; assign VAR125 = (VAR257[6:2] == 5'h08) & VAR75; assign VAR109 = (VAR257[6:2] == 5'h09) & VAR75; assign VAR70 = (VAR257[6:2] == 5'h0a) & VAR75; assign VAR177 = (VAR257[6:2] == 5'h0b) & VAR75; assign VAR68 = (VAR257[6:2] == 5'h0c) & VAR75; assign VAR148 = (VAR257[6:2] == 5'h0d) & VAR75; assign VAR116 = (VAR257[6:2] == 5'h0e) & VAR75; assign VAR66 = (VAR257[6:2] == 5'h0f) & VAR75; assign VAR241 = (VAR257[6:2] == 5'h10) & VAR75; assign VAR142 = (VAR257[6:2] == 5'h11) & VAR75; assign VAR160 = (VAR257[6:2] == 5'h12) & VAR75; assign VAR5 = (VAR257[6:2] == 5'h13) & VAR75; assign VAR85 = (VAR257[6:2] == 5'h04) & VAR161; assign VAR93 = (VAR257[6:2] == 5'h05) & VAR161; assign VAR104 = (VAR257[6:2] == 5'h06) & VAR161; assign VAR50 = (VAR257[6:2] == 5'h07) & VAR161; assign VAR255 = (VAR257[6:2] == 5'h08) & VAR161; assign VAR107 = (VAR257[6:2] == 5'h09) & VAR161; assign VAR129 = (VAR257[6:2] == 5'h0a) & VAR161; assign VAR87 = (VAR257[6:2] == 5'h0b) & VAR161; assign VAR155 = (VAR257[6:2] == 5'h0c) & VAR161; assign VAR185 = (VAR257[6:2] == 5'h0d) & VAR161; assign VAR91 = (VAR257[6:2] == 5'h0e) & VAR161; assign VAR215 = (VAR257[6:2] == 5'h0f) & VAR161; assign VAR182 = (VAR257[6:2] == 5'h10) & VAR161; assign VAR180 = (VAR257[6:2] == 5'h11) & VAR161; assign VAR183 = (VAR257[6:2] == 5'h12) & VAR161; assign VAR198 = (VAR257[6:2] == 5'h13) & VAR161; assign VAR100 = VAR41; always @(posedge clk) VAR41 <= VAR98 | VAR231 | VAR239 | VAR90 | VAR16 | VAR259 | VAR187 | VAR235 | VAR188 | VAR212 | VAR232 | VAR108 | VAR29 | VAR205 | VAR195 | VAR146; always @(posedge clk) if(VAR98) VAR28 <= VAR99; else if(VAR231) VAR28 <= VAR202; else if(VAR239) VAR28 <= VAR217; else if(VAR90) VAR28 <= VAR67; else if(VAR16) VAR28 <= VAR49; else if(VAR259) VAR28 <= VAR112; else if(VAR187) VAR28 <= VAR84; else if(VAR235) VAR28 <= VAR23; else if(VAR188) VAR28 <= VAR22; else if(VAR212) VAR28 <= VAR83; else if(VAR232) VAR28 <= VAR52; else if(VAR108) VAR28 <= VAR229; else if(VAR29) VAR28 <= VAR76; else if(VAR205) VAR28 <= VAR10; else if(VAR195) VAR28 <= VAR169; else if(VAR146) VAR28 <= VAR86; always @(posedge clk) if(VAR98) VAR151 <= VAR21; else if(VAR231) VAR151 <= VAR55; else if(VAR239) VAR151 <= VAR249; else if(VAR90) VAR151 <= VAR242; else if(VAR16) VAR151 <= VAR149; else if(VAR259) VAR151 <= VAR12; else if(VAR187) VAR151 <= VAR17; else if(VAR235) VAR151 <= VAR120; else if(VAR188) VAR151 <= VAR121; else if(VAR212) VAR151 <= VAR181; else if(VAR232) VAR151 <= VAR61; else if(VAR108) VAR151 <= VAR1; else if(VAR29) VAR151 <= VAR172; else if(VAR205) VAR151 <= VAR137; else if(VAR195) VAR151 <= VAR31; else if(VAR146) VAR151 <= VAR63; always @(posedge clk) if(VAR98) VAR258 <= VAR140; else if(VAR231) VAR258 <= VAR2; else if(VAR239) VAR258 <= VAR25; else if(VAR90) VAR258 <= VAR156; else if(VAR16) VAR258 <= VAR43; else if(VAR259) VAR258 <= VAR197; else if(VAR187) VAR258 <= VAR264; else if(VAR235) VAR258 <= VAR230; else if(VAR188) VAR258 <= VAR254; else if(VAR212) VAR258 <= VAR115; else if(VAR232) VAR258 <= VAR81; else if(VAR108) VAR258 <= VAR134; else if(VAR29) VAR258 <= VAR144; else if(VAR205) VAR258 <= VAR4; else if(VAR195) VAR258 <= VAR32; else if(VAR146) VAR258 <= VAR45; always @(posedge clk) if(VAR98) VAR164 <= VAR216; else if(VAR231) VAR164 <= VAR228; else if(VAR239) VAR164 <= VAR122; else if(VAR90) VAR164 <= VAR247; else if(VAR16) VAR164 <= VAR101; else if(VAR259) VAR164 <= VAR74; else if(VAR187) VAR164 <= VAR176; else if(VAR235) VAR164 <= VAR175; else if(VAR188) VAR164 <= VAR131; else if(VAR212) VAR164 <= VAR240; else if(VAR232) VAR164 <= VAR53; else if(VAR108) VAR164 <= VAR71; else if(VAR29) VAR164 <= VAR26; else if(VAR205) VAR164 <= VAR179; else if(VAR195) VAR164 <= VAR54; else if(VAR146) VAR164 <= VAR64; always @(posedge clk) if(VAR98) VAR203 <= VAR171; else if(VAR231) VAR203 <= VAR44; else if(VAR239) VAR203 <= VAR236; else if(VAR90) VAR203 <= VAR24; else if(VAR16) VAR203 <= VAR220; else if(VAR259) VAR203 <= VAR139; else if(VAR187) VAR203 <= VAR37; else if(VAR235) VAR203 <= VAR105; else if(VAR188) VAR203 <= VAR119; else if(VAR212) VAR203 <= VAR152; else if(VAR232) VAR203 <= VAR130; else if(VAR108) VAR203 <= VAR150; else if(VAR29) VAR203 <= VAR154; else if(VAR205) VAR203 <= VAR72; else if(VAR195) VAR203 <= VAR33; else if(VAR146) VAR203 <= VAR265; always @(posedge VAR8) VAR96 <= VAR199; always @(posedge VAR8) VAR253 <= VAR96; always @(posedge VAR8) VAR34 <= VAR138; always @(posedge VAR8) VAR200 <= VAR34; always @(posedge VAR8) VAR6 <= VAR126; always @(posedge VAR8) VAR60 <= VAR13; always @(posedge VAR8) VAR186 <= VAR178; always @(posedge VAR8) VAR143 <= VAR214; always @(posedge VAR8) VAR95 <= VAR165; assign VAR153 = !VAR253 & VAR96; assign VAR250 = VAR253 & !VAR96; assign VAR191 = !VAR200 & VAR34; assign VAR226 = VAR200 & !VAR34; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[8] <= 1'b0; else if(VAR159) VAR136[8] <= 1'b0; else if(VAR6) VAR136[8] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[7] <= 1'b0; else if(VAR159) VAR136[7] <= 1'b0; else if(VAR60) VAR136[7] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[6] <= 1'b0; else if(VAR159) VAR136[6] <= 1'b0; else if(VAR250) VAR136[6] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[5] <= 1'b0; else if(VAR159) VAR136[5] <= 1'b0; else if(VAR153) VAR136[5] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[4] <= 1'b0; else if(VAR159) VAR136[4] <= 1'b0; else if(VAR226) VAR136[4] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[3] <= 1'b0; else if(VAR159) VAR136[3] <= 1'b0; else if(VAR191) VAR136[3] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[2] <= 1'b0; else if(VAR159) VAR136[2] <= 1'b0; else if(VAR186) VAR136[2] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[1] <= 1'b0; else if(VAR159) VAR136[1] <= 1'b0; else if(VAR143) VAR136[1] <= 1'b1; always @(posedge VAR8 or negedge rst) always @(posedge VAR8) if(rst) VAR136[0] <= 1'b0; else if(VAR159) VAR136[0] <= 1'b0; else if(VAR95) VAR136[0] <= 1'b1; always @(posedge VAR8) begin VAR117[15] <= VAR147 | VAR170; VAR117[14] <= VAR218 | VAR206; VAR117[13] <= VAR62 | VAR262; VAR117[12] <= VAR38 | VAR211; VAR117[11] <= VAR189 | VAR128; VAR117[10] <= VAR89 | VAR184; VAR117[09] <= VAR208 | VAR59; VAR117[08] <= VAR167 | VAR56; VAR117[07] <= VAR166 | VAR40; VAR117[06] <= VAR102 | VAR18; VAR117[05] <= VAR46 | VAR246; VAR117[04] <= VAR192 | VAR168; VAR117[03] <= VAR69 | VAR204; VAR117[02] <= VAR210 | VAR20; VAR117[01] <= VAR248 | VAR162; VAR117[00] <= VAR110 | VAR193; end assign VAR106 =VAR110 | VAR248 | VAR210 | VAR69 | VAR192 | VAR46 | VAR102 | VAR166 | VAR167 | VAR208 | VAR89 | VAR189 | VAR38 | VAR62 | VAR218 | VAR147; assign VAR243 =VAR193 | VAR162 | VAR20 | VAR204 | VAR168 | VAR246 | VAR18 | VAR40 | VAR56 | VAR59 | VAR184 | VAR128 | VAR211 | VAR262 | VAR206 | VAR170; assign VAR94 = |(VAR136 & VAR157); assign VAR15 = |(VAR136 & VAR174); always @(posedge VAR8) VAR36 <= VAR106 | VAR94; always @(posedge VAR8) VAR158 <= VAR243 | VAR15; VAR11 VAR80( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR234 ), .VAR161( VAR85 ), .din( din ), .dout( VAR30 ), .VAR36( VAR110 ), .VAR158( VAR193 ), .VAR224( VAR224[0] ), .VAR145( VAR145[0] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR98 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR99 ), .VAR151( VAR21 ), .VAR258( VAR140 ), .VAR164( VAR216 ), .VAR203( VAR171 ) ); VAR11 VAR124( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR213 ), .VAR161( VAR93 ), .din( din ), .dout( VAR78 ), .VAR36( VAR248 ), .VAR158( VAR162 ), .VAR224( VAR224[1] ), .VAR145( VAR145[1] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR231 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR202 ), .VAR151( VAR55 ), .VAR258( VAR2 ), .VAR164( VAR228 ), .VAR203( VAR44 ) ); VAR135 VAR124( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR213 ), .VAR161( VAR93 ), .din( din ), .dout( VAR78 ), .VAR36( VAR248 ), .VAR158( VAR162 ), .VAR224( VAR224[1] ), .VAR145( VAR145[1] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR231 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR202 ), .VAR151( VAR55 ), .VAR258( VAR2 ), .VAR164( VAR228 ), .VAR203( VAR44 ) ); VAR11 VAR261( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR194 ), .VAR161( VAR104 ), .din( din ), .dout( VAR237 ), .VAR36( VAR210 ), .VAR158( VAR20 ), .VAR224( VAR224[2] ), .VAR145( VAR145[2] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR239 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR217 ), .VAR151( VAR249 ), .VAR258( VAR25 ), .VAR164( VAR122 ), .VAR203( VAR236 ) ); VAR135 VAR261( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR194 ), .VAR161( VAR104 ), .din( din ), .dout( VAR237 ), .VAR36( VAR210 ), .VAR158( VAR20 ), .VAR224( VAR224[2] ), .VAR145( VAR145[2] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR239 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR217 ), .VAR151( VAR249 ), .VAR258( VAR25 ), .VAR164( VAR122 ), .VAR203( VAR236 ) ); VAR11 VAR111( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR97 ), .VAR161( VAR50 ), .din( din ), .dout( VAR88 ), .VAR36( VAR69 ), .VAR158( VAR204 ), .VAR224( VAR224[3] ), .VAR145( VAR145[3] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR90 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR67 ), .VAR151( VAR242 ), .VAR258( VAR156 ), .VAR164( VAR247 ), .VAR203( VAR24 ) ); VAR135 VAR111( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR97 ), .VAR161( VAR50 ), .din( din ), .dout( VAR88 ), .VAR36( VAR69 ), .VAR158( VAR204 ), .VAR224( VAR224[3] ), .VAR145( VAR145[3] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR90 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR67 ), .VAR151( VAR242 ), .VAR258( VAR156 ), .VAR164( VAR247 ), .VAR203( VAR24 ) ); VAR11 VAR123( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR125 ), .VAR161( VAR255 ), .din( din ), .dout( VAR225 ), .VAR36( VAR192 ), .VAR158( VAR168 ), .VAR224( VAR224[4] ), .VAR145( VAR145[4] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR16 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR49 ), .VAR151( VAR149 ), .VAR258( VAR43 ), .VAR164( VAR101 ), .VAR203( VAR220 ) ); VAR135 VAR123( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR125 ), .VAR161( VAR255 ), .din( din ), .dout( VAR225 ), .VAR36( VAR192 ), .VAR158( VAR168 ), .VAR224( VAR224[4] ), .VAR145( VAR145[4] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR16 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR49 ), .VAR151( VAR149 ), .VAR258( VAR43 ), .VAR164( VAR101 ), .VAR203( VAR220 ) ); VAR11 VAR221( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR109 ), .VAR161( VAR107 ), .din( din ), .dout( VAR73 ), .VAR36( VAR46 ), .VAR158( VAR246 ), .VAR224( VAR224[5] ), .VAR145( VAR145[5] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR259 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR112 ), .VAR151( VAR12 ), .VAR258( VAR197 ), .VAR164( VAR74 ), .VAR203( VAR139 ) ); VAR135 VAR221( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR109 ), .VAR161( VAR107 ), .din( din ), .dout( VAR73 ), .VAR36( VAR46 ), .VAR158( VAR246 ), .VAR224( VAR224[5] ), .VAR145( VAR145[5] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR259 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR112 ), .VAR151( VAR12 ), .VAR258( VAR197 ), .VAR164( VAR74 ), .VAR203( VAR139 ) ); VAR11 VAR132( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR70 ), .VAR161( VAR129 ), .din( din ), .dout( VAR114 ), .VAR36( VAR102 ), .VAR158( VAR18 ), .VAR224( VAR224[6] ), .VAR145( VAR145[6] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR187 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR84 ), .VAR151( VAR17 ), .VAR258( VAR264 ), .VAR164( VAR176 ), .VAR203( VAR37 ) ); VAR135 VAR132( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR70 ), .VAR161( VAR129 ), .din( din ), .dout( VAR114 ), .VAR36( VAR102 ), .VAR158( VAR18 ), .VAR224( VAR224[6] ), .VAR145( VAR145[6] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR187 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR84 ), .VAR151( VAR17 ), .VAR258( VAR264 ), .VAR164( VAR176 ), .VAR203( VAR37 ) ); VAR11 VAR207( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR177 ), .VAR161( VAR87 ), .din( din ), .dout( VAR27 ), .VAR36( VAR166 ), .VAR158( VAR40 ), .VAR224( VAR224[7] ), .VAR145( VAR145[7] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR235 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR23 ), .VAR151( VAR120 ), .VAR258( VAR230 ), .VAR164( VAR175 ), .VAR203( VAR105 ) ); VAR135 VAR207( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR177 ), .VAR161( VAR87 ), .din( din ), .dout( VAR27 ), .VAR36( VAR166 ), .VAR158( VAR40 ), .VAR224( VAR224[7] ), .VAR145( VAR145[7] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR235 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR23 ), .VAR151( VAR120 ), .VAR258( VAR230 ), .VAR164( VAR175 ), .VAR203( VAR105 ) ); VAR11 VAR133( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR68 ), .VAR161( VAR155 ), .din( din ), .dout( VAR209 ), .VAR36( VAR167 ), .VAR158( VAR56 ), .VAR224( VAR224[8] ), .VAR145( VAR145[8] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR188 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR22 ), .VAR151( VAR121 ), .VAR258( VAR254 ), .VAR164( VAR131 ), .VAR203( VAR119 ) ); VAR135 VAR133( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR68 ), .VAR161( VAR155 ), .din( din ), .dout( VAR209 ), .VAR36( VAR167 ), .VAR158( VAR56 ), .VAR224( VAR224[8] ), .VAR145( VAR145[8] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR188 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR22 ), .VAR151( VAR121 ), .VAR258( VAR254 ), .VAR164( VAR131 ), .VAR203( VAR119 ) ); VAR11 VAR57( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR148 ), .VAR161( VAR185 ), .din( din ), .dout( VAR65 ), .VAR36( VAR208 ), .VAR158( VAR59 ), .VAR224( VAR224[9] ), .VAR145( VAR145[9] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR212 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR83 ), .VAR151( VAR181 ), .VAR258( VAR115 ), .VAR164( VAR240 ), .VAR203( VAR152 ) ); VAR135 VAR57( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR148 ), .VAR161( VAR185 ), .din( din ), .dout( VAR65 ), .VAR36( VAR208 ), .VAR158( VAR59 ), .VAR224( VAR224[9] ), .VAR145( VAR145[9] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR212 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR83 ), .VAR151( VAR181 ), .VAR258( VAR115 ), .VAR164( VAR240 ), .VAR203( VAR152 ) ); VAR11 VAR190( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR116 ), .VAR161( VAR91 ), .din( din ), .dout( VAR227 ), .VAR36( VAR89 ), .VAR158( VAR184 ), .VAR224( VAR224[10] ), .VAR145( VAR145[10] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR232 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR52 ), .VAR151( VAR61 ), .VAR258( VAR81 ), .VAR164( VAR53 ), .VAR203( VAR130 ) ); VAR135 VAR190( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR116 ), .VAR161( VAR91 ), .din( din ), .dout( VAR227 ), .VAR36( VAR89 ), .VAR158( VAR184 ), .VAR224( VAR224[10] ), .VAR145( VAR145[10] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR232 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR52 ), .VAR151( VAR61 ), .VAR258( VAR81 ), .VAR164( VAR53 ), .VAR203( VAR130 ) ); VAR11 VAR51( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR66 ), .VAR161( VAR215 ), .din( din ), .dout( VAR173 ), .VAR36( VAR189 ), .VAR158( VAR128 ), .VAR224( VAR224[11] ), .VAR145( VAR145[11] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR108 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR229 ), .VAR151( VAR1 ), .VAR258( VAR134 ), .VAR164( VAR71 ), .VAR203( VAR150 ) ); VAR135 VAR51( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR66 ), .VAR161( VAR215 ), .din( din ), .dout( VAR173 ), .VAR36( VAR189 ), .VAR158( VAR128 ), .VAR224( VAR224[11] ), .VAR145( VAR145[11] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR108 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR229 ), .VAR151( VAR1 ), .VAR258( VAR134 ), .VAR164( VAR71 ), .VAR203( VAR150 ) ); VAR11 VAR268( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR241 ), .VAR161( VAR182 ), .din( din ), .dout( VAR127 ), .VAR36( VAR38 ), .VAR158( VAR211 ), .VAR224( VAR224[12] ), .VAR145( VAR145[12] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR29 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR76 ), .VAR151( VAR172 ), .VAR258( VAR144 ), .VAR164( VAR26 ), .VAR203( VAR154 ) ); VAR135 VAR268( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR241 ), .VAR161( VAR182 ), .din( din ), .dout( VAR127 ), .VAR36( VAR38 ), .VAR158( VAR211 ), .VAR224( VAR224[12] ), .VAR145( VAR145[12] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR29 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR76 ), .VAR151( VAR172 ), .VAR258( VAR144 ), .VAR164( VAR26 ), .VAR203( VAR154 ) ); VAR11 VAR219( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR142 ), .VAR161( VAR180 ), .din( din ), .dout( VAR7 ), .VAR36( VAR62 ), .VAR158( VAR262 ), .VAR224( VAR224[13] ), .VAR145( VAR145[13] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR205 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR10 ), .VAR151( VAR137 ), .VAR258( VAR4 ), .VAR164( VAR179 ), .VAR203( VAR72 ) ); VAR135 VAR219( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR142 ), .VAR161( VAR180 ), .din( din ), .dout( VAR7 ), .VAR36( VAR62 ), .VAR158( VAR262 ), .VAR224( VAR224[13] ), .VAR145( VAR145[13] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR205 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR10 ), .VAR151( VAR137 ), .VAR258( VAR4 ), .VAR164( VAR179 ), .VAR203( VAR72 ) ); VAR11 VAR251( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR160 ), .VAR161( VAR183 ), .din( din ), .dout( VAR245 ), .VAR36( VAR218 ), .VAR158( VAR206 ), .VAR224( VAR224[14] ), .VAR145( VAR145[14] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR195 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR169 ), .VAR151( VAR31 ), .VAR258( VAR32 ), .VAR164( VAR54 ), .VAR203( VAR33 ) ); VAR135 VAR251( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR160 ), .VAR161( VAR183 ), .din( din ), .dout( VAR245 ), .VAR36( VAR218 ), .VAR158( VAR206 ), .VAR224( VAR224[14] ), .VAR145( VAR145[14] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR195 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR169 ), .VAR151( VAR31 ), .VAR258( VAR32 ), .VAR164( VAR54 ), .VAR203( VAR33 ) ); VAR11 VAR79( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR5 ), .VAR161( VAR198 ), .din( din ), .dout( VAR19 ), .VAR36( VAR147 ), .VAR158( VAR170 ), .VAR224( VAR224[15] ), .VAR145( VAR145[15] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR146 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR86 ), .VAR151( VAR63 ), .VAR258( VAR45 ), .VAR164( VAR64 ), .VAR203( VAR265 ) ); VAR135 VAR79( .clk( clk ), .VAR8( VAR8 ), .rst( rst ), .VAR257( VAR257[1:0] ), .VAR75( VAR5 ), .VAR161( VAR198 ), .din( din ), .dout( VAR19 ), .VAR36( VAR147 ), .VAR158( VAR170 ), .VAR224( VAR224[15] ), .VAR145( VAR145[15] ), .VAR244( VAR244 ), .VAR238( VAR238 ), .VAR113( VAR146 ), .VAR103( VAR103 ), .VAR267( VAR267 ), .VAR118( VAR118 ), .VAR35( VAR35 ), .VAR39( VAR39 ), .VAR252( VAR252 ), .VAR48( VAR48 ), .VAR14( VAR14 ), .VAR42( VAR42 ), .VAR82( VAR82 ), .VAR196( VAR196 ), .VAR163( VAR163 ), .VAR28( VAR86 ), .VAR151( VAR63 ), .VAR258( VAR45 ), .VAR164( VAR64 ), .VAR203( VAR265 ) ); endmodule
gpl-2.0
UCR-CS179-SUMMER2014/NES_FPGA
source/NES_FPGA/nios_system/synthesis/submodules/nios_system_Char_Buffer_with_DMA.v
13,450
module MODULE1 ( clk, reset, VAR24, VAR36, VAR4, VAR7, VAR45, VAR76, VAR27, VAR77, VAR18, VAR54, VAR108, VAR67, VAR22, VAR32, VAR51, VAR103, VAR107, VAR34, VAR53, VAR106, VAR37 ); parameter VAR94 = 8; parameter VAR21 = 0; parameter VAR62 = 13; parameter VAR26 = 8192; parameter VAR8 = 640; parameter VAR109 = 480; input clk; input reset; input VAR24; input [ 3: 0] VAR36; input VAR4; input VAR7; input VAR45; input [31: 0] VAR76; input [(VAR62-1): 0] VAR27; input VAR77; input VAR18; input VAR54; input VAR108; input [ 7: 0] VAR67; input VAR22; output reg [31: 0] VAR32; output reg [ 7: 0] VAR51; output VAR103; output [39: 0] VAR107; output VAR34; output VAR53; output [ 1: 0] VAR106; output VAR37; wire [VAR94: 1] VAR101; wire [VAR94: 1] VAR30; wire [VAR62: 1] VAR35; wire [15: 0] VAR59; wire VAR102; wire [ 9: 0] VAR66; wire [ 9: 0] VAR61; wire [ 9: 0] VAR2; reg [31: 0] VAR13; reg [ 1: 0] VAR89; reg VAR15; reg [ 9: 0] VAR87; reg [ 8: 0] VAR11; reg [ 5: 0] VAR29; reg [ 5: 0] VAR56; reg [ 3: 0] VAR38; reg [ 3: 0] VAR81; always @(posedge clk) begin if (reset) VAR32 <= 32'h00000000; end else if (VAR4 & VAR7 & VAR24) VAR32 <= {16'd60, 16'd80}; else if (VAR4 & VAR7) VAR32 <= VAR13; end always @(posedge clk) begin if (reset) VAR51 <= 8'h00; end else if (VAR18 & VAR54) VAR51 <= {1'b0, VAR30[7:1]}; end always @(posedge clk) begin if (reset) VAR13 <= 32'h00010000; end else if (VAR4 & VAR45 & ~VAR24) begin if (VAR36[0]) VAR13[ 7: 0] <= VAR76[ 7: 0]; if (VAR36[1]) VAR13[15: 8] <= VAR76[15: 8]; if (VAR36[2]) VAR13[23:16] <= VAR76[23:16]; if (VAR36[3]) VAR13[31:24] <= VAR76[31:24]; end else if (VAR15 & VAR22 & (VAR87 == (VAR8 - 1)) && (VAR11 == (VAR109 - 1))) VAR13[16] <= 1'b0; end always @(posedge clk) begin if (reset) VAR89 <= 2'h0; end else if (VAR18 & VAR54) VAR89 <= {VAR89[0], 1'b1}; else VAR89 <= 2'h0; end always @(posedge clk) begin if (reset) VAR15 <= 1'b1; end else if (~(VAR13[16])) VAR15 <= 1'b0; else if ((VAR87 == 10'h000) && (VAR11 == 9'h000)) VAR15 <= 1'b1; end always @(posedge clk) begin if (reset) VAR87 <= 10'h000; end else if (VAR22) begin if (VAR87 == (VAR8 - 1)) VAR87 <= 10'h000; end else VAR87 <= VAR87 + 10'h001; end end always @(posedge clk) begin if (reset) VAR11 <= 9'h000; end else if (VAR22 && (VAR87 == (VAR8 - 1))) begin if (VAR11 == (VAR109 - 1)) VAR11 <= 9'h000; end else VAR11 <= VAR11 + 9'h001; end end always @(posedge clk) begin if (reset) begin VAR29 <= 6'h00; VAR56 <= 6'h00; end else if (VAR22) begin VAR29 <= {VAR29[2:0], VAR87[(VAR21+2):VAR21]}; VAR56 <= {VAR56[2:0], VAR11[(VAR21+2):VAR21]}; end end always @(posedge clk) begin if (reset) VAR38 <= 4'h0; end else if (VAR22) begin VAR38[3:1] <= VAR38[2:0]; if ((VAR87 == 10'h000) && (VAR11 == 9'h000)) VAR38[0] <= 1'b1; end else VAR38[0] <= 1'b0; end end always @(posedge clk) begin if (reset) VAR81 <= 4'h0; end else if (VAR22) begin VAR81[3:1] <= VAR81[2:0]; if ((VAR87 == (VAR8 - 1)) && (VAR11 == (VAR109 - 1))) VAR81[0] <= 1'b1; end else VAR81[0] <= 1'b0; end end assign VAR103 = (VAR18 & VAR54) & ~VAR89[1]; assign VAR107[39:30] = {10{VAR102}}; assign VAR107[29: 0] = {VAR66, VAR61, VAR2}; assign VAR34 = VAR38[3]; assign VAR53 = VAR81[3]; assign VAR106 = 2'h0; assign VAR37 = 1'b1; assign VAR101 = {VAR13[(VAR94-8):0], VAR67[6:0]}; assign VAR35 = {VAR11[8:(3 + VAR21)], VAR87[9:(3 + VAR21)]}; assign VAR66 = {10{VAR102}}; assign VAR61 = {10{VAR102}}; assign VAR2 = {10{VAR102}}; VAR1 VAR69 ( .VAR39 (clk), .VAR88 (VAR27), .VAR70 (VAR77 & VAR18 & VAR108), .VAR48 (VAR101), .VAR86 (clk), .VAR98 (VAR22), .VAR17 (VAR35), .VAR65 (VAR15), .VAR40 ({{(VAR94 - 7){1'b0}}, 7'h20}), .VAR91 (VAR30), .VAR83 (VAR59), .VAR25 (1'b1), .VAR46 (1'b0), .VAR72 (1'b0), .VAR104 (1'b1), .VAR64 (1'b1), .VAR12 (1'b1), .VAR14 (1'b1), .VAR75 (1'b1), .VAR71 (1'b1), .VAR55 (1'b0), .VAR33 (1'b0) ); VAR69.VAR52 = "VAR60", VAR69.VAR28 = "VAR57 VAR79", VAR69.VAR10 = "VAR1", VAR69.VAR50 = "VAR97", VAR69.VAR42 = "VAR80", VAR69.VAR105 = "VAR95", VAR69.VAR44 = "VAR73", VAR69.VAR111 = "VAR73", VAR69.VAR99 = "VAR73", VAR69.VAR47 = "VAR41", VAR69.VAR19 = "VAR110", VAR69.VAR100 = "VAR41", VAR69.VAR68 = "VAR110", VAR69.VAR93 = VAR26, VAR69.VAR20 = VAR26, VAR69.VAR90 = "VAR74", VAR69.VAR31 = "VAR74", VAR69.VAR85 = "VAR16", VAR69.VAR9 = "VAR73", VAR69.VAR58 = VAR62, VAR69.VAR3 = VAR62, VAR69.VAR78 = VAR94, VAR69.VAR43 = VAR94, VAR69.VAR63 = 1, VAR69.VAR84 = 1; VAR23 VAR49 ( .clk (clk), .VAR96 (VAR22), .VAR82 (VAR59[ 6: 0]), .VAR6 (VAR29[ 5: 3]), .VAR5 (VAR56[ 5: 3]), .VAR92 (VAR102) ); endmodule
mit
d16-processor/d16
verilog/src/ntsc_gen.v
1,140
module MODULE1(clk,VAR10,VAR5); parameter VAR9 = 4; input clk; output reg [VAR9-1:0] VAR5; output VAR10; wire [VAR9-1:0] VAR1; wire [VAR9-1:0] sync; reg [9:0] VAR2 = 0; reg [8:0] VAR4 = 0; reg [3:0] counter = 0; reg [7:0] VAR7 = 8'b01100100; always @(posedge clk) begin VAR7 <= {VAR7[5:0],VAR7[7:6]}; if(VAR2 == 903) begin VAR2 <= 0; if(VAR4 == 261) VAR4 <= 0; end else VAR4 <= VAR4 + 1; end else VAR2 <= VAR2 + 1; end wire VAR6 = VAR2 < 640 && VAR4 < 200; wire VAR8 = 717 <= VAR2 && VAR2 < 784; wire VAR10 = 224 <= VAR4 && VAR4 < 227; wire VAR3 = 798 <= VAR2 && VAR2 < 834 ; assign VAR1 = VAR6 ? {VAR7[5:4] + (VAR4[5] ? VAR7[3:2] : VAR7[7:6]),2'b0} :0; assign sync = (!(VAR8 || VAR10)) ? 4'b0011 : 4'b0000; assign VAR10 = VAR6 || !(VAR8 || VAR10); always @* if(VAR3) VAR5 = VAR7[1:0] + sync - 1; else VAR5 <= VAR1 + sync; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o31a/sky130_fd_sc_hs__o31a.symbol.v
1,310
module MODULE1 ( input VAR1, input VAR5, input VAR6, input VAR3, output VAR4 ); supply1 VAR7; supply0 VAR2; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_misc/rtl/bw_clk_cl_misc_jbus.v
3,309
module MODULE1 ( VAR10, VAR9, VAR4, VAR5, VAR8, VAR6, VAR12, VAR3, VAR13, VAR1, VAR2, VAR7 ); output VAR5; output VAR4; output VAR9; output VAR10; input VAR7; input VAR2; input VAR1; input VAR13; input VAR3; input VAR12; input VAR6; input VAR8; VAR11 VAR11 ( .VAR4 (VAR4), .VAR5 (VAR5), .VAR9 (VAR9), .VAR10 (VAR10), .VAR13 (VAR13), .VAR1 (VAR1), .VAR2 (VAR2), .VAR12 (VAR12), .VAR7 (VAR7), .VAR3 (VAR3), .VAR8 (VAR8), .VAR6 (VAR6)); endmodule
gpl-2.0
finnball/igloo
projects/chip8/hdl/interpreter.v
22,766
module MODULE1( input clk, input [7 : 0] VAR52, input VAR93, output VAR136, output VAR110, output VAR90 ); localparam VAR118 = 0; localparam VAR129 = 1; localparam VAR99 = 2; localparam VAR65 = 3; localparam VAR11 = 4; localparam VAR13 = 0; localparam VAR83 = 1; localparam VAR17 = 2; localparam VAR77 = 3; localparam VAR132 = 4; localparam VAR34 = 5; localparam VAR3 = 6; localparam VAR47 = 7; localparam VAR1 = 8; localparam VAR107 = 9; localparam VAR40 = 10; localparam VAR46 = 11; localparam VAR101 = 12; localparam VAR109 = 13; localparam VAR38 = 14; localparam VAR125 = 15; localparam VAR128 = 0; localparam VAR88 = 1; localparam VAR54 = 2; localparam VAR114 = 3; localparam VAR55 = 4; localparam VAR124 = 5; localparam VAR96 = 6; localparam VAR10 = 7; localparam VAR84 = 8; localparam VAR103 = 9; localparam VAR37 = 10; localparam VAR85 = 11; localparam VAR78 = 12; localparam VAR108 = 13; localparam VAR4 = 14; localparam VAR39 = 15; localparam VAR81 = 16; localparam VAR32 = 17; localparam VAR127 = 18; localparam VAR115 = 8; localparam VAR49 = 12; reg [3 : 0] state = VAR118; reg [4 : 0] VAR105 = VAR13; reg [4 : 0] VAR27 = VAR128; reg [VAR79 - 1 : 0] VAR30; reg [15 : 0] VAR76 = 0; wire [VAR115 - 1 : 0] VAR24; reg [VAR115 - 1 : 0] VAR58 = 0; reg [VAR49 - 1 : 0] VAR74; reg [VAR49 - 1 : 0] VAR89 = 0; wire VAR69; reg VAR7 = 0; reg [VAR49 - 1 : 0] VAR29 = 512; localparam VAR79 = 5; reg [VAR115 - 1 : 0] VAR12 [VAR79 - 1 : 0]; reg [3 : 0] VAR50 [VAR79 - 1 : 0]; reg [3 : 0] VAR75 [VAR79 - 1 : 0]; reg [VAR64 - 1 : 0] VAR122 [VAR79 - 1 : 0]; reg [15 : 0] VAR26 [VAR79 - 1 : 0]; reg [15 : 0] VAR45 [VAR79 - 1 : 0]; reg [VAR49 - 1 : 0] VAR70 [VAR79 - 1 : 0]; localparam VAR23 = 4; localparam VAR64 = 8; reg VAR20 = 0, VAR63 = 0; reg [VAR23 - 1 : 0] VAR92 = 0, VAR68 = 0; reg [VAR64 - 1 : 0] VAR104 = 0, VAR111 = 0; wire VAR20, VAR63; wire [VAR23 - 1 : 0] VAR92, VAR68; wire [VAR64 - 1 : 0] VAR104, VAR111; wire [VAR49 - 1 : 0] VAR41; wire VAR131; wire [10 : 0] VAR61; wire [3 : 0] VAR119; reg VAR102 = 0, VAR113 = 0; wire VAR80; localparam VAR112 = 4; localparam VAR19 = VAR49; reg VAR31 = 0; reg [VAR19 - 1 : 0] VAR57 = 0; wire [VAR19 - 1 : 0] VAR72; reg [VAR112 - 1 : 0] VAR98 = 0, VAR133; reg [7 : 0] VAR18 = 0; reg [3 : 0] VAR71 = 0; reg [7 : 0] VAR130; wire [11 : 0] VAR82; reg [VAR49 - 1 : 0] VAR106 = 0; wire [7 : 0] VAR16; reg [8 : 0] VAR97 = 0; reg VAR28 = 0; reg [7 : 0] VAR59 = 0; always @ (posedge clk) begin if (VAR93) begin case (VAR52) 8'h61: VAR59 <= 8'h0A; 8'h62: VAR59 <= 8'h0B; 8'h63: VAR59 <= 8'h0C; 8'h64: VAR59 <= 8'h0D; 8'h65: VAR59 <= 8'h0E; 8'h66: VAR59 <= 8'h0F; default: VAR59 <= VAR52; endcase; end if (VAR50[1] == VAR99) begin if (VAR75[1] == VAR38) begin if ( (VAR12[0][7 : 0] == 8'h9E) | (VAR12[0][7 : 0] == 8'hA1) ) begin VAR28 <= 0; end end end else if ( (VAR27 == VAR85) & (VAR71 == 0) ) begin VAR28 <= 0; end else if (VAR93) begin VAR28 <= 1; end end always @ (state, VAR27, VAR41, VAR29, VAR106) begin if (state == VAR11) begin VAR74 <= VAR41; end else if (VAR27 == VAR127) begin VAR74 <= VAR106; end else begin VAR74 <= VAR29; end end always @(VAR24, state, VAR105, VAR111, VAR76, VAR82, VAR29) begin VAR12[0] <= VAR24; VAR50[0] <= state; VAR75[0] <= VAR105; VAR122[0] <= VAR111; VAR26[0] <= VAR76; VAR45[0] <= VAR82; VAR70[0] <= VAR29; VAR30[0] <= (state == VAR129); end genvar VAR126; generate for (VAR126 = 1; VAR126 < VAR79; VAR126 = VAR126 + 1) begin always @ (posedge clk) begin VAR12[VAR126] <= VAR12[VAR126 - 1]; VAR50[VAR126] <= VAR50[VAR126 - 1]; VAR75[VAR126] <= VAR75[VAR126 - 1]; VAR122[VAR126] <= VAR122[VAR126 - 1]; VAR26[VAR126] <= VAR26[VAR126 - 1]; VAR45[VAR126] <= VAR45[VAR126 - 1]; VAR70[VAR126] <= VAR70[VAR126 - 1]; VAR30[VAR126] <= VAR30[VAR126 - 1]; end end endgenerate always @ (posedge clk) begin case (state) VAR118: begin state <= VAR129; end VAR129: begin state <= VAR99; end VAR99: begin if (VAR75[0] == VAR109) begin state <= VAR11; end else begin state <= VAR65; end end VAR65: begin if (VAR71 == 0) begin state <= VAR129; end end VAR11: begin if ( ~VAR131 & (VAR71 == 0) ) begin state <= VAR65; end end endcase end always @ (posedge clk) begin if (VAR50[0] == VAR99) begin case(VAR75[1]) VAR3: VAR71 <= 0; VAR47: VAR71 <= 0; VAR101: VAR71 <= 0; VAR34: VAR71 <= 2; VAR38: VAR71 <= 2; default: VAR71 <= 1; endcase end else if (VAR50[1] == VAR99) begin if (VAR75[1] == VAR125) begin case(VAR12[0]) 8'h07: VAR71 <= 0; 8'h15: VAR71 <= 0; 8'h1E: VAR71 <= 0; 8'h33: VAR71 <= 5; 8'h55: VAR71 <= VAR12[2][3 : 0] + 1; 8'h65: VAR71 <= VAR12[2][3 : 0] + 2; default: VAR71 <= 1; endcase end else if (VAR75[1] == VAR1) begin case(VAR12[0][3: 0]) 4'h0: VAR71 <= 1; 4'h1: VAR71 <= 1; 4'h2: VAR71 <= 1; 4'h3: VAR71 <= 1; 4'h4: VAR71 <= 2; 4'h5: VAR71 <= 2; 4'h6: VAR71 <= 2; 4'h7: VAR71 <= 2; 4'hE: VAR71 <= 2; endcase end else if (VAR75[1] == VAR109) begin VAR71 <= 2; end end else if (VAR27 == VAR85) begin VAR71 <= (VAR28) ? 0 : 1; end else if (VAR71 > 0) begin VAR71 <= VAR71 - 1; end end always @ (posedge clk) begin if ( ( VAR50[0] == VAR129 | (VAR50[0] == VAR99)) ) begin VAR29 <= VAR29 + 1; end else if (VAR50[1] == VAR99) begin case (VAR75[1]) VAR13: begin if (VAR12[0] == 8'hEE) begin VAR29 <= VAR72; end end VAR83: begin VAR29[11 : 8] <= VAR12[1][3 : 0]; VAR29[7 : 0] <= VAR12[0]; end VAR17: begin VAR29[11 : 8] <= VAR12[1][3 : 0]; VAR29[7 : 0] <= VAR12[0]; end VAR77: begin if (VAR122[0] == VAR12[0][7 : 0]) begin VAR29 <= VAR29 + 2; end end VAR132: begin if (VAR122[0] != VAR12[0][7 : 0]) begin VAR29 <= VAR29 + 2; end end VAR46: begin VAR29 <= { {VAR12[1][3 : 0]} , {VAR12[0][7 : 0]} } + VAR122[0]; end VAR38: begin case(VAR12[0][7 : 0]) 8'h9E: if ( VAR28 & (VAR122[0] == VAR59) ) begin VAR29 <= VAR29 + 2; end 8'hA1: if ( (VAR122[0] != VAR59) | ( (VAR122[0] == VAR59) & ~VAR28) ) begin VAR29 <= VAR29 + 2; end endcase end endcase end else if (VAR50[3] == VAR99) begin case (VAR75[3]) VAR34: begin if(VAR122[0] == VAR122[1]) begin VAR29 <= VAR29 + 2; end end endcase end end assign VAR20 = VAR20; always @ (VAR12[0]) begin case(VAR12[0][7 : 4]) 4'h0: VAR105 <= VAR13; 4'h1: VAR105 <= VAR83; 4'h2: VAR105 <= VAR17; 4'h3: VAR105 <= VAR77; 4'h4: VAR105 <= VAR132; 4'h5: VAR105 <= VAR34; 4'h6: VAR105 <= VAR3; 4'h7: VAR105 <= VAR47; 4'h8: VAR105 <= VAR1; 4'h9: VAR105 <= VAR107; 4'hA: VAR105 <= VAR40; 4'hB: VAR105 <= VAR46; 4'hC: VAR105 <= VAR101; 4'hD: VAR105 <= VAR109; 4'hE: VAR105 <= VAR38; 4'hF: VAR105 <= VAR125; endcase end always @ (posedge clk) begin if (VAR50[1] == VAR11) begin VAR92 <= 4'hF; VAR104 <= VAR80; end else if (VAR50[1] == VAR129) begin VAR68 <= VAR12[0][3 : 0]; end else if (VAR50[1] == VAR99) begin case(VAR75[1]) VAR3: begin VAR92 <= VAR12[1][3 : 0]; VAR104 <= VAR12[0]; end VAR47: VAR92 <= VAR12[0][3 : 0]; VAR34: begin VAR68 <= VAR68 + 1; end VAR1: VAR68 <= VAR12[0][7 : 4]; VAR101: begin VAR92 <= VAR12[1][3 : 0]; VAR104 <= VAR21 & VAR12[0]; end VAR109: VAR68 <= VAR12[0][7 : 4]; VAR40: VAR76[11 : 0] <= { {VAR12[1][3 : 0]}, VAR12[0][7 : 0] }; VAR125: begin case(VAR12[0]) 8'h07: begin VAR104 <= VAR18; VAR92 <= VAR12[1][3 : 0]; end 8'h1E: VAR76 <= (VAR76 + VAR122[0]); 8'h33: VAR89 <= VAR76[11 : 0]; 8'h55: VAR68 <= 0; 8'h65: begin VAR92 <= 0; VAR106 <= VAR76[VAR49 - 1 : 0]; end endcase end VAR46: VAR68 <= 0; endcase end else if ( (VAR50[2] == VAR99) & (VAR75[2] == VAR47) ) begin VAR104 <= VAR122[0] + VAR12[1]; end else begin case(VAR27) VAR88: begin VAR104 <= VAR122[0]; VAR92 <= VAR12[3][3 : 0]; end VAR54: begin VAR104 <= VAR122[0] | VAR122[1]; VAR92 <= VAR12[3][3 : 0]; end VAR114: begin VAR104 <= VAR122[0] & VAR122[1]; VAR92 <= VAR12[3][3 : 0]; end VAR55: begin VAR104 <= VAR122[0] ^ VAR122[1]; VAR92 <= VAR12[3][3 : 0]; end VAR124: begin case(VAR71) 1: begin VAR104 <= VAR122[0] + VAR122[1]; VAR97 <= VAR122[0] + VAR122[1]; VAR92 <= VAR12[3][3 : 0]; end 0: begin VAR92 <= 4'hF; VAR104 <= VAR97[8]; end endcase end VAR96: begin case(VAR71) 1: begin VAR104 <= VAR122[1] - VAR122[0]; VAR97 <= VAR122[1] > VAR122[0]; VAR92 <= VAR12[3][3 : 0]; end 0: begin VAR92 <= 4'hF; VAR104 <= VAR97[8]; end endcase end VAR10: begin case(VAR71) 1: begin VAR104 <= VAR122[1] >> 1; VAR92 <= VAR12[3][3 : 0]; end 0: begin VAR92 <= 4'hF; VAR104 <= VAR122[2][0]; end endcase end VAR84: begin case(VAR71) 1: begin VAR104 <= VAR122[0] - VAR122[1]; VAR97 <= VAR122[0] > VAR122[1]; VAR92 <= VAR12[3][3 : 0]; end 0: begin VAR92 <= 4'hF; VAR104 <= VAR97[8]; end endcase end VAR103: begin case(VAR71) 1: begin VAR104 <= VAR122[1] << 1; VAR92 <= VAR12[3][3 : 0]; end 0: begin VAR92 <= 4'hF; VAR104 <= VAR122[2][7]; end endcase end VAR81: begin if (VAR71 < 3) begin VAR89 <= VAR89 + 1; end case(VAR71) 3: VAR58 <= VAR82[3 : 0]; 2: VAR58 <= VAR82[7 : 4]; 1: VAR58 <= VAR82[11 : 8]; endcase end VAR39: begin VAR76 <= VAR16; end VAR85: begin VAR104 <= VAR59; if (VAR50[2] == VAR99) begin VAR92 <= VAR12[2][3 : 0]; end end VAR32: begin VAR68 <= VAR68 + 1; VAR58 <= VAR122[0]; if ( (VAR50[2] == VAR99) | (VAR50[3] == VAR99) ) begin VAR89 <= VAR76; end else begin VAR89 <= VAR89 + 1; end end VAR127: begin if ( (VAR50[2] == VAR99) | (VAR50[3] == VAR99) ) begin VAR92 <= 0; end else begin VAR92 <= VAR92 + 1; end VAR104 <= VAR12[0]; VAR106 <= VAR106 + 1; end endcase end end always @ (posedge clk) begin case(VAR27) VAR32: begin if ( ~(VAR50[2] == VAR99) | (VAR50[3] == VAR99) ) begin VAR7 <= 1; end end VAR81: begin if (VAR71 < 4) begin VAR7 <= 1; end end default: VAR7 <= 0; endcase end always @ (posedge clk) begin case(VAR27) VAR128: begin if ( (VAR50[1] == VAR99) & (VAR75[1] == VAR1)) begin case(VAR12[0][3 : 0]) 4'h0: VAR27 <= VAR88; 4'h1: VAR27 <= VAR54; 4'h2: VAR27 <= VAR114; 4'h3: VAR27 <= VAR55; 4'h4: VAR27 <= VAR124; 4'h5: VAR27 <= VAR96; 4'h6: VAR27 <= VAR10; 4'h7: VAR27 <= VAR84; 4'hE: VAR27 <= VAR103; endcase end if ( (VAR50[1] == VAR99) & (VAR75[1] == VAR125)) begin case(VAR12[0]) 8'h07: VAR27 <= VAR37; 8'h0A: VAR27 <= VAR85; 8'h15: VAR27 <= VAR78; 8'h18: VAR27 <= VAR108; 8'h1E: VAR27 <= VAR4; 8'h29: VAR27 <= VAR39; 8'h33: VAR27 <= VAR81; 8'h55: VAR27 <= VAR32; 8'h65: VAR27 <= VAR127; endcase end end VAR81: begin if (VAR71 == 1) begin VAR27 <= VAR128; end end VAR127: begin if (VAR71 == 1) begin VAR27 <= VAR128; end end default: if (VAR71 == 0) begin VAR27 <= VAR128; end endcase end always @ (posedge clk) begin if (VAR50[1] == VAR99) begin case(VAR75[1]) VAR3: VAR20 <= 1; VAR101: VAR20 <= 1; default: VAR20 <= 0; endcase end else if (VAR50[1] == VAR11) begin VAR20 <= 1; end else if ( (VAR50[2] == VAR99) & (VAR75[2] == VAR47 ) ) begin VAR20 <= 1; end else case(VAR27) VAR128: VAR20 <= 0; VAR124: VAR20 <= (VAR71 < 2); VAR96: VAR20 <= (VAR71 < 2); VAR10: VAR20 <= (VAR71 < 2); VAR84: VAR20 <= (VAR71 < 2); VAR103: VAR20 <= (VAR71 < 2); VAR78: VAR20 <= 0; VAR108: VAR20 <= 0; VAR4: VAR20 <= 0; VAR39: VAR20 <= 0; VAR81: VAR20 <= 0; VAR32: VAR20 <= 0; VAR108: VAR20 <= 0; VAR85: VAR20 <= VAR28 & ~VAR20; VAR127: VAR20 <= 1; default: VAR20 <= VAR71 == 0; endcase end always @ (posedge clk) begin if ( (VAR50[2] == VAR99) & (VAR75[2] == VAR109)) begin VAR102 <= 1; end else begin VAR102 <= 0; end if ( (VAR50[1] == VAR99) & (VAR75[1] == VAR13) ) begin VAR113 <= 1; end else begin VAR113 <= 0; end end assign VAR61[5 : 0] = VAR122[1][5 : 0]; assign VAR61[10 : 6] = VAR122[0][4 : 0]; assign VAR119[3 : 0] = VAR12[2][3 : 0]; VAR135#( .VAR115(VAR115), .VAR49(VAR49), .VAR56(1), .VAR67("VAR33/VAR25/VAR134/VAR15.VAR94"), .VAR123(80), .VAR66(18) ) VAR135 ( .clk(clk), .VAR7(VAR7), .VAR89(VAR89), .VAR62(VAR58), .VAR69(1'b1), .VAR42(VAR74), .VAR87(VAR24) ); VAR5#( .VAR49(VAR23), .VAR115(VAR64) ) VAR44 ( .clk(clk), .VAR7(VAR20), .VAR89(VAR92), .VAR62(VAR104), .VAR69(1'b1), .VAR42(VAR68), .VAR87(VAR111) ); always @ (posedge clk) begin VAR57 <= VAR29; if (VAR50[1] == VAR99) begin if (VAR75[1] == VAR17) begin VAR31 <= 1; end end else begin VAR31 <= 0; end end always @ (posedge clk) begin if (VAR50[1] == VAR99) begin if (VAR75[1] == VAR13) begin if (VAR12[0] == 8'hEE) begin VAR98 <= VAR98 - 1; end end end else if (VAR50[2] == VAR99) begin if (VAR75[2] == VAR17) begin VAR98 <= VAR98 + 1; end end end always @ (posedge clk) begin VAR133 <= VAR98 - 1; end VAR5#( .VAR49(VAR112), .VAR115(VAR19) ) VAR2 ( .clk(clk), .VAR7(VAR31), .VAR89(VAR98), .VAR62(VAR57), .VAR69(1'b1), .VAR42(VAR133), .VAR87(VAR72) ); always @ (VAR111) begin VAR111 <= VAR111; end always @ (posedge clk) begin VAR130 <= VAR122[0]; end VAR73#( .VAR49(8), .VAR115(12), .VAR56(1), .VAR22("VAR33/VAR25/VAR134/VAR120.VAR94") ) VAR120 ( .clk(clk), .VAR42(VAR130), .VAR87(VAR82) ); VAR73#( .VAR49(4), .VAR115(8), .VAR56(1), .VAR22("VAR33/VAR25/VAR134/VAR117.VAR94") ) VAR117 ( .clk(clk), .VAR42(VAR122[0][3 : 0]), .VAR87(VAR16) ); VAR9 VAR9( .clk(clk), .en(VAR102), .VAR113(VAR113), .VAR76(VAR76), .VAR91(VAR61), .VAR60(VAR119), .VAR74(VAR41), .VAR58(VAR12[0]), .VAR43(VAR131), .VAR100(VAR80), .VAR86(VAR90), .VAR48(VAR110), .VAR6(VAR136) ); wire VAR53; reg VAR121 = 0, VAR8 = 0; always @ (posedge VAR53) begin VAR121 <= ~VAR121; end VAR116#( .VAR14(418750 / 2) ) VAR51( .VAR95(clk), .VAR36(VAR53) ); always @ (posedge clk) begin VAR8 <= VAR121; if (VAR27 == VAR78) begin VAR18 <= VAR122[0]; end else if ( VAR18 > 0 ) begin VAR18 <= VAR18 - (VAR121 ^ VAR8); end end reg [7 : 0] VAR21 = 0; always @ (posedge clk) begin VAR21 <= VAR21 + 1; end endmodule
gpl-3.0