repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nor4/sky130_fd_sc_hd__nor4.functional.v
1,329
module MODULE1 ( VAR1, VAR8, VAR6, VAR4, VAR7 ); output VAR1; input VAR8; input VAR6; input VAR4; input VAR7; wire VAR2; nor VAR5 (VAR2, VAR8, VAR6, VAR4, VAR7 ); buf VAR3 (VAR1 , VAR2 ); endmodule
apache-2.0
alankarkotwal/lca-processor
processor/lca_processor.v
9,402
module MODULE1(clk,reset); input clk,reset; wire [15:0] VAR26, VAR244 ,VAR214 ,VAR95, VAR42, VAR170, VAR86, VAR167, VAR110, VAR24, VAR245, VAR121, VAR251, VAR37, VAR193, VAR130, VAR32, VAR16, VAR208, VAR224, VAR141, VAR234, VAR118, VAR157, VAR104, VAR200, VAR34, VAR100, VAR165, VAR242, VAR258, VAR135, VAR153, VAR106, VAR105, VAR137, VAR56, VAR257, VAR223, VAR154, VAR168, VAR159, VAR178, VAR87, VAR164, VAR3, VAR30, VAR98, VAR77, VAR236, VAR51; wire [5:0] VAR20,VAR240,VAR10; wire [2:0] VAR73, VAR2, VAR156, VAR176, VAR101, VAR271, VAR144, VAR194, VAR263, VAR120; wire [1:0] VAR254, VAR47, VAR21, VAR249, VAR267, VAR184, VAR162, VAR182, VAR89, VAR155, VAR4, VAR264; wire VAR93, VAR70, VAR250, VAR111, VAR11, VAR174, VAR179, VAR166, VAR145, VAR268, VAR149, VAR27, VAR40, VAR190, VAR117, VAR81, VAR28, VAR191, VAR22, VAR140, VAR231, VAR201, VAR195, VAR84, VAR171, VAR113, VAR246, VAR202, VAR58, VAR53, VAR79, VAR148, VAR8, VAR215, VAR54, VAR91, VAR187, VAR207, VAR74, VAR17, VAR175, VAR172, VAR185, VAR96, VAR221, VAR108, VAR186; assign VAR20 = {VAR42[15:12],VAR42[1:0]}; assign VAR240 = {VAR95[15:12],VAR95[1:0]}; assign VAR10 = {VAR214[15:12],VAR214[1:0]}; VAR239 VAR211(.VAR93(VAR93),.VAR26(VAR26), .VAR169(VAR70), .VAR244(VAR244) , .VAR214(VAR214) , .VAR95(VAR95), .VAR42(VAR42), .VAR170(VAR170), .VAR86(VAR86), .VAR167(VAR167), .VAR110(VAR110), .VAR24(VAR24), .VAR245(VAR245), .VAR230(VAR250), .VAR142(VAR121), .VAR94(VAR251), .VAR222(VAR37), .clk(clk), .reset(reset)); VAR197 VAR85(.clk(clk), .reset(reset), .VAR131(VAR37), .VAR124(VAR121), .VAR220(VAR251), .VAR173(VAR32), .VAR183(VAR193), .VAR18(VAR16), .VAR243(VAR111), .VAR88(VAR11),.VAR266(VAR174)); VAR35 VAR228(.VAR25(VAR179), .VAR134(VAR193), .VAR18(VAR16), .VAR260(VAR130), .VAR67(VAR73), .VAR127(VAR2), .VAR103(VAR156), .VAR227(VAR208), .VAR65(VAR224), .VAR147(VAR166), .VAR129(VAR145), .VAR1(VAR268), .VAR15(VAR149), .VAR31(VAR176), .VAR204(VAR27), .VAR41(VAR40), .VAR6(VAR254)); VAR82 VAR5(.clk(clk), .reset(reset),.VAR76(VAR166),.VAR147(VAR190),.VAR216(VAR145), .VAR129(VAR117),.VAR19(VAR179),.VAR25(VAR81),.VAR38(VAR27), .VAR204(VAR28),.VAR60(VAR40),.VAR41(VAR191),.VAR196(VAR176), .VAR31(VAR22), .VAR143(VAR254), .VAR6(VAR47), .VAR131(VAR32), .VAR173(VAR141), .VAR124(VAR193), .VAR183(VAR234), .VAR220(VAR16),.VAR18(VAR244), .VAR243(VAR11), .VAR88(VAR140), .VAR80(VAR130), .VAR133(VAR118), .VAR59(VAR268), .VAR66(VAR231), .VAR43(VAR73), .VAR67(VAR101), .VAR248(VAR2),.VAR127(VAR271), .VAR63(VAR156), .VAR152(VAR144), .VAR237(VAR208),.VAR55(VAR157), .VAR9(VAR224),.VAR78(VAR104),.VAR39(VAR149), .VAR15(VAR201), .VAR266(VAR195)); VAR33 VAR150(.in(VAR200),.VAR252(VAR101),.VAR256(VAR271),.VAR34(VAR34),.VAR100(VAR100), .VAR70(VAR70), .write(VAR84), .VAR83(VAR194), .VAR151(VAR171), .VAR112(VAR165), .clk(clk), .reset(reset)); VAR71 VAR161(.VAR266(VAR113),.clk(clk),.reset(reset),.VAR39(VAR201),.VAR15(VAR246), .VAR9(VAR104),.VAR80(VAR118),.VAR131(VAR141),.VAR63(VAR144), .VAR247(VAR22),.VAR126(VAR47),.VAR59(VAR231), .VAR138(VAR34),.VAR229(VAR100),.VAR241(VAR70),.VAR237(VAR157),.VAR220(VAR244), .VAR78(VAR242), .VAR133(VAR258), .VAR173(VAR135), .VAR152(VAR263), .VAR163(VAR21), .VAR50(VAR249),.VAR66(VAR58),.VAR62(VAR153),.VAR177(VAR106), .VAR160(VAR53), .VAR55(VAR105), .VAR18(VAR214),.VAR68(VAR79), .VAR61(VAR81), .VAR235(VAR148),.VAR46(VAR28), .VAR226(VAR8),.VAR12(VAR191),.VAR243(VAR140), .VAR88(VAR215),.VAR147(VAR54), .VAR76(VAR190), .VAR129(VAR91), .VAR216(VAR117)); VAR48 VAR255(.clk(clk), .reset(reset), .VAR137(VAR137), .VAR217(VAR246),.VAR69(VAR56), .VAR132(VAR153), .VAR13(VAR106), .VAR92(VAR215), .VAR187(VAR187), .VAR97(VAR207),.VAR267(VAR267), .VAR23(VAR184), .VAR125(VAR105), .VAR188(VAR54), .VAR210(VAR91), .VAR257(VAR257), .VAR162(VAR162), .VAR18(VAR214), .VAR198(VAR223), .VAR7(VAR154), .VAR233(VAR168), .VAR206(VAR159), .VAR253(VAR178), .VAR123(VAR87), .VAR192(VAR164), .VAR158(VAR182), .VAR45(VAR184), .VAR20(VAR20), .VAR36(VAR42[11:9]),.VAR119(VAR42[8:6]), .VAR99(VAR42[5:3]), .VAR240(VAR240),.VAR139(VAR95[11:9]), .VAR14(VAR95[8:6]),.VAR265(VAR95[5:3]),.VAR10(VAR10), .VAR90(VAR214[11:9]),.VAR180(VAR214[8:6]),.VAR269(VAR214[5:3]), .VAR116(VAR175),.VAR219(VAR74),.VAR122(VAR17)); VAR146 VAR109(.clk(clk), .reset(reset), .VAR44(VAR162), .VAR72(VAR187), .VAR261(VAR17), .VAR9(VAR242), .VAR80(VAR258), .VAR75(VAR137), .VAR131(VAR135), .VAR63(VAR263), .VAR49(VAR74), .VAR247(VAR21), .VAR126(VAR249), .VAR59(VAR58), .VAR138(VAR153), .VAR229(VAR106), .VAR114(VAR257), .VAR162(VAR182), .VAR187(VAR175), .VAR205(VAR172), .VAR78(VAR178), .VAR133(VAR159), .VAR137(VAR223), .VAR173(VAR3), .VAR152(VAR120), .VAR262(VAR185), .VAR163(VAR89), .VAR50(VAR155),.VAR66(VAR96), .VAR62(VAR30), .VAR177(VAR98), .VAR257(VAR77), .VAR220(VAR214), .VAR18(VAR95), .VAR235(VAR221),.VAR46(VAR148), .VAR226(VAR108), .VAR12(VAR8),.VAR68(VAR186), .VAR61(VAR79)); VAR209 VAR136(.VAR199(VAR95), .VAR189(VAR42), .VAR115(VAR77), .VAR137(VAR223), .VAR235(VAR221), .VAR226(VAR108), .VAR236(VAR236), .VAR102(VAR186), .VAR66(VAR96), .VAR56(VAR56), .VAR233(VAR168),.VAR181(VAR30),.VAR225(VAR98),.VAR259(VAR207), .VAR116(VAR175)); VAR57 VAR128(.clk(clk),.reset(reset),.VAR44(VAR182),.VAR72(VAR175),.VAR107(VAR236), .VAR261(VAR172),.VAR9(VAR178),.VAR80(VAR159),.VAR75(VAR223), .VAR131(VAR3),.VAR63(VAR120), .VAR49(VAR185), .VAR247(VAR89),.VAR126(VAR155),.VAR162(VAR184), .VAR187(VAR207), .VAR236(VAR168), .VAR205(VAR84), .VAR78(VAR87), .VAR133(VAR51), .VAR137(VAR154), .VAR173(VAR164),.VAR152(VAR194), .VAR262(VAR171), .VAR163(VAR4),.VAR50(VAR264), .VAR220(VAR95), .VAR18(VAR42)); VAR238 VAR212(.clk(clk), .reset(clk), .VAR65(VAR87), .VAR236(VAR168), .VAR133(VAR51), .VAR137(VAR154), .VAR173(VAR164) , .VAR29(VAR4), .VAR213(VAR264), .VAR200(VAR200), .VAR165(VAR200)); VAR232 VAR270(.VAR93(VAR93),.VAR26(VAR26),.VAR88(VAR111),.clk(clk),.VAR113(VAR113), .VAR195(VAR195), .VAR174(VAR174) ,.VAR16(VAR16), .VAR52(VAR193), .VAR244(VAR244), .VAR218(VAR244),.VAR214(VAR214), .VAR95(VAR95) , .VAR250(VAR250),.VAR169(VAR53)); endmodule VAR203 register VAR64
gpl-2.0
danidim13/labo-digitales
Experimento4/Module_ROM.v
1,894
module MODULE1 ( input wire[15:0] VAR12, output reg [27:0] VAR11 ); always @ ( VAR12 ) begin case (VAR12) 0: VAR11 = { VAR14 ,24'd4000 }; 1: VAR11 = { VAR16 ,VAR1, 16'h0002}; 2: VAR11 = { VAR16 ,VAR13, 16'h0005}; 3: VAR11 = { VAR16 ,VAR10, 16'h0008}; 4: VAR11 = { VAR9, 8'd14, 16'd0}; 5: VAR11 = { VAR16 ,VAR8, 16'h0000}; 6: VAR11 = { VAR9, 8'd9, 16'b0 }; 7: VAR11 = { VAR14 , 24'd4000 }; 8: VAR11 = { VAR7 , 8'd7, 16'b0 }; 9: VAR11 = { VAR16 ,VAR1, 16'h0001}; 10: VAR11 = {VAR15 ,VAR13, VAR13, VAR1}; 11: VAR11 = {VAR14 ,24'd4000 }; 12: VAR11 = {VAR2 ,24'd0 }; 13: VAR11 = {VAR14 ,24'd4000 }; 14: VAR11 = {VAR16 ,VAR1, 16'h0000}; 15: VAR11 = {VAR16 ,VAR6, 16'h0001}; 16: VAR11 = {VAR15 ,VAR1, VAR1, VAR13}; 17: VAR11 = {VAR4 ,VAR10, VAR10, VAR6}; 18: VAR11 = {VAR5 ,8'd16, VAR6, VAR10}; 19: VAR11 = {VAR2 ,24'd0 }; default: VAR11 = { VAR3 , 24'b10101010 }; endcase end endmodule
gpl-3.0
timtian090/Playground
UVM/UVMPlayground/Lab3/Lab3-Project/CLS_Fadeout_Timer.v
1,854
module MODULE1 parameter VAR4 = 50000000, parameter VAR2 = 1000 ) ( output reg VAR3, input VAR1 ); begin begin begin end begin
mit
tmolteno/TART
hardware/FPGA/tart_spi/verilog/acquire/raw_acquire.v
5,259
module MODULE1 parameter VAR19 = 0, parameter VAR43 = 3) ( input VAR23, input VAR37, input VAR29, input VAR5, input VAR35, input VAR33, input VAR13, input [VAR26:0] VAR3, output VAR15, output VAR45, input VAR39, output [VAR22:0] VAR31, output [31:0] VAR21, output VAR4, output [2:0] VAR42 ); wire [VAR26:0] VAR24; wire [8:0] VAR20, VAR25; VAR32 VAR34 ( .VAR11 (VAR23), .VAR12 (VAR20), .VAR38 (VAR24), .VAR6 (VAR23), .VAR40 (VAR13), .VAR1(VAR25), .VAR44 (VAR3) ); VAR30 VAR46 ( .VAR23 (VAR23), .VAR37 (VAR37), .VAR27(VAR29), .VAR33(VAR33), .VAR18(VAR20), .VAR36(VAR25), .VAR8(VAR24), .VAR41(VAR5), .VAR2(VAR15), .VAR16 (VAR45), .VAR14(VAR39), .VAR10(VAR31), .VAR7(VAR21), .VAR28 (VAR4), .VAR17 (VAR42) ); assign VAR45 = 1'b0; assign VAR31 = {(VAR9-1){1'b0}}; assign VAR21 = {32{1'b0}}; endmodule
lgpl-3.0
cpulabs/mist1032isa
src/core/dispatch/dispatch_general_register.v
5,371
module MODULE1( input wire VAR22, input wire VAR26, input wire VAR9, input wire VAR31, input wire [4:0] VAR5, input wire [31:0] VAR15, input wire [4:0] VAR32, output wire [31:0] VAR11, input wire [4:0] VAR17, output wire [31:0] VAR44, output wire [31:0] VAR27, output wire [31:0] VAR19, output wire [31:0] VAR25, output wire [31:0] VAR13, output wire [31:0] VAR35, output wire [31:0] VAR42, output wire [31:0] VAR39, output wire [31:0] VAR23, output wire [31:0] VAR2, output wire [31:0] VAR20, output wire [31:0] VAR4, output wire [31:0] VAR3, output wire [31:0] VAR36, output wire [31:0] VAR21, output wire [31:0] VAR41, output wire [31:0] VAR18, output wire [31:0] VAR28, output wire [31:0] VAR30, output wire [31:0] VAR16, output wire [31:0] VAR29, output wire [31:0] VAR33, output wire [31:0] VAR45, output wire [31:0] VAR38, output wire [31:0] VAR37, output wire [31:0] VAR14, output wire [31:0] VAR1, output wire [31:0] VAR43, output wire [31:0] VAR6, output wire [31:0] VAR8, output wire [31:0] VAR12, output wire [31:0] VAR24, output wire [31:0] VAR40 ); integer VAR7; reg [31:0] VAR10[0:31]; reg [31:0] VAR34[0:31]; always@(posedge VAR22 or negedge VAR26)begin if(!VAR26)begin for(VAR7 = 0; VAR7 < 32; VAR7 = VAR7 + 1)begin VAR10[VAR7] <= 32'h0; end end else if(VAR9)begin for(VAR7 = 0; VAR7 < 32; VAR7 = VAR7 + 1)begin VAR10[VAR7] <= 32'h0; end end else begin if(VAR31)begin VAR10[VAR5] <= VAR15; end end end always@(posedge VAR22 or negedge VAR26)begin if(!VAR26)begin for(VAR7 = 0; VAR7 < 32; VAR7 = VAR7 + 1)begin VAR34[VAR7] <= 32'h0; end end else if(VAR9)begin for(VAR7 = 0; VAR7 < 32; VAR7 = VAR7 + 1)begin VAR34[VAR7] <= 32'h0; end end else begin if(VAR31)begin VAR34[VAR5] <= VAR15; end end end assign VAR11 = VAR10[VAR32]; assign VAR44 = VAR34[VAR17]; assign VAR27 = VAR10[0]; assign VAR19 = VAR10[1]; assign VAR25 = VAR10[2]; assign VAR13 = VAR10[3]; assign VAR35 = VAR10[4]; assign VAR42 = VAR10[5]; assign VAR39 = VAR10[6]; assign VAR23 = VAR10[7]; assign VAR2 = VAR10[8]; assign VAR20 = VAR10[9]; assign VAR4 = VAR10[10]; assign VAR3 = VAR10[11]; assign VAR36 = VAR10[12]; assign VAR21 = VAR10[13]; assign VAR41 = VAR10[14]; assign VAR18 = VAR10[15]; assign VAR28 = VAR10[16]; assign VAR30 = VAR10[17]; assign VAR16 = VAR10[18]; assign VAR29 = VAR10[19]; assign VAR33 = VAR10[20]; assign VAR45 = VAR10[21]; assign VAR38 = VAR10[22]; assign VAR37 = VAR10[23]; assign VAR14 = VAR10[24]; assign VAR1 = VAR10[25]; assign VAR43 = VAR10[26]; assign VAR6 = VAR10[27]; assign VAR8 = VAR10[28]; assign VAR12 = VAR10[29]; assign VAR24 = VAR10[30]; assign VAR40 = VAR10[31]; assign VAR27 = 32'h0; assign VAR19 = 32'h0; assign VAR25 = 32'h0; assign VAR13 = 32'h0; assign VAR35 = 32'h0; assign VAR42 = 32'h0; assign VAR39 = 32'h0; assign VAR23 = 32'h0; assign VAR2 = 32'h0; assign VAR20 = 32'h0; assign VAR4 = 32'h0; assign VAR3 = 32'h0; assign VAR36 = 32'h0; assign VAR21 = 32'h0; assign VAR41 = 32'h0; assign VAR18 = 32'h0; assign VAR28 = 32'h0; assign VAR30 = 32'h0; assign VAR16 = 32'h0; assign VAR29 = 32'h0; assign VAR33 = 32'h0; assign VAR45 = 32'h0; assign VAR38 = 32'h0; assign VAR37 = 32'h0; assign VAR14 = 32'h0; assign VAR1 = 32'h0; assign VAR43 = 32'h0; assign VAR6 = 32'h0; assign VAR8 = 32'h0; assign VAR12 = 32'h0; assign VAR24 = 32'h0; assign VAR40 = 32'h0; endmodule
bsd-2-clause
rohit21122012/CPU
MU/Register.v
1,762
module MODULE1( input VAR2,clk,reset,VAR3,VAR7; output VAR6; wire VAR5,VAR1,VAR11; VAR4 VAR9(VAR5,VAR2,VAR3,VAR1); VAR8 VAR12(VAR5, VAR11, clk,reset, VAR1); VAR10 VAR13(VAR6, VAR5, VAR7); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nor2b/sky130_fd_sc_ms__nor2b.blackbox.v
1,307
module MODULE1 ( VAR7 , VAR5 , VAR4 ); output VAR7 ; input VAR5 ; input VAR4; supply1 VAR6; supply0 VAR1; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
johan92/altera_opencl_sandbox
vector_add/bin_vector_add/iface/ip/Write_Master/ST_to_MM_Adapter.v
5,311
module MODULE1 ( clk, reset, enable, address, VAR14, VAR20, VAR7, VAR21, VAR11, VAR18, VAR16 ); parameter VAR2 = 32; parameter VAR19 = 2; parameter VAR17 = 32; parameter VAR15 = 0; localparam VAR6 = VAR19 + 1; input clk; input reset; input enable; input [VAR17-1:0] address; input VAR14; input VAR20; input VAR7; output wire [VAR2-1:0] VAR21; input [VAR2-1:0] VAR11; input VAR18; output wire VAR16; wire [VAR6-1:0] VAR4; wire [VAR2-1:0] VAR5; wire [VAR2-1:0] VAR23; reg [VAR2-1:0] VAR9; wire [VAR2-1:0] VAR8; wire [VAR6-2:0] VAR12; reg [VAR6-2:0] VAR13; wire [VAR2-1:0] VAR22 [0:((VAR2/8)-1)]; wire [VAR2-1:0] VAR1 [0:((VAR2/8)-1)]; always @ (posedge clk or posedge reset) begin if (reset) begin VAR13 <= 0; end else if (VAR14) begin VAR13 <= VAR12; end end always @ (posedge clk or posedge reset) begin if (reset) begin VAR9 <= 0; end else begin if (VAR14 == 1) begin VAR9 <= 0; end else if (VAR16 == 1) begin VAR9 <= VAR23; end end end assign VAR4 = (VAR2/8) - address[VAR19-1:0]; assign VAR12 = VAR4 - 1; assign VAR8 = VAR5 | VAR9; generate genvar VAR10; for(VAR10 = 0; VAR10 < (VAR2/8); VAR10 = VAR10 + 1) begin: VAR3 assign VAR22[VAR10] = VAR11 << (8 * ((VAR2/8)-(VAR10+1))); assign VAR1[VAR10] = VAR11 >> (8 * (VAR10 + 1)); end endgenerate assign VAR5 = VAR22[VAR13]; assign VAR23 = VAR1[VAR13]; generate if (VAR15 == 1) begin assign VAR16 = (VAR18 == 0) & (VAR7 == 0) & (VAR20 == 0) & (enable == 1) & (VAR14 == 0); assign VAR21 = VAR8; end else begin assign VAR16 = (VAR18 == 0) & (VAR7 == 0) & (VAR20 == 0) & (enable == 1); assign VAR21 = VAR11; end endgenerate endmodule
mit
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/db/ip/Video_System/submodules/altera_reset_controller.v
3,490
module MODULE1 parameter VAR17 = 6, parameter VAR4 = "VAR21", parameter VAR25 = 2 ) ( input VAR18, input VAR20, input VAR14, input VAR6, input VAR22, input VAR23, input VAR1, input VAR5, input VAR24, input VAR8, input VAR13, input VAR9, input VAR11, input VAR27, input VAR16, input VAR10, input clk, output VAR3 ); localparam VAR26 = (VAR4 == "VAR21"); wire VAR19; assign VAR19 = ( VAR18 | VAR20 | VAR14 | VAR6 | VAR22 | VAR23 | VAR1 | VAR5 | VAR24 | VAR8 | VAR13 | VAR9 | VAR11 | VAR27 | VAR16 | VAR10 ); generate if (VAR4 == "none") begin assign VAR3 = VAR19; end else begin VAR7 .VAR12 (VAR25), .VAR26(VAR26) ) VAR2 ( .clk (clk), .VAR15 (VAR19), .VAR3 (VAR3) ); end endgenerate endmodule
gpl-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/db/db_strong_filter.v
17,069
module MODULE1( VAR55, VAR48 , VAR189 , VAR73 , VAR177, VAR181 , VAR50 , VAR227 , VAR206, VAR93 , VAR106 , VAR30 , VAR49, VAR220 , VAR135 , VAR235 , VAR14, VAR67 , VAR153 , VAR88 , VAR159, VAR134 , VAR223 , VAR216 , VAR68, VAR201 , VAR89 , VAR202 , VAR81, VAR118 , VAR236 , VAR105 , VAR164, VAR84 , VAR42 , VAR109, VAR229 , VAR74 , VAR54, VAR176 , VAR156 , VAR12, VAR155 , VAR172 , VAR17, VAR210 , VAR208 , VAR64, VAR47 , VAR230 , VAR29, VAR185 , VAR24 , VAR120, VAR102 , VAR44 , VAR59 ); input [4:0] VAR55; input [7:0] VAR48 , VAR189 , VAR73 , VAR177 , VAR181 , VAR50 , VAR227 , VAR206 , VAR93 , VAR106 , VAR30 , VAR49 , VAR220 , VAR135 , VAR235 , VAR14 ; input [7:0] VAR67 , VAR153 , VAR88 , VAR159 , VAR134 , VAR223 , VAR216 , VAR68 , VAR201 , VAR89 , VAR202 , VAR81 , VAR118 , VAR236 , VAR105 , VAR164 ; output wire [7:0] VAR84 , VAR42 , VAR109 , VAR229 , VAR74 , VAR54 , VAR176 , VAR156 , VAR12 , VAR155 , VAR172 , VAR17 ; output wire [7:0] VAR210 , VAR208 , VAR64 , VAR47 , VAR230 , VAR29 , VAR185 , VAR24 , VAR120 , VAR102 , VAR44 , VAR59 ; wire signed [8:0] VAR91 = {2'b0,VAR55,1'b0} ; wire [7:0] VAR169 = {1'b0,VAR55,1'b0} ; wire signed [8:0] VAR101 = {1'b0 , VAR48 } ; wire signed [8:0] VAR21 = {1'b0 , VAR189 } ; wire signed [8:0] VAR111 = {1'b0 , VAR73 } ; wire signed [8:0] VAR141 = {1'b0 , VAR181 } ; wire signed [8:0] VAR150 = {1'b0 , VAR50 } ; wire signed [8:0] VAR214 = {1'b0 , VAR227 } ; wire signed [8:0] VAR8 = {1'b0 , VAR93 } ; wire signed [8:0] VAR9 = {1'b0 , VAR106 } ; wire signed [8:0] VAR94 = {1'b0 , VAR30 } ; wire signed [8:0] VAR45 = {1'b0 , VAR220 } ; wire signed [8:0] VAR117 = {1'b0 , VAR135 } ; wire signed [8:0] VAR228 = {1'b0 , VAR235 } ; wire signed [8:0] VAR41 = {1'b0 , VAR67 } ; wire signed [8:0] VAR95 = {1'b0 , VAR153 } ; wire signed [8:0] VAR100 = {1'b0 , VAR88 } ; wire signed [8:0] VAR28 = {1'b0 , VAR134 } ; wire signed [8:0] VAR199 = {1'b0 , VAR223 } ; wire signed [8:0] VAR231 = {1'b0 , VAR216 } ; wire signed [8:0] VAR203 = {1'b0 , VAR201 } ; wire signed [8:0] VAR83 = {1'b0 , VAR89 } ; wire signed [8:0] VAR147 = {1'b0 , VAR202 } ; wire signed [8:0] VAR196 = {1'b0 , VAR118 } ; wire signed [8:0] VAR5 = {1'b0 , VAR236 } ; wire signed [8:0] VAR96 = {1'b0 , VAR105 } ; wire signed [8:0] VAR51 = VAR101 - VAR91 ; wire signed [8:0] VAR103 = VAR21 - VAR91 ; wire signed [8:0] VAR82 = VAR111 - VAR91 ; wire [8:0] VAR98 = VAR48 + VAR169 ; wire [8:0] VAR136 = VAR189 + VAR169 ; wire [8:0] VAR143 = VAR73 + VAR169 ; wire signed [8:0] VAR158 = VAR141 - VAR91 ; wire signed [8:0] VAR192 = VAR150 - VAR91 ; wire signed [8:0] VAR225 = VAR214 - VAR91 ; wire [8:0] VAR1 = VAR181 + VAR169 ; wire [8:0] VAR217 = VAR50 + VAR169 ; wire [8:0] VAR213 = VAR227 + VAR169 ; wire signed [8:0] VAR78 = VAR8 - VAR91 ; wire signed [8:0] VAR61 = VAR9 - VAR91 ; wire signed [8:0] VAR160 = VAR94 - VAR91 ; wire [8:0] VAR180 = VAR93 + VAR169 ; wire [8:0] VAR108 = VAR106 + VAR169 ; wire [8:0] VAR139 = VAR30 + VAR169 ; wire signed [8:0] VAR32 = VAR45 - VAR91 ; wire signed [8:0] VAR26 = VAR117 - VAR91 ; wire signed [8:0] VAR205 = VAR228 - VAR91 ; wire [8:0] VAR233 = VAR220 + VAR169 ; wire [8:0] VAR212 = VAR135 + VAR169 ; wire [8:0] VAR146 = VAR235 + VAR169 ; wire [7:0] VAR116 = VAR51[8]? 8'd0 : VAR51[7:0]; wire [7:0] VAR38 = VAR103[8]? 8'd0 : VAR103[7:0]; wire [7:0] VAR162 = VAR82[8]? 8'd0 : VAR82[7:0]; wire [7:0] VAR133 = VAR98[8] ? 8'd255: VAR98[7:0] ; wire [7:0] VAR11 = VAR136[8] ? 8'd255: VAR136[7:0] ; wire [7:0] VAR128 = VAR143[8] ? 8'd255: VAR143[7:0] ; wire [7:0] VAR182 = VAR158[8]? 8'd0 : VAR158[7:0]; wire [7:0] VAR52 = VAR192[8]? 8'd0 : VAR192[7:0]; wire [7:0] VAR39 = VAR225[8]? 8'd0 : VAR225[7:0]; wire [7:0] VAR110 = VAR1[8] ? 8'd255: VAR1[7:0] ; wire [7:0] VAR234 = VAR217[8] ? 8'd255: VAR217[7:0] ; wire [7:0] VAR197 = VAR213[8] ? 8'd255: VAR213[7:0] ; wire [7:0] VAR85 = VAR78[8]? 8'd0 : VAR78[7:0]; wire [7:0] VAR46 = VAR61[8]? 8'd0 : VAR61[7:0]; wire [7:0] VAR53 = VAR160[8]? 8'd0 : VAR160[7:0]; wire [7:0] VAR6 = VAR180[8] ? 8'd255: VAR180[7:0] ; wire [7:0] VAR112 = VAR108[8] ? 8'd255: VAR108[7:0] ; wire [7:0] VAR43 = VAR139[8] ? 8'd255: VAR139[7:0] ; wire [7:0] VAR183 = VAR32[8]? 8'd0 : VAR32[7:0]; wire [7:0] VAR114 = VAR26[8]? 8'd0 : VAR26[7:0]; wire [7:0] VAR148 = VAR205[8]? 8'd0 : VAR205[7:0]; wire [7:0] VAR152 = VAR233[8] ? 8'd255: VAR233[7:0] ; wire [7:0] VAR80 = VAR212[8] ? 8'd255: VAR212[7:0] ; wire [7:0] VAR129 = VAR146[8] ? 8'd255: VAR146[7:0] ; wire signed [8:0] VAR27 = VAR41 - VAR91 ; wire signed [8:0] VAR23 = VAR95 - VAR91 ; wire signed [8:0] VAR92 = VAR100 - VAR91 ; wire [8:0] VAR215 = VAR67 + VAR169 ; wire [8:0] VAR99 = VAR153 + VAR169 ; wire [8:0] VAR186 = VAR88 + VAR169 ; wire signed [8:0] VAR58 = VAR28 - VAR91 ; wire signed [8:0] VAR145 = VAR199 - VAR91 ; wire signed [8:0] VAR171 = VAR231 - VAR91 ; wire [8:0] VAR138 = VAR134 + VAR169 ; wire [8:0] VAR144 = VAR223 + VAR169 ; wire [8:0] VAR124 = VAR216 + VAR169 ; wire signed [8:0] VAR113 = VAR203 - VAR91 ; wire signed [8:0] VAR224 = VAR83 - VAR91 ; wire signed [8:0] VAR70 = VAR147 - VAR91 ; wire [8:0] VAR130 = VAR201 + VAR169; wire [8:0] VAR87 = VAR89 + VAR169 ; wire [8:0] VAR221 = VAR202 + VAR169 ; wire signed [8:0] VAR97 = VAR196 - VAR91 ; wire signed [8:0] VAR86 = VAR5 - VAR91 ; wire signed [8:0] VAR166 = VAR96 - VAR91 ; wire [8:0] VAR104 = VAR118 + VAR169; wire [8:0] VAR222 = VAR236 + VAR169 ; wire [8:0] VAR66 = VAR105 + VAR169 ; wire [7:0] VAR13 = VAR27[8]? 8'd0 : VAR27[7:0]; wire [7:0] VAR151 = VAR23[8]? 8'd0 : VAR23[7:0]; wire [7:0] VAR119 = VAR92[8]? 8'd0 : VAR92[7:0]; wire [7:0] VAR170 = VAR215[8] ? 8'd255: VAR215[7:0] ; wire [7:0] VAR4 = VAR99[8] ? 8'd255: VAR99[7:0] ; wire [7:0] VAR131 = VAR186[8] ? 8'd255: VAR186[7:0] ; wire [7:0] VAR71 = VAR58[8] ? 8'd0 : VAR58[7:0]; wire [7:0] VAR37 = VAR145[8] ? 8'd0 : VAR145[7:0]; wire [7:0] VAR2 = VAR171[8] ? 8'd0 : VAR171[7:0]; wire [7:0] VAR178 = VAR138[8] ? 8'd255: VAR138[7:0] ; wire [7:0] VAR165 = VAR144[8] ? 8'd255: VAR144[7:0] ; wire [7:0] VAR34 = VAR124[8] ? 8'd255: VAR124[7:0] ; wire [7:0] VAR142 = VAR113[8] ? 8'd0 : VAR113[7:0]; wire [7:0] VAR218 = VAR224[8] ? 8'd0 : VAR224[7:0]; wire [7:0] VAR60 = VAR70[8] ? 8'd0 : VAR70[7:0]; wire [7:0] VAR57 = VAR130[8] ? 8'd255: VAR130[7:0] ; wire [7:0] VAR10 = VAR87[8] ? 8'd255: VAR87[7:0] ; wire [7:0] VAR31 = VAR221[8] ? 8'd255: VAR221[7:0] ; wire [7:0] VAR25 = VAR97[8] ? 8'd0 : VAR97[7:0]; wire [7:0] VAR18 = VAR86[8] ? 8'd0 : VAR86[7:0]; wire [7:0] VAR121 = VAR166[8] ? 8'd0 : VAR166[7:0]; wire [7:0] VAR107 = VAR104[8] ? 8'd255: VAR104[7:0] ; wire [7:0] VAR161 = VAR222[8] ? 8'd255: VAR222[7:0] ; wire [7:0] VAR195 = VAR66[8] ? 8'd255: VAR66[7:0] ; wire [10:0] VAR126 = VAR111 + VAR21 + VAR101 + VAR41 + 2; wire [10:0] VAR79 = VAR214 + VAR150 + VAR141 + VAR28 + 2; wire [10:0] VAR132 = VAR94 + VAR9 + VAR8 + VAR203 + 2; wire [10:0] VAR137 = VAR228 + VAR117 + VAR45 + VAR196 + 2; wire [8:0] VAR194 =(VAR126 + VAR189 +VAR48 +VAR67 + VAR153+ 2 )>>3; wire [8:0] VAR19 = VAR126 >> 2; wire [8:0] VAR191 =(VAR126 + 2*VAR177+ 2* VAR73 + 2)>>3; wire [8:0] VAR168 =(VAR79 + VAR50 +VAR181 +VAR134 + VAR223 + 2)>>3; wire [8:0] VAR207 = VAR79>>2; wire [8:0] VAR3 =(VAR79 + 2*VAR206+ 2*VAR227 + 2)>>3; wire [8:0] VAR77 =(VAR132 + VAR106 +VAR93 +VAR201 + VAR89 + 2 )>>3; wire [8:0] VAR219 = VAR132>>2; wire [8:0] VAR209 =(VAR132 + 2*VAR49 +2*VAR30 + 2 )>>3; wire [8:0] VAR154 =(VAR137 + VAR135 +VAR220 +VAR118 + VAR236 + 2 )>> 3 ; wire [8:0] VAR65 = VAR137>>2; wire [8:0] VAR173 =(VAR137 + 2*VAR14 +2*VAR235 + 2 ) >> 3 ; wire [10:0] VAR72 = VAR88 + VAR153 + VAR67 + VAR48 + 2; wire [10:0] VAR125 = VAR216 + VAR223 + VAR134 + VAR181 + 2; wire [10:0] VAR232 = VAR202 + VAR89 + VAR201 + VAR93 + 2; wire [10:0] VAR149 = VAR105 + VAR236 + VAR118 + VAR220 + 2; wire [8:0] VAR167 =(VAR72 +VAR153 +VAR67 +VAR48 + VAR189 + 2)>> 3 ; wire [8:0] VAR90 = VAR72 >> 2 ; wire [8:0] VAR200 =(VAR72 +2*VAR159 +2*VAR88 + 2)>>3; wire [8:0] VAR122 =(VAR125 +VAR223 +VAR134 +VAR181 + VAR50 + 2)>> 3 ; wire [8:0] VAR40 = VAR125 >> 2 ; wire [8:0] VAR63 =(VAR125 +2*VAR68 +2*VAR216 + 2) >> 3 ; wire [8:0] VAR33 =(VAR232 +VAR89 +VAR201 +VAR93 + VAR106 + 2)>> 3 ; wire [8:0] VAR76 = VAR232 >> 2 ; wire [8:0] VAR69 =(VAR232 +2*VAR81 +2*VAR202 + 2)>> 3 ; wire [8:0] VAR16 =(VAR149 +VAR236 +VAR118 +VAR220 + VAR135 + 2)>> 3 ; wire [8:0] VAR115 = VAR149 >> 2 ; wire [8:0] VAR75 =(VAR149 + 2*VAR164 +2*VAR105 + 2 ) >> 3 ; VAR187 VAR175(VAR84 , VAR116 , VAR133 , VAR194 ); VAR187 VAR62(VAR42 , VAR38 , VAR11 , VAR19 ); VAR187 VAR123(VAR109 , VAR162 , VAR128 , VAR191 ); VAR187 VAR179(VAR229 , VAR182 , VAR110 , VAR168 ); VAR187 VAR36(VAR74 , VAR52 , VAR234 , VAR207 ); VAR187 VAR22(VAR54 , VAR39 , VAR197 , VAR3 ); VAR187 VAR127(VAR176 , VAR85 , VAR6 , VAR77 ); VAR187 VAR15(VAR156 , VAR46 , VAR112 , VAR219 ); VAR187 VAR174(VAR12 , VAR53 , VAR43 , VAR209 ); VAR187 VAR204(VAR155 , VAR183 , VAR152 , VAR154 ); VAR187 VAR140(VAR172 , VAR114 , VAR80 , VAR65 ); VAR187 VAR56(VAR17 , VAR148 , VAR129 , VAR173 ); VAR187 VAR190(VAR210 , VAR13 , VAR170 , VAR167 ); VAR187 VAR188(VAR208 , VAR151 , VAR4 , VAR90 ); VAR187 VAR211(VAR64 , VAR119 , VAR131 , VAR200 ); VAR187 VAR226(VAR47 , VAR71 , VAR178 , VAR122 ); VAR187 VAR198(VAR230 , VAR37 , VAR165 , VAR40 ); VAR187 VAR163(VAR29 , VAR2 , VAR34 , VAR63 ); VAR187 VAR193(VAR185 , VAR142 , VAR57 , VAR33 ); VAR187 VAR20(VAR24 , VAR218 , VAR10 , VAR76 ); VAR187 VAR35(VAR120 , VAR60 , VAR31 , VAR69 ); VAR187 VAR7(VAR102 , VAR25 , VAR107 , VAR16 ); VAR187 VAR157(VAR44 , VAR18 , VAR161 , VAR115 ); VAR187 VAR184(VAR59 , VAR121 , VAR195 , VAR75 ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o21bai/sky130_fd_sc_lp__o21bai.behavioral.pp.v
2,174
module MODULE1 ( VAR12 , VAR9 , VAR13 , VAR7, VAR17, VAR14, VAR4 , VAR16 ); output VAR12 ; input VAR9 ; input VAR13 ; input VAR7; input VAR17; input VAR14; input VAR4 ; input VAR16 ; wire VAR8 ; wire VAR2 ; wire VAR10 ; wire VAR5; not VAR18 (VAR8 , VAR7 ); or VAR15 (VAR2 , VAR13, VAR9 ); nand VAR3 (VAR10 , VAR8, VAR2 ); VAR6 VAR1 (VAR5, VAR10, VAR17, VAR14); buf VAR11 (VAR12 , VAR5 ); endmodule
apache-2.0
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/nios_mem_if_ddr2_emif_0_mm_interconnect_0.v
15,823
module MODULE1 ( input wire VAR1, input wire VAR55, input wire VAR63, input wire [31:0] VAR94, output wire VAR5, input wire [3:0] VAR54, input wire VAR80, output wire [31:0] VAR68, output wire VAR74, input wire VAR78, input wire [31:0] VAR61, output wire [31:0] VAR72, output wire VAR86, output wire VAR59, input wire [31:0] VAR97, output wire [31:0] VAR98, output wire [0:0] VAR65, output wire [3:0] VAR83, input wire VAR70, input wire VAR40 ); wire VAR101; wire [31:0] VAR18; wire VAR67; wire [31:0] VAR90; wire VAR3; wire [3:0] VAR62; wire VAR52; wire VAR17; wire VAR79; wire [31:0] VAR100; wire [2:0] VAR91; VAR31 #( .VAR29 (32), .VAR49 (32), .VAR39 (1), .VAR21 (4), .VAR89 (32), .VAR6 (3), .VAR16 (1), .VAR51 (1), .VAR28 (0), .VAR42 (0), .VAR2 (0), .VAR84 (0), .VAR48 (1), .VAR32 (1), .VAR75 (0), .VAR50 (0), .VAR81 (4), .VAR12 (1), .VAR57 (0), .VAR15 (0), .VAR24 (0), .VAR13 (0), .VAR96 (0) ) VAR44 ( .clk (VAR1), .reset (VAR63), .VAR71 (VAR90), .VAR73 (VAR91), .VAR64 (VAR3), .VAR93 (VAR79), .VAR9 (VAR101), .VAR53 (VAR52), .VAR58 (VAR62), .VAR34 (VAR18), .VAR14 (VAR100), .VAR92 (VAR17), .VAR47 (VAR67), .VAR76 (VAR94), .VAR36 (VAR5), .VAR33 (VAR54), .VAR19 (VAR80), .VAR37 (VAR68), .VAR11 (VAR74), .VAR20 (VAR78), .VAR4 (VAR61), .VAR30 (1'b1), .VAR10 (1'b0), .VAR87 (1'b0), .VAR41 (1'b0), .VAR60 (1'b0), .VAR35 (1'b0), .VAR66 (), .VAR27 (1'b1), .VAR8 (2'b00), .VAR26 (), .VAR77 (1'b0), .VAR88 () ); VAR43 #( .VAR29 (32), .VAR49 (32), .VAR82 (32), .VAR39 (1), .VAR21 (4), .VAR69 (4), .VAR89 (32), .VAR6 (3), .VAR99 (0), .VAR48 (1), .VAR32 (1), .VAR7 (0), .VAR75 (0), .VAR50 (0), .VAR81 (4), .VAR12 (1), .VAR57 (0), .VAR15 (0), .VAR24 (0), .VAR25 (0), .VAR95 (0), .VAR56 (1), .VAR45 (0), .VAR22 (0), .VAR38 (0) ) VAR23 ( .clk (VAR1), .reset (VAR63), .VAR71 (VAR90), .VAR73 (VAR91), .VAR64 (VAR3), .VAR93 (VAR79), .VAR9 (VAR101), .VAR53 (VAR52), .VAR58 (VAR62), .VAR34 (VAR18), .VAR14 (VAR100), .VAR92 (VAR17), .VAR47 (VAR67), .VAR76 (VAR72), .VAR20 (VAR86), .VAR19 (VAR59), .VAR37 (VAR97), .VAR4 (VAR98), .VAR30 (VAR65), .VAR33 (VAR83), .VAR11 (VAR70), .VAR36 (VAR40), .VAR87 (), .VAR10 (), .VAR46 (), .VAR60 (), .VAR41 (), .VAR27 (), .VAR66 (1'b0), .VAR35 (), .VAR85 (), .VAR8 (), .VAR26 (2'b00), .VAR77 (), .VAR88 (1'b0) ); endmodule
gpl-3.0
Digilent/vivado-library
ip/hls_gamma_correction_1_0/hdl/verilog/Block_Mat_exit570_pr.v
10,921
module MODULE1 ( VAR43, VAR38, VAR36, VAR2, VAR7, VAR33, VAR39, VAR26, VAR34, VAR14, VAR37, VAR12, VAR4, VAR9, VAR22, VAR8, VAR10, VAR6, VAR23, VAR1, VAR32, VAR25, VAR3, VAR28, VAR41, VAR31, VAR27, VAR46, VAR18, VAR42 ); parameter VAR5 = 1'd1; input VAR43; input VAR38; input VAR36; input VAR2; output VAR7; input VAR33; output VAR39; output VAR26; output VAR34; output VAR14; input [15:0] VAR37; input [15:0] VAR12; input [7:0] VAR4; output [15:0] VAR9; input VAR22; output VAR8; output [15:0] VAR10; input VAR6; output VAR23; output [11:0] VAR1; input VAR32; output VAR25; output [11:0] VAR3; input VAR28; output VAR41; output [7:0] VAR31; input VAR27; output VAR46; output [15:0] VAR18; output [15:0] VAR42; reg VAR7; reg VAR39; reg VAR14; reg VAR8; reg VAR23; reg VAR25; reg VAR41; reg VAR46; reg[15:0] VAR18; reg[15:0] VAR42; reg VAR17; reg VAR40; reg VAR35; reg [0:0] VAR13; wire VAR16; reg VAR30; reg VAR44; reg VAR24; reg VAR29; reg VAR21; reg VAR20; reg VAR19; reg [15:0] VAR15; reg [15:0] VAR11; reg [0:0] VAR45;
mit
jairov4/accel-oil
solution_spartan3/impl/verilog/nfa_accept_samples_generic_hw_add_6ns_6ns_6_2.v
3,958
module MODULE3(clk, reset, VAR8, VAR7, VAR10, VAR24); input clk; input reset; input VAR8; input [6 - 1 : 0] VAR7; input [6 - 1 : 0] VAR10; output [6 - 1 : 0] VAR24; wire [6 - 1 : 0] VAR12; wire [6 - 1 : 0] VAR16; wire [3 - 1 : 0] VAR5; wire [3 - 1 : 0] VAR33; wire [6 - 1 : 3] VAR6; wire [6 - 1 : 3] VAR18; reg [3 - 1 : 0] VAR17[1 - 1 : 0]; reg [3 - 1 : 0] VAR2[1 - 1 : 0]; wire [6 - 1 : 0] VAR30; wire VAR21; wire VAR25; wire VAR32; reg VAR19; reg [3 - 1 : 0] VAR15[0 - 0 : 0]; wire [6 - 1 : 0] VAR28; assign VAR12 = VAR7; assign VAR16 = VAR10; assign VAR5 = VAR12[3 - 1 : 0]; assign VAR33 = VAR16[3 - 1 : 0]; assign VAR6 = VAR12[6 - 1 : 3]; assign VAR18 = VAR16[6 - 1 : 3]; always @ (posedge clk) begin if (VAR8) begin VAR17 [0] <= VAR6; VAR2 [0] <= VAR18; end end always @ (posedge clk) begin if (VAR8) begin VAR19 <= VAR25; end end MODULE4 MODULE4 ( .VAR9 ( VAR5 ), .VAR20 ( VAR33 ), .VAR26 ( VAR21 ), .VAR30 ( VAR30[2:0] ), .VAR27 ( VAR25 ) ); MODULE1 MODULE1 ( .VAR9 ( VAR17[0] ), .VAR20 ( VAR2[0] ), .VAR26 ( VAR19 ), .VAR30 ( VAR30[5 :3] ), .VAR27 ( VAR32 ) ); assign VAR21 = 1'b0; always @ (posedge clk) begin if (VAR8) begin VAR15 [0] <= VAR30[3-1 : 0]; end end assign VAR28[3-1 : 0] = VAR15[0]; assign VAR28[6 - 1 : 3] = VAR30[5 :3]; assign VAR24 = VAR28; endmodule module MODULE4 VAR29 = 3 )( input [VAR29-1 : 0] VAR9, input [VAR29-1 : 0] VAR20, input wire VAR26, output [VAR29-1 : 0] VAR30, output wire VAR27 ); assign {VAR27, VAR30} = VAR9 + VAR20 + VAR26; endmodule module MODULE1 VAR29 = 3 )( input [VAR29-1 : 0] VAR9, input [VAR29-1 : 0] VAR20, input wire VAR26, output [VAR29-1 : 0] VAR30, output wire VAR27 ); assign {VAR27, VAR30} = VAR9 + VAR20 + VAR26; endmodule module MODULE2( clk, reset, VAR8, VAR13, VAR14, dout); parameter VAR23 = 32'd1; parameter VAR34 = 32'd1; parameter VAR31 = 32'd1; parameter VAR1 = 32'd1; parameter VAR4 = 32'd1; input clk; input reset; input VAR8; input[VAR31 - 1:0] VAR13; input[VAR1 - 1:0] VAR14; output[VAR4 - 1:0] dout; MODULE3 VAR22( .clk( clk ), .reset( reset ), .VAR8( VAR8 ), .VAR7( VAR13 ), .VAR10( VAR14 ), .VAR24( dout )); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/and3/sky130_fd_sc_hdll__and3.behavioral.pp.v
1,828
module MODULE1 ( VAR8 , VAR6 , VAR9 , VAR1 , VAR11, VAR3, VAR5 , VAR14 ); output VAR8 ; input VAR6 ; input VAR9 ; input VAR1 ; input VAR11; input VAR3; input VAR5 ; input VAR14 ; wire VAR4 ; wire VAR10; and VAR13 (VAR4 , VAR1, VAR6, VAR9 ); VAR12 VAR7 (VAR10, VAR4, VAR11, VAR3); buf VAR2 (VAR8 , VAR10 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a21o/sky130_fd_sc_ms__a21o_1.v
2,248
module MODULE2 ( VAR7 , VAR2 , VAR3 , VAR4 , VAR1, VAR6, VAR9 , VAR8 ); output VAR7 ; input VAR2 ; input VAR3 ; input VAR4 ; input VAR1; input VAR6; input VAR9 ; input VAR8 ; VAR5 VAR10 ( .VAR7(VAR7), .VAR2(VAR2), .VAR3(VAR3), .VAR4(VAR4), .VAR1(VAR1), .VAR6(VAR6), .VAR9(VAR9), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR7 , VAR2, VAR3, VAR4 ); output VAR7 ; input VAR2; input VAR3; input VAR4; supply1 VAR1; supply0 VAR6; supply1 VAR9 ; supply0 VAR8 ; VAR5 VAR10 ( .VAR7(VAR7), .VAR2(VAR2), .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/xnor3/sky130_fd_sc_hd__xnor3_1.v
2,184
module MODULE1 ( VAR6 , VAR3 , VAR5 , VAR8 , VAR2, VAR9, VAR1 , VAR10 ); output VAR6 ; input VAR3 ; input VAR5 ; input VAR8 ; input VAR2; input VAR9; input VAR1 ; input VAR10 ; VAR4 VAR7 ( .VAR6(VAR6), .VAR3(VAR3), .VAR5(VAR5), .VAR8(VAR8), .VAR2(VAR2), .VAR9(VAR9), .VAR1(VAR1), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR6, VAR3, VAR5, VAR8 ); output VAR6; input VAR3; input VAR5; input VAR8; supply1 VAR2; supply0 VAR9; supply1 VAR1 ; supply0 VAR10 ; VAR4 VAR7 ( .VAR6(VAR6), .VAR3(VAR3), .VAR5(VAR5), .VAR8(VAR8) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
models/udp_dlatch_pr_pp_pg_n/sky130_fd_sc_hd__udp_dlatch_pr_pp_pg_n.symbol.v
1,505
module MODULE1 ( input VAR2 , output VAR1 , input VAR7 , input VAR3 , input VAR5, input VAR4 , input VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/bufinv/sky130_fd_sc_hdll__bufinv.pp.blackbox.v
1,267
module MODULE1 ( VAR5 , VAR6 , VAR1, VAR2, VAR4 , VAR3 ); output VAR5 ; input VAR6 ; input VAR1; input VAR2; input VAR4 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o2111ai/sky130_fd_sc_lp__o2111ai_4.v
2,461
module MODULE2 ( VAR4 , VAR1 , VAR3 , VAR12 , VAR8 , VAR6 , VAR10, VAR5, VAR7 , VAR2 ); output VAR4 ; input VAR1 ; input VAR3 ; input VAR12 ; input VAR8 ; input VAR6 ; input VAR10; input VAR5; input VAR7 ; input VAR2 ; VAR9 VAR11 ( .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3), .VAR12(VAR12), .VAR8(VAR8), .VAR6(VAR6), .VAR10(VAR10), .VAR5(VAR5), .VAR7(VAR7), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR4 , VAR1, VAR3, VAR12, VAR8, VAR6 ); output VAR4 ; input VAR1; input VAR3; input VAR12; input VAR8; input VAR6; supply1 VAR10; supply0 VAR5; supply1 VAR7 ; supply0 VAR2 ; VAR9 VAR11 ( .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3), .VAR12(VAR12), .VAR8(VAR8), .VAR6(VAR6) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a221oi/sky130_fd_sc_lp__a221oi.behavioral.pp.v
2,207
module MODULE1 ( VAR9 , VAR10 , VAR8 , VAR3 , VAR20 , VAR6 , VAR7, VAR18, VAR2 , VAR15 ); output VAR9 ; input VAR10 ; input VAR8 ; input VAR3 ; input VAR20 ; input VAR6 ; input VAR7; input VAR18; input VAR2 ; input VAR15 ; wire VAR16 ; wire VAR19 ; wire VAR1 ; wire VAR5; and VAR12 (VAR16 , VAR3, VAR20 ); and VAR14 (VAR19 , VAR10, VAR8 ); nor VAR11 (VAR1 , VAR16, VAR6, VAR19); VAR17 VAR4 (VAR5, VAR1, VAR7, VAR18); buf VAR13 (VAR9 , VAR5 ); endmodule
apache-2.0
travisg/cpu
rtl/lib/uart.v
3,024
module MODULE1( input clk, input rst, input VAR6, output VAR3, input addr, input VAR4, input VAR5, input [31:0] VAR7, output reg [31:0] VAR2, output reg [7:0] VAR1, output reg VAR8 ); begin begin begin
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/decapkapwr/sky130_fd_sc_lp__decapkapwr_12.v
2,026
module MODULE2 ( VAR6, VAR7 , VAR2 , VAR4 , VAR1 ); input VAR6; input VAR7 ; input VAR2 ; input VAR4 ; input VAR1 ; VAR5 VAR3 ( .VAR6(VAR6), .VAR7(VAR7), .VAR2(VAR2), .VAR4(VAR4), .VAR1(VAR1) ); endmodule module MODULE2 (); supply1 VAR6; supply1 VAR7 ; supply0 VAR2 ; supply1 VAR4 ; supply0 VAR1 ; VAR5 VAR3 (); endmodule
apache-2.0
ncos/Xilinx-Verilog
GYRACC/src/GYRO/data_controller.v
2,515
module MODULE1( clk, VAR1, rst, VAR4, sel, VAR9, VAR13, VAR10, VAR19, VAR7, VAR2 ); input clk; input VAR1; input rst; input VAR4; input [1:0] sel; input [15:0] VAR9; output [3:0] VAR13; output [3:0] VAR10; output [3:0] VAR19; output [3:0] VAR7; output [15:0] VAR2; wire [15:0] VAR18; wire [19:0] VAR15; wire [15:0] VAR14; wire [19:0] VAR3; wire [15:0] VAR20; assign VAR13 = (VAR4 == 1'b1) ? VAR20[15:12] : 4'b0000; assign VAR10 = (VAR4 == 1'b1) ? VAR20[11:8] : VAR9[15:12]; assign VAR19 = (VAR4 == 1'b1) ? VAR20[7:4] : VAR9[11:8]; assign VAR7 = (VAR4 == 1'b1) ? VAR20[3:0] : VAR9[7:4]; assign VAR2 = {VAR13, VAR10, VAR19, VAR7}; assign VAR20[15:12] = ((VAR9[15] == 1'b1 & (VAR20[11:0] != 0))) ? 4'b1111 : 4'b1010; assign VAR14 = (VAR9[15] == 1'b1) ? ((~(VAR9)) + 1'b1) : VAR9; assign VAR15 = (sel != 2'b11) ? (VAR14 * 4'b1001) : {4'b0000, (5'd25 - (VAR9 - 5'd25))}; assign VAR3 = (sel != 2'b11) ? {10'b0000000000, VAR15[19:10]} : VAR15; VAR12 VAR5( .VAR17(clk), .VAR6(rst), .VAR11(VAR1), .VAR8(VAR3[15:0]), .VAR16(VAR18) ); assign VAR20[11:0] = (sel == 2'b11) ? {VAR18[7:0], 4'b1011} : VAR18[11:0]; endmodule
mit
olgirard/openmsp430
fpga/xilinx_diligent_s3board/rtl/verilog/coregen/rom_8x2k_hi.v
3,950
module MODULE1( addr, clk, din, dout, en, VAR28); input [10 : 0] addr; input clk; input [7 : 0] din; output [7 : 0] dout; input en; input VAR28; VAR26 #( .VAR2(11), .VAR1("0"), .VAR38(2048), .VAR32(0), .VAR4(1), .VAR46(1), .VAR44(1), .VAR29(0), .VAR43(0), .VAR40(0), .VAR15(0), .VAR31(0), .VAR16(1), .VAR37(18), .VAR11("VAR20"), .VAR6(0), .VAR12(0), .VAR9("0"), .VAR5(8), .VAR35(0), .VAR24("0"), .VAR45(1), .VAR23(0), .VAR25("VAR8"), .VAR22(0), .VAR30("16kx1"), .VAR33(1), .VAR13("1024"), .VAR27(0), .VAR7(0), .VAR19(1)) VAR18 ( .VAR36(addr), .VAR21(clk), .VAR42(din), .VAR34(dout), .VAR14(en), .VAR17(VAR28), .VAR41(), .VAR39(), .VAR3(), .VAR10()); endmodule
bsd-3-clause
TalentlessAlpaca/Automated_Vacuum_Cleaner
j1_soc/hdl/Position/bit_ctrl.v
11,958
module MODULE1( input clk, input VAR20, input rst, input en, input VAR7, input VAR33, input VAR21, input VAR1, output reg VAR15, output reg VAR34, output reg VAR14, input VAR13, output reg VAR36, output reg VAR10 ); reg VAR22,VAR5; reg VAR39,VAR25; reg[4:0] state; reg[2:0] VAR18; reg VAR24; reg VAR35,VAR9,VAR26; localparam VAR2 = 0, VAR4 = 1, VAR30 = 2, VAR28 = 3, VAR3 = 4, VAR12 = 5, VAR16 = 6, VAR29 = 7, VAR32 = 8, VAR27 = 9, VAR31 = 10, VAR17 = 11, VAR19 = 12, VAR37 = 13, VAR8 = 14, VAR38 = 15, VAR6 = 16, VAR11 = 17; always @(negedge clk) begin VAR5 <= VAR22; VAR25 <= VAR39; VAR35 <= VAR14; VAR9 <= VAR36; VAR26 <= VAR10; VAR18[0] <= ~VAR20; VAR18[2] <= ~VAR18[1]; end always @(posedge clk) begin VAR18[1] <= ~VAR18[0]; if(rst) state <= VAR2; end else begin case(state) VAR2: begin state <= VAR4; end VAR4: begin if(en) begin if(VAR21) state <= VAR31; end else if(VAR1) state <= VAR8; end else if(VAR7) state <= VAR30; end else state <= VAR16; end else state <= VAR4; end VAR30: begin if(VAR24) state <= VAR28; end VAR28: begin if(VAR24) state <= VAR3; end VAR3: begin if(VAR24) state <= VAR12; end VAR12: begin if(VAR24) state <= VAR4; end VAR16: begin if(VAR24) state <= VAR29; end VAR29: begin if(VAR24) state <= VAR32; end VAR32: begin if(VAR24) state <= VAR27; end VAR27: begin if(VAR24) state <= VAR4; end VAR31: begin if(VAR24) state <= VAR17; end VAR17: begin if(VAR24) state <= VAR19; end VAR19: begin if(VAR24) state <= VAR37; end VAR37: begin if(VAR24) state <= VAR4; end VAR8: begin if(VAR24) state <= VAR38; end VAR38: begin if(VAR24) state <= VAR6; end VAR6: begin if(VAR24) state <= VAR11; end VAR11: begin if(VAR24) state <= VAR4; end default: begin state <=rst; end endcase end end always@(*) begin VAR24 <= VAR20 & VAR18[0]; case(state) VAR2: begin VAR15 <= 1'b0; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'VAR23; VAR10 <= 1'b0; VAR22 <= 1'b0; VAR39 <= 1'b0; end VAR4: begin VAR15 <= VAR39; VAR34 <= 1'b0; VAR14 <= VAR35; VAR36 <= VAR9; VAR10 <= VAR26; VAR22 <= VAR33; VAR39 <= VAR25; end VAR30: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'b0; VAR36 <= VAR22 ? 1'VAR23 : 1'b0; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR28: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= VAR22 ? 1'VAR23 : 1'b0; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR3: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= VAR22 ? 1'VAR23 : 1'b0; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR12: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'b0; VAR36 <= VAR22 ? 1'VAR23 : 1'b0; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR16: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'b0; VAR36 <= 1'VAR23; VAR10 <= 1'b0; VAR22 <= VAR5; VAR39 <= VAR25; end VAR29: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'VAR23; VAR10 <= 1'b0; VAR22 <= VAR5; VAR39 <= VAR13; end VAR32: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'VAR23; VAR10 <= 1'b0; VAR22 <= VAR5; VAR39 <= VAR25; end VAR27: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'b0; VAR36 <= 1'VAR23; VAR10 <= 1'b0; VAR22 <= VAR5; VAR39 <= VAR25; end VAR31: begin VAR15 <= 1'b0; VAR34 <= 1'b1; VAR14 <= VAR35; VAR36 <= 1'VAR23; VAR10 <= 1'b1; VAR22 <= 1'b0; VAR39 <= 1'b0; end VAR17: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'VAR23; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR19: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'b0; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR37: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'b0; VAR36 <= 1'b0; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR8: begin VAR15 <= 1'b0; VAR34 <= 1'b1; VAR14 <= 1'b0; VAR36 <= 1'b0; VAR10 <= 1'b1; VAR22 <= 1'b0; VAR39 <= 1'b0; end VAR38: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'b0; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR6: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'VAR23; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end VAR11: begin VAR15 <= VAR39; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'VAR23; VAR10 <= 1'b1; VAR22 <= VAR5; VAR39 <= VAR25; end default: begin VAR15 <= 1'b0; VAR34 <= 1'b1; VAR14 <= 1'VAR23; VAR36 <= 1'VAR23; VAR10 <= 1'b0; VAR22 <= 1'b0; VAR39 <= 1'b0; end endcase end endmodule
mit
sergev/vak-opensource
hardware/s3esk-openrisc/or1200/or1200_spram_128x32.v
7,625
module MODULE1( VAR26, VAR5, VAR21, clk, rst, VAR11, VAR28, VAR24, addr, VAR19, VAR8 ); parameter VAR2 = 7; parameter VAR3 = 32; input VAR26; input [VAR25 - 1:0] VAR21; output VAR5; input clk; input rst; input VAR11; input VAR28; input VAR24; input [VAR2-1:0] addr; input [VAR3-1:0] VAR19; output [VAR3-1:0] VAR8; VAR23 VAR16( .VAR17(clk), .VAR13(rst), .VAR14({1'b0, addr}), .VAR12(VAR19[15:0]), .VAR1(VAR11), .VAR6(VAR28), .VAR10(VAR8[15:0]) ); VAR23 VAR7( .VAR17(clk), .VAR13(rst), .VAR14({1'b0, addr}), .VAR12(VAR19[31:16]), .VAR1(VAR11), .VAR6(VAR28), .VAR10(VAR8[31:16]) ); VAR27 VAR9( .VAR17(clk), .VAR18(rst), .VAR14({2'b00, addr}), .VAR12(VAR19), .VAR15(4'h0), .VAR1(VAR11), .VAR6(VAR28), .VAR10(VAR8), .VAR4() ); reg [VAR3-1:0] VAR22 [(1<<VAR2)-1:0]; reg [VAR2-1:0] VAR20; assign VAR8 = (VAR24) ? VAR22[VAR20] : {VAR3{1'b0}}; always @(posedge clk or posedge rst) if (rst) VAR20 <= {VAR2{1'b0}}; else if (VAR11) VAR20 <= addr; always @(posedge clk) if (VAR11 && VAR28) VAR22[addr] <= VAR19; endmodule
apache-2.0
takeshineshiro/fpga_linear_128
DynamicFocus.v
6,544
module MODULE1 ( address, VAR3, VAR8); input [14:0] address; input VAR3; output [7:0] VAR8; wire [7:0] VAR6; wire [7:0] VAR8 = VAR6[7:0]; VAR14 VAR16 ( .VAR22 (VAR3), .VAR44 (address), .VAR13 (VAR6), .VAR41 (1'b0), .VAR21 (1'b0), .VAR26 (1'b1), .VAR46 (1'b0), .VAR9 (1'b0), .VAR48 (1'b1), .VAR40 (1'b1), .VAR19 (1'b1), .VAR31 (1'b1), .VAR42 (1'b1), .VAR43 (1'b1), .VAR7 (1'b1), .VAR28 ({8{1'b1}}), .VAR5 (1'b1), .VAR45 (), .VAR1 (), .VAR32 (1'b1), .VAR37 (1'b1), .VAR4 (1'b0), .VAR24 (1'b0)); VAR16.VAR30 = "VAR29", VAR16.VAR18 = "VAR47", VAR16.VAR17 = "VAR47", VAR16.VAR35 = "MODULE1.VAR27", VAR16.VAR2 = "VAR25 VAR20", VAR16.VAR39 = "VAR33=VAR38", VAR16.VAR50 = "VAR14", VAR16.VAR49 = 32768, VAR16.VAR15 = "VAR10", VAR16.VAR11 = "VAR29", VAR16.VAR23 = "VAR36", VAR16.VAR12 = 15, VAR16.VAR34 = 8, VAR16.VAR51 = 1; endmodule
mit
bigeagle/riffa
fpga/riffa_hdl/txc_engine_classic.v
16,045
parameter VAR111 = 128, parameter VAR24 = 1, parameter VAR52 = 0, parameter VAR22 = 64, parameter VAR61 = 10, parameter VAR132 = "VAR6" ) ( input VAR108, input VAR124, input [VAR65-1:0] VAR45, input VAR21, output [VAR111-1:0] VAR54, output VAR131, output VAR107, output [VAR62(VAR111/32)-1:0] VAR130, output VAR98, output [VAR62(VAR111/32)-1:0] VAR106, input VAR2, input [VAR111-1:0] VAR49, input VAR97, input [VAR62(VAR111/32)-1:0] VAR44, input VAR99, input [VAR62(VAR111/32)-1:0] VAR86, output VAR77, input VAR109, input [VAR32-1:0] VAR135, input [VAR5-1:0] VAR136, input [VAR89-1:0] VAR85, input [VAR50-1:0] VAR51, input [VAR59-1:0] VAR1, input [VAR10-1:0] VAR125, input [VAR64-1:0] VAR15, input [VAR82-1:0] VAR11, input [VAR90-1:0] VAR71, input [VAR134-1:0] VAR94, input VAR73, output VAR78); localparam VAR113 = VAR111; localparam VAR13 = VAR26; localparam VAR42 = (VAR132 == "VAR6") ? 32: (VAR132 == "VAR35") ? 0 : 0; localparam VAR83 = VAR24; localparam VAR133 = VAR52; localparam VAR28 = VAR133 + VAR83; wire VAR58; wire VAR47; wire [VAR13-1:0] VAR39; wire [VAR50-1:0] VAR101; wire [VAR80-1:0] VAR37; wire [VAR41-1:0] VAR23; wire [VAR59-1:0] VAR95; wire VAR30; MODULE1 .VAR52 (VAR133), .VAR24 (VAR83), .VAR111 (VAR111), .VAR13 (VAR13), .VAR42 (VAR42), .VAR132 (VAR132)) VAR70 ( .VAR31 (VAR47), .VAR40 (VAR39[VAR13-1:0]), .VAR112 (VAR30), .VAR117 (VAR95[VAR59-1:0]), .VAR68 (VAR37[VAR80-1:0]), .VAR123 (VAR23[VAR41-1:0]), .VAR4 (VAR58), .VAR78 (VAR78), .VAR108 (VAR108), .VAR124 (VAR124), .VAR45 (VAR45[VAR65-1:0]), .VAR109 (VAR109), .VAR135 (VAR135[VAR32-1:0]), .VAR136 (VAR136[VAR5-1:0]), .VAR85 (VAR85[VAR89-1:0]), .VAR1 (VAR1[VAR59-1:0]), .VAR125 (VAR125[VAR10-1:0]), .VAR15 (VAR15[VAR64-1:0]), .VAR51 (VAR51[VAR50-1:0]), .VAR11 (VAR11[VAR82-1:0]), .VAR71 (VAR71[VAR90-1:0]), .VAR94 (VAR94[VAR134-1:0]), .VAR73 (VAR73)); VAR48 .VAR113 (VAR111), .VAR61 (VAR61), .VAR24 (VAR24), .VAR52 (VAR52), .VAR28 (VAR28), .VAR13 (VAR13), .VAR22 (VAR22), .VAR132 (VAR132)) VAR17 ( .VAR4 (VAR58), .VAR103 (VAR77), .VAR91 (VAR54[VAR113-1:0]), .VAR56 (VAR107), .VAR19 (VAR130[VAR62(VAR113/32)-1:0]), .VAR14 (VAR98), .VAR116 (VAR106[VAR62(VAR113/32)-1:0]), .VAR12 (VAR131), .VAR31 (VAR47), .VAR40 (VAR39[VAR13-1:0]), .VAR112 (VAR30), .VAR117 (VAR95[VAR59-1:0]), .VAR68 (VAR37[VAR80-1:0]), .VAR123 (VAR23[VAR41-1:0]), .VAR126 (VAR2), .VAR69 (VAR49[VAR113-1:0]), .VAR119 (VAR97), .VAR46 (VAR44[VAR62(VAR113/32)-1:0]), .VAR38 (VAR99), .VAR105 (VAR86[VAR62(VAR113/32)-1:0]), .VAR122 (VAR21), .VAR108 (VAR108), .VAR124 (VAR124)); endmodule module MODULE1 parameter VAR111 = 10'd128, parameter VAR13 = VAR26, parameter VAR42 = 32, parameter VAR24 = 1, parameter VAR52 = 1, parameter VAR132 = "VAR6" ) ( input VAR108, input VAR124, input [VAR65-1:0] VAR45, input VAR109, input [VAR32-1:0] VAR135, input [VAR5-1:0] VAR136, input [VAR89-1:0] VAR85, input [VAR59-1:0] VAR1, input [VAR10-1:0] VAR125, input [VAR64-1:0] VAR15, input [VAR50-1:0] VAR51, input [VAR82-1:0] VAR11, input [VAR90-1:0] VAR71, input [VAR134-1:0] VAR94, input VAR73, output VAR78, output VAR31, output [VAR13-1:0] VAR40, output [VAR59-1:0] VAR117, output [VAR80-1:0] VAR68, output [VAR41-1:0] VAR123, output VAR112, input VAR4 ); wire [VAR13-1:0] VAR72; wire VAR58; wire VAR47; wire [VAR13-1:0] VAR39; wire [VAR50-1:0] VAR101; wire [VAR80-1:0] VAR37; wire [VAR41-1:0] VAR23; wire [VAR59-1:0] VAR95; wire VAR30; wire [VAR120-1:0] VAR33; assign VAR72[VAR87] = VAR9; assign VAR72[VAR25] = VAR121'b0; assign VAR72[VAR34] = VAR128'b0; assign VAR72[VAR7] = VAR27; assign VAR72[VAR96] = VAR53; assign VAR72[VAR79] = VAR67'b0; assign VAR72[VAR137] = VAR20'b0; assign VAR72[127:96] = 32'b0; assign VAR72[VAR92] = VAR1; assign VAR72[VAR104] = VAR73; assign VAR72[VAR16] = VAR55; assign VAR72[VAR60] = VAR94[1:0]; assign VAR72[VAR8] = VAR94[2]; assign {VAR72[VAR18], VAR72[VAR129]} = VAR102(VAR51,0); assign VAR72[VAR74] = VAR71; assign VAR72[VAR75] = VAR125; assign VAR72[VAR84] = 0; assign VAR72[VAR63] = VAR45; assign VAR72[VAR43] = VAR85; assign VAR72[VAR36] = VAR15; assign VAR72[VAR29] = VAR11; assign VAR33 = VAR39[VAR43]; assign VAR30 = ~VAR39[VAR100]; assign VAR37 = 3 + ((VAR132 == "VAR6")? {3'b0,(~VAR33[2] & ~VAR30)} : 4'b0); assign VAR95 = VAR30 ? 0 : VAR39[VAR92]; assign VAR23 = VAR95 + VAR37; VAR114 .VAR81 (VAR13), .VAR110 (0) ) VAR57 ( .VAR88 (VAR78), .VAR118 (VAR39), .VAR3 (VAR47), .VAR127 (VAR72), .VAR93 (VAR109), .VAR66 (VAR58), .VAR108 (VAR108), .VAR124 (VAR124)); VAR114 .VAR76 (VAR52?1:0), .VAR81 (VAR13+ 1 + VAR41 + VAR59 + VAR80), .VAR110 (0) ) VAR115 ( .VAR88 (VAR58), .VAR118 ({VAR40,VAR112,VAR123,VAR117,VAR68}), .VAR3 (VAR31), .VAR127 ({VAR39,VAR30,VAR23,VAR95,VAR37}), .VAR93 (VAR47), .VAR66 (VAR4), .VAR108 (VAR108), .VAR124 (VAR124)); endmodule
bsd-3-clause
rkrajnc/minimig-mist
rtl/minimig/debug.v
3,264
module MODULE1 ( input wire clk, input wire VAR26, input wire [ 9-1:1] VAR33, input wire [ 16-1:0] VAR13 ); wire [236-1:0] VAR29; VAR32 VAR32 ( .VAR33 (VAR33), .VAR29 (VAR29) ); reg VAR24 ; reg [ 4-1:0] VAR20 ; reg VAR8 ; reg VAR1 ; reg VAR30 ; reg VAR10 ; reg VAR21 ; reg VAR7 ; always @ (posedge clk) begin if (VAR26) begin if (VAR29[VAR2]) begin VAR24 <= VAR13[15]; VAR20 <= {VAR13[4], VAR13[14:12]}; VAR8 <= VAR13[11]; VAR1 <= VAR13[10]; VAR30 <= VAR13[7]; VAR10 <= VAR13[6]; VAR21 <= VAR13[2]; VAR7 <= VAR13[0]; end end end reg [ 8-1:0] VAR5 ; reg [ 8-1:0] VAR19 ; always @ (posedge clk) begin if (VAR26) begin if (VAR29[VAR16]) begin VAR5 <= {VAR13[11:10], VAR13[3:0], VAR13[9:8]}; VAR19 <= {VAR13[15:14], VAR13[7:4], VAR13[13:12]}; end end end reg VAR9 ; reg VAR31 ; reg VAR27 ; reg [ 3-1:0] VAR3 ; reg [ 3-1:0] VAR25 ; always @ (posedge clk) begin if (VAR26) begin if (VAR29[VAR23]) begin VAR9 <= VAR13[9]; VAR31 <= VAR13[8]; VAR27 <= VAR13[6]; VAR3 <= VAR13[5:3]; VAR25 <= VAR13[2:0]; end end end reg [ 3-1:0] VAR15 ; reg [ 3-1:0] VAR28 ; reg VAR17 ; reg [ 2-1:0] VAR11 ; reg VAR4 ; reg VAR14 ; always @ (posedge clk) begin if (VAR26) begin if (VAR29[VAR22]) begin VAR15 <= VAR13[15:13]; VAR28 <= VAR13[12:10]; VAR17 <= VAR13[9]; VAR11 <= VAR13[7:6]; VAR4 <= VAR13[5]; VAR14 <= VAR13[1]; end end end reg [ 8-1:0] VAR12 ; reg [ 4-1:0] VAR18 ; reg [ 4-1:0] VAR6 ; always @ (posedge clk) begin if (VAR26) begin if (VAR29[VAR34]) begin VAR12 <= VAR13[15:8]; VAR18 <= VAR13[7:4]; VAR6 <= VAR13[3:0]; end end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and2/sky130_fd_sc_lp__and2_1.v
2,086
module MODULE1 ( VAR5 , VAR1 , VAR7 , VAR2, VAR3, VAR6 , VAR8 ); output VAR5 ; input VAR1 ; input VAR7 ; input VAR2; input VAR3; input VAR6 ; input VAR8 ; VAR4 VAR9 ( .VAR5(VAR5), .VAR1(VAR1), .VAR7(VAR7), .VAR2(VAR2), .VAR3(VAR3), .VAR6(VAR6), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR5, VAR1, VAR7 ); output VAR5; input VAR1; input VAR7; supply1 VAR2; supply0 VAR3; supply1 VAR6 ; supply0 VAR8 ; VAR4 VAR9 ( .VAR5(VAR5), .VAR1(VAR1), .VAR7(VAR7) ); endmodule
apache-2.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/imports/Sources-On_Sat/PC-104_Interface/NPSAT1_ARM_CFTP_Interface.v
6,844
module MODULE1( inout wire [15:0] VAR40, input wire [10:0] VAR19, input wire VAR12, input wire VAR27, input wire VAR46, input wire VAR32, output wire VAR24, output wire VAR2, input wire VAR17, input wire [31:0] VAR45, output wire [31:0] VAR23, input wire VAR43, input wire VAR49, output wire VAR53, input wire VAR50, input wire VAR21, output wire VAR34 ); wire VAR52, VAR4, VAR10, VAR26; parameter VAR37 = 3'b000; parameter VAR39 = 3'b001; parameter VAR7 = 3'b010; parameter VAR16 = 3'b011; parameter VAR35 = 3'b100; parameter VAR41 = 3'b101; reg [2:0] VAR15, VAR25; wire VAR30; wire [15:0] VAR8, VAR47, VAR31; wire [10:0] VAR56; parameter VAR11 = 2'b00; parameter VAR9 = 2'b01; parameter VAR42 = 2'b10; parameter VAR14 = 2'b11; reg [1:0] VAR6, VAR22; reg [7:0] VAR38; reg [15:0] VAR20; wire [9:0] VAR48; wire [31:0] VAR5; VAR13 VAR51 ( .rst(VAR21), .VAR28(VAR32), .VAR36(VAR50), .din({VAR38,VAR40[7:0]}), .VAR33((VAR15 == VAR35) && (VAR19 == 11'h340)), .VAR29((VAR6 == VAR42) && (VAR17 == 1'b0)), .dout(VAR5), .VAR3(VAR52), .VAR1(VAR4), .VAR54(VAR48) ); VAR44 VAR18 ( .rst(VAR21), .VAR28(VAR50), .VAR36(VAR32), .din(VAR45), .VAR33((VAR6 == VAR9) && (VAR17 == 1'b0)), .VAR29((VAR15 == VAR39) && (VAR19 == 11'h341)), .dout(VAR8), .VAR3(VAR10), .VAR1(VAR26), .VAR54(VAR56) ); assign VAR31 = {3'b0, VAR56, VAR52, ~VAR26}; assign VAR40 = (VAR30 && ~VAR12) ? VAR20 : 16'VAR55; assign VAR30 = (((VAR19 >= 11'h340) && (VAR19 <= 11'h34F)) && ~VAR46); assign VAR2 = ~(VAR30&&~VAR12); always@(posedge VAR32) VAR20 = (VAR15 == VAR39) ? (VAR19 == 11'h340) ? {VAR8[7:0],VAR8[15:8]} : (VAR19 == 11'h341) ? {VAR8[7:0],VAR8[15:8]} : (VAR19 == 11'h342) ? VAR31 : VAR20 : VAR20; always@(negedge VAR32) VAR15 = VAR25; always@(posedge VAR32) VAR38 = ((VAR15 == VAR35) && (VAR19 == 11'h341)) ? VAR40[15:8] : VAR38; always@ begin case (VAR6) VAR11: VAR22 = (VAR49) ? VAR9 : (VAR43) ? VAR42 : VAR11; VAR9: VAR22 = VAR14; VAR42: VAR22 = VAR14; VAR14: VAR22 = ~(VAR49 || VAR43) ? VAR11 : VAR14; default : VAR22 = VAR11; endcase end endmodule
lgpl-3.0
scarlso/LED_controller
Design01.cydsn/Design01.cydsn/codegentemp/Design01.v
6,380
module MODULE1 ; wire [7:0] VAR9; wire VAR14; wire VAR45; wire VAR28; wire VAR37; wire VAR48; wire VAR61; wire VAR17; wire VAR25; wire VAR63; wire VAR44; wire VAR39; wire VAR54; wire VAR7; wire VAR66; wire VAR62; VAR55 VAR51 ( .VAR40(VAR62), .VAR38(1'b0), .VAR19(VAR7), .VAR20(VAR54), .VAR41(VAR39)); VAR60 .VAR52(""), .VAR27(0), .period("83333333.3333333"), .VAR8(0), .VAR13(1)) VAR64 (.VAR49(VAR62)); VAR57 VAR23 (.VAR47(1'b0), .VAR18(1'b0), .VAR6(VAR17)); VAR21 VAR46 ( .VAR16(VAR7), .VAR50(VAR54), .VAR26(VAR39), .VAR33(1'b0), .VAR36(VAR62), .VAR10(1'b0), .VAR68(1'b0), .VAR11(1'b0), .VAR56(1'b0), .VAR30(VAR14), .VAR65(8'b0)); endmodule
apache-2.0
hanw/sonic-lite
hw/verilog/port/async_fifo.v
1,824
module MODULE1( VAR10, VAR1, VAR12, VAR2, VAR13, VAR4, VAR7, VAR11, VAR9 ); parameter VAR3 = 4; parameter VAR14 = 1 << VAR3; parameter VAR17 = 32; input VAR12; input VAR13; input VAR2; input VAR10; input VAR1; input [65:0] VAR4; output reg [65:0] VAR7; output reg VAR9; output reg VAR11; reg [VAR3-1:0] VAR5; reg [VAR3-1:0] VAR15; reg [65:0] memory[VAR14 -1 : 0]; reg [7:0] VAR6; reg [7:0] VAR8; reg [7:0] VAR16; always @(*) begin VAR6 = VAR16 - VAR8; VAR9 = (VAR6 == 0); VAR11 = (VAR6 == VAR17); end always @(posedge VAR2) begin if(VAR12) begin VAR16 <= 0; VAR15 <= 0; end else if(!VAR11 && VAR10) begin memory[VAR15] <= VAR4; VAR15 <= VAR15 + 1'b1; VAR16 <= VAR16 + 1'b1; end end always @(posedge VAR13) begin if(VAR12) begin VAR8 <= 0; VAR5 <= 0; end else if(!VAR9 && VAR1) begin VAR7 <= memory[VAR5]; VAR5 <= VAR5 + 1'b1; VAR8 <= VAR8 + 1'b1; end end endmodule
mit
CospanDesign/vivado-ip-cores
ip/axi_on_screen_display/axi_on_screen_display.v
18,993
module MODULE1 #( parameter VAR54 = 12, parameter VAR103 = 7, parameter VAR17 = 32, parameter VAR132 = (VAR17 / 8), parameter VAR145 = 24, parameter VAR89 = 1, parameter VAR57 = 1, parameter VAR128 = 480, parameter VAR169 = 272, parameter VAR13 = 9, parameter VAR163 = 24, parameter VAR77 = 24'hFFFFFF, parameter VAR81 = 24'h000000, parameter VAR82 = 5, parameter VAR1 = 7, parameter VAR48 = 2, parameter VAR153 = 0, parameter VAR155 = VAR128, parameter VAR32 = 0, parameter VAR67 = VAR169 )( input clk, input rst, input VAR157, input [VAR103 - 1: 0] VAR58, output VAR90, input VAR161, output VAR11, input [VAR132 - 1:0] VAR56, input [VAR17 - 1: 0] VAR34, output VAR46, input VAR80, output [1:0] VAR120, input VAR8, output VAR119, input [VAR103 - 1: 0] VAR116, output VAR28, input VAR43, output [1:0] VAR15, output [VAR17 - 1: 0] VAR18, input VAR106, input VAR42, output [3:0] VAR45, output [VAR145 - 1:0] VAR174, input VAR125, output VAR134, output VAR20 ); localparam VAR36 = 0; localparam VAR110 = 1; localparam VAR131 = 2; localparam VAR136 = 3; localparam VAR168 = 4; localparam VAR87 = 5; localparam VAR159 = 6; localparam VAR74 = 7; localparam VAR76 = 8; localparam VAR167 = 9; localparam VAR137 = 10; localparam VAR51 = 11; localparam VAR176 = 12; localparam VAR156 = 13; localparam VAR72 = 14; localparam VAR108 = 15; wire [31:0] VAR148; reg VAR84; reg VAR139; reg VAR33; reg VAR107; reg VAR49; reg [31:0] VAR96; reg [31:0] VAR127; reg [31:0] VAR171; reg [31:0] VAR26; reg [7:0] VAR98; wire [23:0] VAR16; wire VAR64; wire VAR85; wire VAR109; wire [VAR145:0] VAR2; wire [31:0] VAR12; wire [VAR103 - 1: 0] VAR144; wire [((VAR103-1) - 2):0] VAR162; reg VAR22; wire VAR35; reg VAR23; wire [VAR17 - 1: 0] VAR129; wire VAR4; reg VAR44; reg [VAR17 - 1: 0] VAR146; wire VAR154; wire VAR6; wire [31:0] VAR149; reg [VAR163 - 1: 0] VAR29; reg [VAR163 - 1: 0] VAR141; reg VAR88; reg [2:0] VAR166; reg VAR115; reg VAR50; wire VAR121; wire [3:0] VAR97; wire [15:0] VAR52; reg [31:0] VAR38; reg [31:0] VAR126; reg [31:0] VAR114; reg [31:0] VAR99; VAR30 #( .VAR103 (VAR103 ), .VAR17 (VAR17 ) ) VAR70 ( .clk (clk ), .rst (VAR154 ), .VAR157 (VAR157 ), .VAR58 (VAR58 ), .VAR90 (VAR90 ), .VAR161 (VAR161 ), .VAR11 (VAR11 ), .VAR56 (VAR56 ), .VAR34 (VAR34 ), .VAR46 (VAR46 ), .VAR80 (VAR80 ), .VAR120 (VAR120 ), .VAR8 (VAR8 ), .VAR119 (VAR119 ), .VAR116 (VAR116 ), .VAR28 (VAR28 ), .VAR43 (VAR43 ), .VAR15 (VAR15 ), .VAR18 (VAR18 ), .VAR3 (VAR144 ), .VAR101 (VAR22 ), .VAR111 (VAR35 ), .VAR173 (VAR23 ), .VAR112 (VAR129 ), .VAR124 (VAR4 ), .VAR102 (VAR44 ), .VAR86 (VAR146 ) ); VAR100 #( .VAR54 (VAR54 ), .VAR128 (VAR128 ), .VAR169 (VAR169 ), .VAR13 (VAR13 ), .VAR163 (VAR163 ), .VAR82 (VAR82 ), .VAR1 (VAR1 ) )VAR24( .clk (clk ), .rst (VAR154 ), .VAR175 (VAR84 ), .VAR41 (VAR29 ), .VAR37 (VAR141 ), .VAR5 (VAR115 ), .VAR95 (VAR26 ), .VAR69 (VAR50 ), .VAR164 (VAR98 ), .VAR104 (VAR121 ), .VAR40 (VAR139 ), .VAR151 (VAR88 ), .VAR71 (VAR166 ), .VAR133 (VAR33 ), .VAR65 (VAR107 ), .VAR78 (VAR49 ), .VAR21 (VAR38 ), .VAR105 (VAR126 ), .VAR75 (VAR114 ), .VAR55 (VAR99 ), .VAR142 (VAR106 ), .VAR14 (VAR6 ), .VAR61 (VAR64 ), .VAR53 (VAR85 ), .VAR165 (VAR16 ), .VAR140 (VAR2 ), .VAR19 (VAR109 ), .VAR59 (VAR97 ), .VAR160 (VAR52 ) ); VAR135 #( .VAR17 (VAR145 ) ) VAR150 ( .rst (VAR6 ), .VAR47 (VAR106 ), .VAR73 (VAR125 ), .VAR7 (VAR174 ), .VAR93 (VAR134 ), .VAR172 (VAR20 ), .VAR63 (VAR45 ), .VAR92 (VAR64 ), .VAR27 (VAR85 ), .VAR25 (VAR16 ), .VAR117 (VAR109 ), .VAR123 (VAR2 ), .VAR118 (VAR12 ) ); assign VAR154 = (VAR89) ? ~rst : rst; assign VAR6 = (VAR57) ? ~VAR42 : VAR42; assign VAR162 = VAR144[(VAR103 - 1):2]; always @ (posedge clk) begin VAR23 <= 0; VAR44 <= 0; VAR22 <= 0; VAR115 <= 0; VAR50 <= 0; VAR88 <= 0; VAR139 <= 0; VAR107 <= 0; VAR49 <= 0; if (VAR154) begin VAR84 <= 0; VAR33 <= 0; VAR146 <= 0; VAR96 <= VAR128; VAR127 <= VAR169; VAR171 <= (VAR128 * VAR169); VAR38 <= VAR153; VAR126 <= VAR155; VAR114 <= VAR32; VAR99 <= VAR67; VAR29 <= VAR77; VAR141 <= VAR81; VAR166 <= VAR48; VAR98 <= 0; VAR26 <= 0; end else begin if (VAR35) begin case (VAR162) VAR36: begin VAR84 <= VAR129[VAR130]; VAR139 <= VAR129[VAR147]; VAR33 <= VAR129[VAR60]; VAR107 <= VAR129[VAR66]; VAR49 <= VAR129[VAR62]; end VAR87: begin VAR29 <= VAR129[VAR145 - 1: 0]; end VAR159: begin VAR141 <= VAR129[VAR145 - 1: 0]; end VAR74: begin VAR98 <= VAR129[VAR10]; VAR88 <= VAR129[VAR122]; if (VAR121) begin VAR50 <= 1; end end VAR76: begin VAR26 <= VAR129; end VAR137: begin VAR38 <= VAR129; end VAR51: begin VAR126 <= VAR129; end VAR176: begin VAR114 <= VAR129; end VAR156: begin VAR99 <= VAR129; end VAR167: begin VAR166 <= VAR129[VAR138]; end default: begin end endcase if (VAR162 > VAR108) begin VAR22 <= 1; end else if (VAR162 == VAR74) begin if (VAR121) begin VAR23 <= 1; end end else begin VAR23 <= 1; end end else if (VAR4) begin case (VAR162) VAR36: begin VAR146 <= 0; VAR146[VAR130] <= VAR84; VAR146[VAR60] <= VAR33; end VAR110: begin VAR146 <= 0; VAR146[VAR91] <= VAR6; VAR146[VAR152]<= VAR97; VAR146[VAR170] <= VAR125; VAR146[VAR9] <= VAR20; VAR146[VAR113] <= VAR45[0]; VAR146[VAR31] <= VAR134; VAR146[VAR83] <= VAR52; end VAR131: begin VAR146 <= VAR96; end VAR136: begin VAR146 <= VAR127; end VAR168: begin VAR146 <= VAR171; end VAR87: begin VAR146 <= {8'h0, VAR29}; end VAR159: begin VAR146 <= {8'h0, VAR141}; end VAR74: begin VAR146 <= VAR98; end VAR76: begin VAR146 <= VAR26; end VAR137: begin VAR146 <= VAR38; end VAR51: begin VAR146 <= VAR126; end VAR176: begin VAR146 <= VAR114; end VAR156: begin VAR146 <= VAR99; end VAR167: begin VAR146[VAR138]<= VAR166; end VAR72: begin VAR146 <= VAR12; end VAR108: begin VAR146 <= 32'h00; VAR146[VAR39] <= VAR158; VAR146[VAR94] <= VAR68; VAR146[VAR143] <= VAR79; end default: begin VAR146 <= 32'h00; end endcase if (VAR162 > VAR108) begin VAR22 <= 1; end VAR44 <= 1; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a31o/sky130_fd_sc_ls__a31o.blackbox.v
1,354
module MODULE1 ( VAR5 , VAR6, VAR7, VAR9, VAR8 ); output VAR5 ; input VAR6; input VAR7; input VAR9; input VAR8; supply1 VAR2; supply0 VAR3; supply1 VAR1 ; supply0 VAR4 ; endmodule
apache-2.0
MeshSr/onetswitch20
ons20-app21-ref_switch/vivado/onets_7020_ref_switch/ip/ref_switch_core/src/ip/rxfifo_2kx36_to_72.v
13,770
module MODULE1( rst, VAR274, VAR83, din, VAR213, VAR24, dout, VAR149, VAR336, VAR5 ); input rst; input VAR274; input VAR83; input [35 : 0] din; input VAR213; input VAR24; output [71 : 0] dout; output VAR149; output VAR336; output VAR5; VAR220 #( .VAR375(0), .VAR369(0), .VAR389(0), .VAR1(0), .VAR111(0), .VAR108(0), .VAR418(0), .VAR15(32), .VAR53(1), .VAR37(1), .VAR62(1), .VAR208(64), .VAR140(4), .VAR59(1), .VAR109(0), .VAR123(1), .VAR249(64), .VAR294(4), .VAR134(8), .VAR104(4), .VAR194(4), .VAR18(4), .VAR54(0), .VAR143(0), .VAR345(0), .VAR332(11), .VAR291("VAR333"), .VAR88(36), .VAR49(1), .VAR300(32), .VAR2(64), .VAR130(32), .VAR334(64), .VAR409(2), .VAR278("0"), .VAR263(72), .VAR139(0), .VAR317(1), .VAR198(0), .VAR388(0), .VAR243(0), .VAR279(0), .VAR240(0), .VAR74(0), .VAR174(0), .VAR253("VAR50"), .VAR339(1), .VAR30(0), .VAR183(0), .VAR245(0), .VAR68(0), .VAR162(0), .VAR238(0), .VAR267(0), .VAR41(0), .VAR218(0), .VAR66(0), .VAR16(0), .VAR187(0), .VAR396(0), .VAR344(0), .VAR205(1), .VAR45(0), .VAR348(0), .VAR75(0), .VAR121(0), .VAR46(0), .VAR178(0), .VAR319(0), .VAR154(0), .VAR321(0), .VAR293(0), .VAR28(0), .VAR428(0), .VAR316(0), .VAR235(0), .VAR193(0), .VAR76(0), .VAR427(0), .VAR17(0), .VAR116(0), .VAR11(0), .VAR373(0), .VAR209(0), .VAR92(1), .VAR156(0), .VAR165(0), .VAR411(0), .VAR8(0), .VAR297(0), .VAR413(0), .VAR250(0), .VAR390(2), .VAR117(1), .VAR362(1), .VAR23(1), .VAR237(1), .VAR236(1), .VAR410(1), .VAR170(0), .VAR35(0), .VAR400(1), .VAR166("VAR333"), .VAR107(1), .VAR150(0), .VAR352(0), .VAR158(1), .VAR230(0), .VAR6("2kx18"), .VAR96(2), .VAR172(1022), .VAR276(1022), .VAR412(1022), .VAR377(1022), .VAR266(1022), .VAR312(1022), .VAR99(3), .VAR262(0), .VAR181(0), .VAR40(0), .VAR223(0), .VAR251(0), .VAR241(0), .VAR12(0), .VAR283(1500), .VAR338(1023), .VAR142(1023), .VAR217(1023), .VAR186(1023), .VAR21(1023), .VAR78(1023), .VAR112(1499), .VAR285(1), .VAR271(0), .VAR22(0), .VAR84(0), .VAR20(0), .VAR148(0), .VAR313(0), .VAR219(0), .VAR73(10), .VAR304(1024), .VAR200(1), .VAR286(10), .VAR127(0), .VAR341(0), .VAR199(0), .VAR248(0), .VAR34(0), .VAR155(0), .VAR404(0), .VAR303(2), .VAR42(0), .VAR152(0), .VAR367(0), .VAR168(0), .VAR264(1), .VAR233(0), .VAR207(0), .VAR80(0), .VAR326(0), .VAR98(0), .VAR232(0), .VAR95(0), .VAR239(0), .VAR105(0), .VAR31(0), .VAR77(0), .VAR169(0), .VAR395(0), .VAR48(0), .VAR171(11), .VAR314(2048), .VAR227(1024), .VAR32(16), .VAR197(1024), .VAR100(16), .VAR258(1024), .VAR36(16), .VAR71(1), .VAR228(11), .VAR281(10), .VAR167(4), .VAR256(10), .VAR328(4), .VAR93(10), .VAR110(4), .VAR292(1), .VAR309(0) ) VAR115 ( .VAR33(rst), .VAR231(VAR274), .VAR347(VAR83), .VAR203(din), .VAR419(VAR213), .VAR188(VAR24), .VAR273(dout), .VAR265(VAR149), .VAR346(VAR336), .VAR131(VAR5), .VAR153(), .VAR216(), .VAR179(), .VAR102(), .VAR52(), .VAR370(), .VAR298(), .VAR91(), .VAR423(), .VAR212(), .VAR277(), .VAR381(), .VAR353(), .VAR85(), .VAR204(), .VAR3(), .VAR202(), .VAR393(), .VAR261(), .VAR136(), .VAR101(), .VAR145(), .VAR351(), .VAR211(), .VAR67(), .VAR403(), .VAR290(), .VAR354(), .VAR234(), .VAR337(), .VAR365(), .VAR79(), .VAR385(), .VAR322(), .VAR366(), .VAR175(), .VAR206(), .VAR65(), .VAR51(), .VAR229(), .VAR329(), .VAR282(), .VAR103(), .VAR57(), .VAR301(), .VAR386(), .VAR27(), .VAR426(), .VAR272(), .VAR9(), .VAR147(), .VAR310(), .VAR201(), .VAR254(), .VAR215(), .VAR342(), .VAR349(), .VAR416(), .VAR133(), .VAR424(), .VAR287(), .VAR340(), .VAR387(), .VAR124(), .VAR119(), .VAR61(), .VAR368(), .VAR422(), .VAR146(), .VAR38(), .VAR356(), .VAR244(), .VAR210(), .VAR275(), .VAR259(), .VAR7(), .VAR94(), .VAR252(), .VAR224(), .VAR39(), .VAR405(), .VAR359(), .VAR331(), .VAR392(), .VAR226(), .VAR268(), .VAR305(), .VAR106(), .VAR126(), .VAR125(), .VAR391(), .VAR357(), .VAR247(), .VAR398(), .VAR82(), .VAR302(), .VAR394(), .VAR376(), .VAR246(), .VAR161(), .VAR87(), .VAR355(), .VAR164(), .VAR135(), .VAR382(), .VAR324(), .VAR118(), .VAR72(), .VAR323(), .VAR214(), .VAR86(), .VAR378(), .VAR363(), .VAR128(), .VAR177(), .VAR191(), .VAR364(), .VAR26(), .VAR374(), .VAR269(), .VAR284(), .VAR90(), .VAR10(), .VAR173(), .VAR64(), .VAR335(), .VAR397(), .VAR138(), .VAR141(), .VAR408(), .VAR406(), .VAR270(), .VAR19(), .VAR144(), .VAR308(), .VAR222(), .VAR14(), .VAR343(), .VAR4(), .VAR296(), .VAR361(), .VAR70(), .VAR176(), .VAR163(), .VAR330(), .VAR185(), .VAR55(), .VAR60(), .VAR320(), .VAR221(), .VAR315(), .VAR58(), .VAR242(), .VAR399(), .VAR151(), .VAR157(), .VAR371(), .VAR97(), .VAR190(), .VAR414(), .VAR137(), .VAR358(), .VAR350(), .VAR325(), .VAR407(), .VAR425(), .VAR380(), .VAR182(), .VAR81(), .VAR257(), .VAR25(), .VAR132(), .VAR129(), .VAR196(), .VAR120(), .VAR360(), .VAR306(), .VAR402(), .VAR307(), .VAR420(), .VAR318(), .VAR160(), .VAR421(), .VAR47(), .VAR44(), .VAR288(), .VAR415(), .VAR13(), .VAR255(), .VAR195(), .VAR89(), .VAR225(), .VAR56(), .VAR280(), .VAR189(), .VAR417(), .VAR295(), .VAR260(), .VAR114(), .VAR69(), .VAR180(), .VAR63(), .VAR289(), .VAR122(), .VAR299(), .VAR311(), .VAR29(), .VAR192(), .VAR401(), .VAR372(), .VAR113(), .VAR383(), .VAR327(), .VAR384(), .VAR379(), .VAR184(), .VAR43(), .VAR159() ); endmodule
lgpl-2.1
bbrown1867/ObjectTracking
hw/common/fixed_point/qmult.v
2,359
module MODULE1 #( parameter VAR4 = 15, parameter VAR7 = 32 ) ( input [VAR7-1:0] VAR2, input [VAR7-1:0] VAR5, output [VAR7-1:0] VAR8, output reg VAR1 ); reg [2*VAR7-1:0] VAR6; reg [VAR7-1:0] VAR3; assign VAR8 = VAR3; always @(VAR2, VAR5) begin VAR6 <= VAR2[VAR7-2:0] * VAR5[VAR7-2:0]; end always @(VAR6) begin VAR3[VAR7-1] <= VAR2[VAR7-1] ^ VAR5[VAR7-1]; VAR3[VAR7-2:0] <= VAR6[VAR7-2+VAR4:VAR4]; if (VAR6[2*VAR7-2:VAR7-1+VAR4] > 0) VAR1 <= 1'b1; end else VAR1 <= 1'b0; end endmodule
mit
ptracton/wb_soc_template
rtl/ZIP/rtl/pipemem.v
7,007
module MODULE1(VAR31, VAR27, VAR38, VAR11, VAR10, VAR23, VAR4, VAR12, VAR42, VAR44, VAR8, VAR35, VAR26, VAR1, VAR40, VAR14, VAR33, VAR2, VAR20, VAR19, VAR15, VAR39, VAR16, VAR9, VAR32, VAR28); parameter VAR21=30; parameter [0:0] VAR24=1'b0, VAR5=1'b1; localparam VAR6=VAR21; input wire VAR31, VAR27; input wire VAR38, VAR11; input wire [2:0] VAR10; input wire [31:0] VAR23; input wire [31:0] VAR4; input wire [4:0] VAR12; output wire VAR42; output wire VAR44; output reg VAR8; output reg VAR35; output reg [4:0] VAR26; output reg [31:0] VAR1; output wire VAR40; output reg VAR33; output wire VAR14; output reg VAR2, VAR20; output reg [(VAR6-1):0] VAR19; output reg [31:0] VAR15; output reg [3:0] VAR39; input wire VAR16, VAR9, VAR32; input wire [31:0] VAR28; reg VAR43; reg VAR30, VAR17; reg [3:0] VAR37, VAR7; wire [3:0] VAR36; reg [(4+5-1):0] VAR22 [0:15]; VAR13 VAR37 = 0; VAR13 VAR7 = 0; always @(posedge VAR31) VAR22[VAR7] <= { VAR12, VAR10[2:1], VAR23[1:0] }; always @(posedge VAR31) if ((VAR27)||(VAR32)) VAR7 <= 0; else if (VAR38) VAR7 <= VAR7 + 1'b1; always @(posedge VAR31) if ((VAR27)||(VAR32)) VAR37 <= 0; else if ((VAR16)&&(VAR43)) VAR37 <= VAR37 + 1'b1; assign VAR36 = VAR37 + 1'b1; wire VAR3, VAR41; assign VAR41 = (VAR23[31:24]==8'hff)&&(VAR5); assign VAR3 = (!VAR41)||(!VAR5); VAR13 VAR43 = 0; VAR13 VAR17 = 0; VAR13 VAR30 = 0; always @(posedge VAR31) if (VAR27) begin VAR30 <= 1'b0; VAR17 <= 1'b0; VAR33 <= 1'b0; VAR2 <= 1'b0; VAR43 <= 1'b0; end else if (VAR43) begin if ((~VAR9)&&(~VAR38)) begin VAR33 <= 1'b0; VAR2 <= 1'b0; end if (((VAR16)&&(VAR36 == VAR7))||(VAR32)) begin VAR30 <= 1'b0; VAR17 <= 1'b0; VAR43 <= 1'b0; end end else if (VAR38) begin VAR17 <= VAR41; VAR30 <= VAR3; VAR2 <= VAR41; VAR33 <= VAR3; VAR43 <= 1'b1; end always @(posedge VAR31) if ((!VAR43)||(!VAR9)) begin VAR19 <= VAR23[(VAR6+1):2]; end if (!VAR10[0]) VAR39 <= 4'b1111; else casez({ VAR10[2:1], VAR23[1:0] }) 4'b100?: VAR39 <= 4'b1100; 4'b101?: VAR39 <= 4'b0011; 4'b1100: VAR39 <= 4'b1000; 4'b1101: VAR39 <= 4'b0100; 4'b1110: VAR39 <= 4'b0010; 4'b1111: VAR39 <= 4'b0001; default: VAR39 <= 4'b1111; endcase casez({ VAR10[2:1], VAR23[1:0] }) 4'b100?: VAR15 <= { VAR4[15:0], 16'h00 }; 4'b101?: VAR15 <= { 16'h00, VAR4[15:0] }; 4'b1100: VAR15 <= { VAR4[7:0], 24'h00 }; 4'b1101: VAR15 <= { 8'h00, VAR4[7:0], 16'h00 }; 4'b1110: VAR15 <= { 16'h00, VAR4[7:0], 8'h00 }; 4'b1111: VAR15 <= { 24'h00, VAR4[7:0] }; default: VAR15 <= VAR4; endcase end always @(posedge VAR31) if ((VAR38)&&(~VAR43)) VAR20 <= VAR10[0]; VAR13 VAR8 = 1'b0; always @(posedge VAR31) VAR8 <= (VAR43)&&(VAR16)&&(~VAR20); VAR13 VAR35 = 1'b0; always @(posedge VAR31) VAR35 <= (VAR43)&&(VAR32); assign VAR42 = VAR43; wire [8:0] VAR25; assign VAR25 = VAR22[VAR37]; always @(posedge VAR31) VAR26 <= VAR25[8:4]; always @(posedge VAR31) casez(VAR25[3:0]) 4'b1100: VAR1 <= { 24'h00, VAR28[31:24] }; 4'b1101: VAR1 <= { 24'h00, VAR28[23:16] }; 4'b1110: VAR1 <= { 24'h00, VAR28[15: 8] }; 4'b1111: VAR1 <= { 24'h00, VAR28[ 7: 0] }; 4'b100?: VAR1 <= { 16'h00, VAR28[31:16] }; 4'b101?: VAR1 <= { 16'h00, VAR28[15: 0] }; default: VAR1 <= VAR28[31:0]; endcase assign VAR44 = (VAR43) &&((VAR9)||((~VAR2)&&(~VAR33))); generate if (VAR24 != 0) begin reg VAR29, VAR18; VAR13 VAR29 = 1'b0; VAR13 VAR18 = 1'b0; always @(posedge VAR31) begin VAR29 <= (VAR11)&&((VAR30)||(VAR29)); VAR18 <= (VAR11)&&((VAR17)||(VAR18)); end assign VAR40 = (VAR30)||(VAR29); assign VAR14 = (VAR17)||(VAR18); end else begin assign VAR40 = (VAR30); assign VAR14 = (VAR17); end endgenerate wire VAR34; assign VAR34 = VAR11; endmodule
mit
liuyenting/CA-Project
src/MEMWB_Reg.v
1,166
module MODULE1 ( input clk, input rst, input VAR18, input VAR19, input [2-1:0] VAR6, output [2-1:0] VAR11, input [32-1:0] VAR12, output [32-1:0] VAR17, input [32-1:0] VAR4, output [32-1:0] VAR13, input [5-1:0] VAR8, output [5-1:0] VAR10 ); VAR15 #(.VAR5(2)) VAR2 ( .clk (clk), .rst (~VAR18), .VAR7 (~VAR19), .VAR14 (VAR6), .VAR9 (VAR11) ); VAR15 VAR3 ( .clk (clk), .rst (~VAR18), .VAR7 (~VAR19), .VAR14 (VAR12), .VAR9 (VAR17) ); VAR15 VAR1 ( .clk (clk), .rst (~VAR18), .VAR7 (~VAR19), .VAR14 (VAR4), .VAR9 (VAR13) ); VAR15 #(.VAR5(5)) VAR16 ( .clk (clk), .rst (~VAR18), .VAR7 (~VAR19), .VAR14 (VAR8), .VAR9 (VAR10) ); endmodule
gpl-3.0
DougFirErickson/parallella-hw
fpga/old/edistrib/hdl/edistrib.v
5,848
module MODULE1 ( VAR41, VAR39, VAR29, VAR8, VAR1, VAR9, VAR32, VAR2, VAR17, VAR34, VAR36, VAR42, VAR22, VAR35, VAR21, VAR6, VAR37, VAR11, VAR40, VAR3, VAR28, VAR25, VAR18, VAR16, VAR30, VAR43, VAR5, VAR31, VAR15, VAR38, VAR10 ); parameter [11:0] VAR20 = 12'h810; parameter VAR33 = 7; parameter [31:0] VAR4 = 32'h3E000000; input VAR17; input VAR34; input VAR36; input [1:0] VAR42; input [3:0] VAR22; input [31:0] VAR35; input [31:0] VAR21; input [31:0] VAR6; output VAR41; output VAR39; input VAR37; input VAR11; input [1:0] VAR40; input [3:0] VAR3; input [31:0] VAR28; input [31:0] VAR25; input [31:0] VAR18; output [102:0] VAR29; output VAR8; input VAR16; input VAR30; output [102:0] VAR1; output VAR9; input VAR43; input VAR5; output [102:0] VAR32; output VAR2; input VAR31; input VAR15; input VAR38; input VAR10; reg [1:0] VAR7; wire VAR23 = VAR7[0]; reg VAR19; reg [1:0] VAR14; reg [3:0] VAR13; reg [31:0] VAR27; reg [31:0] VAR12; reg [31:0] VAR24; reg VAR8; reg VAR9; reg VAR2; wire [102:0] VAR26; wire [102:0] VAR29; wire [102:0] VAR1; wire [102:0] VAR32; always @ (posedge VAR17) begin VAR7 <= {VAR10, VAR7[1]}; if(VAR23) begin VAR19 <= VAR11; VAR14 <= VAR40; VAR13 <= VAR3; VAR27 <= VAR28; VAR12 <= VAR25; VAR24 <= VAR18; if(VAR37) begin VAR9 <= ~VAR11; VAR2 <= VAR11 & (VAR28[31:20] == VAR20); VAR8 <= VAR11 & (VAR28[31:20] != VAR20); end else begin VAR9 <= 1'b0; VAR2 <= 1'b0; VAR8 <= 1'b0; end end else begin VAR19 <= VAR36; VAR14 <= VAR42; VAR13 <= VAR22; VAR27 <= {VAR4[31:(32-VAR33)], VAR35[(31-VAR33):0]}; VAR12 <= VAR21; VAR24 <= VAR6; if(VAR34) begin VAR9 <= ~VAR36; VAR2 <= VAR36 & (VAR35[31:20] == VAR20); VAR8 <= VAR36 & (VAR35[31:20] != VAR20); end else begin VAR9 <= 1'b0; VAR2 <= 1'b0; VAR8 <= 1'b0; end end end assign VAR26 = {VAR19, VAR14, VAR13, VAR27, VAR12, VAR24}; assign VAR29 = VAR26; assign VAR1 = VAR26; assign VAR32 = VAR26; assign VAR41 = VAR5; assign VAR39 = VAR30 | VAR15; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor3b/sky130_fd_sc_ls__nor3b.behavioral.v
1,513
module MODULE1 ( VAR11 , VAR3 , VAR8 , VAR7 ); output VAR11 ; input VAR3 ; input VAR8 ; input VAR7; supply1 VAR10; supply0 VAR13; supply1 VAR2 ; supply0 VAR1 ; wire VAR6 ; wire VAR12; nor VAR9 (VAR6 , VAR3, VAR8 ); and VAR4 (VAR12, VAR7, VAR6 ); buf VAR5 (VAR11 , VAR12 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o311ai/sky130_fd_sc_hs__o311ai.behavioral.pp.v
1,966
module MODULE1 ( VAR7, VAR16, VAR9 , VAR11 , VAR1 , VAR10 , VAR14 , VAR15 ); input VAR7; input VAR16; output VAR9 ; input VAR11 ; input VAR1 ; input VAR10 ; input VAR14 ; input VAR15 ; wire VAR14 VAR6 ; wire VAR8 ; wire VAR13; or VAR12 (VAR6 , VAR1, VAR11, VAR10 ); nand VAR3 (VAR8 , VAR15, VAR6, VAR14 ); VAR4 VAR5 (VAR13, VAR8, VAR7, VAR16); buf VAR2 (VAR9 , VAR13 ); endmodule
apache-2.0
jairov4/accel-oil
solution_spartan3/syn/verilog/nfa_accept_samples_generic_hw_add_17ns_17s_17_4.v
5,917
module MODULE3(clk, reset, VAR21, VAR18, VAR11, VAR27); input clk; input reset; input VAR21; input [17 - 1 : 0] VAR18; input [17 - 1 : 0] VAR11; output [17 - 1 : 0] VAR27; wire [17 - 1 : 0] VAR15; wire [17 - 1 : 0] VAR40; wire [5 - 1 : 0] VAR23; wire [5 - 1 : 0] VAR28; wire [10 - 1 : 5] VAR46; wire [10 - 1 : 5] VAR30; wire [15 - 1 : 10] VAR5; wire [15 - 1 : 10] VAR41; wire [17 - 1 : 15] VAR9; wire [17 - 1 : 15] VAR13; reg [5 - 1 : 0] VAR6[1 - 1 : 0]; reg [5 - 1 : 0] VAR3[1 - 1 : 0]; reg [5 - 1 : 0] VAR47[2 - 1 : 0]; reg [5 - 1 : 0] VAR29[2 - 1 : 0]; reg [2 - 1 : 0] VAR10[3 - 1 : 0]; reg [2 - 1 : 0] VAR44[3 - 1 : 0]; wire [17 - 1 : 0] VAR43; wire VAR34; wire VAR42; wire VAR37; wire VAR17; wire VAR19; reg VAR12; reg VAR36; reg VAR32; reg [5 - 1 : 0] VAR45[2 - 0 : 0]; reg [5 - 1 : 0] VAR24[2 - 1 : 0]; reg [5 - 1 : 0] VAR22[2 - 2 : 0]; wire [17 - 1 : 0] VAR20; assign VAR15 = VAR18; assign VAR40 = VAR11; assign VAR23 = VAR15[5 - 1 : 0]; assign VAR28 = VAR40[5 - 1 : 0]; assign VAR46 = VAR15[10 - 1 : 5]; assign VAR30 = VAR40[10 - 1 : 5]; assign VAR5 = VAR15[15 - 1 : 10]; assign VAR41 = VAR40[15 - 1 : 10]; assign VAR9 = VAR15[17 - 1 : 15]; assign VAR13 = VAR40[17 - 1 : 15]; always @ (posedge clk) begin if (VAR21) begin VAR6 [0] <= VAR46; VAR3 [0] <= VAR30; VAR47 [0] <= VAR5; VAR29 [0] <= VAR41; VAR10 [0] <= VAR9; VAR44 [0] <= VAR13; VAR47 [1] <= VAR47 [0]; VAR29 [1] <= VAR29 [0]; VAR10 [1] <= VAR10 [0]; VAR44 [1] <= VAR44 [0]; VAR10 [2] <= VAR10 [1]; VAR44 [2] <= VAR44 [1]; end end always @ (posedge clk) begin if (VAR21) begin VAR12 <= VAR42; VAR36 <= VAR37; VAR32 <= VAR17; end end MODULE4 MODULE5 ( .VAR25 ( VAR23 ), .VAR8 ( VAR28 ), .VAR2 ( VAR34 ), .VAR43 ( VAR43[4:0] ), .VAR14 ( VAR42 ) ); MODULE4 MODULE2 ( .VAR25 ( VAR6[0] ), .VAR8 ( VAR3[0] ), .VAR2 ( VAR12), .VAR43 ( VAR43[9:5] ), .VAR14 ( VAR37 ) ); MODULE4 MODULE3 ( .VAR25 ( VAR47[1] ), .VAR8 ( VAR29[1] ), .VAR2 ( VAR36), .VAR43 ( VAR43[14:10] ), .VAR14 ( VAR17 ) ); MODULE2 MODULE4 ( .VAR25 ( VAR10[2] ), .VAR8 ( VAR44[2] ), .VAR2 ( VAR32 ), .VAR43 ( VAR43[16 :15] ), .VAR14 ( VAR19 ) ); assign VAR34 = 1'b0; always @ (posedge clk) begin if (VAR21) begin VAR45 [0] <= VAR43[5-1 : 0]; VAR24 [0] <= VAR43[10-1 : 5]; VAR22 [0] <= VAR43[15-1 : 10]; VAR45 [1] <= VAR45 [0]; VAR45 [2] <= VAR45 [1]; VAR24 [1] <= VAR24 [0]; end end assign VAR20[5-1 : 0] = VAR45[2]; assign VAR20[10-1 : 5] = VAR24[1]; assign VAR20[15-1 : 10] = VAR22[0]; assign VAR20[17 - 1 : 15] = VAR43[16 :15]; assign VAR27 = VAR20; endmodule module MODULE4 VAR39 = 5 )( input [VAR39-1 : 0] VAR25, input [VAR39-1 : 0] VAR8, input wire VAR2, output [VAR39-1 : 0] VAR43, output wire VAR14 ); assign {VAR14, VAR43} = VAR25 + VAR8 + VAR2; endmodule module MODULE2 VAR39 = 2 )( input [VAR39-1 : 0] VAR25, input [VAR39-1 : 0] VAR8, input wire VAR2, output [VAR39-1 : 0] VAR43, output wire VAR14 ); assign {VAR14, VAR43} = VAR25 + VAR8 + VAR2; endmodule module MODULE1( clk, reset, VAR21, VAR50, VAR49, dout); parameter VAR48 = 32'd1; parameter VAR35 = 32'd1; parameter VAR38 = 32'd1; parameter VAR26 = 32'd1; parameter VAR31 = 32'd1; input clk; input reset; input VAR21; input[VAR38 - 1:0] VAR50; input[VAR26 - 1:0] VAR49; output[VAR31 - 1:0] dout; MODULE3 VAR4( .clk( clk ), .reset( reset ), .VAR21( VAR21 ), .VAR18( VAR50 ), .VAR11( VAR49 ), .VAR27( dout )); endmodule
lgpl-3.0
fzyz999/5-stage-MIPS
mips.v
5,758
module MODULE1(clk, rst, VAR50, VAR70, VAR66, VAR10, VAR6); input clk ; input rst ; output [31:0] VAR10; output [31:2] VAR50; output [3:0] VAR70; input [31:0] VAR66; input [7:2] VAR6; wire VAR87,VAR67; wire VAR23; wire VAR48,VAR98; wire VAR65,VAR80; wire VAR59,VAR22,VAR82,VAR12; wire VAR57,VAR54,VAR83; wire VAR35,VAR92,VAR19,VAR7,VAR104; wire VAR53,VAR21; wire VAR18; wire [1:0] VAR51,VAR85; wire [1:0] VAR84; wire [1:0] VAR101; wire [1:0] VAR93; wire [1:0] VAR81; wire [1:0] VAR42; wire [1:0] VAR33; wire [1:0] VAR100,VAR111; wire [1:0] VAR75; wire [2:0] VAR56; wire [2:0] VAR108; wire [3:0] VAR72; wire [3:0] VAR55; wire [4:0] VAR20; wire [31:0] VAR109,VAR9; wire [31:0] VAR43,VAR61; wire [31:0] VAR95,VAR11,VAR78,VAR63,VAR76; wire [31:0] VAR16,VAR46,VAR29; wire [31:0] VAR90; wire [31:0] VAR28,VAR88; wire [31:0] VAR52,VAR24; wire [31:0] VAR94,VAR47,VAR39,VAR38,VAR91; wire [31:0] VAR113; wire [31:0] VAR114; wire [31:0] VAR62; wire [31:0] VAR77,VAR17,VAR106,VAR71,VAR25,VAR89,VAR1; wire [31:0] VAR58; wire [31:0] VAR3,VAR112,VAR36,VAR34,VAR99; wire [31:0] VAR107; wire irq; VAR31 VAR31(VAR47,VAR39,VAR38,VAR22,VAR12,VAR18); wire [2:0] VAR14,VAR60; wire [2:0] VAR97,VAR41; wire [1:0] VAR44; VAR49 VAR49(VAR47,VAR39,VAR38,VAR91, VAR14,VAR60, VAR97,VAR41, VAR44); wire [31:0] VAR86,VAR103,VAR40,VAR13,VAR105; assign VAR86=(VAR14==3'b000)?VAR112: (VAR14==3'b001)?VAR109: (VAR14==3'b010)?VAR3: (VAR14==3'b011)?VAR25: VAR89; assign VAR103=(VAR60==3'b000)?(VAR35?VAR46:VAR36): (VAR60==3'b001)?VAR109: (VAR60==3'b010)?VAR3: (VAR60==3'b011)?VAR25: VAR89; assign VAR40=(VAR97==3'b000)?VAR34: (VAR97==3'b001)?VAR109: (VAR97==3'b010)?VAR3: (VAR97==3'b011)?VAR25: VAR89; assign VAR13=(VAR41==3'b000)?VAR99: (VAR41==3'b001)?VAR109: (VAR41==3'b010)?VAR3: (VAR41==3'b011)?VAR25: VAR89; assign VAR105=(VAR44==2'b00)?VAR43: (VAR44==2'b01)?VAR3: VAR89; VAR102 VAR102(clk,rst,!(VAR18|VAR19),VAR107,VAR62,VAR77); VAR96 VAR2(VAR62[14:2],VAR94); assign VAR1=(VAR19)?VAR107+4:VAR77; VAR73 VAR73(clk,rst|VAR83|VAR19,!VAR18,VAR94,VAR1,VAR47,VAR17); assign VAR20=(VAR33==2'b00)?VAR91[20:16]: (VAR33==2'b01)?VAR91[15:11]: 31; assign VAR75=(VAR83)?2'b11: (VAR92)?2'b10: (VAR42!=2'b01)?VAR42: (VAR21)?VAR42:2'b00; assign VAR106=VAR17-4; assign VAR113=(VAR92)?VAR16: (VAR23==0)?{VAR106[31:28],VAR47[25:0],2'b00}: VAR86; assign VAR76=(VAR67?32'h00000000:VAR103); VAR26 VAR26(VAR47,VAR42,VAR101,VAR56,VAR67,VAR23,VAR35,VAR92); VAR110 VAR110(clk,rst,VAR98, VAR47[25:21], VAR47[20:16], VAR20,VAR3,VAR112,VAR36); VAR8 VAR8(VAR86,VAR76,VAR56,VAR21); VAR5 VAR5(VAR101,VAR47[15:0],VAR52); VAR27 VAR27(VAR75,VAR77,VAR17,VAR47[15:0],VAR113,VAR107); VAR15 VAR15(clk,rst|VAR83,VAR18,VAR47,VAR52,VAR112,VAR36,VAR17+4,VAR39,VAR24,VAR34,VAR99,VAR71); assign VAR95=(VAR80==0)?VAR13:VAR24; assign VAR58=(VAR65)?{{27{1'b0}},VAR39[10:6]}:VAR40; assign VAR63=(VAR84==2'b01)?VAR88: (VAR84==2'b10)?VAR28: VAR11; VAR4 VAR4(VAR39,VAR65,VAR80,VAR72,VAR59,VAR93,VAR22,VAR82,VAR84,VAR19); alu alu(VAR58,VAR95,VAR72,VAR11,VAR53); VAR74 VAR74(VAR40,VAR13,VAR59,VAR93,VAR22,VAR82,VAR12,VAR28,VAR88,clk,rst); VAR68 VAR68(clk,rst|VAR83,VAR39,VAR63,VAR13,VAR71, VAR38,VAR109,VAR43,VAR25); assign VAR50=VAR109[31:2]; assign VAR70=VAR55; assign VAR10=VAR105; assign VAR57=(VAR109<32'h00003000); assign VAR100=VAR109[1:0]; assign VAR29=VAR87?VAR89-8:VAR25-8; assign VAR114=VAR57?VAR78:VAR66; assign VAR85=(VAR83)?2'b11:VAR51; assign VAR83=irq&(!VAR18)&(!VAR92)&(!VAR19)&(!VAR7)&(!VAR104); VAR32 VAR32(VAR38,VAR51,VAR54,VAR7); VAR79 VAR79(VAR109[1:0],VAR51,VAR55); VAR37 VAR37(VAR109[12:2],VAR105,VAR83?4'b0000:VAR55,clk,VAR78); VAR69 VAR69(clk,rst,VAR47[20:16],VAR38[15:11],VAR105,VAR29,0,VAR6,VAR83?0:VAR54,irq,VAR104,irq,VAR16,VAR46); VAR45 VAR45(clk,rst,VAR38,VAR100,VAR114,VAR109,VAR25, VAR91,VAR111,VAR61,VAR9,VAR89); VAR30 VAR30(VAR91,VAR81,VAR48,VAR33,VAR108,VAR87,VAR104); VAR64 VAR64(VAR111,VAR61,VAR108,VAR90); assign VAR3=(VAR81==2'b00)?VAR9: (VAR81==2'b01)?VAR90: VAR89; assign VAR98=VAR48&&(!VAR83); endmodule
mit
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v
1,993
if (VAR15 == VAR24 && VAR1 == VAR2) \ begin: VAR14 \ VAR17 \ VAR19 \ (.VAR18 (VAR18) \ ,.VAR5(VAR5) \ ,.VAR10 (VAR10) \ ,.VAR12 (VAR12) \ ,.VAR22 (VAR22) \ ,.VAR8 (VAR8) \ ,.VAR9 (VAR9) \ ); \ end: VAR14 module MODULE1 #( parameter VAR27(VAR1 ) , parameter VAR27(VAR15 ) , parameter VAR4 = VAR26(VAR15) , parameter VAR16 = 0 , parameter VAR25 = 0 ) ( input VAR18 , input VAR5 , input [VAR1-1:0] VAR10 , input [VAR4-1:0] VAR12 , input VAR22 , input VAR8 , output logic [VAR1-1:0] VAR9 ); wire VAR20 = VAR5; begin : VAR21 VAR11 if (VAR25 != 0) ("VAR25 VAR28 VAR6 VAR7 VAR23"); VAR3 #(.VAR1(VAR1), .VAR15(VAR15), .VAR16(VAR16)) VAR13 (.*); end begin
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o22a/sky130_fd_sc_ls__o22a_4.v
2,339
module MODULE2 ( VAR3 , VAR2 , VAR8 , VAR1 , VAR4 , VAR7, VAR10, VAR6 , VAR11 ); output VAR3 ; input VAR2 ; input VAR8 ; input VAR1 ; input VAR4 ; input VAR7; input VAR10; input VAR6 ; input VAR11 ; VAR5 VAR9 ( .VAR3(VAR3), .VAR2(VAR2), .VAR8(VAR8), .VAR1(VAR1), .VAR4(VAR4), .VAR7(VAR7), .VAR10(VAR10), .VAR6(VAR6), .VAR11(VAR11) ); endmodule module MODULE2 ( VAR3 , VAR2, VAR8, VAR1, VAR4 ); output VAR3 ; input VAR2; input VAR8; input VAR1; input VAR4; supply1 VAR7; supply0 VAR10; supply1 VAR6 ; supply0 VAR11 ; VAR5 VAR9 ( .VAR3(VAR3), .VAR2(VAR2), .VAR8(VAR8), .VAR1(VAR1), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o21ba/sky130_fd_sc_lp__o21ba_0.v
2,316
module MODULE1 ( VAR7 , VAR5 , VAR10 , VAR2, VAR3, VAR6, VAR9 , VAR4 ); output VAR7 ; input VAR5 ; input VAR10 ; input VAR2; input VAR3; input VAR6; input VAR9 ; input VAR4 ; VAR1 VAR8 ( .VAR7(VAR7), .VAR5(VAR5), .VAR10(VAR10), .VAR2(VAR2), .VAR3(VAR3), .VAR6(VAR6), .VAR9(VAR9), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR7 , VAR5 , VAR10 , VAR2 ); output VAR7 ; input VAR5 ; input VAR10 ; input VAR2; supply1 VAR3; supply0 VAR6; supply1 VAR9 ; supply0 VAR4 ; VAR1 VAR8 ( .VAR7(VAR7), .VAR5(VAR5), .VAR10(VAR10), .VAR2(VAR2) ); endmodule
apache-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/cores/wb_altera_ddr_wrapper/bench/ddr_ctrl_ip/alt_mem_ddrx_ecc_decoder_64_syn.v
58,573
module MODULE2 ( VAR235, VAR252) ; input [6:0] VAR235; output [127:0] VAR252; tri0 [6:0] VAR235; wire [5:0] VAR41; wire VAR166; wire VAR253; wire [127:0] VAR73; wire [63:0] VAR145; wire [63:0] VAR193; wire [3:0] VAR127; wire [3:0] VAR45; wire [3:0] VAR125; wire [3:0] VAR120; wire [3:0] VAR182; wire [3:0] VAR74; wire [3:0] VAR234; wire [3:0] VAR247; wire [3:0] VAR21; wire [3:0] VAR160; wire [3:0] VAR108; wire [3:0] VAR6; wire [3:0] VAR250; wire [3:0] VAR134; wire [3:0] VAR56; wire [3:0] VAR209; wire [3:0] VAR144; wire [3:0] VAR256; wire [3:0] VAR170; wire [3:0] VAR136; wire [3:0] VAR83; wire [3:0] VAR58; wire [3:0] VAR9; wire [3:0] VAR5; wire [3:0] VAR131; wire [3:0] VAR142; wire [3:0] VAR30; wire [3:0] VAR36; wire [3:0] VAR12; wire [3:0] VAR171; wire [3:0] VAR214; wire [3:0] VAR62; wire [3:0] VAR15; wire [3:0] VAR113; wire [3:0] VAR179; wire [3:0] VAR110; wire [3:0] VAR42; wire [3:0] VAR33; wire [3:0] VAR169; wire [3:0] VAR50; wire [3:0] VAR137; wire [3:0] VAR162; wire [3:0] VAR98; wire [3:0] VAR202; wire [3:0] VAR190; wire [3:0] VAR86; wire [3:0] VAR218; wire [3:0] VAR168; wire [3:0] VAR2; wire [3:0] VAR138; wire [3:0] VAR77; wire [3:0] VAR226; wire [3:0] VAR220; wire [3:0] VAR3; wire [3:0] VAR20; wire [3:0] VAR117; wire [3:0] VAR183; wire [3:0] VAR124; wire [3:0] VAR60; wire [3:0] VAR232; wire [3:0] VAR188; wire [3:0] VAR85; wire [3:0] VAR116; wire [3:0] VAR133; wire [3:0] VAR4; wire [3:0] VAR38; wire [3:0] VAR239; wire [3:0] VAR79; wire [3:0] VAR64; wire [3:0] VAR34; wire [3:0] VAR75; wire [3:0] VAR118; wire [3:0] VAR119; wire [3:0] VAR199; wire [3:0] VAR200; wire [3:0] VAR161; wire [3:0] VAR217; wire [3:0] VAR197; wire [3:0] VAR82; wire [3:0] VAR241; wire [3:0] VAR94; wire [3:0] VAR157; wire [3:0] VAR180; wire [3:0] VAR8; wire [3:0] VAR248; wire [3:0] VAR97; wire [3:0] VAR206; wire [3:0] VAR176; wire [3:0] VAR109; wire [3:0] VAR57; wire [3:0] VAR155; wire [3:0] VAR204; wire [3:0] VAR130; wire [3:0] VAR70; wire [3:0] VAR115; wire [3:0] VAR174; wire [3:0] VAR67; wire [3:0] VAR46; wire [3:0] VAR101; wire [3:0] VAR173; wire [3:0] VAR156; wire [3:0] VAR84; wire [3:0] VAR150; wire [3:0] VAR35; wire [3:0] VAR165; wire [3:0] VAR91; wire [3:0] VAR23; wire [3:0] VAR147; wire [3:0] VAR139; wire [3:0] VAR126; wire [3:0] VAR123; wire [3:0] VAR17; wire [3:0] VAR198; wire [3:0] VAR43; wire [3:0] VAR208; wire [3:0] VAR16; wire [3:0] VAR48; wire [3:0] VAR63; wire [3:0] VAR229; wire [3:0] VAR207; wire [3:0] VAR49; wire [3:0] VAR195; wire [3:0] VAR107; wire [3:0] VAR172; wire [3:0] VAR78; wire [3:0] VAR129; wire [3:0] VAR246; wire [3:0] VAR28; wire [3:0] VAR71; wire [3:0] VAR55; wire [3:0] VAR210; wire [3:0] VAR53; wire [3:0] VAR254; wire [3:0] VAR54; wire [3:0] VAR18; wire [3:0] VAR224; wire [3:0] VAR181; wire [3:0] VAR26; wire [3:0] VAR132; wire [3:0] VAR153; wire [3:0] VAR194; wire [3:0] VAR146; wire [3:0] VAR185; wire [3:0] VAR187; wire [2:0] VAR203; wire [2:0] VAR178; assign VAR41 = VAR235[5:0], VAR166 = (~ VAR235[6]), VAR253 = VAR235[6], VAR252 = VAR73, VAR73 = {VAR193[63:0], VAR145}, VAR145 = {{VAR133[3], VAR116[3], VAR85[3], VAR188[3], VAR232[3], VAR60[3], VAR124[3], VAR183[3]}, {VAR20[3], VAR3[3], VAR220[3], VAR226[3], VAR77[3], VAR138[3], VAR2[3], VAR168[3]}, {VAR86[3], VAR190[3], VAR202[3], VAR98[3], VAR162[3], VAR137[3], VAR50[3], VAR169[3]}, {VAR42[3], VAR110[3], VAR179[3], VAR113[3], VAR15[3], VAR62[3], VAR214[3], VAR171[3]}, {VAR36[3], VAR30[3], VAR142[3], VAR131[3], VAR5[3], VAR9[3], VAR58[3], VAR83[3]}, {VAR170[3], VAR256[3], VAR144[3], VAR209[3], VAR56[3], VAR134[3], VAR250[3], VAR6[3]}, {VAR160[3], VAR21[3], VAR247[3], VAR234[3], VAR74[3], VAR182[3], VAR120[3], VAR125[3]}, {VAR127[3], VAR187[3], VAR185[3], VAR146[3], VAR194[3], VAR153[3], VAR132[3], VAR26[3]}}, VAR193 = {{VAR224[3], VAR18[3], VAR54[3], VAR254[3], VAR53[3], VAR210[3], VAR55[3], VAR71[3]}, {VAR246[3], VAR129[3], VAR78[3], VAR172[3], VAR107[3], VAR195[3], VAR49[3], VAR207[3]}, {VAR63[3], VAR48[3], VAR16[3], VAR208[3], VAR43[3], VAR198[3], VAR17[3], VAR123[3]}, {VAR139[3], VAR147[3], VAR23[3], VAR91[3], VAR165[3], VAR35[3], VAR150[3], VAR84[3]}, {VAR173[3], VAR101[3], VAR46[3], VAR67[3], VAR174[3], VAR115[3], VAR70[3], VAR130[3]}, {VAR155[3], VAR57[3], VAR109[3], VAR176[3], VAR206[3], VAR97[3], VAR248[3], VAR8[3]}, {VAR157[3], VAR94[3], VAR241[3], VAR82[3], VAR197[3], VAR217[3], VAR161[3], VAR200[3]}, {VAR119[3], VAR118[3], VAR75[3], VAR34[3], VAR64[3], VAR79[3], VAR239[3], VAR38[3]}}, VAR127 = {(VAR127[2] & VAR178[2]), (VAR127[1] & VAR178[1]), (VAR127[0] & VAR178[0]), VAR181[3]}, VAR45 = {(VAR45[2] & (~ VAR41[5])), (VAR45[1] & (~ VAR41[4])), (VAR45[0] & VAR41[3]), VAR166}, VAR125 = {(VAR125[2] & (~ VAR178[2])), (VAR125[1] & (~ VAR178[1])), (VAR125[0] & (~ VAR178[0])), VAR45[3]}, VAR120 = {(VAR120[2] & (~ VAR178[2])), (VAR120[1] & (~ VAR178[1])), (VAR120[0] & VAR178[0]), VAR45[3]}, VAR182 = {(VAR182[2] & (~ VAR178[2])), (VAR182[1] & VAR178[1]), (VAR182[0] & (~ VAR178[0])), VAR45[3]}, VAR74 = {(VAR74[2] & (~ VAR178[2])), (VAR74[1] & VAR178[1]), (VAR74[0] & VAR178[0]), VAR45[3]}, VAR234 = {(VAR234[2] & VAR178[2]), (VAR234[1] & (~ VAR178[1])), (VAR234[0] & (~ VAR178[0])), VAR45[3]}, VAR247 = {(VAR247[2] & VAR178[2]), (VAR247[1] & (~ VAR178[1])), (VAR247[0] & VAR178[0]), VAR45[3]}, VAR21 = {(VAR21[2] & VAR178[2]), (VAR21[1] & VAR178[1]), (VAR21[0] & (~ VAR178[0])), VAR45[3]}, VAR160 = {(VAR160[2] & VAR178[2]), (VAR160[1] & VAR178[1]), (VAR160[0] & VAR178[0]), VAR45[3]}, VAR108 = {(VAR108[2] & (~ VAR41[5])), (VAR108[1] & VAR41[4]), (VAR108[0] & (~ VAR41[3])), VAR166}, VAR6 = {(VAR6[2] & (~ VAR178[2])), (VAR6[1] & (~ VAR178[1])), (VAR6[0] & (~ VAR178[0])), VAR108[3]}, VAR250 = {(VAR250[2] & (~ VAR178[2])), (VAR250[1] & (~ VAR178[1])), (VAR250[0] & VAR178[0]), VAR108[3]}, VAR134 = {(VAR134[2] & (~ VAR178[2])), (VAR134[1] & VAR178[1]), (VAR134[0] & (~ VAR178[0])), VAR108[3]}, VAR56 = {(VAR56[2] & (~ VAR178[2])), (VAR56[1] & VAR178[1]), (VAR56[0] & VAR178[0]), VAR108[3]}, VAR209 = {(VAR209[2] & VAR178[2]), (VAR209[1] & (~ VAR178[1])), (VAR209[0] & (~ VAR178[0])), VAR108[3]}, VAR144 = {(VAR144[2] & VAR178[2]), (VAR144[1] & (~ VAR178[1])), (VAR144[0] & VAR178[0]), VAR108[3]}, VAR256 = {(VAR256[2] & VAR178[2]), (VAR256[1] & VAR178[1]), (VAR256[0] & (~ VAR178[0])), VAR108[3]}, VAR170 = {(VAR170[2] & VAR178[2]), (VAR170[1] & VAR178[1]), (VAR170[0] & VAR178[0]), VAR108[3]}, VAR136 = {(VAR136[2] & (~ VAR41[5])), (VAR136[1] & VAR41[4]), (VAR136[0] & VAR41[3]), VAR166}, VAR83 = {(VAR83[2] & (~ VAR178[2])), (VAR83[1] & (~ VAR178[1])), (VAR83[0] & (~ VAR178[0])), VAR136[3]}, VAR58 = {(VAR58[2] & (~ VAR178[2])), (VAR58[1] & (~ VAR178[1])), (VAR58[0] & VAR178[0]), VAR136[3]}, VAR9 = {(VAR9[2] & (~ VAR178[2])), (VAR9[1] & VAR178[1]), (VAR9[0] & (~ VAR178[0])), VAR136[3]}, VAR5 = {(VAR5[2] & (~ VAR178[2])), (VAR5[1] & VAR178[1]), (VAR5[0] & VAR178[0]), VAR136[3]}, VAR131 = {(VAR131[2] & VAR178[2]), (VAR131[1] & (~ VAR178[1])), (VAR131[0] & (~ VAR178[0])), VAR136[3]}, VAR142 = {(VAR142[2] & VAR178[2]), (VAR142[1] & (~ VAR178[1])), (VAR142[0] & VAR178[0]), VAR136[3]}, VAR30 = {(VAR30[2] & VAR178[2]), (VAR30[1] & VAR178[1]), (VAR30[0] & (~ VAR178[0])), VAR136[3]}, VAR36 = {(VAR36[2] & VAR178[2]), (VAR36[1] & VAR178[1]), (VAR36[0] & VAR178[0]), VAR136[3]}, VAR12 = {(VAR12[2] & VAR41[5]), (VAR12[1] & (~ VAR41[4])), (VAR12[0] & (~ VAR41[3])), VAR166}, VAR171 = {(VAR171[2] & (~ VAR178[2])), (VAR171[1] & (~ VAR178[1])), (VAR171[0] & (~ VAR178[0])), VAR12[3]}, VAR214 = {(VAR214[2] & (~ VAR178[2])), (VAR214[1] & (~ VAR178[1])), (VAR214[0] & VAR178[0]), VAR12[3]}, VAR62 = {(VAR62[2] & (~ VAR178[2])), (VAR62[1] & VAR178[1]), (VAR62[0] & (~ VAR178[0])), VAR12[3]}, VAR15 = {(VAR15[2] & (~ VAR178[2])), (VAR15[1] & VAR178[1]), (VAR15[0] & VAR178[0]), VAR12[3]}, VAR113 = {(VAR113[2] & VAR178[2]), (VAR113[1] & (~ VAR178[1])), (VAR113[0] & (~ VAR178[0])), VAR12[3]}, VAR179 = {(VAR179[2] & VAR178[2]), (VAR179[1] & (~ VAR178[1])), (VAR179[0] & VAR178[0]), VAR12[3]}, VAR110 = {(VAR110[2] & VAR178[2]), (VAR110[1] & VAR178[1]), (VAR110[0] & (~ VAR178[0])), VAR12[3]}, VAR42 = {(VAR42[2] & VAR178[2]), (VAR42[1] & VAR178[1]), (VAR42[0] & VAR178[0]), VAR12[3]}, VAR33 = {(VAR33[2] & VAR41[5]), (VAR33[1] & (~ VAR41[4])), (VAR33[0] & VAR41[3]), VAR166}, VAR169 = {(VAR169[2] & (~ VAR178[2])), (VAR169[1] & (~ VAR178[1])), (VAR169[0] & (~ VAR178[0])), VAR33[3]}, VAR50 = {(VAR50[2] & (~ VAR178[2])), (VAR50[1] & (~ VAR178[1])), (VAR50[0] & VAR178[0]), VAR33[3]}, VAR137 = {(VAR137[2] & (~ VAR178[2])), (VAR137[1] & VAR178[1]), (VAR137[0] & (~ VAR178[0])), VAR33[3]}, VAR162 = {(VAR162[2] & (~ VAR178[2])), (VAR162[1] & VAR178[1]), (VAR162[0] & VAR178[0]), VAR33[3]}, VAR98 = {(VAR98[2] & VAR178[2]), (VAR98[1] & (~ VAR178[1])), (VAR98[0] & (~ VAR178[0])), VAR33[3]}, VAR202 = {(VAR202[2] & VAR178[2]), (VAR202[1] & (~ VAR178[1])), (VAR202[0] & VAR178[0]), VAR33[3]}, VAR190 = {(VAR190[2] & VAR178[2]), (VAR190[1] & VAR178[1]), (VAR190[0] & (~ VAR178[0])), VAR33[3]}, VAR86 = {(VAR86[2] & VAR178[2]), (VAR86[1] & VAR178[1]), (VAR86[0] & VAR178[0]), VAR33[3]}, VAR218 = {(VAR218[2] & VAR41[5]), (VAR218[1] & VAR41[4]), (VAR218[0] & (~ VAR41[3])), VAR166}, VAR168 = {(VAR168[2] & (~ VAR178[2])), (VAR168[1] & (~ VAR178[1])), (VAR168[0] & (~ VAR178[0])), VAR218[3]}, VAR2 = {(VAR2[2] & (~ VAR178[2])), (VAR2[1] & (~ VAR178[1])), (VAR2[0] & VAR178[0]), VAR218[3]}, VAR138 = {(VAR138[2] & (~ VAR178[2])), (VAR138[1] & VAR178[1]), (VAR138[0] & (~ VAR178[0])), VAR218[3]}, VAR77 = {(VAR77[2] & (~ VAR178[2])), (VAR77[1] & VAR178[1]), (VAR77[0] & VAR178[0]), VAR218[3]}, VAR226 = {(VAR226[2] & VAR178[2]), (VAR226[1] & (~ VAR178[1])), (VAR226[0] & (~ VAR178[0])), VAR218[3]}, VAR220 = {(VAR220[2] & VAR178[2]), (VAR220[1] & (~ VAR178[1])), (VAR220[0] & VAR178[0]), VAR218[3]}, VAR3 = {(VAR3[2] & VAR178[2]), (VAR3[1] & VAR178[1]), (VAR3[0] & (~ VAR178[0])), VAR218[3]}, VAR20 = {(VAR20[2] & VAR178[2]), (VAR20[1] & VAR178[1]), (VAR20[0] & VAR178[0]), VAR218[3]}, VAR117 = {(VAR117[2] & VAR41[5]), (VAR117[1] & VAR41[4]), (VAR117[0] & VAR41[3]), VAR166}, VAR183 = {(VAR183[2] & (~ VAR178[2])), (VAR183[1] & (~ VAR178[1])), (VAR183[0] & (~ VAR178[0])), VAR117[3]}, VAR124 = {(VAR124[2] & (~ VAR178[2])), (VAR124[1] & (~ VAR178[1])), (VAR124[0] & VAR178[0]), VAR117[3]}, VAR60 = {(VAR60[2] & (~ VAR178[2])), (VAR60[1] & VAR178[1]), (VAR60[0] & (~ VAR178[0])), VAR117[3]}, VAR232 = {(VAR232[2] & (~ VAR178[2])), (VAR232[1] & VAR178[1]), (VAR232[0] & VAR178[0]), VAR117[3]}, VAR188 = {(VAR188[2] & VAR178[2]), (VAR188[1] & (~ VAR178[1])), (VAR188[0] & (~ VAR178[0])), VAR117[3]}, VAR85 = {(VAR85[2] & VAR178[2]), (VAR85[1] & (~ VAR178[1])), (VAR85[0] & VAR178[0]), VAR117[3]}, VAR116 = {(VAR116[2] & VAR178[2]), (VAR116[1] & VAR178[1]), (VAR116[0] & (~ VAR178[0])), VAR117[3]}, VAR133 = {(VAR133[2] & VAR178[2]), (VAR133[1] & VAR178[1]), (VAR133[0] & VAR178[0]), VAR117[3]}, VAR4 = {(VAR4[2] & (~ VAR41[5])), (VAR4[1] & (~ VAR41[4])), (VAR4[0] & (~ VAR41[3])), VAR253}, VAR38 = {(VAR38[2] & (~ VAR203[2])), (VAR38[1] & (~ VAR203[1])), (VAR38[0] & (~ VAR203[0])), VAR4[3]}, VAR239 = {(VAR239[2] & (~ VAR203[2])), (VAR239[1] & (~ VAR203[1])), (VAR239[0] & VAR203[0]), VAR4[3]}, VAR79 = {(VAR79[2] & (~ VAR203[2])), (VAR79[1] & VAR203[1]), (VAR79[0] & (~ VAR203[0])), VAR4[3]}, VAR64 = {(VAR64[2] & (~ VAR203[2])), (VAR64[1] & VAR203[1]), (VAR64[0] & VAR203[0]), VAR4[3]}, VAR34 = {(VAR34[2] & VAR203[2]), (VAR34[1] & (~ VAR203[1])), (VAR34[0] & (~ VAR203[0])), VAR4[3]}, VAR75 = {(VAR75[2] & VAR203[2]), (VAR75[1] & (~ VAR203[1])), (VAR75[0] & VAR203[0]), VAR4[3]}, VAR118 = {(VAR118[2] & VAR203[2]), (VAR118[1] & VAR203[1]), (VAR118[0] & (~ VAR203[0])), VAR4[3]}, VAR119 = {(VAR119[2] & VAR203[2]), (VAR119[1] & VAR203[1]), (VAR119[0] & VAR203[0]), VAR4[3]}, VAR199 = {(VAR199[2] & (~ VAR41[5])), (VAR199[1] & (~ VAR41[4])), (VAR199[0] & VAR41[3]), VAR253}, VAR200 = {(VAR200[2] & (~ VAR203[2])), (VAR200[1] & (~ VAR203[1])), (VAR200[0] & (~ VAR203[0])), VAR199[3]}, VAR161 = {(VAR161[2] & (~ VAR203[2])), (VAR161[1] & (~ VAR203[1])), (VAR161[0] & VAR203[0]), VAR199[3]}, VAR217 = {(VAR217[2] & (~ VAR203[2])), (VAR217[1] & VAR203[1]), (VAR217[0] & (~ VAR203[0])), VAR199[3]}, VAR197 = {(VAR197[2] & (~ VAR203[2])), (VAR197[1] & VAR203[1]), (VAR197[0] & VAR203[0]), VAR199[3]}, VAR82 = {(VAR82[2] & VAR203[2]), (VAR82[1] & (~ VAR203[1])), (VAR82[0] & (~ VAR203[0])), VAR199[3]}, VAR241 = {(VAR241[2] & VAR203[2]), (VAR241[1] & (~ VAR203[1])), (VAR241[0] & VAR203[0]), VAR199[3]}, VAR94 = {(VAR94[2] & VAR203[2]), (VAR94[1] & VAR203[1]), (VAR94[0] & (~ VAR203[0])), VAR199[3]}, VAR157 = {(VAR157[2] & VAR203[2]), (VAR157[1] & VAR203[1]), (VAR157[0] & VAR203[0]), VAR199[3]}, VAR180 = {(VAR180[2] & (~ VAR41[5])), (VAR180[1] & VAR41[4]), (VAR180[0] & (~ VAR41[3])), VAR253}, VAR8 = {(VAR8[2] & (~ VAR203[2])), (VAR8[1] & (~ VAR203[1])), (VAR8[0] & (~ VAR203[0])), VAR180[3]}, VAR248 = {(VAR248[2] & (~ VAR203[2])), (VAR248[1] & (~ VAR203[1])), (VAR248[0] & VAR203[0]), VAR180[3]}, VAR97 = {(VAR97[2] & (~ VAR203[2])), (VAR97[1] & VAR203[1]), (VAR97[0] & (~ VAR203[0])), VAR180[3]}, VAR206 = {(VAR206[2] & (~ VAR203[2])), (VAR206[1] & VAR203[1]), (VAR206[0] & VAR203[0]), VAR180[3]}, VAR176 = {(VAR176[2] & VAR203[2]), (VAR176[1] & (~ VAR203[1])), (VAR176[0] & (~ VAR203[0])), VAR180[3]}, VAR109 = {(VAR109[2] & VAR203[2]), (VAR109[1] & (~ VAR203[1])), (VAR109[0] & VAR203[0]), VAR180[3]}, VAR57 = {(VAR57[2] & VAR203[2]), (VAR57[1] & VAR203[1]), (VAR57[0] & (~ VAR203[0])), VAR180[3]}, VAR155 = {(VAR155[2] & VAR203[2]), (VAR155[1] & VAR203[1]), (VAR155[0] & VAR203[0]), VAR180[3]}, VAR204 = {(VAR204[2] & (~ VAR41[5])), (VAR204[1] & VAR41[4]), (VAR204[0] & VAR41[3]), VAR253}, VAR130 = {(VAR130[2] & (~ VAR203[2])), (VAR130[1] & (~ VAR203[1])), (VAR130[0] & (~ VAR203[0])), VAR204[3]}, VAR70 = {(VAR70[2] & (~ VAR203[2])), (VAR70[1] & (~ VAR203[1])), (VAR70[0] & VAR203[0]), VAR204[3]}, VAR115 = {(VAR115[2] & (~ VAR203[2])), (VAR115[1] & VAR203[1]), (VAR115[0] & (~ VAR203[0])), VAR204[3]}, VAR174 = {(VAR174[2] & (~ VAR203[2])), (VAR174[1] & VAR203[1]), (VAR174[0] & VAR203[0]), VAR204[3]}, VAR67 = {(VAR67[2] & VAR203[2]), (VAR67[1] & (~ VAR203[1])), (VAR67[0] & (~ VAR203[0])), VAR204[3]}, VAR46 = {(VAR46[2] & VAR203[2]), (VAR46[1] & (~ VAR203[1])), (VAR46[0] & VAR203[0]), VAR204[3]}, VAR101 = {(VAR101[2] & VAR203[2]), (VAR101[1] & VAR203[1]), (VAR101[0] & (~ VAR203[0])), VAR204[3]}, VAR173 = {(VAR173[2] & VAR203[2]), (VAR173[1] & VAR203[1]), (VAR173[0] & VAR203[0]), VAR204[3]}, VAR156 = {(VAR156[2] & VAR41[5]), (VAR156[1] & (~ VAR41[4])), (VAR156[0] & (~ VAR41[3])), VAR253}, VAR84 = {(VAR84[2] & (~ VAR203[2])), (VAR84[1] & (~ VAR203[1])), (VAR84[0] & (~ VAR203[0])), VAR156[3]}, VAR150 = {(VAR150[2] & (~ VAR203[2])), (VAR150[1] & (~ VAR203[1])), (VAR150[0] & VAR203[0]), VAR156[3]}, VAR35 = {(VAR35[2] & (~ VAR203[2])), (VAR35[1] & VAR203[1]), (VAR35[0] & (~ VAR203[0])), VAR156[3]}, VAR165 = {(VAR165[2] & (~ VAR203[2])), (VAR165[1] & VAR203[1]), (VAR165[0] & VAR203[0]), VAR156[3]}, VAR91 = {(VAR91[2] & VAR203[2]), (VAR91[1] & (~ VAR203[1])), (VAR91[0] & (~ VAR203[0])), VAR156[3]}, VAR23 = {(VAR23[2] & VAR203[2]), (VAR23[1] & (~ VAR203[1])), (VAR23[0] & VAR203[0]), VAR156[3]}, VAR147 = {(VAR147[2] & VAR203[2]), (VAR147[1] & VAR203[1]), (VAR147[0] & (~ VAR203[0])), VAR156[3]}, VAR139 = {(VAR139[2] & VAR203[2]), (VAR139[1] & VAR203[1]), (VAR139[0] & VAR203[0]), VAR156[3]}, VAR126 = {(VAR126[2] & VAR41[5]), (VAR126[1] & (~ VAR41[4])), (VAR126[0] & VAR41[3]), VAR253}, VAR123 = {(VAR123[2] & (~ VAR203[2])), (VAR123[1] & (~ VAR203[1])), (VAR123[0] & (~ VAR203[0])), VAR126[3]}, VAR17 = {(VAR17[2] & (~ VAR203[2])), (VAR17[1] & (~ VAR203[1])), (VAR17[0] & VAR203[0]), VAR126[3]}, VAR198 = {(VAR198[2] & (~ VAR203[2])), (VAR198[1] & VAR203[1]), (VAR198[0] & (~ VAR203[0])), VAR126[3]}, VAR43 = {(VAR43[2] & (~ VAR203[2])), (VAR43[1] & VAR203[1]), (VAR43[0] & VAR203[0]), VAR126[3]}, VAR208 = {(VAR208[2] & VAR203[2]), (VAR208[1] & (~ VAR203[1])), (VAR208[0] & (~ VAR203[0])), VAR126[3]}, VAR16 = {(VAR16[2] & VAR203[2]), (VAR16[1] & (~ VAR203[1])), (VAR16[0] & VAR203[0]), VAR126[3]}, VAR48 = {(VAR48[2] & VAR203[2]), (VAR48[1] & VAR203[1]), (VAR48[0] & (~ VAR203[0])), VAR126[3]}, VAR63 = {(VAR63[2] & VAR203[2]), (VAR63[1] & VAR203[1]), (VAR63[0] & VAR203[0]), VAR126[3]}, VAR229 = {(VAR229[2] & VAR41[5]), (VAR229[1] & VAR41[4]), (VAR229[0] & (~ VAR41[3])), VAR253}, VAR207 = {(VAR207[2] & (~ VAR203[2])), (VAR207[1] & (~ VAR203[1])), (VAR207[0] & (~ VAR203[0])), VAR229[3]}, VAR49 = {(VAR49[2] & (~ VAR203[2])), (VAR49[1] & (~ VAR203[1])), (VAR49[0] & VAR203[0]), VAR229[3]}, VAR195 = {(VAR195[2] & (~ VAR203[2])), (VAR195[1] & VAR203[1]), (VAR195[0] & (~ VAR203[0])), VAR229[3]}, VAR107 = {(VAR107[2] & (~ VAR203[2])), (VAR107[1] & VAR203[1]), (VAR107[0] & VAR203[0]), VAR229[3]}, VAR172 = {(VAR172[2] & VAR203[2]), (VAR172[1] & (~ VAR203[1])), (VAR172[0] & (~ VAR203[0])), VAR229[3]}, VAR78 = {(VAR78[2] & VAR203[2]), (VAR78[1] & (~ VAR203[1])), (VAR78[0] & VAR203[0]), VAR229[3]}, VAR129 = {(VAR129[2] & VAR203[2]), (VAR129[1] & VAR203[1]), (VAR129[0] & (~ VAR203[0])), VAR229[3]}, VAR246 = {(VAR246[2] & VAR203[2]), (VAR246[1] & VAR203[1]), (VAR246[0] & VAR203[0]), VAR229[3]}, VAR28 = {(VAR28[2] & VAR41[5]), (VAR28[1] & VAR41[4]), (VAR28[0] & VAR41[3]), VAR253}, VAR71 = {(VAR71[2] & (~ VAR203[2])), (VAR71[1] & (~ VAR203[1])), (VAR71[0] & (~ VAR203[0])), VAR28[3]}, VAR55 = {(VAR55[2] & (~ VAR203[2])), (VAR55[1] & (~ VAR203[1])), (VAR55[0] & VAR203[0]), VAR28[3]}, VAR210 = {(VAR210[2] & (~ VAR203[2])), (VAR210[1] & VAR203[1]), (VAR210[0] & (~ VAR203[0])), VAR28[3]}, VAR53 = {(VAR53[2] & (~ VAR203[2])), (VAR53[1] & VAR203[1]), (VAR53[0] & VAR203[0]), VAR28[3]}, VAR254 = {(VAR254[2] & VAR203[2]), (VAR254[1] & (~ VAR203[1])), (VAR254[0] & (~ VAR203[0])), VAR28[3]}, VAR54 = {(VAR54[2] & VAR203[2]), (VAR54[1] & (~ VAR203[1])), (VAR54[0] & VAR203[0]), VAR28[3]}, VAR18 = {(VAR18[2] & VAR203[2]), (VAR18[1] & VAR203[1]), (VAR18[0] & (~ VAR203[0])), VAR28[3]}, VAR224 = {(VAR224[2] & VAR203[2]), (VAR224[1] & VAR203[1]), (VAR224[0] & VAR203[0]), VAR28[3]}, VAR181 = {(VAR181[2] & (~ VAR41[5])), (VAR181[1] & (~ VAR41[4])), (VAR181[0] & (~ VAR41[3])), VAR166}, VAR26 = {(VAR26[2] & (~ VAR178[2])), (VAR26[1] & (~ VAR178[1])), (VAR26[0] & (~ VAR178[0])), VAR181[3]}, VAR132 = {(VAR132[2] & (~ VAR178[2])), (VAR132[1] & (~ VAR178[1])), (VAR132[0] & VAR178[0]), VAR181[3]}, VAR153 = {(VAR153[2] & (~ VAR178[2])), (VAR153[1] & VAR178[1]), (VAR153[0] & (~ VAR178[0])), VAR181[3]}, VAR194 = {(VAR194[2] & (~ VAR178[2])), (VAR194[1] & VAR178[1]), (VAR194[0] & VAR178[0]), VAR181[3]}, VAR146 = {(VAR146[2] & VAR178[2]), (VAR146[1] & (~ VAR178[1])), (VAR146[0] & (~ VAR178[0])), VAR181[3]}, VAR185 = {(VAR185[2] & VAR178[2]), (VAR185[1] & (~ VAR178[1])), (VAR185[0] & VAR178[0]), VAR181[3]}, VAR187 = {(VAR187[2] & VAR178[2]), (VAR187[1] & VAR178[1]), (VAR187[0] & (~ VAR178[0])), VAR181[3]}, VAR203 = VAR41[2:0], VAR178 = VAR41[2:0]; endmodule module MODULE3 ( clk, VAR27, VAR235, VAR227, VAR93, VAR141, VAR230, VAR215) ; input clk; input VAR27; input [71:0] VAR235; output VAR227; output VAR93; output VAR141; output VAR230; output [63:0] VAR215; parameter VAR163 = 0; wire [127:0] VAR213; wire VAR92; wire VAR245; wire VAR89; wire VAR205; wire VAR121; wire VAR40; wire VAR140; wire VAR103; wire VAR122; wire VAR128; wire VAR186; wire VAR81; wire VAR212; wire VAR59; wire VAR221; wire VAR196; wire VAR76; wire VAR255; wire VAR158; wire VAR19; wire VAR52; wire VAR104; wire VAR159; wire VAR175; wire VAR167; wire VAR14; wire VAR242; wire VAR240; wire VAR102; wire VAR100; wire VAR223; wire VAR61; wire VAR233; wire VAR228; wire VAR148; wire VAR149; wire VAR243; wire VAR1; wire VAR99; wire VAR65; wire VAR72; wire VAR216; wire VAR106; wire VAR236; wire VAR13; wire VAR249; wire VAR29; wire VAR189; wire VAR87; wire VAR151; wire VAR105; wire VAR37; wire VAR25; wire VAR152; wire VAR39; wire VAR135; wire VAR164; wire VAR24; wire VAR96; wire VAR191; wire VAR90; wire VAR111; wire VAR32; wire VAR7; wire VAR31; wire [63:0] VAR237; wire [71:0] VAR41; wire [127:0] VAR10; wire VAR11; wire VAR88; wire VAR177; wire [35:0] VAR51; wire [17:0] VAR219; wire [8:0] VAR22; wire [3:0] VAR154; wire [1:0] VAR251; wire [30:0] VAR95; wire [6:0] VAR238; wire VAR222; wire [70:0] VAR143; wire [6:0] VAR184; wire [63:0] VAR231; wire VAR244; wire VAR114; wire [5:0] VAR192; wire [7:0] VAR47; reg [7:0] VAR80; reg [71:0] VAR211; generate if (VAR163 == 1) begin always @ (posedge clk or negedge VAR27) begin if (!VAR27) begin VAR80 <= {8{1'b0}}; VAR211 <= {72{1'b0}}; end else begin VAR80 <= VAR47; VAR211 <= VAR41; end end end else begin always @ (*) begin VAR80 = VAR47; VAR211 = VAR41; end end endgenerate MODULE2 MODULE1 ( .VAR235(VAR80[6:0]), .VAR252(VAR213)); assign VAR92 = (VAR80[7] == 1'b1) ? (VAR10[3] ^ VAR211[0]) : VAR211[0]; assign VAR245 = (VAR80[7] == 1'b1) ? (VAR10[5] ^ VAR211[1]) : VAR211[1]; assign VAR89 = (VAR80[7] == 1'b1) ? (VAR10[15] ^ VAR211[10]) : VAR211[10]; assign VAR205 = (VAR80[7] == 1'b1) ? (VAR10[17] ^ VAR211[11]) : VAR211[11]; assign VAR121 = (VAR80[7] == 1'b1) ? (VAR10[18] ^ VAR211[12]) : VAR211[12]; assign VAR40 = (VAR80[7] == 1'b1) ? (VAR10[19] ^ VAR211[13]) : VAR211[13]; assign VAR140 = (VAR80[7] == 1'b1) ? (VAR10[20] ^ VAR211[14]) : VAR211[14]; assign VAR103 = (VAR80[7] == 1'b1) ? (VAR10[21] ^ VAR211[15]) : VAR211[15]; assign VAR122 = (VAR80[7] == 1'b1) ? (VAR10[22] ^ VAR211[16]) : VAR211[16]; assign VAR128 = (VAR80[7] == 1'b1) ? (VAR10[23] ^ VAR211[17]) : VAR211[17]; assign VAR186 = (VAR80[7] == 1'b1) ? (VAR10[24] ^ VAR211[18]) : VAR211[18]; assign VAR81 = (VAR80[7] == 1'b1) ? (VAR10[25] ^ VAR211[19]) : VAR211[19]; assign VAR212 = (VAR80[7] == 1'b1) ? (VAR10[6] ^ VAR211[2]) : VAR211[2]; assign VAR59 = (VAR80[7] == 1'b1) ? (VAR10[26] ^ VAR211[20]) : VAR211[20]; assign VAR221 = (VAR80[7] == 1'b1) ? (VAR10[27] ^ VAR211[21]) : VAR211[21]; assign VAR196 = (VAR80[7] == 1'b1) ? (VAR10[28] ^ VAR211[22]) : VAR211[22]; assign VAR76 = (VAR80[7] == 1'b1) ? (VAR10[29] ^ VAR211[23]) : VAR211[23]; assign VAR255 = (VAR80[7] == 1'b1) ? (VAR10[30] ^ VAR211[24]) : VAR211[24]; assign VAR158 = (VAR80[7] == 1'b1) ? (VAR10[31] ^ VAR211[25]) : VAR211[25]; assign VAR19 = (VAR80[7] == 1'b1) ? (VAR10[33] ^ VAR211[26]) : VAR211[26]; assign VAR52 = (VAR80[7] == 1'b1) ? (VAR10[34] ^ VAR211[27]) : VAR211[27]; assign VAR104 = (VAR80[7] == 1'b1) ? (VAR10[35] ^ VAR211[28]) : VAR211[28]; assign VAR159 = (VAR80[7] == 1'b1) ? (VAR10[36] ^ VAR211[29]) : VAR211[29]; assign VAR175 = (VAR80[7] == 1'b1) ? (VAR10[7] ^ VAR211[3]) : VAR211[3]; assign VAR167 = (VAR80[7] == 1'b1) ? (VAR10[37] ^ VAR211[30]) : VAR211[30]; assign VAR14 = (VAR80[7] == 1'b1) ? (VAR10[38] ^ VAR211[31]) : VAR211[31]; assign VAR242 = (VAR80[7] == 1'b1) ? (VAR10[39] ^ VAR211[32]) : VAR211[32]; assign VAR240 = (VAR80[7] == 1'b1) ? (VAR10[40] ^ VAR211[33]) : VAR211[33]; assign VAR102 = (VAR80[7] == 1'b1) ? (VAR10[41] ^ VAR211[34]) : VAR211[34]; assign VAR100 = (VAR80[7] == 1'b1) ? (VAR10[42] ^ VAR211[35]) : VAR211[35]; assign VAR223 = (VAR80[7] == 1'b1) ? (VAR10[43] ^ VAR211[36]) : VAR211[36]; assign VAR61 = (VAR80[7] == 1'b1) ? (VAR10[44] ^ VAR211[37]) : VAR211[37]; assign VAR233 = (VAR80[7] == 1'b1) ? (VAR10[45] ^ VAR211[38]) : VAR211[38]; assign VAR228 = (VAR80[7] == 1'b1) ? (VAR10[46] ^ VAR211[39]) : VAR211[39]; assign VAR148 = (VAR80[7] == 1'b1) ? (VAR10[9] ^ VAR211[4]) : VAR211[4]; assign VAR149 = (VAR80[7] == 1'b1) ? (VAR10[47] ^ VAR211[40]) : VAR211[40]; assign VAR243 = (VAR80[7] == 1'b1) ? (VAR10[48] ^ VAR211[41]) : VAR211[41]; assign VAR1 = (VAR80[7] == 1'b1) ? (VAR10[49] ^ VAR211[42]) : VAR211[42]; assign VAR99 = (VAR80[7] == 1'b1) ? (VAR10[50] ^ VAR211[43]) : VAR211[43]; assign VAR65 = (VAR80[7] == 1'b1) ? (VAR10[51] ^ VAR211[44]) : VAR211[44]; assign VAR72 = (VAR80[7] == 1'b1) ? (VAR10[52] ^ VAR211[45]) : VAR211[45]; assign VAR216 = (VAR80[7] == 1'b1) ? (VAR10[53] ^ VAR211[46]) : VAR211[46]; assign VAR106 = (VAR80[7] == 1'b1) ? (VAR10[54] ^ VAR211[47]) : VAR211[47]; assign VAR236 = (VAR80[7] == 1'b1) ? (VAR10[55] ^ VAR211[48]) : VAR211[48]; assign VAR13 = (VAR80[7] == 1'b1) ? (VAR10[56] ^ VAR211[49]) : VAR211[49]; assign VAR249 = (VAR80[7] == 1'b1) ? (VAR10[10] ^ VAR211[5]) : VAR211[5]; assign VAR29 = (VAR80[7] == 1'b1) ? (VAR10[57] ^ VAR211[50]) : VAR211[50]; assign VAR189 = (VAR80[7] == 1'b1) ? (VAR10[58] ^ VAR211[51]) : VAR211[51]; assign VAR87 = (VAR80[7] == 1'b1) ? (VAR10[59] ^ VAR211[52]) : VAR211[52]; assign VAR151 = (VAR80[7] == 1'b1) ? (VAR10[60] ^ VAR211[53]) : VAR211[53]; assign VAR105 = (VAR80[7] == 1'b1) ? (VAR10[61] ^ VAR211[54]) : VAR211[54]; assign VAR37 = (VAR80[7] == 1'b1) ? (VAR10[62] ^ VAR211[55]) : VAR211[55]; assign VAR25 = (VAR80[7] == 1'b1) ? (VAR10[63] ^ VAR211[56]) : VAR211[56]; assign VAR152 = (VAR80[7] == 1'b1) ? (VAR10[65] ^ VAR211[57]) : VAR211[57]; assign VAR39 = (VAR80[7] == 1'b1) ? (VAR10[66] ^ VAR211[58]) : VAR211[58]; assign VAR135 = (VAR80[7] == 1'b1) ? (VAR10[67] ^ VAR211[59]) : VAR211[59]; assign VAR164 = (VAR80[7] == 1'b1) ? (VAR10[11] ^ VAR211[6]) : VAR211[6]; assign VAR24 = (VAR80[7] == 1'b1) ? (VAR10[68] ^ VAR211[60]) : VAR211[60]; assign VAR96 = (VAR80[7] == 1'b1) ? (VAR10[69] ^ VAR211[61]) : VAR211[61]; assign VAR191 = (VAR80[7] == 1'b1) ? (VAR10[70] ^ VAR211[62]) : VAR211[62]; assign VAR90 = (VAR80[7] == 1'b1) ? (VAR10[71] ^ VAR211[63]) : VAR211[63]; assign VAR111 = (VAR80[7] == 1'b1) ? (VAR10[12] ^ VAR211[7]) : VAR211[7]; assign VAR32 = (VAR80[7] == 1'b1) ? (VAR10[13] ^ VAR211[8]) : VAR211[8]; assign VAR7 = (VAR80[7] == 1'b1) ? (VAR10[14] ^ VAR211[9]) : VAR211[9]; assign VAR31 = VAR237[63], VAR237 = {(VAR237[62] | VAR10[71]), (VAR237[61] | VAR10[70]), (VAR237[60] | VAR10[69]), (VAR237[59] | VAR10[68]), (VAR237[58] | VAR10[67]), (VAR237[57] | VAR10[66]), (VAR237[56] | VAR10[65]), (VAR237[55] | VAR10[63]), (VAR237[54] | VAR10[62]), (VAR237[53] | VAR10[61]), (VAR237[52] | VAR10[60]), (VAR237[51] | VAR10[59]), (VAR237[50] | VAR10[58]), (VAR237[49] | VAR10[57]), (VAR237[48] | VAR10[56]), (VAR237[47] | VAR10[55]), (VAR237[46] | VAR10[54]), (VAR237[45] | VAR10[53]), (VAR237[44] | VAR10[52]), (VAR237[43] | VAR10[51]), (VAR237[42] | VAR10[50]), (VAR237[41] | VAR10[49]), (VAR237[40] | VAR10[48]), (VAR237[39] | VAR10[47]), (VAR237[38] | VAR10[46]), (VAR237[37] | VAR10[45]), (VAR237[36] | VAR10[44]), (VAR237[35] | VAR10[43]), (VAR237[34] | VAR10[42]), (VAR237[33] | VAR10[41]), (VAR237[32] | VAR10[40]), (VAR237[31] | VAR10[39]), (VAR237[30] | VAR10[38]), (VAR237[29] | VAR10[37]), (VAR237[28] | VAR10[36]), (VAR237[27] | VAR10[35]), (VAR237[26] | VAR10[34]), (VAR237[25] | VAR10[33]), (VAR237[24] | VAR10[31]), (VAR237[23] | VAR10[30]), (VAR237[22] | VAR10[29]), (VAR237[21] | VAR10[28]), (VAR237[20] | VAR10[27]), (VAR237[19] | VAR10[26]), (VAR237[18] | VAR10[25]), (VAR237[17] | VAR10[24]), (VAR237[16] | VAR10[23]), (VAR237[15] | VAR10[22]), (VAR237[14] | VAR10[21]), (VAR237[13] | VAR10[20]), (VAR237[12] | VAR10[19]), (VAR237[11] | VAR10[18]), (VAR237[10] | VAR10[17]), (VAR237[9] | VAR10[15]), (VAR237[8] | VAR10[14]), (VAR237[7] | VAR10[13]), (VAR237[6] | VAR10[12]), (VAR237[5] | VAR10[11]), (VAR237[4] | VAR10[10]), (VAR237[3] | VAR10[9]), (VAR237[2] | VAR10[7]), (VAR237[1] | VAR10[6]), (VAR237[0] | VAR10[5]), VAR10[3]}, VAR41 = VAR235, VAR10 = VAR213, VAR227 = VAR11, VAR11 = ((VAR244 & VAR114) & VAR31), VAR93 = VAR88, VAR88 = (VAR244 & (~ (VAR114 & VAR222))), VAR141 = VAR177, VAR230 = VAR114, VAR177 = (VAR88 & (~ VAR11)), VAR51 = {(VAR41[63] ^ VAR51[34]), (VAR41[61] ^ VAR51[33]), (VAR41[59] ^ VAR51[32]), (VAR41[57] ^ VAR51[31]), (VAR41[56] ^ VAR51[30]), (VAR41[54] ^ VAR51[29]), (VAR41[52] ^ VAR51[28]), (VAR41[50] ^ VAR51[27]), (VAR41[48] ^ VAR51[26]), (VAR41[46] ^ VAR51[25]), (VAR41[44] ^ VAR51[24]), (VAR41[42] ^ VAR51[23]), (VAR41[40] ^ VAR51[22]), (VAR41[38] ^ VAR51[21]), (VAR41[36] ^ VAR51[20]), (VAR41[34] ^ VAR51[19]), (VAR41[32] ^ VAR51[18]), (VAR41[30] ^ VAR51[17]), (VAR41[28] ^ VAR51[16]), (VAR41[26] ^ VAR51[15]), (VAR41[25] ^ VAR51[14]), (VAR41[23] ^ VAR51[13]), (VAR41[21] ^ VAR51[12]), (VAR41[19] ^ VAR51[11]), (VAR41[17] ^ VAR51[10]), (VAR41[15] ^ VAR51[9]), (VAR41[13] ^ VAR51[8]), (VAR41[11] ^ VAR51[7]), (VAR41[10] ^ VAR51[6]), (VAR41[8] ^ VAR51[5]), (VAR41[6] ^ VAR51[4]), (VAR41[4] ^ VAR51[3]), (VAR41[3] ^ VAR51[2]), (VAR41[1] ^ VAR51[1]), (VAR41[0] ^ VAR51[0]), VAR41[64]}, VAR219 = {((VAR41[62] ^ VAR41[63]) ^ VAR219[16]), ((VAR41[58] ^ VAR41[59]) ^ VAR219[15]), ((VAR41[55] ^ VAR41[56]) ^ VAR219[14]), ((VAR41[51] ^ VAR41[52]) ^ VAR219[13]), ((VAR41[47] ^ VAR41[48]) ^ VAR219[12]), ((VAR41[43] ^ VAR41[44]) ^ VAR219[11]), ((VAR41[39] ^ VAR41[40]) ^ VAR219[10]), ((VAR41[35] ^ VAR41[36]) ^ VAR219[9]), ((VAR41[31] ^ VAR41[32]) ^ VAR219[8]), ((VAR41[27] ^ VAR41[28]) ^ VAR219[7]), ((VAR41[24] ^ VAR41[25]) ^ VAR219[6]), ((VAR41[20] ^ VAR41[21]) ^ VAR219[5]), ((VAR41[16] ^ VAR41[17]) ^ VAR219[4]), ((VAR41[12] ^ VAR41[13]) ^ VAR219[3]), ((VAR41[9] ^ VAR41[10]) ^ VAR219[2]), ((VAR41[5] ^ VAR41[6]) ^ VAR219[1]), ((VAR41[2] ^ VAR41[3]) ^ VAR219[0]), (VAR41[65] ^ VAR41[0])}, VAR22 = {((((VAR41[60] ^ VAR41[61]) ^ VAR41[62]) ^ VAR41[63]) ^ VAR22[7]), ((((VAR41[53] ^ VAR41[54]) ^ VAR41[55]) ^ VAR41[56]) ^ VAR22[6]), ((((VAR41[45] ^ VAR41[46]) ^ VAR41[47]) ^ VAR41[48]) ^ VAR22[5]), ((((VAR41[37] ^ VAR41[38]) ^ VAR41[39]) ^ VAR41[40]) ^ VAR22[4]), ((((VAR41[29] ^ VAR41[30]) ^ VAR41[31]) ^ VAR41[32]) ^ VAR22[3]), ((((VAR41[22] ^ VAR41[23]) ^ VAR41[24]) ^ VAR41[25]) ^ VAR22[2]), ((((VAR41[14] ^ VAR41[15]) ^ VAR41[16]) ^ VAR41[17]) ^ VAR22[1]), ((((VAR41[7] ^ VAR41[8]) ^ VAR41[9]) ^ VAR41[10]) ^ VAR22[0]), (((VAR41[66] ^ VAR41[1]) ^ VAR41[2]) ^ VAR41[3])}, VAR154 = {((((((((VAR41[49] ^ VAR41[50]) ^ VAR41[51]) ^ VAR41[52]) ^ VAR41[53]) ^ VAR41[54]) ^ VAR41[55]) ^ VAR41[56]) ^ VAR154[2]), ((((((((VAR41[33] ^ VAR41[34]) ^ VAR41[35]) ^ VAR41[36]) ^ VAR41[37]) ^ VAR41[38]) ^ VAR41[39]) ^ VAR41[40]) ^ VAR154[1]), ((((((((VAR41[18] ^ VAR41[19]) ^ VAR41[20]) ^ VAR41[21]) ^ VAR41[22]) ^ VAR41[23]) ^ VAR41[24]) ^ VAR41[25]) ^ VAR154[0]), (((((((VAR41[67] ^ VAR41[4]) ^ VAR41[5]) ^ VAR41[6]) ^ VAR41[7]) ^ VAR41[8]) ^ VAR41[9]) ^ VAR41[10])}, VAR251 = {((((((((((((((((VAR41[41] ^ VAR41[42]) ^ VAR41[43]) ^ VAR41[44]) ^ VAR41[45]) ^ VAR41[46]) ^ VAR41[47]) ^ VAR41[48]) ^ VAR41[49]) ^ VAR41[50]) ^ VAR41[51]) ^ VAR41[52]) ^ VAR41[53]) ^ VAR41[54]) ^ VAR41[55]) ^ VAR41[56]) ^ VAR251[0]), (((((((((((((((VAR41[68] ^ VAR41[11]) ^ VAR41[12]) ^ VAR41[13]) ^ VAR41[14]) ^ VAR41[15]) ^ VAR41[16]) ^ VAR41[17]) ^ VAR41[18]) ^ VAR41[19]) ^ VAR41[20]) ^ VAR41[21]) ^ VAR41[22]) ^ VAR41[23]) ^ VAR41[24]) ^ VAR41[25])}, VAR95 = {(VAR41[56] ^ VAR95[29]), (VAR41[55] ^ VAR95[28]), (VAR41[54] ^ VAR95[27]), (VAR41[53] ^ VAR95[26]), (VAR41[52] ^ VAR95[25]), (VAR41[51] ^ VAR95[24]), (VAR41[50] ^ VAR95[23]), (VAR41[49] ^ VAR95[22]), (VAR41[48] ^ VAR95[21]), (VAR41[47] ^ VAR95[20]), (VAR41[46] ^ VAR95[19]), (VAR41[45] ^ VAR95[18]), (VAR41[44] ^ VAR95[17]), (VAR41[43] ^ VAR95[16]), (VAR41[42] ^ VAR95[15]), (VAR41[41] ^ VAR95[14]), (VAR41[40] ^ VAR95[13]), (VAR41[39] ^ VAR95[12]), (VAR41[38] ^ VAR95[11]), (VAR41[37] ^ VAR95[10]), (VAR41[36] ^ VAR95[9]), (VAR41[35] ^ VAR95[8]), (VAR41[34] ^ VAR95[7]), (VAR41[33] ^ VAR95[6]), (VAR41[32] ^ VAR95[5]), (VAR41[31] ^ VAR95[4]), (VAR41[30] ^ VAR95[3]), (VAR41[29] ^ VAR95[2]), (VAR41[28] ^ VAR95[1]), (VAR41[27] ^ VAR95[0]), (VAR41[69] ^ VAR41[26])}, VAR238 = {(VAR41[63] ^ VAR238[5]), (VAR41[62] ^ VAR238[4]), (VAR41[61] ^ VAR238[3]), (VAR41[60] ^ VAR238[2]), (VAR41[59] ^ VAR238[1]), (VAR41[58] ^ VAR238[0]), (VAR41[70] ^ VAR41[57])}, VAR222 = VAR184[6], VAR143 = {(VAR41[70] ^ VAR143[69]), (VAR41[69] ^ VAR143[68]), (VAR41[68] ^ VAR143[67]), (VAR41[67] ^ VAR143[66]), (VAR41[66] ^ VAR143[65]), (VAR41[65] ^ VAR143[64]), (VAR41[64] ^ VAR143[63]), (VAR41[63] ^ VAR143[62]), (VAR41[62] ^ VAR143[61]), (VAR41[61] ^ VAR143[60]), (VAR41[60] ^ VAR143[59]), (VAR41[59] ^ VAR143[58]), (VAR41[58] ^ VAR143[57]), (VAR41[57] ^ VAR143[56]), (VAR41[56] ^ VAR143[55]), (VAR41[55] ^ VAR143[54]), (VAR41[54] ^ VAR143[53]), (VAR41[53] ^ VAR143[52]), (VAR41[52] ^ VAR143[51]), (VAR41[51] ^ VAR143[50]), (VAR41[50] ^ VAR143[49]), (VAR41[49] ^ VAR143[48]), (VAR41[48] ^ VAR143[47]), (VAR41[47] ^ VAR143[46]), (VAR41[46] ^ VAR143[45]), (VAR41[45] ^ VAR143[44]), (VAR41[44] ^ VAR143[43]), (VAR41[43] ^ VAR143[42]), (VAR41[42] ^ VAR143[41]), (VAR41[41] ^ VAR143[40]), (VAR41[40] ^ VAR143[39]), (VAR41[39] ^ VAR143[38]), (VAR41[38] ^ VAR143[37]), (VAR41[37] ^ VAR143[36]), (VAR41[36] ^ VAR143[35]), (VAR41[35] ^ VAR143[34]), (VAR41[34] ^ VAR143[33]), (VAR41[33] ^ VAR143[32]), (VAR41[32] ^ VAR143[31]), (VAR41[31] ^ VAR143[30]), (VAR41[30] ^ VAR143[29]), (VAR41[29] ^ VAR143[28]), (VAR41[28] ^ VAR143[27]), (VAR41[27] ^ VAR143[26]), (VAR41[26] ^ VAR143[25]), (VAR41[25] ^ VAR143[24]), (VAR41[24] ^ VAR143[23]), (VAR41[23] ^ VAR143[22]), (VAR41[22] ^ VAR143[21]), (VAR41[21] ^ VAR143[20]), (VAR41[20] ^ VAR143[19]), (VAR41[19] ^ VAR143[18]), (VAR41[18] ^ VAR143[17]), (VAR41[17] ^ VAR143[16]), (VAR41[16] ^ VAR143[15]), (VAR41[15] ^ VAR143[14]), (VAR41[14] ^ VAR143[13]), (VAR41[13] ^ VAR143[12]), (VAR41[12] ^ VAR143[11]), (VAR41[11] ^ VAR143[10]), (VAR41[10] ^ VAR143[9]), (VAR41[9] ^ VAR143[8]), (VAR41[8] ^ VAR143[7]), (VAR41[7] ^ VAR143[6]), (VAR41[6] ^ VAR143[5]), (VAR41[5] ^ VAR143[4]), (VAR41[4] ^ VAR143[3]), (VAR41[3] ^ VAR143[2]), (VAR41[2] ^ VAR143[1]), (VAR41[1] ^ VAR143[0]), (VAR41[71] ^ VAR41[0])}, VAR184 = {(VAR184[5] | VAR10[64]), (VAR184[4] | VAR10[32]), (VAR184[3] | VAR10[16]), (VAR184[2] | VAR10[8]), (VAR184[1] | VAR10[4]), (VAR184[0] | VAR10[2]), VAR10[1]}, VAR215 = VAR231, VAR231 = {VAR90, VAR191, VAR96, VAR24, VAR135, VAR39, VAR152, VAR25, VAR37, VAR105, VAR151, VAR87, VAR189, VAR29, VAR13, VAR236, VAR106, VAR216, VAR72, VAR65, VAR99, VAR1, VAR243, VAR149, VAR228, VAR233, VAR61, VAR223, VAR100, VAR102, VAR240, VAR242, VAR14, VAR167, VAR159, VAR104, VAR52, VAR19, VAR158, VAR255, VAR76, VAR196, VAR221, VAR59, VAR81, VAR186, VAR128, VAR122, VAR103, VAR140, VAR40, VAR121, VAR205, VAR89, VAR7, VAR32, VAR111, VAR164, VAR249, VAR148, VAR175, VAR212, VAR245, VAR92}, VAR244 = VAR192[5], VAR114 = VAR80[7], VAR192 = {(VAR192[4] | VAR80[6]), (VAR192[3] | VAR80[5]), (VAR192[2] | VAR80[4]), (VAR192[1] | VAR80[3]), (VAR192[0] | VAR80[2]), (VAR80[0] | VAR80[1])}, VAR47 = {VAR143[70], VAR238[6], VAR95[30], VAR251[1], VAR154[3], VAR22[8], VAR219[17], VAR51[35]}; endmodule module MODULE1 parameter VAR163 = 1 ) ( clk, VAR27, VAR235, VAR227, VAR93, VAR141, VAR230, VAR215); input clk; input VAR27; input [71:0] VAR235; output VAR227; output VAR93; output VAR141; output VAR230; output [63:0] VAR215; wire VAR112; wire VAR201; wire VAR225; wire VAR66; wire [63:0] VAR69; wire VAR93 = VAR112; wire VAR141 = VAR201; wire VAR227 = VAR225; wire VAR230 = VAR66; wire [63:0] VAR215 = VAR69[63:0]; MODULE3 .VAR163 (VAR163) ) VAR44 ( .clk (clk), .VAR27 (VAR27), .VAR235 (VAR235), .VAR93 (VAR112), .VAR141 (VAR201), .VAR227 (VAR225), .VAR230 (VAR66), .VAR215 (VAR69)); endmodule
gpl-2.0
ptracton/pmodacl2
soc/xilinx/LUT6.v
4,056
module MODULE1 (VAR3, VAR24, VAR1, VAR5, VAR19, VAR7, VAR17); parameter VAR20 = 64'h0000000000000000; parameter VAR8 = "VAR15"; output VAR3; input VAR24, VAR1, VAR5, VAR19, VAR7, VAR17; wire VAR16, VAR25, VAR4, VAR14, VAR21, VAR6; wire VAR2; buf b0 (VAR16, VAR24); buf b1 (VAR25, VAR1); buf VAR9 (VAR4, VAR5); buf VAR23 (VAR14, VAR19); buf VAR10 (VAR21, VAR7); buf VAR11 (VAR6, VAR17); buf VAR12 (VAR3, VAR2); reg VAR13; reg VAR18; assign VAR2 = VAR13; always @( VAR6 or VAR21 or VAR14 or VAR4 or VAR25 or VAR16 ) begin VAR18 = VAR16 ^ VAR25 ^ VAR4 ^ VAR14 ^ VAR21 ^ VAR6; if ( VAR18 == 0 || VAR18 == 1) VAR13 = VAR20[{VAR6, VAR21, VAR14, VAR4, VAR25, VAR16}]; end else VAR13 = VAR22 ( {VAR22 ( VAR20[63:56], {VAR4, VAR25, VAR16}), VAR22 ( VAR20[55:48], {VAR4, VAR25, VAR16}), VAR22 ( VAR20[47:40], {VAR4, VAR25, VAR16}), VAR22 ( VAR20[39:32], {VAR4, VAR25, VAR16}), VAR22 ( VAR20[31:24], {VAR4, VAR25, VAR16}), VAR22 ( VAR20[23:16], {VAR4, VAR25, VAR16}), VAR22 ( VAR20[15:8], {VAR4, VAR25, VAR16}), VAR22 ( VAR20[7:0], {VAR4, VAR25, VAR16}) }, {VAR6, VAR21, VAR14}); end begin end
mit
Masahiro000Shimasaki/NeuralNetwork
Hardware/Perceptron_xor/fp_add_sub.v
140,017
module MODULE1 ( VAR14, VAR13, VAR12, VAR7, VAR9, VAR5) ; input VAR14; input VAR13; input VAR12; input [25:0] VAR7; input [4:0] VAR9; output [25:0] VAR5; tri0 VAR14; tri1 VAR13; tri0 VAR12; reg [0:0] VAR11; reg [25:0] VAR8; wire [5:0] VAR1; wire VAR4; wire [15:0] VAR2; wire [155:0] VAR3; wire [4:0] VAR6; wire [129:0] VAR10;
mit
LoniasGR/Just_NTUA_ECE_Stuff
MicroSys/Assignment_2/Exercise_7/exercise_6_36_withGates.v
1,151
module MODULE2 (output VAR14, input VAR1, VAR10); reg VAR14; VAR13 VAR14 = 1'b0; always @ (posedge VAR10) VAR14 <= VAR1; endmodule module MODULE1 (output VAR14, input VAR17, VAR10); wire VAR6; assign VAR6 = VAR14 ^ VAR17; MODULE2 VAR8 (VAR14, VAR6, VAR10); endmodule module 1bitupdowncounter (output VAR18, input VAR19, VAR12, VAR10); wire VAR2; or (VAR2, VAR19, VAR12); MODULE1 VAR9 (VAR18, VAR2, VAR10); endmodule module 4bitupdowncounterpart (output VAR18, VAR15, VAR20, input VAR19, VAR12, VAR10); wire VAR4; 1bitupdowncounter VAR22 (VAR18, VAR19, VAR12, VAR10); not (VAR4, VAR18); and (VAR15, VAR12, VAR4); and (VAR20, VAR19, VAR18); endmodule module 4bitupdowncounter (output [3:0] VAR18, input VAR7, VAR3, VAR10); wire VAR21, VAR2; wire [5:0] wr; not (VAR21, VAR7); and (VAR2, VAR3, VAR21); 4bitupdowncounterpart VAR9 (VAR18[0], wr[0], wr[1], VAR7, VAR2, VAR10), VAR16 (VAR18[1], wr[2], wr[3], wr[1], wr[0], VAR10), VAR5 (VAR18[2], wr[4], wr[5], wr[3], wr[2], VAR10); 1bitupdowncounter VAR11 (VAR18[3], wr[5], wr[4], VAR10); endmodule
mit
P3Stor/P3Stor
ftl/Dynamic_Controller/ipcore_dir/controller_command_fifo.v
13,456
module MODULE1( clk, rst, din, VAR231, VAR216, dout, VAR315, VAR190, VAR77 ); input clk; input rst; input [127 : 0] din; input VAR231; input VAR216; output [127 : 0] dout; output VAR315; output VAR190; output [4 : 0] VAR77; VAR64 #( .VAR185(0), .VAR321(0), .VAR103(0), .VAR61(0), .VAR262(0), .VAR253(0), .VAR6(0), .VAR125(32), .VAR2(1), .VAR219(1), .VAR322(1), .VAR152(64), .VAR408(4), .VAR94(1), .VAR22(0), .VAR58(1), .VAR157(64), .VAR146(4), .VAR121(8), .VAR370(4), .VAR365(4), .VAR265(4), .VAR325(0), .VAR338(1), .VAR130(0), .VAR163(5), .VAR88("VAR59"), .VAR248(128), .VAR131(1), .VAR127(32), .VAR232(64), .VAR317(32), .VAR260(64), .VAR93(2), .VAR327("0"), .VAR102(128), .VAR199(0), .VAR150(1), .VAR385(0), .VAR258(0), .VAR353(0), .VAR35(0), .VAR293(0), .VAR278(0), .VAR308(0), .VAR237("VAR409"), .VAR247(1), .VAR307(0), .VAR194(0), .VAR312(0), .VAR11(0), .VAR228(0), .VAR235(0), .VAR47(0), .VAR217(0), .VAR328(0), .VAR36(0), .VAR292(0), .VAR212(0), .VAR297(0), .VAR281(0), .VAR291(1), .VAR105(0), .VAR368(0), .VAR401(0), .VAR154(1), .VAR252(0), .VAR67(0), .VAR112(0), .VAR244(0), .VAR233(0), .VAR1(0), .VAR9(0), .VAR215(0), .VAR45(0), .VAR162(0), .VAR362(0), .VAR83(0), .VAR175(0), .VAR178(0), .VAR371(0), .VAR109(0), .VAR330(0), .VAR132(0), .VAR165(1), .VAR340(0), .VAR221(0), .VAR101(0), .VAR200(0), .VAR309(0), .VAR198(0), .VAR12(0), .VAR196(0), .VAR259(1), .VAR298(1), .VAR195(1), .VAR334(1), .VAR403(1), .VAR167(1), .VAR180(0), .VAR295(0), .VAR104(1), .VAR118("VAR59"), .VAR60(1), .VAR90(0), .VAR352(0), .VAR15(0), .VAR68(1), .VAR145("512x72"), .VAR39(4), .VAR350(1022), .VAR268(1022), .VAR171(1022), .VAR117(1022), .VAR184(1022), .VAR138(1022), .VAR99(5), .VAR96(0), .VAR57(5), .VAR202(5), .VAR161(5), .VAR27(5), .VAR226(5), .VAR137(5), .VAR381(15), .VAR136(1023), .VAR326(1023), .VAR267(1023), .VAR197(1023), .VAR21(1023), .VAR135(1023), .VAR299(14), .VAR141(0), .VAR316(5), .VAR392(5), .VAR358(5), .VAR159(5), .VAR81(5), .VAR390(5), .VAR209(0), .VAR301(5), .VAR85(16), .VAR411(1), .VAR80(4), .VAR48(0), .VAR43(0), .VAR20(0), .VAR97(0), .VAR361(0), .VAR203(0), .VAR189(0), .VAR63(2), .VAR238(0), .VAR360(0), .VAR115(0), .VAR399(0), .VAR273(1), .VAR218(0), .VAR111(0), .VAR172(0), .VAR44(0), .VAR123(0), .VAR394(0), .VAR331(0), .VAR329(0), .VAR377(0), .VAR386(1), .VAR272(0), .VAR52(0), .VAR8(0), .VAR211(0), .VAR302(5), .VAR314(16), .VAR207(1024), .VAR345(16), .VAR120(1024), .VAR164(16), .VAR286(1024), .VAR254(16), .VAR182(1), .VAR176(4), .VAR264(10), .VAR89(4), .VAR324(10), .VAR319(4), .VAR335(10), .VAR287(4), .VAR347(1), .VAR405(0) ) VAR300 ( .VAR275(clk), .VAR92(rst), .VAR33(din), .VAR169(VAR231), .VAR144(VAR216), .VAR28(dout), .VAR37(VAR315), .VAR367(VAR190), .VAR84(VAR77), .VAR271(), .VAR356(), .VAR177(), .VAR192(), .VAR95(), .VAR40(), .VAR256(), .VAR402(), .VAR160(), .VAR343(), .VAR243(), .VAR391(), .VAR332(), .VAR73(), .VAR7(), .VAR225(), .VAR54(), .VAR400(), .VAR79(), .VAR279(), .VAR70(), .VAR148(), .VAR404(), .VAR129(), .VAR363(), .VAR397(), .VAR342(), .VAR29(), .VAR23(), .VAR383(), .VAR119(), .VAR206(), .VAR174(), .VAR234(), .VAR214(), .VAR201(), .VAR55(), .VAR230(), .VAR412(), .VAR74(), .VAR126(), .VAR86(), .VAR336(), .VAR25(), .VAR274(), .VAR187(), .VAR98(), .VAR140(), .VAR387(), .VAR257(), .VAR26(), .VAR30(), .VAR255(), .VAR263(), .VAR173(), .VAR304(), .VAR142(), .VAR313(), .VAR170(), .VAR3(), .VAR333(), .VAR181(), .VAR407(), .VAR82(), .VAR186(), .VAR34(), .VAR71(), .VAR351(), .VAR17(), .VAR357(), .VAR283(), .VAR229(), .VAR341(), .VAR134(), .VAR222(), .VAR376(), .VAR32(), .VAR374(), .VAR289(), .VAR133(), .VAR191(), .VAR414(), .VAR188(), .VAR323(), .VAR380(), .VAR269(), .VAR4(), .VAR42(), .VAR143(), .VAR38(), .VAR158(), .VAR19(), .VAR87(), .VAR122(), .VAR395(), .VAR236(), .VAR280(), .VAR100(), .VAR114(), .VAR276(), .VAR223(), .VAR49(), .VAR227(), .VAR5(), .VAR382(), .VAR310(), .VAR250(), .VAR378(), .VAR355(), .VAR151(), .VAR204(), .VAR166(), .VAR364(), .VAR354(), .VAR156(), .VAR261(), .VAR14(), .VAR339(), .VAR183(), .VAR65(), .VAR108(), .VAR389(), .VAR193(), .VAR369(), .VAR241(), .VAR366(), .VAR147(), .VAR113(), .VAR106(), .VAR239(), .VAR31(), .VAR66(), .VAR288(), .VAR372(), .VAR116(), .VAR16(), .VAR296(), .VAR155(), .VAR249(), .VAR285(), .VAR13(), .VAR220(), .VAR346(), .VAR348(), .VAR91(), .VAR242(), .VAR303(), .VAR305(), .VAR393(), .VAR396(), .VAR78(), .VAR124(), .VAR266(), .VAR306(), .VAR413(), .VAR179(), .VAR406(), .VAR344(), .VAR208(), .VAR10(), .VAR245(), .VAR139(), .VAR284(), .VAR41(), .VAR213(), .VAR359(), .VAR18(), .VAR270(), .VAR294(), .VAR388(), .VAR24(), .VAR128(), .VAR153(), .VAR107(), .VAR205(), .VAR149(), .VAR349(), .VAR56(), .VAR224(), .VAR46(), .VAR410(), .VAR251(), .VAR72(), .VAR375(), .VAR246(), .VAR240(), .VAR282(), .VAR318(), .VAR210(), .VAR110(), .VAR311(), .VAR337(), .VAR51(), .VAR76(), .VAR50(), .VAR75(), .VAR320(), .VAR373(), .VAR384(), .VAR398(), .VAR290(), .VAR277(), .VAR168(), .VAR379(), .VAR53(), .VAR62(), .VAR69() ); endmodule
gpl-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/sctag/rtl/sctag_dir_in.v
2,757
module MODULE1( VAR20, VAR11, VAR9, VAR18, VAR7, VAR8, VAR14, VAR27, VAR3, VAR6, VAR16 ); output [32:0] VAR20; output [31:0] VAR11; output VAR9; input [32:0] VAR18; input [31:0] VAR7; input [31:0] VAR8; input VAR14; input VAR27; input VAR3, VAR6; input VAR16; wire [31:0] VAR4; wire VAR26; VAR19 VAR5 (.clk(VAR26), .VAR27(VAR27), .VAR17(VAR16), .VAR1(~VAR6)); VAR25 #(33) VAR21 (.VAR2 (VAR20[32:0]), .din (VAR18[32:0]), .clk (VAR26), .VAR6 (VAR6), .VAR3 (), .VAR9 () ) ; VAR22 #(32) VAR24(.dout (VAR4[31:0]) , .VAR13(VAR7[31:0]), .VAR10(VAR8[31:0]), .VAR23(VAR14), .VAR12(~VAR14)); VAR25 #(32) VAR15 (.VAR2 (VAR11[31:0]), .din (VAR4[31:0]), .clk (VAR27), .VAR6 (VAR6), .VAR3 (), .VAR9 () ) ; endmodule
gpl-2.0
fbelavenuto/msx1fpga
src/audio/jt51/jt51_pm.v
2,888
module MODULE1( input [6:0] VAR15, input [5:0] VAR12, input [8:0] VAR1, input VAR3, output reg [12:0] VAR4 ); reg [9:0] VAR7; reg [13:0] VAR6, VAR8; reg [1:0] VAR16; reg [6:0] VAR14; reg VAR2; always @ begin : VAR9 VAR7 = { 1'd0, VAR1 } + { 4'd0, VAR12 }; case( VAR14[3:0] ) default: if( VAR7>=10'd448 ) VAR16 = 2'd2; end else if( VAR7>=10'd256 ) VAR16 = 2'd1; else VAR16 = 2'd0; 4'd1,4'd5,4'd9,4'd13: if( VAR7>=10'd384 ) VAR16 = 2'd2; else if( VAR7>=10'd192 ) VAR16 = 2'd1; else VAR16 = 2'd0; 4'd2,4'd6,4'd10,4'd14: if( VAR7>=10'd512 ) VAR16 = 2'd3; else if( VAR7>=10'd320 ) VAR16 = 2'd2; else if( VAR7>=10'd128 ) VAR16 = 2'd1; else VAR16 = 2'd0; endcase VAR6 = {1'b0,VAR14,VAR12} + { 4'd0, VAR16, 6'd0 } + { 1'd0, VAR1 }; VAR8 = VAR6[7:6]==2'd3 ? VAR6 + 14'd64 : VAR6; end reg signed [9:0] VAR5; reg [1:0] VAR10; reg [13:0] VAR11, VAR13; always @ begin : mux if ( VAR3 ) VAR4 = VAR8[13] | VAR2 ? {3'd7, 4'd14, 6'd63} : VAR8[12:0]; end else VAR4 = VAR2 ? {3'd7, 4'd14, 6'd63} : (VAR13[13] ? 13'd0 : VAR13[12:0]); end endmodule
gpl-3.0
intelligenttoasters/CPC2.0
FPGA/rtl/crc16.v
2,508
module MODULE1( input [7:0] VAR1, input VAR2, output [15:0] VAR4, input rst, input clk); reg [15:0] VAR3,VAR5; assign VAR4 = VAR3; always @(*) begin VAR5[0] = VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[14] ^ VAR3[15] ^ VAR1[0] ^ VAR1[1] ^ VAR1[2] ^ VAR1[3] ^ VAR1[4] ^ VAR1[5] ^ VAR1[6] ^ VAR1[7]; VAR5[1] = VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[14] ^ VAR3[15] ^ VAR1[1] ^ VAR1[2] ^ VAR1[3] ^ VAR1[4] ^ VAR1[5] ^ VAR1[6] ^ VAR1[7]; VAR5[2] = VAR3[8] ^ VAR3[9] ^ VAR1[0] ^ VAR1[1]; VAR5[3] = VAR3[9] ^ VAR3[10] ^ VAR1[1] ^ VAR1[2]; VAR5[4] = VAR3[10] ^ VAR3[11] ^ VAR1[2] ^ VAR1[3]; VAR5[5] = VAR3[11] ^ VAR3[12] ^ VAR1[3] ^ VAR1[4]; VAR5[6] = VAR3[12] ^ VAR3[13] ^ VAR1[4] ^ VAR1[5]; VAR5[7] = VAR3[13] ^ VAR3[14] ^ VAR1[5] ^ VAR1[6]; VAR5[8] = VAR3[0] ^ VAR3[14] ^ VAR3[15] ^ VAR1[6] ^ VAR1[7]; VAR5[9] = VAR3[1] ^ VAR3[15] ^ VAR1[7]; VAR5[10] = VAR3[2]; VAR5[11] = VAR3[3]; VAR5[12] = VAR3[4]; VAR5[13] = VAR3[5]; VAR5[14] = VAR3[6]; VAR5[15] = VAR3[7] ^ VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[14] ^ VAR3[15] ^ VAR1[0] ^ VAR1[1] ^ VAR1[2] ^ VAR1[3] ^ VAR1[4] ^ VAR1[5] ^ VAR1[6] ^ VAR1[7]; end always @(posedge clk, posedge rst) begin if(rst) begin VAR3 <= {16{1'b1}}; end else begin VAR3 <= VAR2 ? VAR5 : VAR3; end end endmodule
gpl-3.0
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/niosII_microc_lab1/niosII_system/synthesis/submodules/niosII_system_jtag_uart_0.v
23,624
module MODULE1 ( clk, VAR34, VAR32, valid ) ; input clk; input [ 7: 0] VAR34; input VAR32; input valid; reg [31:0] VAR49; VAR67 VAR49 = always @(posedge clk) begin if (valid && VAR32) begin VAR82 (VAR49); end end endmodule module MODULE7 ( clk, VAR11, VAR64, VAR84, VAR33, VAR6, VAR10 ) ; output VAR84; output [ 7: 0] VAR33; output VAR6; output [ 5: 0] VAR10; input clk; input [ 7: 0] VAR11; input VAR64; wire VAR84; wire [ 7: 0] VAR33; wire VAR6; wire [ 5: 0] VAR10; MODULE1 VAR12 ( .clk (clk), .VAR34 (VAR11), .VAR32 (VAR64), .valid (VAR64) ); assign VAR10 = {6{1'b0}}; assign VAR33 = {8{1'b0}}; assign VAR84 = 1'b0; assign VAR6 = 1'b1; endmodule module MODULE3 ( clk, VAR16, VAR11, VAR64, VAR42, VAR84, VAR33, VAR6, VAR10 ) ; output VAR84; output [ 7: 0] VAR33; output VAR6; output [ 5: 0] VAR10; input clk; input VAR16; input [ 7: 0] VAR11; input VAR64; input VAR42; wire VAR84; wire [ 7: 0] VAR33; wire VAR6; wire [ 5: 0] VAR10; MODULE7 VAR41 ( .clk (clk), .VAR84 (VAR84), .VAR11 (VAR11), .VAR64 (VAR64), .VAR33 (VAR33), .VAR6 (VAR6), .VAR10 (VAR10) ); endmodule module MODULE4 ( clk, VAR57, VAR51, VAR80, VAR20, VAR69, VAR78 ) ; parameter VAR54 = 100; output VAR80; output [ 31: 0] VAR20; output [ 7: 0] VAR69; output VAR78; input clk; input VAR57; input VAR51; reg [ 11: 0] address; reg VAR65; reg VAR18; reg VAR45; reg VAR35; reg VAR66; reg VAR74; reg VAR52; reg VAR25; reg VAR21; reg [ 7: 0] VAR47 [2047: 0]; reg [ 31: 0] VAR85 [ 1: 0]; reg VAR80; wire [ 31: 0] VAR20; reg VAR63; wire [ 7: 0] VAR69; wire VAR78; assign VAR69 = VAR47[address]; always @(posedge clk or negedge VAR51) begin if (VAR51 == 0) begin VAR65 <= 0; VAR18 <= 0; VAR45 <= 0; VAR35 <= 0; VAR66 <= 0; VAR74 <= 0; VAR52 <= 0; VAR25 <= 0; VAR21 <= 0; VAR80 <= 0; end else begin VAR65 <= VAR63; VAR18 <= VAR65; VAR45 <= VAR18; VAR35 <= VAR45; VAR66 <= VAR35; VAR74 <= VAR66; VAR52 <= VAR74; VAR25 <= VAR52; VAR21 <= VAR25; VAR80 <= VAR21; end end assign VAR20 = VAR85[1]; reg VAR9; reg [31:0] VAR7; reg [31:0] VAR62; wire VAR19 = 1'b1 ; assign VAR78 = (address < VAR85[1]); VAR67 VAR7 = VAR54; always @(posedge clk or negedge VAR51) begin if (VAR51 !== 1) begin VAR9 <= 0; end else begin VAR9 <= VAR78; end end always @(posedge clk or negedge VAR51) begin if (VAR51 !== 1) begin address <= 0; VAR47[0] <= 0; VAR85[0] <= 0; VAR85[1] <= 0; VAR63 <= 0; end else begin VAR63 <= 0; if (VAR57 && VAR78) address <= address + 1; if (VAR85[0] && !VAR78 && VAR9) begin if (VAR19) begin VAR62 = VAR68 (VAR62, "0"); end else begin wait (!VAR51); end end if (VAR7 < VAR54) begin VAR7 = VAR7 + 1; end else begin VAR7 = 0; if (VAR62) begin end if (VAR85[0] && !VAR78) begin VAR85[1] <= VAR85[0]; address <= 0; VAR63 <= -1; end end end end endmodule module MODULE5 ( clk, VAR61, VAR44, VAR17, VAR46, VAR3, VAR59 ) ; output VAR17; output [ 7: 0] VAR46; output VAR3; output [ 5: 0] VAR59; input clk; input VAR61; input VAR44; reg [ 31: 0] VAR24; wire VAR17; reg VAR26; wire [ 7: 0] VAR46; wire VAR80; wire [ 31: 0] VAR20; wire [ 6: 0] VAR4; wire VAR3; wire [ 5: 0] VAR59; wire VAR78; MODULE4 VAR72 ( .clk (clk), .VAR57 (VAR26), .VAR80 (VAR80), .VAR20 (VAR20), .VAR69 (VAR46), .VAR51 (VAR44), .VAR78 (VAR78) ); always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR24 <= 32'h0; VAR26 <= 1'b0; end else begin VAR26 <= VAR61; if (VAR26) VAR24 <= VAR24 - 1'b1; if (VAR80) VAR24 <= VAR20; end end assign VAR17 = VAR24 == 32'b0; assign VAR3 = VAR24 > 7'h40; assign VAR4 = (VAR3) ? 7'h40 : VAR24; assign VAR59 = VAR4[5 : 0]; endmodule module MODULE6 ( clk, VAR16, VAR61, VAR44, VAR56, VAR55, VAR17, VAR46, VAR3, VAR59 ) ; output VAR17; output [ 7: 0] VAR46; output VAR3; output [ 5: 0] VAR59; input clk; input VAR16; input VAR61; input VAR44; input [ 7: 0] VAR56; input VAR55; wire VAR17; wire [ 7: 0] VAR46; wire VAR3; wire [ 5: 0] VAR59; MODULE5 VAR71 ( .clk (clk), .VAR17 (VAR17), .VAR61 (VAR61), .VAR46 (VAR46), .VAR3 (VAR3), .VAR59 (VAR59), .VAR44 (VAR44) ); endmodule module MODULE2 ( VAR31, VAR29, VAR53, VAR38, VAR27, clk, VAR44, VAR30, VAR23, VAR75, VAR79, VAR15 ) ; output VAR30; output [ 31: 0] VAR23; output VAR75; output VAR79; output VAR15; input VAR31; input VAR29; input VAR53; input VAR38; input [ 31: 0] VAR27; input clk; input VAR44; reg VAR22; wire VAR37; wire VAR30; wire [ 31: 0] VAR23; reg VAR75; reg VAR79; reg VAR76; reg VAR50; wire VAR17; wire VAR84; wire VAR16; wire VAR61; wire [ 7: 0] VAR46; wire [ 7: 0] VAR11; reg VAR64; reg VAR83; reg VAR58; wire VAR8; wire VAR5; reg VAR77; wire [ 7: 0] VAR33; wire VAR81; reg VAR73; wire VAR42; reg VAR43; reg VAR15; wire VAR3; wire [ 5: 0] VAR59; reg VAR13; reg VAR60; reg VAR48; reg VAR1; reg VAR14; wire [ 7: 0] VAR56; reg VAR36; wire VAR28; wire VAR70; wire VAR6; wire [ 5: 0] VAR10; reg VAR2; wire VAR55; assign VAR42 = VAR81 & ~VAR6; assign VAR55 = VAR28 & ~VAR3; assign VAR16 = ~VAR44; MODULE3 VAR40 ( .clk (clk), .VAR84 (VAR84), .VAR16 (VAR16), .VAR11 (VAR11), .VAR64 (VAR64), .VAR33 (VAR33), .VAR42 (VAR42), .VAR6 (VAR6), .VAR10 (VAR10) ); MODULE6 VAR39 ( .clk (clk), .VAR17 (VAR17), .VAR16 (VAR16), .VAR61 (VAR61), .VAR46 (VAR46), .VAR3 (VAR3), .VAR59 (VAR59), .VAR44 (VAR44), .VAR56 (VAR56), .VAR55 (VAR55) ); assign VAR8 = VAR83 & VAR76; assign VAR5 = VAR58 & (VAR77 | VAR50); assign VAR30 = VAR8 | VAR5; assign VAR37 = VAR70 | VAR28; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR77 <= 1'b0; end else if (VAR70 & ~VAR17) VAR77 <= 1'b1; end else if (VAR43) VAR77 <= 1'b0; end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR73 <= 1'b0; VAR36 <= 1'b1; end else begin VAR73 <= VAR81 & ~VAR6; VAR36 <= ~VAR3; end end always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) begin VAR76 <= 1'b0; VAR50 <= 1'b0; VAR64 <= 1'b0; VAR13 <= 1'b0; VAR43 <= 1'b0; VAR83 <= 1'b0; VAR58 <= 1'b0; VAR22 <= 1'b0; VAR2 <= 1'b0; VAR75 <= 1'b1; end else begin VAR76 <= {VAR84,VAR10} <= 8; VAR50 <= (7'h40 - {VAR3,VAR59}) <= 8; VAR64 <= 1'b0; VAR43 <= 1'b0; VAR75 <= ~(VAR29 & (~VAR38 | ~VAR53) & VAR75); if (VAR37) VAR22 <= 1'b1; if (VAR29 & ~VAR38 & VAR75) if (VAR31) begin VAR58 <= VAR27[0]; VAR83 <= VAR27[1]; if (VAR27[10] & ~VAR37) VAR22 <= 1'b0; end else begin VAR64 <= ~VAR84; VAR2 <= VAR84; end if (VAR29 & ~VAR53 & VAR75) begin if (~VAR31) VAR13 <= ~VAR17; VAR43 <= ~VAR31; end end end assign VAR11 = VAR27[7 : 0]; assign VAR61 = (VAR29 & ~VAR53 & VAR75 & ~VAR31) ? ~VAR17 : 1'b0; assign VAR23 = VAR43 ? { {9{1'b0}},VAR3,VAR59,VAR13,VAR2,~VAR84,~VAR17,1'b0,VAR22,VAR8,VAR5,VAR46 } : { {9{1'b0}},(7'h40 - {VAR84,VAR10}),VAR13,VAR2,~VAR84,~VAR17,1'b0,VAR22,VAR8,VAR5,{6{1'b0}},VAR83,VAR58 }; always @(posedge clk or negedge VAR44) begin if (VAR44 == 0) VAR15 <= 0; end else VAR15 <= ~VAR84; end always @(posedge clk) begin VAR14 <= 1'b0; VAR1 <= 1'b0; VAR48 <= VAR36 ? VAR33 : {8{VAR73}}; VAR60 <= 1'b0; end assign VAR81 = VAR60; assign VAR28 = VAR1; assign VAR56 = VAR48; assign VAR70 = VAR14; always @(VAR17) begin VAR79 = ~VAR17; end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and4/sky130_fd_sc_lp__and4_lp2.v
2,269
module MODULE1 ( VAR1 , VAR9 , VAR5 , VAR11 , VAR2 , VAR3, VAR6, VAR4 , VAR10 ); output VAR1 ; input VAR9 ; input VAR5 ; input VAR11 ; input VAR2 ; input VAR3; input VAR6; input VAR4 ; input VAR10 ; VAR7 VAR8 ( .VAR1(VAR1), .VAR9(VAR9), .VAR5(VAR5), .VAR11(VAR11), .VAR2(VAR2), .VAR3(VAR3), .VAR6(VAR6), .VAR4(VAR4), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR1, VAR9, VAR5, VAR11, VAR2 ); output VAR1; input VAR9; input VAR5; input VAR11; input VAR2; supply1 VAR3; supply0 VAR6; supply1 VAR4 ; supply0 VAR10 ; VAR7 VAR8 ( .VAR1(VAR1), .VAR9(VAR9), .VAR5(VAR5), .VAR11(VAR11), .VAR2(VAR2) ); endmodule
apache-2.0
jotego/jt51
hdl/jt51_phrom.v
3,321
module MODULE1 ( input [4:0] addr, input clk, input VAR1, output reg [45:0] VAR3 ); reg [45:0] VAR2[31:0]; begin
gpl-3.0
AbhishekShah212/School_Projects
ELEN232/pset3/Problem2.v
1,430
module MODULE1( input VAR1, input VAR3, input VAR4, input VAR5, output reg VAR2 ); always @ (VAR1 or VAR3 or VAR4 or VAR5) begin if ((~VAR1 & VAR3 & ~VAR4 & ~VAR5) == 1) VAR2 = 1; end else if ((~VAR1 & ~VAR3 & VAR4 & VAR5) == 1) VAR2 = 1; else if ((VAR1 & VAR5 & ~VAR3 & ~VAR4) == 1) VAR2 = 1; else if ((VAR1 & VAR3 & VAR4 & ~VAR5) == 1) VAR2 = 1; else if ((~VAR1 & ~VAR3 & VAR4 & ~VAR5) == 1) VAR2 = 1; else if ((VAR5 & ~VAR3 & VAR4) == 1) VAR2 = 1; else if ((~VAR1 & VAR3 & VAR4 & VAR5) == 1) VAR2 = 1; else if ((VAR1 & ~VAR3 & VAR4 & ~VAR5) == 1) VAR2 = 1; else if ((~VAR1 & ~VAR3 & VAR4 & ~VAR5) == 1) VAR2 = 1; else if ((VAR1 & VAR3 & VAR4 & VAR5) == 1) VAR2 = 1; else VAR2 = 0; end endmodule
mit
rkrajnc/minimig-mist
rtl/minimig/agnus_blitter_minterm.v
1,498
module MODULE1 ( input [7:0] VAR1, input [15:0] VAR5, input [15:0] VAR6, input [15:0] VAR13, output [15:0] out ); reg [15:0] VAR8; reg [15:0] VAR3; reg [15:0] VAR9; reg [15:0] VAR4; reg [15:0] VAR7; reg [15:0] VAR2; reg [15:0] VAR11; reg [15:0] VAR12; integer VAR10; always @(VAR5 or VAR6 or VAR13 or VAR1) for (VAR10=15; VAR10>=0; VAR10=VAR10-1) begin VAR8[VAR10] = ~VAR5[VAR10] & ~VAR6[VAR10] & ~VAR13[VAR10] & VAR1[0]; VAR3[VAR10] = ~VAR5[VAR10] & ~VAR6[VAR10] & VAR13[VAR10] & VAR1[1]; VAR9[VAR10] = ~VAR5[VAR10] & VAR6[VAR10] & ~VAR13[VAR10] & VAR1[2]; VAR4[VAR10] = ~VAR5[VAR10] & VAR6[VAR10] & VAR13[VAR10] & VAR1[3]; VAR7[VAR10] = VAR5[VAR10] & ~VAR6[VAR10] & ~VAR13[VAR10] & VAR1[4]; VAR2[VAR10] = VAR5[VAR10] & ~VAR6[VAR10] & VAR13[VAR10] & VAR1[5]; VAR11[VAR10] = VAR5[VAR10] & VAR6[VAR10] & ~VAR13[VAR10] & VAR1[6]; VAR12[VAR10] = VAR5[VAR10] & VAR6[VAR10] & VAR13[VAR10] & VAR1[7]; end assign out = VAR8 | VAR3 | VAR9 | VAR4 | VAR7 | VAR2 | VAR11 | VAR12; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdlclkp/sky130_fd_sc_hvl__sdlclkp.pp.blackbox.v
1,311
module MODULE1 ( VAR2, VAR6 , VAR8, VAR5 , VAR3, VAR4, VAR1 , VAR7 ); output VAR2; input VAR6 ; input VAR8; input VAR5 ; input VAR3; input VAR4; input VAR1 ; input VAR7 ; endmodule
apache-2.0
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/ip/Audio_DAC_FIFO/hdl/AUDIO_DAC_FIFO.v
3,386
module MODULE1 ( VAR26,VAR31,VAR9, VAR8, VAR21, VAR19, VAR24, VAR32, VAR4, VAR18 ); parameter VAR12 = 18432000; parameter VAR2 = 48000; parameter VAR16 = 16; parameter VAR34 = 2; input [VAR16-1:0] VAR26; input VAR31; input VAR9; output [VAR16-1:0] VAR8; wire [VAR16-1:0] VAR11; reg VAR1; output VAR19; output VAR24; output VAR21; output VAR32; reg VAR21; input VAR4; input VAR18; reg [3:0] VAR10; reg [8:0] VAR28; reg [7:0] VAR27; reg [3:0] VAR25; reg [VAR16-1:0] VAR15; reg [VAR16-1:0] VAR5; reg VAR3; reg VAR7; VAR20 VAR13 ( .VAR22(VAR26),.VAR23(VAR31), .VAR33(VAR1),.VAR30(VAR4), .VAR29(VAR9),.VAR14(~VAR18), .VAR17(VAR11),.VAR6(VAR8[0])); assign VAR32 = ~VAR4; always@(posedge VAR4 or negedge VAR18) begin if(!VAR18) begin VAR10 <= 0; VAR21 <= 0; end else begin if(VAR10 >= VAR12/(VAR2*VAR16*VAR34*2)-1 ) begin VAR10 <= 0; VAR21 <= ~VAR21; end else VAR10 <= VAR10+1; end end always@(posedge VAR4 or negedge VAR18) begin if(!VAR18) begin VAR28 <= 0; VAR27 <= 0; VAR3 <= 0; VAR7 <= 0; end else begin if(VAR28 >= VAR12/(VAR2*2)-1 ) begin VAR28 <= 0; VAR3 <= ~VAR3; end else VAR28 <= VAR28+1; if(VAR27 >= VAR12/(VAR2*4)-1 ) begin VAR27 <= 0; VAR7 <= ~VAR7; end else VAR27 <= VAR27+1; end end assign VAR24 = VAR3; always@(posedge VAR4 or negedge VAR18) begin if(!VAR18) begin VAR1 <= 0; end else begin if(VAR28 == VAR12/(VAR2*2)-1 ) VAR1 <= 1; end else VAR1 <= 0; end end always@(posedge VAR4 or negedge VAR18) begin if(!VAR18) VAR5 <= 0; end else begin if(VAR27 == VAR12/(VAR2*4)-1 ) VAR5 <= VAR11; end end always@(posedge VAR4 or negedge VAR18) begin if(!VAR18) VAR15 <= 0; end else begin if(VAR27 == VAR12/(VAR2*4)-3 ) VAR15 <= VAR5; end end always@(negedge VAR21 or negedge VAR18) begin if(!VAR18) VAR25 <= 0; end else VAR25 <= VAR25+1; end assign VAR19 = VAR15[~VAR25]; endmodule
gpl-3.0
martinmiranda14/Digitales
Lab5/ALU_and_display.v
1,360
module MODULE1( input VAR14, input reset, input [15:0] VAR4, input [15:0] VAR17, input [2:0] VAR13, output [7:0] VAR19, output [7:0] VAR22 , output [15:0] VAR12 ); wire VAR7; wire [31:0] VAR15; VAR6 VAR11( .clk(VAR14), .rst (reset), .VAR18 (VAR7) ); VAR8 alu( .VAR3({VAR4}), .VAR9({VAR17}), .VAR13 (VAR13), .VAR12 (VAR12), .VAR10 () ); VAR25 VAR21( .clk (VAR14), .VAR5 (1'b1), .in({16'b0,VAR12}) , .VAR15 (VAR15), .VAR24 () ); VAR16 VAR20( .VAR2 (VAR7), .VAR23(VAR15), .VAR1 (), .VAR19 ({VAR19}), .VAR22 (VAR22) ); endmodule
apache-2.0
efabless/openlane
designs/151/src/no_cache_mem.v
1,904
module MODULE1 #( parameter VAR8 = VAR6, parameter VAR11 = VAR10-VAR20(VAR6/8) ) ( input clk, input reset, input VAR9, output VAR16, input [VAR11-1:0] VAR17, input [VAR8-1:0] VAR21, input [3:0] VAR2, output reg VAR15, output reg [VAR8-1:0] VAR14 ); localparam VAR4 = 2*512*512; localparam VAR19 = VAR1/VAR8; reg [VAR1-1:0] VAR18 [VAR4-1:0]; wire [VAR11-VAR20(VAR19)-1:0] VAR3; assign VAR3 = VAR17[VAR11-1:VAR20(VAR19)]; wire [VAR20(VAR19)-1:0] VAR7; assign VAR7 = VAR17[VAR20(VAR19)-1:0]; wire [VAR1-1:0] VAR13; assign VAR13 = (VAR18[VAR3] >> VAR8*VAR7); assign VAR16 = 1'b1; wire [VAR8-1:0] VAR12; assign VAR12 = {{8{VAR2[3]}},{8{VAR2[2]}},{8{VAR2[1]}},{8{VAR2[0]}}}; wire [VAR1-1:0] VAR5; assign VAR5 = (VAR18[VAR3] & ~({{VAR1-VAR8{1'b0}},VAR12} << VAR8*VAR7)) | ((VAR21 & VAR12) << VAR8*VAR7); always @(posedge clk) begin if (reset) VAR15 <= 1'b0; end else if (VAR9 && VAR16) begin if (VAR2) begin VAR15 <= 1'b0; VAR18[VAR3] <= VAR5; end else begin VAR15 <= 1'b1; VAR14 <= VAR13[VAR8-1:0]; end end else VAR15 <= 1'b0; end
apache-2.0
mathiashelsen/WolfCoreOne
logic/quartus_prj/DE0_NANO_SOC_Default.v
5,052
module MODULE1( output VAR32, output VAR83, output VAR8, input VAR73, input VAR60, input VAR23, input VAR63, inout VAR69, output [14:0] VAR75, output [2:0] VAR104, output VAR16, output VAR103, output VAR98, output VAR45, output VAR110, output [3:0] VAR90, inout [31:0] VAR43, inout [3:0] VAR87, inout [3:0] VAR59, output VAR57, output VAR89, output VAR105, input VAR38, output VAR28, output VAR97, inout VAR71, output VAR9, inout VAR18, input VAR94, input [3:0] VAR93, input VAR7, output [3:0] VAR61, output VAR10, inout VAR67, inout VAR33, inout VAR88, inout VAR50, inout VAR54, inout VAR19, inout VAR42, inout VAR62, output VAR113, inout VAR107, inout [3:0] VAR70, output VAR15, input VAR96, output VAR109, inout VAR14, input VAR92, output VAR66, input VAR72, inout [7:0] VAR36, input VAR68, input VAR6, output VAR81, input [1:0] VAR37, output [7:0] VAR80, input [3:0] VAR1, inout [35:0] VAR47, inout [35:0] VAR55 ); assign VAR47 = 36'VAR44; assign VAR55 = 36'VAR44; wire [31:0] VAR22; wire [31:0] VAR79; wire [31:0] VAR112; wire [31:0] VAR26; wire VAR12; wire [31:0] VAR34; wire [31:0] VAR2; wire [31:0] VAR5; wire VAR99; wire VAR3; wire [31:0] VAR4; wire [31:0] VAR86; wire [31:0] VAR40; wire [31:0] VAR53; wire [31:0] VAR76; wire clk; wire rst; wire VAR24; assign VAR47[0] = VAR24; assign rst = VAR1[0]; assign clk = VAR60; VAR108 VAR35( .VAR106(VAR22), .VAR27(VAR79), .VAR51(VAR112), .VAR115(VAR26), .VAR31(VAR12), .VAR58(VAR34), .VAR46(VAR2), .VAR25(VAR5), .rst(rst), .clk(clk), .VAR99(VAR99), .VAR3(VAR3) ); VAR95 VAR21( .rst(rst), .clk(clk), .VAR46(VAR2), .VAR25(VAR5), .VAR3(VAR3), .VAR29(VAR34), .VAR99(VAR99), .VAR85(VAR4), .VAR78(VAR86), .VAR49(32'h00000000), .VAR52(VAR26), .VAR20(VAR112), .VAR65(VAR22), .VAR48(VAR40), .VAR100(VAR12) ); VAR30 VAR11( .VAR64(VAR86), .VAR13(VAR4), .clk(clk) ); VAR39 VAR82( .VAR111(VAR22), .VAR51(VAR26), .VAR91(VAR76), .VAR115(VAR112), .VAR56(VAR12), .clk(clk) ); VAR84 VAR41( .clk(clk), .rst(rst), .VAR101(1'b0), .VAR24(VAR24), .VAR65(VAR22), .VAR52(VAR26), .VAR48(VAR53), .VAR20(VAR112), .VAR56(VAR12) ); VAR114 VAR74( .VAR20(VAR112), .VAR77(VAR53), .VAR102(VAR40), .VAR17(VAR76), .VAR48(VAR79) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/maj3/sky130_fd_sc_ms__maj3.behavioral.v
1,581
module MODULE1 ( VAR6, VAR4, VAR15, VAR10 ); output VAR6; input VAR4; input VAR15; input VAR10; supply1 VAR11; supply0 VAR17; supply1 VAR8 ; supply0 VAR14 ; wire VAR5 ; wire VAR9 ; wire VAR12 ; wire VAR3; or VAR7 (VAR5 , VAR15, VAR4 ); and VAR2 (VAR9 , VAR5, VAR10 ); and VAR1 (VAR12 , VAR4, VAR15 ); or VAR13 (VAR3, VAR12, VAR9); buf VAR16 (VAR6 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/buf/sky130_fd_sc_hd__buf.behavioral.v
1,319
module MODULE1 ( VAR2, VAR6 ); output VAR2; input VAR6; supply1 VAR5; supply0 VAR1; supply1 VAR3 ; supply0 VAR9 ; wire VAR8; buf VAR7 (VAR8, VAR6 ); buf VAR4 (VAR2 , VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/lpflow_lsbuf_lh_hl_isowell_tap/sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap.symbol.v
1,578
module MODULE1 ( input VAR4, output VAR3 ); wire VAR2; supply1 VAR5 ; supply0 VAR1 ; supply1 VAR6 ; endmodule
apache-2.0
kyzhai/NUNY
src/hardware/ninja3.v
6,363
module MODULE1 ( address, VAR51, VAR20); input [11:0] address; input VAR51; output [11:0] VAR20; tri1 VAR51; wire [11:0] VAR8; wire [11:0] VAR20 = VAR8[11:0]; VAR24 VAR14 ( .VAR31 (address), .VAR22 (VAR51), .VAR13 (VAR8), .VAR21 (1'b0), .VAR12 (1'b0), .VAR3 (1'b1), .VAR37 (1'b0), .VAR26 (1'b0), .VAR19 (1'b1), .VAR47 (1'b1), .VAR15 (1'b1), .VAR52 (1'b1), .VAR39 (1'b1), .VAR10 (1'b1), .VAR33 (1'b1), .VAR4 ({12{1'b1}}), .VAR35 (1'b1), .VAR2 (), .VAR18 (), .VAR5 (1'b1), .VAR50 (1'b1), .VAR30 (1'b0), .VAR28 (1'b0)); VAR14.VAR27 = "VAR42", VAR14.VAR40 = "VAR16", VAR14.VAR48 = "VAR16", VAR14.VAR29 = "./VAR6/MODULE1.VAR7", VAR14.VAR25 = "VAR17 VAR36", VAR14.VAR45 = "VAR32=VAR11", VAR14.VAR38 = "VAR24", VAR14.VAR46 = 4096, VAR14.VAR23 = "VAR49", VAR14.VAR41 = "VAR42", VAR14.VAR44 = "VAR1", VAR14.VAR43 = 12, VAR14.VAR9 = 12, VAR14.VAR34 = 1; endmodule
gpl-2.0
mistryalok/Zedboard
learning/opencv_hls/xapp1167_vivado/sw/fast-corner/ipi_proj/srcs/ip/xilinx_com_hls_image_filter_1_0/hdl/verilog/FIFO_image_filter_src0_rows_V.v
2,983
module MODULE1 ( clk, VAR22, VAR2, VAR6, VAR17); parameter VAR27 = 32'd12; parameter VAR8 = 32'd2; parameter VAR10 = 32'd3; input clk; input [VAR27-1:0] VAR22; input VAR2; input [VAR8-1:0] VAR6; output [VAR27-1:0] VAR17; reg[VAR27-1:0] VAR13 [0:VAR10-1]; integer VAR7; always @ (posedge clk) begin if (VAR2) begin for (VAR7=0;VAR7<VAR10-1;VAR7=VAR7+1) VAR13[VAR7+1] <= VAR13[VAR7]; VAR13[0] <= VAR22; end end assign VAR17 = VAR13[VAR6]; endmodule module MODULE2 ( clk, reset, VAR21, VAR19, VAR26, VAR9, VAR12, VAR25, VAR3, VAR1); parameter VAR4 = "VAR23"; parameter VAR27 = 32'd12; parameter VAR8 = 32'd2; parameter VAR10 = 32'd3; input clk; input reset; output VAR21; input VAR19; input VAR26; output[VAR27 - 1:0] VAR9; output VAR12; input VAR25; input VAR3; input[VAR27 - 1:0] VAR1; wire[VAR8 - 1:0] VAR20 ; wire[VAR27 - 1:0] VAR18, VAR5; reg[VAR8:0] VAR14 = {(VAR8+1){1'b1}}; reg VAR24 = 0, VAR16 = 1; assign VAR21 = VAR24; assign VAR12 = VAR16; assign VAR18 = VAR1; assign VAR9 = VAR5; always @ (posedge clk) begin if (reset == 1'b1) begin VAR14 <= ~{VAR8+1{1'b0}}; VAR24 <= 1'b0; VAR16 <= 1'b1; end else begin if (((VAR26 & VAR19) == 1 & VAR24 == 1) && ((VAR3 & VAR25) == 0 | VAR16 == 0)) begin VAR14 <= VAR14 -1; if (VAR14 == 0) VAR24 <= 1'b0; VAR16 <= 1'b1; end else if (((VAR26 & VAR19) == 0 | VAR24 == 0) && ((VAR3 & VAR25) == 1 & VAR16 == 1)) begin VAR14 <= VAR14 +1; VAR24 <= 1'b1; if (VAR14 == VAR10-2) VAR16 <= 1'b0; end end end assign VAR20 = VAR14[VAR8] == 1'b0 ? VAR14[VAR8-1:0]:{VAR8{1'b0}}; assign VAR15 = (VAR3 & VAR25) & VAR16; MODULE1 .VAR27(VAR27), .VAR8(VAR8), .VAR10(VAR10)) VAR11 ( .clk(clk), .VAR22(VAR18), .VAR2(VAR15), .VAR6(VAR20), .VAR17(VAR5)); endmodule
gpl-3.0
AnAtomInTheUniverse/578_project_col_panic
final_verilog/src/vcr_vc_alloc_sep_if.v
19,277
module MODULE1 (clk, reset, VAR34, VAR27, VAR17, VAR82, VAR97, VAR47, VAR31, VAR79, VAR15, VAR49, VAR77); parameter VAR6 = 2; parameter VAR52 = 2; localparam VAR40 = VAR6 * VAR52; parameter VAR9 = 1; localparam VAR56 = VAR40 * VAR9; parameter VAR29 = 5; parameter VAR58 = VAR94; parameter VAR24 = VAR45; input clk; input reset; input [0:VAR29-1] VAR34; input [0:VAR29-1] VAR27; input [0:VAR29*VAR56*VAR29-1] VAR17; input [0:VAR29*VAR56*VAR52-1] VAR82; input [0:VAR29*VAR56-1] VAR97; input [0:VAR29*VAR56-1] VAR47; output [0:VAR29*VAR56-1] VAR31; wire [0:VAR29*VAR56-1] VAR31; output [0:VAR29*VAR56*VAR56-1] VAR79; wire [0:VAR29*VAR56*VAR56-1] VAR79; output [0:VAR29*VAR56-1] VAR15; wire [0:VAR29*VAR56-1] VAR15; output [0:VAR29*VAR56*VAR29-1] VAR49; wire [0:VAR29*VAR56*VAR29-1] VAR49; output [0:VAR29*VAR56*VAR56-1] VAR77; wire [0:VAR29*VAR56*VAR56-1] VAR77; generate genvar VAR67; for(VAR67 = 0; VAR67 < VAR6; VAR67 = VAR67 + 1) begin:VAR85 wire [0:VAR29*VAR52*VAR9* VAR29*VAR52* VAR9-1] VAR5; wire [0:VAR29*VAR52*VAR9* VAR29*VAR52* VAR9-1] VAR60; genvar VAR23; for(VAR23 = 0; VAR23 < VAR29; VAR23 = VAR23 + 1) begin:VAR30 wire [0:VAR52*VAR9-1] VAR64; assign VAR64 = VAR47[(VAR23*VAR6+VAR67)* VAR52* VAR9: (VAR23*VAR6+VAR67+1)* VAR52* VAR9-1]; wire VAR75; assign VAR75 = VAR34[VAR23]; genvar VAR66; for(VAR66 = 0; VAR66 < VAR52; VAR66 = VAR66 + 1) begin:VAR73 genvar VAR3; for(VAR3 = 0; VAR3 < VAR9; VAR3 = VAR3 + 1) begin:VAR26 wire [0:VAR29-1] VAR76; assign VAR76 = VAR17[(((VAR23*VAR6+VAR67)* VAR52+VAR66)* VAR9+VAR3)* VAR29: (((VAR23*VAR6+VAR67)* VAR52+VAR66)* VAR9+VAR3+1)* VAR29-1]; wire [0:VAR52-1] VAR81; if(VAR66 == (VAR52 - 1)) assign VAR81 = 'd1; end else assign VAR81 = VAR82[(((VAR23*VAR6+VAR67)* VAR52+VAR66)* VAR9+VAR3)* VAR52: (((VAR23*VAR6+VAR67)* VAR52+VAR66)* VAR9+VAR3+1)* VAR52-1]; wire [0:VAR56-1] VAR25; VAR37 .VAR18(VAR56)) VAR91 (.select(VAR76), .VAR89(VAR97), .VAR54(VAR25)); wire [0:VAR52* VAR9-1] VAR55; assign VAR55 = VAR25[VAR67* VAR52* VAR9: (VAR67+1)* VAR52* VAR9-1]; wire req; assign req = VAR64[VAR66*VAR9+VAR3]; wire [0:VAR29*VAR52* VAR9-1] VAR35; assign VAR35 = VAR60 [((VAR23*VAR52+VAR66)* VAR9+VAR3)* VAR29*VAR52* VAR9: ((VAR23*VAR52+VAR66)* VAR9+VAR3+1)* VAR29*VAR52* VAR9-1]; wire [0:VAR52* VAR9-1] VAR10; VAR33 .VAR18(VAR52*VAR9), .VAR65(VAR43)) VAR70 (.VAR89(VAR35), .VAR54(VAR10)); wire [0:VAR52* VAR9-1] VAR93; genvar VAR50; for(VAR50 = 0; VAR50 < VAR52; VAR50 = VAR50 + 1) begin:VAR38 wire [0:VAR9-1] VAR48; assign VAR48 = VAR55[VAR50*VAR9: (VAR50+1)*VAR9-1]; wire VAR84; assign VAR84 = VAR81[VAR50]; wire [0:VAR9-1] VAR88; assign VAR88 = VAR48; wire [0:VAR9-1] VAR51; assign VAR51 = VAR10[VAR50* VAR9: (VAR50+1)* VAR9-1]; wire VAR90; assign VAR90 = |VAR51; wire [0:VAR9-1] VAR74; VAR53 .VAR39(1), .VAR58(VAR58), .VAR24(VAR24)) VAR80 (.clk(clk), .reset(reset), .VAR75(VAR75), .VAR41(VAR90), .VAR13(VAR88), .VAR32(VAR74), .VAR16()); wire [0:VAR9-1] VAR69; assign VAR69 = {VAR9{VAR84}} & VAR74; assign VAR93[VAR50* VAR9: (VAR50+1)* VAR9-1] = VAR69; end wire [0:VAR29*VAR52* VAR9-1] VAR62; genvar VAR65; for(VAR65 = 0; VAR65 < VAR29; VAR65 = VAR65 + 1) begin:VAR19 wire VAR84; assign VAR84 = VAR76[VAR65]; assign VAR62 [VAR65*VAR52* VAR9: (VAR65+1)*VAR52* VAR9-1] = {(VAR52* VAR9){req & VAR84}} & VAR93; end assign VAR5 [((VAR23*VAR52+VAR66)* VAR9+VAR3)* VAR29*VAR52* VAR9: ((VAR23*VAR52+VAR66)* VAR9+VAR3+1)* VAR29*VAR52* VAR9-1] = VAR62; wire [0:VAR56-1] VAR71; VAR36 .VAR28(VAR56), .VAR78(VAR67*VAR52* VAR9)) VAR92 (.VAR89(VAR10), .VAR8({VAR56{1'b0}}), .VAR54(VAR71)); assign VAR79[(((VAR23*VAR6+VAR67)* VAR52+VAR66)* VAR9+VAR3)* VAR56: (((VAR23*VAR6+VAR67)* VAR52+VAR66)* VAR9+VAR3+1)* VAR56-1] = VAR71; assign VAR31[((VAR23*VAR6+VAR67)* VAR52+VAR66)* VAR9+VAR3] = |VAR71; end end end wire [0:VAR29*VAR52*VAR9*VAR29* VAR52* VAR9-1] VAR7; VAR46 VAR29*VAR52*VAR9), .VAR42(VAR29*VAR52*VAR9)) VAR2 (.VAR89(VAR5), .VAR54(VAR7)); wire [0:VAR29*VAR52*VAR9*VAR29* VAR52* VAR9-1] VAR57; VAR46 VAR29*VAR52*VAR9), .VAR42(VAR29*VAR52*VAR9)) VAR72 (.VAR89(VAR57), .VAR54(VAR60)); genvar VAR65; for (VAR65 = 0; VAR65 < VAR29; VAR65 = VAR65 + 1) begin:VAR19 wire VAR75; assign VAR75 = VAR27[VAR65]; genvar VAR50; for(VAR50 = 0; VAR50 < VAR52; VAR50 = VAR50 + 1) begin:VAR38 genvar VAR63; for(VAR63 = 0; VAR63 < VAR9; VAR63 = VAR63 + 1) begin:VAR86 wire VAR4; assign VAR4 = VAR97[((VAR65*VAR6+ VAR67)* VAR52+VAR50)* VAR9+VAR63]; wire [0:VAR29*VAR52* VAR9-1] VAR14; assign VAR14 = VAR7 [((VAR65*VAR52+VAR50)* VAR9+VAR63)* VAR29*VAR52* VAR9: ((VAR65*VAR52+VAR50)* VAR9+VAR63+1)* VAR29*VAR52* VAR9-1]; wire [0:VAR29-1] VAR83; VAR12 .VAR18(VAR52*VAR9), .VAR65(VAR43)) VAR61 (.VAR89(VAR14), .VAR54(VAR83)); wire [0:VAR29-1] VAR21; wire [0:VAR29*VAR52* VAR9-1] VAR1; wire [0:VAR29*VAR56-1] VAR31; genvar VAR23; for(VAR23 = 0; VAR23 < VAR29; VAR23 = VAR23 + 1) begin:VAR30 wire [0:VAR52* VAR9-1] VAR22; assign VAR22 = VAR14[VAR23* VAR52* VAR9: (VAR23+1)* VAR52* VAR9-1]; wire VAR90; assign VAR90 = VAR21[VAR23]; wire [0:VAR52* VAR9-1] VAR59; VAR53 VAR9), .VAR39(1), .VAR58(VAR58), .VAR24(VAR24)) VAR44 (.clk(clk), .reset(reset), .VAR75(VAR75), .VAR41(VAR90), .VAR13(VAR22), .VAR32(VAR59), .VAR16()); assign VAR1[VAR23* VAR52* VAR9: (VAR23+1)* VAR52* VAR9-1] = VAR59 & {(VAR52* VAR9){VAR21[VAR23]}}; wire [0:VAR56-1] VAR95; VAR36 VAR9), .VAR28(VAR56), .VAR78(VAR67*VAR52* VAR9)) VAR11 (.VAR89(VAR59), .VAR8({VAR56{1'b0}}), .VAR54(VAR95)); assign VAR31[VAR23*VAR56:(VAR23+1)*VAR56-1] = VAR95; end wire [0:VAR56-1] VAR87; VAR37 .VAR18(VAR56)) VAR68 (.select(VAR21), .VAR89(VAR31), .VAR54(VAR87)); wire VAR20; assign VAR20 = |VAR83; wire VAR90; assign VAR90 = VAR20; VAR53 .VAR39(1), .VAR58(VAR58), .VAR24(VAR24)) VAR96 (.clk(clk), .reset(reset), .VAR75(VAR75), .VAR41(VAR90), .VAR13(VAR83), .VAR32(VAR21), .VAR16()); assign VAR57 [((VAR65*VAR52+VAR50)* VAR9+VAR63)* VAR29*VAR52* VAR9: ((VAR65*VAR52+VAR50)* VAR9+VAR63+1)* VAR29*VAR52* VAR9-1] = VAR1; assign VAR15[((VAR65*VAR6+VAR67)* VAR52+VAR50)* VAR9+VAR63] = VAR20; assign VAR49[(((VAR65*VAR6+VAR67)* VAR52+VAR50)* VAR9+VAR63)* VAR29: (((VAR65*VAR6+VAR67)* VAR52+VAR50)* VAR9+VAR63+1)* VAR29-1] = VAR21; assign VAR77[(((VAR65*VAR6+VAR67)* VAR52+VAR50)* VAR9+VAR63)* VAR56: (((VAR65*VAR6+VAR67)* VAR52+VAR50)* VAR9+VAR63+1)* VAR56-1] = VAR87; end end end end endgenerate endmodule
gpl-2.0
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
Erosion/ip/Erosion/acl_kernel_finish_detector.v
5,511
module MODULE1 #( parameter integer VAR4 = 1, parameter integer VAR11 = 1, parameter integer VAR2 = 32 ) ( input logic VAR10, input logic VAR24, input logic VAR9, input logic [VAR11-1:0] VAR22, input logic [VAR4-1:0] VAR6, input logic [VAR4-1:0] VAR13, input logic VAR8, input logic [VAR4-1:0] VAR3, input logic [VAR4-1:0] VAR16, input logic VAR26, output logic VAR1 ); localparam VAR20 = 3; localparam VAR19 = VAR20 * VAR2; logic [VAR19-1:0] VAR21; logic VAR7; always @(posedge VAR10 or negedge VAR24) begin if( ~VAR24 ) VAR21 <= '0; end else if( VAR9 ) VAR21 <= '0; else if( VAR7 ) VAR21 <= VAR21 + VAR22; end assign VAR7 = |(VAR6 & ~VAR13); logic [VAR4-1:0] VAR23; logic [VAR19-1:0] VAR12; logic [VAR5(VAR4+1)-1:0] VAR25, VAR14; always @(posedge VAR10 or negedge VAR24) begin if( ~VAR24 ) begin VAR23 <= '0; VAR14 <= '0; end else begin VAR23 <= VAR3 & ~VAR16; VAR14 <= VAR25; end end always @(*) begin VAR25 = '0; for( integer VAR15 = 0; VAR15 < VAR4; ++VAR15 ) VAR25 = VAR25 + VAR23[VAR15]; end always @(posedge VAR10 or negedge VAR24) begin if( ~VAR24 ) VAR12 <= '0; end else if( VAR9 ) VAR12 <= '0; else VAR12 <= VAR12 + VAR14; end logic VAR17; always @(posedge VAR10 or negedge VAR24) begin if( ~VAR24 ) VAR17 <= 1'b0; end else if( VAR9 ) VAR17 <= 1'b0; else VAR17 <= VAR8 & (VAR21 == VAR12); end logic VAR18; always @(posedge VAR10 or negedge VAR24) begin if( ~VAR24 ) VAR1 <= 1'b0; end else VAR1 <= ~VAR18 & VAR17 & ~VAR26; end always @(posedge VAR10 or negedge VAR24) begin if( ~VAR24 ) VAR18 <= 1'b0; end else if( VAR9 ) VAR18 <= 1'b0; else if( VAR1 ) VAR18 <= 1'b1; end endmodule
mit
kwantam/multiexp-a5gx
verilog/mac_element.v
5,140
module MODULE1 #( parameter VAR47 = 27 , parameter VAR56 = 27 , parameter VAR74 = 64 )( input [VAR47-1:0] VAR40 , input [VAR56-1:0] VAR11 , output [VAR74-1:0] VAR89 , input clk , input VAR41 , input VAR19 , input VAR31 , input VAR32 , input VAR25 ); VAR30 #( .VAR66 (VAR56) , .VAR53 (VAR47) , .VAR12 (0) , .VAR75 (0) , .VAR64 (0) , .VAR86 (0) , .VAR60 (0) , .VAR26 (0) , .VAR50 (VAR74) , .VAR16 (1) , .VAR83 ("VAR82") , .VAR51 (0) , .VAR39 ("input") , .VAR65 ("input") , .VAR58 ("input") , .VAR79 ("input") , .VAR6 ("false") , .VAR38 ("false") , .VAR70 ("false") , .VAR10 ("false") , .VAR46 ("false") , .VAR69 ("false") , .VAR59 ("false") , .VAR15 ("false") , .VAR17 ("false") , .VAR85 ("false") , .VAR22 ("false") , .VAR42 ("false") , .VAR62 (0) , .VAR48 (0) , .VAR49 (0) , .VAR14 (0) , .VAR33 (0) , .VAR80 (0) , .VAR57 (0) , .VAR76 (0) , .VAR88 (0) , .VAR27 (0) , .VAR87 (0) , .VAR23 (0) , .VAR67 (0) , .VAR90 (0) , .VAR3 (0) , .VAR63 (0) , .VAR21 ("0") , .VAR13 ("1") , .VAR43 ("none") , .VAR4 ("none") , .VAR54 ("none") , .VAR28 ("none") , .VAR78 ("none") , .VAR72 ("none") , .VAR37 ("none") , .VAR2 ("none") , .VAR24 ("2") , .VAR5 ("none") , .VAR52 ("2") ) VAR9 ( .VAR18 (VAR11) , .VAR35 (VAR40) , .VAR55 () , .VAR61 () , .VAR34 () , .VAR73 () , .VAR44 () , .VAR81 () , .VAR36 () , .VAR68 () , .VAR7 (1'b0) , .VAR32 (VAR32) , .VAR71 (1'b0) , .VAR8 (1'b0) , .clk ({3{clk}}) , .VAR77 ({VAR31,VAR41,VAR19}) , .VAR25 ({2{VAR25}}) , .VAR45 (VAR89) , .VAR1 () , .VAR20 () , .VAR29 () , .VAR84 () ); endmodule
gpl-3.0
fabianz66/cursos-tec
taller-digital/Proyecto Final/Referencias/soc/uart.v
9,156
module MODULE1 ( VAR16, VAR3, VAR11, VAR12, VAR15, VAR21, VAR7, VAR8, VAR10, VAR4 ); parameter [31:0] VAR9 = 278; input VAR16 ; input VAR3 ; input [7:0] VAR15 ; output [7:0] VAR7 ; input VAR21 ; input VAR8 ; output VAR11 ; output VAR12 ; input VAR10 ; output VAR4 ; parameter VAR20 = VAR9; parameter VAR19 = (VAR20 / 2); reg [7:0] VAR14; reg VAR13; reg VAR5; reg [3:0] VAR17; integer VAR18; reg [7:0] VAR2; reg VAR4; reg VAR6; reg [7:0] VAR7; reg [3:0] VAR22; integer VAR23; reg [7:0] VAR1; reg VAR12; always @ (posedge VAR3 or posedge VAR16 ) begin if (VAR3 == 1'b1) VAR6 <= 1'b1; end else VAR6 <= VAR10; end always @ (posedge VAR16 or posedge VAR3 ) begin if (VAR3 == 1'b1) begin VAR22 <= 0; VAR23 <= 0; VAR12 <= 1'b0; VAR1 <= 8'h00; VAR7 <= 8'h00; end else begin if (VAR8 == 1'b1) VAR12 <= 1'b0; if (VAR23 != 0) VAR23 <= (VAR23 - 1); end else begin if (VAR22 == 0) begin if (VAR6 == 1'b0) begin VAR23 <= VAR19; VAR22 <= 1; end end else if (VAR22 == 1) begin if (VAR6 == 1'b0) begin VAR23 <= VAR20; VAR22 <= (VAR22 + 1); VAR1 <= 8'h00; end else begin VAR22 <= 0; end end else if (VAR22 == 10) begin if (VAR6 == 1'b1) begin VAR23 <= 0; VAR22 <= 0; VAR7 <= VAR1; VAR12 <= 1'b1; end else begin VAR23 <= VAR20; VAR22 <= 0; end end else begin VAR1[7] <= VAR6; VAR1[6:0]<= VAR1[7:1]; VAR23 <= VAR20; VAR22 <= (VAR22 + 1); end end end end always @ (posedge VAR16 or posedge VAR3 ) begin if (VAR3 == 1'b1) begin VAR18 <= 0; VAR17 <= 0; VAR5 <= 1'b0; VAR4 <= 1'b1; VAR2 <= 8'h00; VAR14 <= 8'h00; VAR13 <= 1'b0; end else begin if (VAR21 == 1'b1) begin VAR14 <= VAR15; VAR13 <= 1'b1; end if (VAR18 != 0) VAR18 <= (VAR18 - 1); end else begin if (VAR17 == 0) begin VAR5 <= 1'b0; if (VAR13 == 1'b1) begin VAR2 <= VAR14; VAR5 <= 1'b1; VAR4 <= 1'b0; VAR13 <= 1'b0; VAR17 <= 1; VAR18 <= VAR20; end end else if (VAR17 == 9) begin VAR4 <= 1'b1; VAR17 <= 0; VAR18 <= VAR20; end else begin VAR4 <= VAR2[0]; VAR2[6:0]<= VAR2[7:1]; VAR17 <= (VAR17 + 1); VAR18 <= VAR20; end end end end assign VAR11 = (VAR5 | VAR13 | VAR21); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/or4/sky130_fd_sc_ms__or4_4.v
2,231
module MODULE1 ( VAR1 , VAR9 , VAR10 , VAR2 , VAR3 , VAR11, VAR7, VAR8 , VAR6 ); output VAR1 ; input VAR9 ; input VAR10 ; input VAR2 ; input VAR3 ; input VAR11; input VAR7; input VAR8 ; input VAR6 ; VAR4 VAR5 ( .VAR1(VAR1), .VAR9(VAR9), .VAR10(VAR10), .VAR2(VAR2), .VAR3(VAR3), .VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR1, VAR9, VAR10, VAR2, VAR3 ); output VAR1; input VAR9; input VAR10; input VAR2; input VAR3; supply1 VAR11; supply0 VAR7; supply1 VAR8 ; supply0 VAR6 ; VAR4 VAR5 ( .VAR1(VAR1), .VAR9(VAR9), .VAR10(VAR10), .VAR2(VAR2), .VAR3(VAR3) ); endmodule
apache-2.0
cpulabs/gci-std-display
rtl/display_controller/gci_std_display_top.v
8,281
module MODULE1 #( parameter VAR134 = 307200, parameter VAR89 = 0, parameter VAR20 = 640, parameter VAR88 = 480, parameter VAR24 = 19, parameter VAR97 = 23 )( input wire VAR180, input wire VAR160, input wire VAR52, input wire VAR153, input wire VAR14, output wire VAR122, input wire VAR51, input wire [31:0] VAR156, input wire [31:0] VAR174, output wire VAR19, input wire VAR172, output wire VAR66, output wire VAR103, input wire VAR107, output wire VAR168, output wire VAR118, input wire VAR95, output wire VAR126, output wire [VAR97-1:0] VAR101, output wire [31:0] VAR175, input wire VAR137, output wire VAR13, input wire [31:0] VAR177, output wire VAR3, output wire VAR138, output wire VAR83, output wire VAR15, output wire VAR181, output wire VAR2, output wire [9:0] VAR120, output wire [9:0] VAR21, output wire [9:0] VAR12 ); VAR94 VAR102( .VAR180(), .VAR160(), .VAR52(), .VAR54(), .VAR140(), .VAR64(), .VAR158(), .VAR23(), .VAR68(), .VAR33(), .VAR154(), .VAR42(), .VAR152(), .VAR43(), .VAR27(), .VAR96(), .VAR170(), .VAR163(), .VAR183(), .VAR173(), .VAR144(), .VAR123(), .VAR129(), .VAR32(), .VAR5(), .VAR113(), .VAR106(), .VAR72() ); wire VAR35; wire [1:0] VAR108; VAR41 #(VAR134) VAR84( .VAR180(VAR180), .VAR160(VAR160), .VAR52(1'b0), .VAR179(VAR63 && !VAR147 && VAR51), .VAR29(VAR156[3:0]), .VAR92(VAR174), .VAR18(VAR63 && !VAR147 && !VAR51), .VAR82(), .VAR49(VAR156[3:0]), .VAR150(), .VAR6(), .VAR176(), .VAR121(VAR35), .VAR182(VAR108) ); wire VAR100; wire VAR159; wire [VAR97:0] VAR128; wire [23:0] VAR62; VAR17 #( VAR20, VAR88, VAR24, VAR97 )VAR58( .VAR180(), .VAR160(), .VAR165(VAR35), .VAR80(VAR7 && !VAR124 || VAR73 && !VAR1), .VAR56(VAR73), .VAR8(), .VAR38(), .VAR146(), .VAR28(), .VAR167(VAR100), .VAR112(VAR159), .VAR60(VAR128), .VAR111(VAR62) ); wire VAR71; wire VAR31; wire VAR130; wire VAR133; wire VAR157; wire VAR53; wire VAR74; wire [VAR97-1:0] VAR104; wire [7:0] VAR127; wire [7:0] VAR155; wire [7:0] VAR4; wire VAR136; wire VAR48; wire [31:0] VAR34; VAR30 #( VAR20, VAR88, VAR24, VAR97 )( .VAR180(VAR180), .VAR160(VAR160), .VAR26(VAR100), .VAR148(VAR159), .VAR119(VAR128), .VAR44(VAR62), .VAR57(), .VAR148(), .VAR171(), .VAR77(VAR71), .VAR135(VAR31), .VAR78(VAR130), .VAR161(VAR133), .VAR112(VAR157), .VAR36(VAR53), .VAR166(VAR74), .VAR60(VAR104), .VAR99(VAR127), .VAR22(VAR155), .VAR40(VAR4), .VAR80(VAR136), .VAR8(VAR48), .VAR28(VAR34) ); VAR61 VAR47( .VAR151(VAR151), .VAR160(VAR160), .VAR52(1'b0), .VAR69(VAR91), .VAR149(VAR45), .VAR37(VAR87), .VAR90(VAR10), .VAR142(VAR117), .VAR81(1'b0), .VAR164(VAR125 + VAR89), .VAR79(32'h0), .VAR93(VAR143), .VAR39(1'b0), .VAR141(VAR75), .VAR116(VAR71), .VAR50(VAR31), .VAR86(VAR130), .VAR110(VAR133), .VAR169(VAR53), .VAR16(VAR157), .VAR65(VAR74), .VAR145(VAR104 + VAR89), .VAR131(VAR98), .VAR46(VAR136), .VAR114(VAR48), .VAR178(VAR34), .VAR103(VAR103), .VAR107(VAR107), .VAR168(VAR168), .VAR118(VAR118), .VAR95(VAR95), .VAR126(VAR126), .VAR101(VAR101), .VAR175(VAR175), .VAR137(VAR137), .VAR13(VAR13), .VAR177(VAR76) ); wire VAR91; wire VAR45; wire VAR87; wire VAR10; wire VAR117; wire [VAR97-:0] VAR125; wire VAR143; wire [31:0] VAR75; VAR115 VAR162( .VAR151(VAR151), .VAR153(VAR153), .VAR160(VAR160), .VAR52(VAR52), .VAR105(VAR132), .VAR11(VAR85), .VAR150(), .VAR139(VAR120), .VAR55(VAR21), .VAR59(VAR12), .VAR77(VAR91), .VAR135(VAR45), .VAR78(VAR87), .VAR36(VAR10), .VAR112(VAR117), .VAR60(VAR125), .VAR80(VAR143), .VAR28(VAR75) ); VAR9 VAR25( .VAR153(VAR153), .VAR160(VAR160), .VAR52(1'b0), .VAR109(VAR132), .VAR67(VAR85), .VAR138(VAR138), .VAR83(VAR83), .VAR15(VAR15), .VAR2(VAR2), .VAR181(VAR181) ); assign VAR3 = VAR153; assign VAR122 = VAR70; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nor3b/sky130_fd_sc_hd__nor3b.behavioral.pp.v
1,995
module MODULE1 ( VAR11 , VAR9 , VAR12 , VAR5 , VAR6, VAR3, VAR15 , VAR14 ); output VAR11 ; input VAR9 ; input VAR12 ; input VAR5 ; input VAR6; input VAR3; input VAR15 ; input VAR14 ; wire VAR16 ; wire VAR4 ; wire VAR13; nor VAR2 (VAR16 , VAR9, VAR12 ); and VAR10 (VAR4 , VAR5, VAR16 ); VAR7 VAR1 (VAR13, VAR4, VAR6, VAR3); buf VAR8 (VAR11 , VAR13 ); endmodule
apache-2.0
victor1994y/BipedRobot_byFPGA
Project_BipedRobot.srcs/sources_1/ip/fifo_bt_txd/fifo_bt_txd_stub.v
1,436
module MODULE1(rst, VAR4, VAR3, din, VAR2, VAR1, dout, VAR5, VAR6) ; input rst; input VAR4; input VAR3; input [7:0]din; input VAR2; input VAR1; output [7:0]dout; output VAR5; output VAR6; endmodule
gpl-3.0
d16-processor/d16
verilog/src/sdram_controller3.v
11,196
module MODULE1 ( input VAR44, input VAR50, input VAR42, input rst, input [23:0] address, input VAR33, input VAR25, input [31:0] VAR81, output reg [31:0] VAR85, output reg VAR58= 0, output reg VAR71= 0, output reg [12:0] VAR12, output reg [1:0] VAR76, output reg VAR78, output VAR37, output VAR43, output reg VAR101, inout [15:0] VAR20, output reg [1:0] VAR4, output reg VAR93, output reg VAR54); localparam [3:0] VAR73 = 4'b0111; localparam [3:0] VAR69 = 4'b0101; localparam [3:0] VAR92 = 4'b0100; localparam [3:0] VAR14 = 4'b0011; localparam [3:0] VAR16 = 4'b0010; localparam [3:0] VAR68 = 4'b0001; localparam [3:0] VAR15 = 4'b0000; parameter [8:0] VAR91 = 9'b000000000 | VAR73, VAR19 = 9'b000000000 | VAR16, VAR36 = 9'b000000000 | VAR68, VAR94 = 9'b000000000 | VAR15, VAR38 = 9'b000010000 | VAR73, VAR28 = 9'b000100000 | VAR68, VAR87 = 9'b000110000 | VAR73, VAR26 = 9'b001000000 | VAR73, VAR80 = 9'b001010000 | VAR73, VAR104 = 9'b001100000 | VAR73, VAR66 = 9'b001110000 | VAR73, VAR6 = 9'b010000000 | VAR14, VAR103 = 9'b010010000 | VAR73, VAR89 = 9'b010100000 | VAR73, VAR39 = 9'b010110000 | VAR92, VAR74 = 9'b011000000 | VAR92, VAR57 = 9'b011010000 | VAR73, VAR49 = 9'b011100000 | VAR73, VAR27 = 9'b011110000 | VAR16, VAR51 = 9'b100000000 | VAR73, VAR21 = 9'b100100000 | VAR69, VAR64 = 9'b100110000 | VAR69, VAR1 = 9'b101000000 | VAR73, VAR72 = 9'b101010000 | VAR73, VAR70 = 9'b101100000 | VAR16, VAR7 = 9'b101110000 | VAR73, VAR60 = 9'b110000000 | VAR73, VAR30 = 9'b110010000 | VAR73, VAR45 = 9'b110100000 | VAR73; reg [8:0] state = VAR91; reg [63:0] VAR55; always @(state) begin case ({state}) VAR91: VAR55 = "VAR77"; VAR19: VAR55 = "VAR32"; VAR36: VAR55 = "VAR102"; VAR94: VAR55 = "VAR63"; VAR38: VAR55 = "VAR56 "; VAR28: VAR55 = "VAR11 "; VAR87: VAR55 = "VAR17 "; VAR26: VAR55 = "VAR75 "; VAR80: VAR55 = "VAR18 "; VAR104: VAR55 = "VAR35 "; VAR66: VAR55 = "VAR84 "; VAR6: VAR55 = "VAR86 "; VAR103: VAR55 = "VAR106 "; VAR89: VAR55 = "VAR5 "; VAR39: VAR55 = "VAR98 "; VAR74: VAR55 = "VAR40 "; VAR57: VAR55 = "VAR88 "; VAR49: VAR55 = "VAR9 "; VAR27: VAR55 = "VAR61 "; VAR51: VAR55 = "VAR96 "; VAR21: VAR55 = "VAR22 "; VAR64: VAR55 = "VAR46 "; VAR1: VAR55 = "VAR99 "; VAR72: VAR55 = "VAR48 "; VAR70: VAR55 = "VAR24 "; VAR7: VAR55 = "VAR90 "; VAR60: VAR55 = "VAR47 "; VAR30: VAR55 = "VAR79 "; VAR45: VAR55 = "VAR65 "; default: VAR55 = "%VAR13 "; endcase end reg [39:0] VAR100; always @* case({VAR101,VAR93,VAR78,VAR54}) VAR73: VAR100 <= "VAR2 "; VAR69: VAR100 <= "read "; VAR92: VAR100 <= "write"; VAR14: VAR100 <= "VAR95 "; VAR16: VAR100 <= "VAR67 "; VAR68: VAR100 <= "ref "; VAR15: VAR100 <= "VAR29 "; default: VAR100 <= "VAR53 "; endcase parameter VAR97 = 15'b00000010001111; reg [14:0] VAR105 = VAR97; reg [14:0] VAR105 = 15'b00000000000000; reg [9:0] VAR3 = 0; reg VAR23 = 0; reg VAR34 = 0; reg VAR8; assign VAR43 = VAR42; assign VAR37 = 1; wire [12:0] VAR31 = address[23:11]; wire [1:0] VAR107 = address[10:9]; wire [9:0] VAR62 = {address [8:1],2'b0}; reg VAR83 = 0; reg VAR10 = 0; reg [15:0] VAR82 = 0; reg VAR52 = 0; assign VAR20 = VAR52 ? VAR82 : 'VAR59; reg [15:0] VAR41; always @(posedge VAR42) VAR41 <= VAR20; always @(posedge VAR44)begin VAR58 <= VAR34; VAR71 <= VAR8; end always @(posedge VAR50) begin VAR54 <= state[0]; VAR78 <= state[1]; VAR93 <= state[2]; VAR101 <= state[3]; end always @(posedge VAR50)begin if(rst == 1)begin VAR105 <= VAR97; VAR105 <= 15'h0; VAR12 <= 13'h0; VAR76 <= 2'h0; VAR4 <= 2'h0; VAR85 <= 32'h0; VAR82 <= 16'h0; VAR52 <= 1'h0; VAR10 <= 1'h0; VAR3 <= 10'h0; VAR23 <= 1'h0; VAR34 <= 1'h0; VAR8 <= 1'h0; VAR83 <= 1'h0; end else begin VAR105 <= VAR105 - 1; if(VAR33) VAR10 <= 1; if(VAR25) VAR83 <= 1; if(VAR3 == 770) begin VAR3 <= 0; VAR23 <= 1; end else if(state[8:4] != VAR91[8:4]) VAR3 <= VAR3 + 1; if(VAR34 & VAR58) VAR34 <= 0; case(state[8:4]) VAR91[8:4]: begin state <= VAR91; if(VAR105 == 'b000000010000010) begin VAR12 <= 0; state <= VAR19; VAR12[10] <= 1'b1; end if(VAR105[14:7] == 0 && VAR105[3:0] == 4'b1111) state <= VAR36; if(VAR105 == 3) begin state <= VAR94; VAR12[10] <= 0; VAR12 <= 13'b0000000110000; VAR76 <= 2'b0; end if(VAR105 == 1) state <= VAR30; end VAR30[8:4]: state <= VAR45; VAR45[8:4]: state <= VAR38; VAR38[8:4]:begin if(VAR10 == 1 || VAR83 == 1) begin state <= VAR6; VAR12 <= VAR31; VAR76 <= VAR107; end if(VAR23) begin state <= VAR28; VAR23 <= 0; end VAR34 <= 0; end VAR6[8:4]: state <= VAR103; VAR103[8:4]: state <= VAR89; VAR89[8:4]: begin VAR12[10] <= 0; if(VAR83)begin state <= VAR39; VAR12 <= VAR62; VAR76 <= VAR107; VAR4 <= 2'b0; end if(VAR10)begin state <= VAR21; VAR12 <= VAR62; VAR76 <= VAR107; VAR4 <= 2'b0; end end VAR39[8:4]:begin VAR83 <= 0; state <= VAR74; VAR12 <= VAR62; VAR82 <= VAR81[15:0]; VAR52 <= 1; VAR76 <= VAR107; VAR4 <= 0; end VAR74[8:4]:begin VAR12 <= VAR62 + 1; state <= VAR57; VAR82 <= VAR81[31:16]; end VAR57[8:4]:begin state <= VAR49; VAR52 <= 0; VAR8 <= 1; end VAR49[8:4]: state <= VAR27; VAR27[8:4]:begin VAR12[10] <= 0; state <= VAR51; end VAR51[8:4]:begin state <= VAR38; VAR8 <= 0; end VAR21[8:4]:begin VAR10 <= 0; state <= VAR64; VAR4 <= 0; VAR76 <= VAR107; end VAR64[8:4]:begin state <= VAR1; VAR12 <= VAR62 + 1; end VAR1[8:4]: state <= VAR72; VAR72[8:4]: begin state <= VAR70; end VAR70[8:4]:begin state <= VAR7; VAR12[10] <= 0; VAR85[15:0] <= VAR41; end VAR7[8:4]:begin state <= VAR60; VAR85[31:16] <= VAR41; VAR34 <= 1; end VAR60[8:4]:begin state <= VAR38; if(VAR10 == 1 || VAR83 == 1) begin state <= VAR6; VAR12 <= VAR31; VAR76 <= VAR107; end if(VAR23) begin state <= VAR28; VAR23 <= 0; end end VAR28[8:4]: state <= VAR87; VAR87[8:4]: state <= VAR26; VAR26[8:4]: state <= VAR80; VAR80[8:4]: state <= VAR104; VAR104[8:4]: state <= VAR66; VAR66[8:4]: state <= VAR38; endcase end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o41a/sky130_fd_sc_ls__o41a.behavioral.v
1,549
module MODULE1 ( VAR3 , VAR1, VAR10, VAR8, VAR12, VAR14 ); output VAR3 ; input VAR1; input VAR10; input VAR8; input VAR12; input VAR14; supply1 VAR4; supply0 VAR15; supply1 VAR7 ; supply0 VAR9 ; wire VAR5 ; wire VAR6; or VAR13 (VAR5 , VAR12, VAR8, VAR10, VAR1 ); and VAR2 (VAR6, VAR5, VAR14 ); buf VAR11 (VAR3 , VAR6 ); endmodule
apache-2.0
chebykinn/university
circuitry/lab4/src/hdl/if_stage.v
2,026
module MODULE1( input clk, rst, input VAR9, input VAR6, input [1:0] VAR18, input VAR4, output VAR12, output [31:0] VAR13, input [31:0] VAR10, input [31:0] VAR15, VAR14, VAR1, output reg [31:0] VAR2, output reg [31:0] VAR5 ); reg [31:0] VAR7, VAR3; wire [31:0] VAR8; localparam VAR16 = 6'b100011, VAR17 = 6'b101011; wire VAR11 = (VAR5[31:26] == VAR16) || (VAR5[31:26] == VAR17); assign VAR8 = VAR7 + 4; assign VAR12 = 1; assign VAR13 = VAR7 >> 2; always @* begin VAR3 = VAR7; case (VAR18) 2'b00: VAR3 = VAR8; 2'b01: VAR3 = VAR14; 2'b10: VAR3 = VAR15; 2'b11: VAR3 = VAR1; endcase end always @(posedge clk) begin if (rst) VAR7 <= 0; end else begin if (VAR6 && !(VAR4 || VAR11)) VAR7 <= VAR3; end end always @(posedge clk) begin if (rst) begin VAR2 <= 0; VAR5 <= 0; end else begin if ( VAR9) begin VAR2 <= VAR8; VAR5 <= !(VAR4 || VAR11)? VAR10: 0; end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inputiso0p/sky130_fd_sc_lp__inputiso0p.behavioral.v
1,453
module MODULE1 ( VAR1 , VAR5 , VAR3 ); output VAR1 ; input VAR5 ; input VAR3; supply1 VAR7; supply0 VAR9; supply1 VAR4 ; supply0 VAR8 ; wire VAR6; not VAR10 (VAR6, VAR3 ); and VAR2 (VAR1 , VAR5, VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/or3b/sky130_fd_sc_ls__or3b.functional.pp.v
1,951
module MODULE1 ( VAR6 , VAR16 , VAR7 , VAR4 , VAR2, VAR14, VAR15 , VAR9 ); output VAR6 ; input VAR16 ; input VAR7 ; input VAR4 ; input VAR2; input VAR14; input VAR15 ; input VAR9 ; wire VAR11 ; wire VAR8 ; wire VAR13; not VAR12 (VAR11 , VAR4 ); or VAR5 (VAR8 , VAR7, VAR16, VAR11 ); VAR1 VAR3 (VAR13, VAR8, VAR2, VAR14); buf VAR10 (VAR6 , VAR13 ); endmodule
apache-2.0
CospanDesign/vivado-ip-cores
ip/axi_on_screen_display/adapter_ppfifo_2_axi_stream.v
4,627
module MODULE1 #( parameter VAR15 = 32, parameter VAR4 = VAR15 / 8, parameter VAR14 = 0 )( input rst, input VAR12, output reg VAR5, input [23:0] VAR17, input [(VAR15 + 1) - 1:0] VAR1, output VAR19, input VAR9, output [3:0] VAR16, input VAR13, output [VAR15 - 1:0] VAR6, output VAR8, output reg VAR11, output [31:0] VAR18 ); localparam VAR7 = 0; localparam VAR10 = 1; localparam VAR3 = 2; reg [3:0] state; reg [23:0] VAR2; assign VAR6 = VAR1[VAR15 - 1: 0]; assign VAR19 = (VAR13 & VAR11); assign VAR16[0] = (VAR2 < VAR17) ? VAR1[VAR15] : 1'b0; assign VAR16[3:1] = 3'h0; assign VAR8 = ((VAR2 + 1) >= VAR17) & VAR5 & VAR11; assign VAR18[3:0] = state; assign VAR18[4] = (VAR2 < VAR17) ? VAR1[VAR15]: 1'b0; assign VAR18[5] = VAR5; assign VAR18[6] = VAR12; assign VAR18[7] = (VAR2 > 0); assign VAR18[8] = (VAR17 > 0); assign VAR18[9] = (VAR2 == VAR17); assign VAR18[15:10] = 0; assign VAR18[23:16] = VAR2[7:0]; assign VAR18[31:24] = 0; always @ (posedge VAR9) begin VAR11 <= 0; if (rst) begin state <= VAR7; VAR5 <= 0; VAR2 <= 0; end else begin case (state) VAR7: begin VAR5 <= 0; if (VAR12 && !VAR5) begin VAR2 <= 0; VAR5 <= 1; state <= VAR10; end end VAR10: begin if (VAR2 < VAR17) begin VAR11 <= 1; if (VAR13 && VAR11) begin VAR2 <= VAR2 + 1; if ((VAR2 + 1) >= VAR17) begin VAR11 <= 0; end end end else begin VAR5 <= 0; state <= VAR3; end end VAR3: begin state <= VAR7; end default: begin end endcase end end endmodule
mit
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/clock_1.v
28,024
module MODULE7 ( clk, VAR28, VAR34, VAR68 ) ; output VAR68; input clk; input VAR28; input VAR34; reg VAR66 ; reg VAR68 ; always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR66 <= 0; end else if (1) VAR66 <= VAR28; end always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR68 <= 0; end else if (1) VAR68 <= VAR66; end endmodule module MODULE9 ( clk, VAR28, VAR34, VAR68 ) ; output VAR68; input clk; input VAR28; input VAR34; reg VAR66 ; reg VAR68 ; always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR66 <= 0; end else if (1) VAR66 <= VAR28; end always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR68 <= 0; end else if (1) VAR68 <= VAR66; end endmodule module MODULE2 ( VAR62, VAR28, VAR34, VAR68 ) ; output VAR68; input VAR62; input VAR28; input VAR34; reg VAR66; wire VAR68; always @(posedge VAR62 or negedge VAR34) begin if (VAR34 == 0) VAR66 <= 0; end else if (1) VAR66 <= VAR28; end assign VAR68 = VAR28 ^ VAR66; endmodule module MODULE3 ( VAR15, VAR9, VAR61, VAR27, VAR19, VAR20, VAR41, VAR35, VAR31 ) ; output VAR41; output VAR35; output VAR31; input VAR15; input VAR9; input VAR61; input VAR27; input VAR19; input VAR20; reg VAR39; reg [ 2: 0] VAR49; reg VAR64; reg VAR41; reg [ 2: 0] VAR63; reg VAR35; reg VAR31; always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR41 <= 0; end else if (1) VAR41 <= VAR39; end always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR31 <= 0; end else if (1) VAR31 <= VAR64; end always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR63 <= 3'b001; end else if (1) VAR63 <= VAR49; end always @(VAR15 or VAR9 or VAR27 or VAR41 or VAR63 or VAR20 or VAR31) begin case (VAR63) 3'b001: begin if (VAR27) begin VAR49 <= 3'b010; VAR35 <= 1; VAR39 <= !VAR41; VAR64 <= VAR31; end else if (VAR20) begin VAR49 <= 3'b100; VAR35 <= 1; VAR39 <= VAR41; VAR64 <= !VAR31; end else begin VAR49 <= VAR63; VAR35 <= 0; VAR39 <= VAR41; VAR64 <= VAR31; end end 3'b010: begin if (VAR15) begin VAR49 <= 3'b001; VAR35 <= 0; end else begin VAR49 <= 3'b010; VAR35 <= 1; end VAR39 <= VAR41; VAR64 <= VAR31; end 3'b100: begin if (VAR9) begin VAR49 <= 3'b001; VAR35 <= 0; end else begin VAR49 <= 3'b100; VAR35 <= 1; end VAR39 <= VAR41; VAR64 <= VAR31; end default: begin VAR49 <= 3'b001; VAR35 <= 0; VAR39 <= VAR41; VAR64 <= VAR31; end endcase end endmodule module MODULE6 ( clk, VAR28, VAR34, VAR68 ) ; output VAR68; input clk; input VAR28; input VAR34; reg VAR66 ; reg VAR68 ; always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR66 <= 0; end else if (1) VAR66 <= VAR28; end always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR68 <= 0; end else if (1) VAR68 <= VAR66; end endmodule module MODULE1 ( clk, VAR28, VAR34, VAR68 ) ; output VAR68; input clk; input VAR28; input VAR34; reg VAR66 ; reg VAR68 ; always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR66 <= 0; end else if (1) VAR66 <= VAR28; end always @(posedge clk or negedge VAR34) begin if (VAR34 == 0) VAR68 <= 0; end else if (1) VAR68 <= VAR66; end endmodule module MODULE5 ( VAR22, VAR67, VAR59, VAR17, VAR11, VAR36, VAR48, VAR12, VAR4 ) ; output VAR36; output VAR48; output VAR12; output VAR4; input VAR22; input VAR67; input VAR59; input VAR17; input VAR11; reg VAR36; reg VAR48; reg [ 2: 0] VAR30; reg VAR12; reg VAR4; reg VAR1; reg VAR51; reg [ 2: 0] VAR16; reg VAR10; reg VAR45; always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR48 <= 0; end else if (1) VAR48 <= VAR51; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR4 <= 0; end else if (1) VAR4 <= VAR45; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR36 <= 0; end else if (1) VAR36 <= VAR1; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR12 <= 0; end else if (1) VAR12 <= VAR10; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR30 <= 3'b001; end else if (1) VAR30 <= VAR16; end always @(VAR36 or VAR48 or VAR30 or VAR59 or VAR12 or VAR4 or VAR17 or VAR11) begin case (VAR30) 3'b001: begin if (VAR17) begin VAR16 <= 3'b010; VAR1 <= 1; VAR10 <= 0; end else if (VAR11) begin VAR16 <= 3'b100; VAR1 <= 0; VAR10 <= 1; end else begin VAR16 <= VAR30; VAR1 <= 0; VAR10 <= 0; end VAR51 <= VAR48; VAR45 <= VAR4; end 3'b010: begin if (!VAR59) begin VAR16 <= 3'b001; VAR51 <= !VAR48; VAR1 <= 0; end else begin VAR16 <= 3'b010; VAR51 <= VAR48; VAR1 <= VAR36; end VAR45 <= VAR4; VAR10 <= 0; end 3'b100: begin if (!VAR59) begin VAR16 <= 3'b001; VAR10 <= 0; VAR45 <= !VAR4; end else begin VAR16 <= 3'b100; VAR10 <= VAR12; VAR45 <= VAR4; end VAR51 <= VAR48; VAR1 <= 0; end default: begin VAR16 <= 3'b001; VAR10 <= 0; VAR45 <= VAR4; VAR1 <= 0; VAR51 <= VAR48; end endcase end endmodule module MODULE4 ( VAR44, VAR8, VAR28, VAR53, VAR57, VAR68 ) ; output VAR68; input VAR44; input VAR8; input VAR28; input VAR53; input VAR57; reg VAR66 ; reg VAR68 ; always @(posedge VAR44 or negedge VAR53) begin if (VAR53 == 0) VAR66 <= 0; end else if (1) VAR66 <= VAR28; end always @(posedge VAR8 or negedge VAR57) begin if (VAR57 == 0) VAR68 <= 0; end else if (1) VAR68 <= VAR66; end endmodule module MODULE8 ( VAR22, VAR42, VAR54, VAR67, VAR59, VAR6, VAR33, VAR61, VAR69, VAR27, VAR19, VAR20, VAR46, VAR7, VAR58, VAR56, VAR36, VAR12, VAR21, VAR18, VAR37, VAR35 ) ; output [ 22: 0] VAR7; output [ 1: 0] VAR58; output [ 21: 0] VAR56; output VAR36; output VAR12; output [ 15: 0] VAR21; output VAR18; output [ 15: 0] VAR37; output VAR35; input VAR22; input VAR42; input [ 15: 0] VAR54; input VAR67; input VAR59; input [ 22: 0] VAR6; input [ 1: 0] VAR33; input VAR61; input [ 21: 0] VAR69; input VAR27; input VAR19; input VAR20; input [ 15: 0] VAR46; reg [ 22: 0] VAR7 ; reg [ 1: 0] VAR58 ; reg [ 21: 0] VAR56 ; wire VAR36; wire VAR48; wire VAR65; wire VAR15; wire VAR12; wire VAR4; wire VAR32; wire VAR9; reg [ 15: 0] VAR21 ; reg [ 22: 0] VAR25 ; reg [ 1: 0] VAR38 ; wire VAR18; reg [ 21: 0] VAR5 ; wire VAR41; wire VAR52; wire VAR17; reg [ 15: 0] VAR37 ; reg [ 15: 0] VAR50; wire VAR35; wire VAR31; wire VAR3; wire VAR11; reg [ 15: 0] VAR24 ; MODULE7 MODULE4 ( .clk (VAR61), .VAR28 (VAR48), .VAR68 (VAR65), .VAR34 (VAR19) ); MODULE9 MODULE3 ( .clk (VAR61), .VAR28 (VAR4), .VAR68 (VAR32), .VAR34 (VAR19) ); MODULE2 MODULE7 ( .VAR62 (VAR61), .VAR28 (VAR65), .VAR68 (VAR15), .VAR34 (VAR19) ); MODULE2 MODULE10 ( .VAR62 (VAR61), .VAR28 (VAR32), .VAR68 (VAR9), .VAR34 (VAR19) ); MODULE3 VAR55 ( .VAR15 (VAR15), .VAR9 (VAR9), .VAR61 (VAR61), .VAR27 (VAR27), .VAR41 (VAR41), .VAR19 (VAR19), .VAR35 (VAR35), .VAR20 (VAR20), .VAR31 (VAR31) ); MODULE6 MODULE11 ( .clk (VAR22), .VAR28 (VAR41), .VAR68 (VAR52), .VAR34 (VAR67) ); MODULE1 MODULE5 ( .clk (VAR22), .VAR28 (VAR31), .VAR68 (VAR3), .VAR34 (VAR67) ); MODULE2 MODULE1 ( .VAR62 (VAR22), .VAR28 (VAR52), .VAR68 (VAR17), .VAR34 (VAR67) ); MODULE2 MODULE6 ( .VAR62 (VAR22), .VAR28 (VAR3), .VAR68 (VAR11), .VAR34 (VAR67) ); MODULE5 VAR13 ( .VAR22 (VAR22), .VAR36 (VAR36), .VAR48 (VAR48), .VAR67 (VAR67), .VAR59 (VAR59), .VAR12 (VAR12), .VAR4 (VAR4), .VAR17 (VAR17), .VAR11 (VAR11) ); MODULE4 MODULE9 ( .VAR44 (VAR61), .VAR8 (VAR22), .VAR28 (VAR42), .VAR68 (VAR18), .VAR53 (VAR19), .VAR57 (VAR67) ); always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR50 <= 0; end else if (VAR36 & ~VAR59) VAR50 <= VAR54; end always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR37 <= 0; end else if (1) VAR37 <= VAR50; end always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR24 <= 0; end else if (1) VAR24 <= VAR46; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR21 <= 0; end else if (1) VAR21 <= VAR24; end always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR25 <= 0; end else if (1) VAR25 <= VAR6; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR7 <= 0; end else if (1) VAR7 <= VAR25; end always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR5 <= 0; end else if (1) VAR5 <= VAR69; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR56 <= 0; end else if (1) VAR56 <= VAR5; end always @(posedge VAR61 or negedge VAR19) begin if (VAR19 == 0) VAR38 <= 0; end else if (1) VAR38 <= VAR33; end always @(posedge VAR22 or negedge VAR67) begin if (VAR67 == 0) VAR58 <= 0; end else if (1) VAR58 <= VAR38; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/tapvgnd2/sky130_fd_sc_lp__tapvgnd2.functional.pp.v
1,237
module MODULE1 ( VAR2, VAR4, VAR1 , VAR3 ); input VAR2; input VAR4; input VAR1 ; input VAR3 ; endmodule
apache-2.0
alexforencich/verilog-axis
rtl/axis_pipeline_fifo.v
10,243
module MODULE1 # ( parameter VAR42 = 8, parameter VAR20 = (VAR42>8), parameter VAR24 = ((VAR42+7)/8), parameter VAR23 = 1, parameter VAR40 = 0, parameter VAR9 = 8, parameter VAR45 = 0, parameter VAR39 = 8, parameter VAR12 = 1, parameter VAR32 = 1, parameter VAR22 = 2 ) ( input wire clk, input wire rst, input wire [VAR42-1:0] VAR11, input wire [VAR24-1:0] VAR25, input wire VAR4, output wire VAR1, input wire VAR3, input wire [VAR9-1:0] VAR5, input wire [VAR39-1:0] VAR35, input wire [VAR32-1:0] VAR28, output wire [VAR42-1:0] VAR33, output wire [VAR24-1:0] VAR2, output wire VAR44, input wire VAR38, output wire VAR16, output wire [VAR9-1:0] VAR17, output wire [VAR39-1:0] VAR27, output wire [VAR32-1:0] VAR13 ); parameter VAR26 = VAR22 < 2 ? 3 : VAR43(VAR22*4+1); generate if (VAR22 > 0) begin reg [VAR42-1:0] VAR6[0:VAR22-1]; reg [VAR24-1:0] VAR18[0:VAR22-1]; reg VAR46[0:VAR22-1]; reg VAR14[0:VAR22-1]; reg VAR37[0:VAR22-1]; reg [VAR9-1:0] VAR7[0:VAR22-1]; reg [VAR39-1:0] VAR36[0:VAR22-1]; reg [VAR32-1:0] VAR19[0:VAR22-1]; wire [VAR42-1:0] VAR30 = VAR6[VAR22-1]; wire [VAR24-1:0] VAR10 = VAR18[VAR22-1]; wire VAR21 = VAR46[VAR22-1]; wire VAR15; wire VAR8 = VAR37[VAR22-1]; wire [VAR9-1:0] VAR29 = VAR7[VAR22-1]; wire [VAR39-1:0] VAR31 = VAR36[VAR22-1]; wire [VAR32-1:0] VAR41 = VAR19[VAR22-1]; assign VAR1 = VAR14[0]; integer VAR34;
mit
vipinkmenon/scas
hw/fpga/source/memory_if/v7_ddr.v
24,915
module MODULE1 # ( parameter VAR54 = 3, parameter VAR153 = 1, parameter VAR59 = 10, parameter VAR86 = 1, parameter VAR144 = 1, parameter VAR108 = 1, parameter VAR90 = 4, parameter VAR15 = 6, parameter VAR163 = 8, parameter VAR130 = 8, parameter VAR92 = 64, parameter VAR141 = 8, parameter VAR84 = 3, parameter VAR73 = 8, parameter VAR195 = "VAR95", parameter VAR149 = 64, parameter VAR19 = "VAR95", parameter VAR13 = (VAR19 == "VAR95") ? VAR149 : VAR92, parameter VAR120 = 8, parameter VAR63 = 31, parameter VAR177 = 4, parameter VAR129 = 1, parameter VAR187 = 1, parameter VAR56 = 14, parameter VAR78 = 28, parameter VAR47 = 1, parameter VAR158 = 1, parameter VAR40 = 1, parameter VAR1 = 1, parameter VAR114 = "1GB", parameter VAR5 = "125", parameter VAR22 = 8, parameter VAR14 = "0", parameter VAR44 = 0, parameter VAR35 = "8", parameter VAR53 = "VAR60", parameter VAR51 = 6, parameter VAR17 = 5, parameter VAR194 = "VAR91", parameter VAR191 = "40", parameter VAR197 = "VAR95", parameter VAR16 = "1T" , parameter VAR2 = "VAR95", parameter VAR93 = "VAR95", parameter VAR69 = 5000, parameter VAR105 = 4, parameter VAR157 = 1, parameter VAR11 = 337.5, parameter VAR80 = 2, parameter VAR42 = 2, parameter VAR118 = 32, parameter VAR106 = 4, parameter VAR169 = 5000, parameter VAR140 = 30000, parameter VAR132 = 1000000, parameter VAR166 = 35000, parameter VAR110 = 13125, parameter VAR32 = 7800000, parameter VAR6 = 110000, parameter VAR175 = 13125, parameter VAR102 = 6000, parameter VAR33 = 7500, parameter VAR176 = 7500, parameter VAR61 = 128000000, parameter VAR66 = 64, parameter VAR99 = "VAR95", parameter VAR179 = "VAR138", parameter VAR27 = 4'b1111, parameter VAR170 = 4'b1110, parameter VAR123 = 4'b1111, parameter VAR100 = 4'b0000, parameter VAR38 = 4'b0000, parameter VAR107 = 4'b1111, parameter VAR135 = 4'b0000, parameter VAR161 = 4'b1111, parameter VAR71 = 4'b0000, parameter VAR119 = 4'b0000, parameter VAR55 = 48'h3FE1FF1FF2FF, parameter VAR50 = 48'hFFEF30CB4000, parameter VAR8 = 48'h3FE3FE3BF2FF, parameter VAR75 = 144'h000000000000000000000000000000000011, parameter VAR77 = 192'h00000013213613513313912413112913713413A12813813B, parameter VAR167 = 36'h12512A12B, parameter VAR46 = 12'h115, parameter VAR26 = 8'h00, parameter VAR88 = 96'h000000000000000000000117, parameter VAR160 = 96'h000000000000000000000112, parameter VAR3 = 120'h000000000000000000000000000114, parameter VAR164 = 12'h000, parameter VAR20 = 12'h11A, parameter VAR131 = 12'h11B, parameter VAR45 = 144'h000000000000000000002021222303020100, parameter VAR125 = 96'h009000003001007006005002, parameter VAR109 = 96'h014018010011017016012013, parameter VAR68 = 96'h021022025020027023026028, parameter VAR155 = 96'h033039031035032038034037, parameter VAR151 = 96'h231238237236233232234239, parameter VAR64 = 96'h226227225229221222224228, parameter VAR112 = 96'h214215210218217213219212, parameter VAR52 = 96'h207203204206202201205209, parameter VAR79 = 96'h000000000000000000000000, parameter VAR181 = 96'h000000000000000000000000, parameter VAR58 = 96'h000000000000000000000000, parameter VAR74 = 96'h000000000000000000000000, parameter VAR189 = 96'h000000000000000000000000, parameter VAR162 = 96'h000000000000000000000000, parameter VAR173 = 96'h000000000000000000000000, parameter VAR41 = 96'h000000000000000000000000, parameter VAR184 = 96'h000000000000000000000000, parameter VAR188 = 96'h000000000000000000000000, parameter VAR190 = 108'h000200211223235036024015004, parameter VAR18 = 108'h000000000000000000000000000, parameter VAR70 = 8'b00000001, parameter VAR185 = 8'b00000000, parameter VAR174 = "VAR39", parameter VAR7 = "VAR128", parameter VAR122 = "VAR95", parameter VAR117 = "VAR128", parameter VAR186 = "VAR142", parameter VAR87 = "VAR182", parameter VAR76 = "VAR138", parameter VAR85 = "VAR95", parameter VAR111 = "VAR128", parameter VAR28 = "VAR116", parameter VAR23 = 16'h0000, parameter VAR101 = 12'h000, parameter VAR156 = 3'h0, parameter VAR133 = 100, parameter VAR72 = "VAR172", parameter VAR165 = "VAR81", parameter VAR36 = "VAR97", parameter VAR139 = "VAR128", parameter VAR34 = "VAR180", parameter VAR9 = "VAR103", parameter VAR124 = 2, parameter VAR82 = 200.0, parameter VAR137 = "VAR127", parameter VAR148 = 2500, parameter VAR49 = 2, parameter VAR171 = "VAR138", parameter VAR89 = "VAR95", parameter VAR67 = "VAR12", parameter VAR126 = 0 ) ( input VAR113, input VAR146, inout [VAR92-1:0] VAR193, output [VAR56-1:0] VAR25, output [VAR54-1:0] VAR24, output VAR31, output VAR62, output VAR121, output VAR65, output [VAR86*VAR144-1:0] VAR147, output [VAR187-1:0] VAR143, output [VAR108-1:0] VAR136, output [VAR130-1:0] VAR183, inout [VAR141-1:0] VAR150, inout [VAR141-1:0] VAR48, output [VAR153-1:0] VAR29, output [VAR153-1:0] VAR152, output VAR115, output VAR43, output VAR134, output VAR104, output VAR57, input VAR83, output VAR159, output VAR4, input VAR196, input [(VAR49*2*VAR13)-1:0] VAR94, input [(VAR49*2*VAR13)/8-1:0] VAR178, input VAR10, input [VAR78-1:0] VAR30, input [2:0] VAR37, input VAR21, output VAR98, output VAR192, output [(VAR49*2*VAR13)-1:0] VAR168, output VAR154, output VAR145 ); VAR96 VAR96 ( .VAR113(VAR113), .VAR146(VAR146), .VAR193(VAR193), .VAR25(VAR25), .VAR24(VAR24), .VAR31(VAR31), .VAR62(VAR62), .VAR121(VAR121), .VAR65(VAR65), .VAR147(VAR147), .VAR143(VAR143), .VAR136(VAR136), .VAR183(VAR183), .VAR150(VAR150), .VAR48(VAR48), .VAR29(VAR29), .VAR152(VAR152), .VAR115(VAR115), .VAR43(VAR43), .VAR134(VAR134), .VAR104(VAR104), .VAR57(VAR57), .VAR83(!VAR83), .VAR159(VAR159), .VAR4(VAR4), .VAR196(VAR196), .VAR94(VAR94), .VAR178(VAR178), .VAR10(VAR10), .VAR30(VAR30), .VAR37(VAR37), .VAR21(VAR21), .VAR98(VAR98), .VAR192(VAR192), .VAR168(VAR168), .VAR154(VAR154), .VAR145(VAR145) ); endmodule
mit
teknohog/Xilinx-Serial-Miner
sources/hdl/raw7seg.v
1,670
module MODULE1(clk, VAR13, VAR5, word); parameter VAR6 = 4; parameter VAR8 = 1; parameter VAR14 = 1; input clk; output [(VAR6 - 1):0] VAR5; output [7:0] VAR13; input [(VAR6 * 8 - 1):0] word; reg [15:0] VAR4; always @(posedge clk) VAR4<=VAR4+16'h1; wire VAR9 = &VAR4; reg [3:0] VAR1; reg [7:0] VAR12; reg [(VAR6 * 8 - 1):0] VAR15; generate if (VAR14) begin: VAR3 assign VAR13 = ~VAR15[7:0]; end else begin: VAR11 assign VAR13 = VAR15[7:0]; end endgenerate always @(posedge VAR9) if (VAR1 == VAR6 - 1) VAR1 <= 0; else VAR1 <= VAR1 + 1; always @(posedge clk) VAR15 <= word >> (VAR1 * 8); localparam [(VAR6 - 1):0] VAR10 = 1; generate if (VAR8) begin: VAR2 assign VAR5 = ~(VAR10 << VAR1); end else begin: VAR7 assign VAR5 = (VAR10 << VAR1); end endgenerate endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/dram/rtl/bw_clk_cl_dram_ddr.v
2,767
module MODULE1 ( VAR11, VAR8, VAR2, VAR4, VAR10, VAR7, VAR1, VAR5, VAR12, VAR13, VAR6, VAR3 ); output VAR4; output VAR2; output VAR8; output VAR11; input VAR3; input VAR6; input VAR13; input VAR12; input VAR5; input VAR1; input VAR7; input VAR10; VAR9 VAR9 ( .VAR2 (VAR2), .VAR4 (VAR4), .VAR8 (VAR8), .VAR11 (VAR11), .VAR12 (VAR12), .VAR13 (VAR13), .VAR6 (VAR6), .VAR1 (VAR1), .VAR3 (VAR3), .VAR5 (VAR5), .VAR10 (VAR10), .VAR7 (VAR7)); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/ha/sky130_fd_sc_lp__ha_0.v
2,184
module MODULE1 ( VAR6, VAR1 , VAR5 , VAR2 , VAR7, VAR3, VAR10 , VAR4 ); output VAR6; output VAR1 ; input VAR5 ; input VAR2 ; input VAR7; input VAR3; input VAR10 ; input VAR4 ; VAR9 VAR8 ( .VAR6(VAR6), .VAR1(VAR1), .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7), .VAR3(VAR3), .VAR10(VAR10), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR6, VAR1 , VAR5 , VAR2 ); output VAR6; output VAR1 ; input VAR5 ; input VAR2 ; supply1 VAR7; supply0 VAR3; supply1 VAR10 ; supply0 VAR4 ; VAR9 VAR8 ( .VAR6(VAR6), .VAR1(VAR1), .VAR5(VAR5), .VAR2(VAR2) ); endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_gtx_cpllpd_ovrd.v
4,125
module MODULE1 ( input VAR1, output VAR4, output VAR5 ); reg [95:0] VAR3 = 96'hFFFFFFFFFFFFFFFFFFFFFFFF; reg [127:0] VAR2 = 128'h000000000000000000000000000000FF; always @(posedge VAR1) begin VAR3 <= {VAR3[94:0], 1'b0}; VAR2 <= {VAR2[126:0], 1'b0}; end assign VAR4 = VAR3[95]; assign VAR5 = VAR2[127]; endmodule
gpl-3.0
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy/ddr3_s4_uniphy_p0_altdqdqs.v
5,751
module MODULE1 ( VAR45, VAR44, VAR42, VAR60, VAR70, VAR9, VAR71, VAR11, VAR43, VAR73, VAR1, VAR12, VAR24, VAR25, VAR8, VAR46, VAR19, VAR7, VAR5, VAR50, VAR15, VAR14, VAR20, VAR77, VAR64, VAR22, VAR68, VAR21, VAR30 ); input [6-1:0] VAR30; input VAR45; input VAR44; input VAR42; input VAR60; input VAR70; input VAR9; input VAR71; input [2-1:0] VAR11; inout [8-1:0] VAR43; input [2*8-1:0] VAR73; inout VAR1; input [2-1:0] VAR12; inout VAR24; input [2-1:0] VAR25; output [2 * 1 * 8-1:0] VAR8; output VAR46; input [2 * 2 * 8-1:0] VAR19; input [2 * 2 * 1-1:0] VAR7; output [1-1:0] VAR5; input [14-1:0] VAR50; input [14-1:0] VAR15; input VAR14; input VAR20; input VAR77; input [8-1:0] VAR64; input [1-1:0] VAR22; input VAR68; input VAR21; parameter VAR41 = ""; VAR49 VAR75 ( .VAR45( VAR45), .VAR44 (VAR44), .VAR42( VAR42), .VAR60( VAR60), .VAR70 (VAR70), .VAR9( VAR9), .VAR71( VAR71), .VAR11( VAR11), .VAR43( VAR43), .VAR73( VAR73), .VAR1( VAR1), .VAR12( VAR12), .VAR24( VAR24), .VAR25( VAR25), .VAR8( VAR8), .VAR46( VAR46), .VAR19( VAR19), .VAR7( VAR7), .VAR5( VAR5), .VAR50( VAR50), .VAR15( VAR15), .VAR14( VAR14), .VAR20( VAR20), .VAR77( VAR77), .VAR64( VAR64), .VAR22( VAR22), .VAR68( VAR68), .VAR21( VAR21), .VAR30(VAR30) ); endmodule
lgpl-3.0
xuefei1/ElectronicEngineControl
niosII_system/synthesis/submodules/niosII_system_sysid_qsys_0.v
1,415
module MODULE1 ( address, VAR2, VAR3, VAR1 ) ; output [ 31: 0] VAR1; input address; input VAR2; input VAR3; wire [ 31: 0] VAR1; assign VAR1 = address ? 1491173393 : 0; endmodule
apache-2.0
hoangt/NOCulator
hring/hw/bless_age/priority_comp.v
5,593
module MODULE1( input VAR23 VAR9, input VAR23 VAR31, input VAR23 VAR29, input VAR23 VAR54, output [1:0] VAR34, output [1:0] VAR18, output [1:0] VAR1, output [1:0] VAR57); wire VAR69 ha00, ha01, ha10, ha11, ha20; wire VAR64 VAR52, VAR17, VAR15, VAR51, VAR43; wire VAR69 VAR58, VAR50, VAR28, VAR44, VAR61; wire VAR64 VAR32, VAR63, VAR56, VAR71, VAR33; wire [1:0] VAR27, VAR35, VAR10, VAR19, VAR13; wire [1:0] VAR2, VAR14, VAR25, VAR53, VAR40; wire VAR21, VAR7, VAR4, VAR26, VAR67; wire VAR20, VAR41, VAR60, VAR11, VAR68; MODULE2 MODULE4(.VAR59(VAR9[VAR38]), .VAR36(VAR31[VAR38]), .VAR46(VAR9[VAR66]), .VAR37(VAR31[VAR66]), .VAR12(VAR9[VAR24]), .VAR6(VAR31[VAR24]), .VAR5(2'b00), .VAR62(2'b01), .VAR8(ha00), .VAR49(VAR58), .VAR39(VAR52), .VAR42(VAR32), .VAR65(VAR21), .VAR3(VAR20), .VAR48(VAR27), .VAR16(VAR2)); MODULE2 MODULE1(.VAR59(VAR29[VAR38]), .VAR36(VAR54[VAR38]), .VAR46(VAR29[VAR66]), .VAR37(VAR54[VAR66]), .VAR12(VAR29[VAR24]), .VAR6(VAR54[VAR24]), .VAR5(2'b10), .VAR62(2'b11), .VAR8(ha01), .VAR49(VAR50), .VAR39(VAR17), .VAR42(VAR63), .VAR65(VAR7), .VAR3(VAR41), .VAR48(VAR35), .VAR16(VAR14)); MODULE2 MODULE5(.VAR59(ha00), .VAR36(ha01), .VAR46(VAR52), .VAR37(VAR17), .VAR12(VAR21), .VAR6(VAR7), .VAR5(VAR27), .VAR62(VAR35), .VAR8(ha10), .VAR49(VAR28), .VAR39(VAR15), .VAR42(VAR56), .VAR65(VAR4), .VAR3(VAR60), .VAR48(VAR34), .VAR16(VAR25)); MODULE2 MODULE3(.VAR59(VAR58), .VAR36(VAR50), .VAR46(VAR32), .VAR37(VAR63), .VAR12(VAR20), .VAR6(VAR41), .VAR5(VAR2), .VAR62(VAR14), .VAR8(ha11), .VAR49(VAR44), .VAR39(VAR51), .VAR42(VAR71), .VAR65(VAR26), .VAR3(VAR11), .VAR48(VAR19), .VAR16(VAR57)); MODULE2 MODULE2(.VAR59(VAR28), .VAR36(ha11), .VAR46(VAR56), .VAR37(VAR51), .VAR12(VAR60), .VAR6(VAR26), .VAR5(VAR25), .VAR62(VAR19), .VAR8(ha20), .VAR49(VAR61), .VAR39(VAR43), .VAR42(VAR33), .VAR65(VAR67), .VAR3(VAR68), .VAR48(VAR18), .VAR16(VAR1)); endmodule module MODULE2 ( input VAR69 VAR59, input VAR69 VAR36, input VAR64 VAR46, input VAR64 VAR37, input VAR12, input VAR6, input [1:0] VAR5, input [1:0] VAR62, output VAR69 VAR8, output VAR69 VAR49, output VAR64 VAR39, output VAR64 VAR42, output VAR65, output VAR3, output [1:0] VAR48, output [1:0] VAR16); wire VAR55; assign VAR55 = (VAR12 && ~VAR6) ? 1'b0 : (~VAR12 && VAR6) ? 1'b1 : (~VAR12 && ~VAR6) ? 1'b1 : (VAR59 > VAR36) ? 1'b0 : (VAR59 < VAR36) ? 1'b1 : (VAR46 > VAR37) ? 1'b0 : (VAR46 < VAR37) ? 1'b1 : 1'b1; assign VAR48 = (VAR55 == 1'b1) ? VAR62 : VAR5; assign VAR16 = (VAR55 == 1'b1) ? VAR5 : VAR62; assign VAR65 = (VAR55 == 1'b1) ? VAR6 : VAR12; assign VAR3 = (VAR55 == 1'b1) ? VAR12: VAR6; assign VAR8 = (VAR55 == 1'b1) ? VAR36 : VAR59; assign VAR49 = (VAR55 == 1'b1) ? VAR59 : VAR36; assign VAR39 = (VAR55 == 1'b1) ? VAR37 : VAR46; assign VAR42 = (VAR55 == 1'b1) ? VAR46 : VAR37; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sdfxtp/sky130_fd_sc_ls__sdfxtp.behavioral.v
2,340
module MODULE1 ( VAR17 , VAR13, VAR16 , VAR1, VAR21 ); output VAR17 ; input VAR13; input VAR16 ; input VAR1; input VAR21; supply1 VAR10; supply0 VAR24; supply1 VAR12 ; supply0 VAR2 ; wire VAR6 ; wire VAR25 ; reg VAR9 ; wire VAR14 ; wire VAR22; wire VAR5; wire VAR18; wire VAR15 ; wire VAR23 ; wire VAR11 ; wire VAR19 ; VAR4 VAR7 (VAR25, VAR14, VAR22, VAR5 ); VAR8 VAR3 (VAR6 , VAR25, VAR18, VAR9, VAR10, VAR24); assign VAR15 = ( VAR10 === 1'b1 ); assign VAR23 = ( ( VAR5 === 1'b0 ) && VAR15 ); assign VAR11 = ( ( VAR5 === 1'b1 ) && VAR15 ); assign VAR19 = ( ( VAR14 !== VAR22 ) && VAR15 ); buf VAR20 (VAR17 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dfrtn/sky130_fd_sc_hs__dfrtn.behavioral.pp.v
2,332
module MODULE1 ( VAR5 , VAR12 , VAR7 , VAR21 , VAR18 , VAR8 ); input VAR5 ; input VAR12 ; output VAR7 ; input VAR21 ; input VAR18 ; input VAR8; wire VAR14 ; wire VAR11 ; wire VAR3 ; reg VAR6 ; wire VAR15 ; wire VAR13; wire VAR1 ; wire VAR19 ; wire VAR4 ; wire VAR17 ; not VAR20 (VAR11 , VAR13 ); not VAR10 (VAR3, VAR1 ); VAR16 VAR2 (VAR14 , VAR15, VAR3, VAR11, VAR6, VAR5, VAR12); assign VAR19 = ( VAR5 === 1'b1 ); assign VAR4 = ( VAR19 && ( VAR13 === 1'b1 ) ); assign VAR17 = ( VAR19 && ( VAR8 === 1'b1 ) ); buf VAR9 (VAR7 , VAR14 ); endmodule
apache-2.0
chriswynnyk/american-put-verilog
american_put_cyclone/src/amer_put.v
3,625
module MODULE1( VAR48, VAR38, VAR3, VAR32, VAR57, VAR62, VAR35, VAR49, VAR11, VAR20, VAR58 ); input VAR48; input VAR38; input VAR3; input VAR32; input VAR57; input [63:0] VAR62; input [63:0] VAR35; input [63:0] VAR49; input [63:0] VAR11; input [63:0] VAR20; output [63:0] VAR58; wire [63:0] VAR8; wire [63:0] VAR66; wire [63:0] VAR52; wire [63:0] VAR5; wire [63:0] VAR42; wire [63:0] VAR18; wire [63:0] VAR1; wire [63:0] VAR16; wire [63:0] VAR21; wire [63:0] VAR40; wire [63:0] VAR19; wire [63:0] VAR23; wire [63:0] VAR53; wire [63:0] VAR7; wire [63:0] VAR51; wire [63:0] VAR31; wire [63:0] VAR37; wire [63:0] VAR36; wire [63:0] VAR65; wire [63:0] VAR47; wire [9:0] VAR54; wire [9:0] VAR55; wire [12:0] VAR26; wire VAR27; wire VAR14; assign VAR58 = VAR8; VAR39 VAR61( .clk(VAR48), .VAR3(VAR3), .VAR46(VAR67), .VAR2(VAR57), .VAR29(16'd4000), .VAR55(VAR55), .VAR14(VAR14), .VAR54(VAR54), .VAR26(VAR26) ); VAR33 VAR68( .clk(VAR48), .VAR3(VAR3), .VAR14(VAR14), .VAR54(VAR54), .VAR55(VAR55), .VAR50(VAR32), .VAR27(VAR27), .VAR24(VAR37), .VAR30(VAR36), .VAR43(VAR65), .VAR13(VAR47), .VAR64(VAR8), .VAR4(VAR66), .VAR59(VAR52), .VAR41(VAR5) ); VAR63 VAR10( .clk(VAR48), .VAR24(VAR8), .VAR30(VAR66), .VAR43(VAR52), .VAR13(VAR5), .VAR42(VAR42), .VAR18(VAR18), .VAR1(VAR1), .VAR16(VAR16), .VAR21(VAR21), .VAR40(VAR40), .VAR19(VAR19), .VAR23(VAR23) ); VAR25 VAR45( .clk(VAR48), .VAR3(VAR3), .VAR62(VAR62), .VAR35(VAR35), .VAR22(VAR42), .VAR6(VAR21), .VAR9(VAR53), .VAR58(VAR37) ); VAR25 VAR56( .clk(VAR48), .VAR3(VAR3), .VAR62(VAR62), .VAR35(VAR35), .VAR22(VAR18), .VAR6(VAR40), .VAR9(VAR7), .VAR58(VAR36) ); VAR25 VAR28( .clk(VAR48), .VAR3(VAR3), .VAR62(VAR62), .VAR35(VAR35), .VAR22(VAR1), .VAR6(VAR19), .VAR9(VAR51), .VAR58(VAR65) ); VAR25 VAR44( .clk(VAR48), .VAR3(VAR3), .VAR62(VAR62), .VAR35(VAR35), .VAR22(VAR16), .VAR6(VAR23), .VAR9(VAR31), .VAR58(VAR47) ); VAR9 VAR12( .VAR38(VAR38), .VAR48(VAR48), .VAR3(VAR3), .VAR32(VAR32), .VAR57(VAR67), .VAR49(VAR49), .VAR11(VAR11), .VAR20(VAR20), .VAR17(VAR53), .VAR15(VAR7), .VAR34(VAR51), .VAR60(VAR31), .VAR67(VAR67), .VAR26(VAR26) ); endmodule
apache-2.0