repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/conb/sky130_fd_sc_hdll__conb.behavioral.v
1,287
module MODULE1 ( VAR6, VAR8 ); output VAR6; output VAR8; supply1 VAR3; supply0 VAR2; supply1 VAR5 ; supply0 VAR7 ; pullup VAR4 (VAR6 ); pulldown VAR1 (VAR8 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/or4/gf180mcu_fd_sc_mcu7t5v0__or4_2.behavioral.v
1,317
module MODULE1( VAR2, VAR4, VAR5, VAR3, VAR7 ); input VAR2, VAR4, VAR5, VAR3; output VAR7; VAR1 VAR6(.VAR2(VAR2),.VAR4(VAR4),.VAR5(VAR5),.VAR3(VAR3),.VAR7(VAR7)); VAR1 VAR8(.VAR2(VAR2),.VAR4(VAR4),.VAR5(VAR5),.VAR3(VAR3),.VAR7(VAR7));
apache-2.0
queq/just-stuff
pov/TopMobile/LEDS/select_efect.v
1,764
module MODULE1( input [6:0]VAR4, output reg VAR5, output reg VAR7, output reg VAR1, output reg VAR2, output reg VAR6, output reg VAR3 ); always @(VAR4) begin case(VAR4) 7'd48: begin VAR5 =1'b1; VAR7 =1'b0; VAR1 =1'b0; VAR2 =1'b0; VAR6 =1'b0; VAR3 =1'b0; end 7'd49: begin VAR5 =1'b0; VAR7 =1'b1; VAR1 =1'b0; VAR2 =1'b0; VAR6 =1'b0; VAR3 =1'b0; end 7'd50: begin VAR5 =1'b0; VAR7 =1'b0; VAR1 =1'b1; VAR2 =1'b0; VAR6 =1'b0; VAR3 =1'b0; end 7'd51: begin VAR5 =1'b0; VAR7 =1'b0; VAR1 =1'b0; VAR2 =1'b1; VAR6 =1'b0; VAR3 =1'b0; end 7'd52: begin VAR5 =1'b0; VAR7 =1'b0; VAR1 =1'b0; VAR2 =1'b0; VAR6 =1'b1; VAR3 =1'b0; end 7'd53: begin VAR5 =1'b0; VAR7 =1'b0; VAR1 =1'b0; VAR2 =1'b0; VAR6 =1'b0; VAR3 =1'b1; end default: begin VAR5 =1'b1; VAR7 =1'b0; VAR1 =1'b0; VAR2 =1'b0; VAR6 =1'b0; VAR3 =1'b0; end endcase end endmodule
mit
miguelgarcia/sase2017-hls-video
hdmi_in/repo/sase/hdl/verilog/my_video_filter_mul_16ns_32ns_48_3.v
1,429
module MODULE2(clk, VAR14, VAR7, VAR10, VAR15); input clk; input VAR14; input[16 - 1 : 0] VAR7; input[32 - 1 : 0] VAR10; output[48 - 1 : 0] VAR15; reg [16 - 1 : 0] VAR2; reg [32 - 1 : 0] VAR3; wire [48 - 1 : 0] VAR6; reg [48 - 1 : 0] VAR8; assign VAR15 = VAR8; assign VAR6 = VAR2 * VAR3; always @ (posedge clk) begin if (VAR14) begin VAR2 <= VAR7; VAR3 <= VAR10; VAR8 <= VAR6; end end endmodule module MODULE1( clk, reset, VAR14, VAR5, VAR12, dout); parameter VAR9 = 32'd1; parameter VAR16 = 32'd1; parameter VAR13 = 32'd1; parameter VAR1 = 32'd1; parameter VAR4 = 32'd1; input clk; input reset; input VAR14; input[VAR13 - 1:0] VAR5; input[VAR1 - 1:0] VAR12; output[VAR4 - 1:0] dout; MODULE2 VAR11( .clk( clk ), .VAR14( VAR14 ), .VAR7( VAR5 ), .VAR10( VAR12 ), .VAR15( dout )); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/nor3/sky130_fd_sc_hvl__nor3.functional.v
1,313
module MODULE1 ( VAR7, VAR4, VAR2, VAR3 ); output VAR7; input VAR4; input VAR2; input VAR3; wire VAR6; nor VAR5 (VAR6, VAR3, VAR4, VAR2 ); buf VAR1 (VAR7 , VAR6 ); endmodule
apache-2.0
theapi/nand2tetris_fpga
hack/rtl/verilog/memory.v
2,899
module MODULE1 ( input clk, input [15:0] in, input [14:0] address, input [12:0] VAR2, input VAR12, input [7:0] VAR6, output [15:0] out, output [15:0] VAR13, output ready ); reg [31:0] VAR15 = 32'b0; reg VAR7 = 1'b0; assign ready = VAR7; reg VAR21; wire VAR18; reg [12:0] VAR19 = 13'b0; wire [12:0] VAR23; assign VAR23 = VAR19; reg [14:0] VAR16; reg [15:0] VAR4; assign VAR18 = VAR21; reg [15:0] VAR20 = 16'b0; assign out = VAR20; reg [15:0] VAR9; reg[15:0] VAR3; wire [13:0] VAR22, VAR8; wire [15:0] VAR17; reg VAR14; VAR1 VAR1( .VAR24(VAR17), .VAR5(in), .VAR22(address[13:0]), .VAR8(address[13:0]), .VAR11(VAR14), .clk(clk) ); VAR10 VAR10( .VAR24(VAR13), .VAR5(in), .VAR22(address[12:0]), .VAR8(VAR2), .VAR11(VAR18), .clk(clk) ); always @(posedge clk) begin if (VAR15 == 32'd25000000) begin VAR7 <= 1'b1; end else begin VAR15 <= VAR15 + 32'b1; end end always @(posedge clk) begin if (address == 15'd24576) begin VAR20 <= VAR6; end if (address < 15'd16384) begin VAR20 <= VAR17; end end always @ (posedge clk) begin VAR14 = 1'b0; if (VAR12) begin if (address < 15'd16384) begin VAR14 = 1'b1; end end end always @ (posedge clk) begin if (VAR12) begin if (address >= 15'd16384) begin VAR21 <= 1'b1; VAR19 <= address - 15'd16384; end else begin VAR21 <= 1'b0; end end else begin VAR21 <= 1'b0; end end endmodule
mit
SeanZarzycki/openSPARC-FPU
project/src/fpu_out.v
6,783
module MODULE1 ( VAR24, VAR45, VAR21, VAR14, VAR31, VAR26, VAR10, VAR13, VAR8, VAR9, VAR17, VAR30, VAR5, VAR4, VAR44, VAR35, VAR23, VAR43, VAR33, VAR29, VAR20, VAR42, VAR22, VAR6, VAR15, VAR2, VAR25, VAR16, VAR41, VAR38, VAR40, VAR1, VAR18, VAR19, VAR37, VAR34, VAR36, VAR32, VAR39, VAR28 ); input VAR24; input VAR45; input VAR21; input [9:0] VAR14; input [9:0] VAR31; input [9:0] VAR26; input [4:0] VAR10; input VAR13; input VAR8; input VAR9; input [10:0] VAR17; input [51:0] VAR30; input [4:0] VAR5; input VAR4; input VAR44; input VAR35; input [10:0] VAR23; input [51:0] VAR43; input [4:0] VAR33; input VAR29; input [1:0] VAR20; input [1:0] VAR42; input VAR22; input VAR6; input VAR15; input VAR2; input VAR25; input [10:0] VAR16; input [63:0] VAR41; input VAR38; input VAR40; input VAR1; output [7:0] VAR18; output VAR19; output VAR37; output VAR34; output [144:0] VAR36; input VAR32; input VAR39; output VAR28; wire [7:0] VAR18; wire [1:0] VAR11; wire [2:0] VAR27; wire VAR19; wire VAR37; wire VAR34; wire [144:0] VAR36; VAR12 VAR12 ( .VAR24 (VAR24), .VAR45 (VAR45), .VAR21 (VAR21), .VAR14 (VAR14[9:0]), .VAR31 (VAR31[9:0]), .VAR26 (VAR26[9:0]), .VAR38 (VAR38), .VAR40 (VAR40), .VAR1 (VAR1), .VAR18 (VAR18[7:0]), .VAR11 (VAR11[1:0]), .VAR27 (VAR27[2:0]), .VAR19 (VAR19), .VAR37 (VAR37), .VAR34 (VAR34), .VAR32 (VAR32), .VAR39 (VAR39), .VAR28 (VAR7) ); VAR3 VAR3 ( .VAR27 (VAR27[2:0]), .VAR11 (VAR11[1:0]), .VAR10 (VAR10[4:0]), .VAR13 (VAR13), .VAR8 (VAR8), .VAR9 (VAR9), .VAR17 (VAR17[10:0]), .VAR30 (VAR30[51:0]), .VAR5 (VAR5[4:0]), .VAR4 (VAR4), .VAR44 (VAR44), .VAR35 (VAR35), .VAR23 (VAR23[10:0]), .VAR43 (VAR43[51:0]), .VAR33 (VAR33[4:0]), .VAR29 (VAR29), .VAR20 (VAR20[1:0]), .VAR42 (VAR42[1:0]), .VAR22 (VAR22), .VAR6 (VAR6), .VAR15 (VAR15), .VAR2 (VAR2), .VAR25 (VAR25), .VAR16 (VAR16[10:0]), .VAR41 (VAR41[63:0]), .VAR1 (VAR1), .VAR36 (VAR36[144:0]), .VAR32 (VAR32), .VAR39 (VAR7), .VAR28 (VAR28) ); endmodule
gpl-3.0
Jawanga/ece385final
usb_system/synthesis/submodules/altera_avalon_st_handshake_clock_crosser.v
7,758
module MODULE1 parameter VAR35 = 8, VAR38 = 8, VAR25 = 0, VAR9 = 0, VAR12 = 1, VAR20 = 0, VAR26 = 1, VAR21 = 2, VAR31 = 2, VAR33 = 1, VAR30 = VAR35 / VAR38, VAR15 = VAR8(VAR30) ) ( input VAR4, input VAR10, input VAR41, input VAR5, output VAR36, input VAR32, input [VAR35 - 1 : 0] VAR18, input [VAR12 - 1 : 0] VAR27, input [VAR26 - 1 : 0] VAR3, input VAR13, input VAR45, input [(VAR15 ? (VAR15 - 1) : 0) : 0] VAR1, input VAR37, output VAR14, output [VAR35 - 1 : 0] VAR7, output [VAR12 - 1 : 0] VAR40, output [VAR26 - 1 : 0] VAR19, output VAR28, output VAR34, output [(VAR15 ? (VAR15 - 1) : 0) : 0] VAR29 ); localparam VAR17 = (VAR25) ? 2 + VAR15 : 0; localparam VAR44 = (VAR9) ? VAR12 : 0; localparam VAR43 = (VAR20) ? VAR26 : 0; localparam VAR22 = VAR35 + VAR17 + VAR44 + VAR15 + VAR43; wire [VAR22 - 1: 0] VAR24; wire [VAR22 - 1: 0] VAR42; assign VAR24[VAR35 - 1 : 0] = VAR18; generate if (VAR17) begin assign VAR24[ VAR35 + VAR17 - 1 : VAR35 ] = {VAR13, VAR45}; end if (VAR9) begin assign VAR24[ VAR35 + VAR17 + VAR44 - 1 : VAR35 + VAR17 ] = VAR27; end if (VAR15) begin assign VAR24[ VAR35 + VAR17 + VAR44 + VAR15 - 1 : VAR35 + VAR17 + VAR44 ] = VAR1; end if (VAR20) begin assign VAR24[ VAR35 + VAR17 + VAR44 + VAR15 + VAR43 - 1 : VAR35 + VAR17 + VAR44 + VAR15 ] = VAR3; end endgenerate VAR2 .VAR30 (1), .VAR38 (VAR22), .VAR11 (VAR21), .VAR39 (VAR31), .VAR33 (VAR33) ) VAR16 ( .VAR4 (VAR4 ), .VAR10 (VAR10 ), .VAR36 (VAR36 ), .VAR32 (VAR32 ), .VAR18 (VAR24 ), .VAR41 (VAR41 ), .VAR5 (VAR5 ), .VAR37 (VAR37 ), .VAR14 (VAR14 ), .VAR7 (VAR42 ) ); assign VAR7 = VAR42[VAR35 - 1 : 0]; generate if (VAR25) begin assign {VAR28, VAR34} = VAR42[VAR35 + VAR17 - 1 : VAR35]; end else begin assign {VAR28, VAR34} = 2'b0; end if (VAR9) begin assign VAR40 = VAR42[ VAR35 + VAR17 + VAR44 - 1 : VAR35 + VAR17 ]; end else begin assign VAR40 = 1'b0; end if (VAR15) begin assign VAR29 = VAR42[ VAR35 + VAR17 + VAR44 + VAR15 - 1 : VAR35 + VAR17 + VAR44 ]; end else begin assign VAR29 = 1'b0; end if (VAR20) begin assign VAR19 = VAR42[ VAR35 + VAR17 + VAR44 + VAR15 + VAR43 - 1 : VAR35 + VAR17 + VAR44 + VAR15 ]; end else begin assign VAR19 = 1'b0; end endgenerate function integer VAR8; input integer VAR23; integer VAR6; begin VAR6 = 1; VAR8 = 0; while (VAR6 < VAR23) begin VAR8 = VAR8 + 1; VAR6 = VAR6 << 1; end end endfunction endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a31oi/sky130_fd_sc_lp__a31oi_2.v
2,350
module MODULE2 ( VAR9 , VAR3 , VAR2 , VAR6 , VAR11 , VAR10, VAR7, VAR5 , VAR8 ); output VAR9 ; input VAR3 ; input VAR2 ; input VAR6 ; input VAR11 ; input VAR10; input VAR7; input VAR5 ; input VAR8 ; VAR1 VAR4 ( .VAR9(VAR9), .VAR3(VAR3), .VAR2(VAR2), .VAR6(VAR6), .VAR11(VAR11), .VAR10(VAR10), .VAR7(VAR7), .VAR5(VAR5), .VAR8(VAR8) ); endmodule module MODULE2 ( VAR9 , VAR3, VAR2, VAR6, VAR11 ); output VAR9 ; input VAR3; input VAR2; input VAR6; input VAR11; supply1 VAR10; supply0 VAR7; supply1 VAR5 ; supply0 VAR8 ; VAR1 VAR4 ( .VAR9(VAR9), .VAR3(VAR3), .VAR2(VAR2), .VAR6(VAR6), .VAR11(VAR11) ); endmodule
apache-2.0
alexforencich/hdg2000
fpga/lib/axis/rtl/axis_arb_mux_64_4.v
5,813
module MODULE1 # ( parameter VAR6 = 64, parameter VAR20 = (VAR6/8), parameter VAR26 = "VAR38", parameter VAR28 = "VAR12" ) ( input wire clk, input wire rst, input wire [VAR6-1:0] VAR17, input wire [VAR20-1:0] VAR36, input wire VAR19, output wire VAR1, input wire VAR41, input wire VAR35, input wire [VAR6-1:0] VAR37, input wire [VAR20-1:0] VAR9, input wire VAR32, output wire VAR27, input wire VAR24, input wire VAR14, input wire [VAR6-1:0] VAR11, input wire [VAR20-1:0] VAR46, input wire VAR34, output wire VAR4, input wire VAR7, input wire VAR47, input wire [VAR6-1:0] VAR13, input wire [VAR20-1:0] VAR25, input wire VAR45, output wire VAR44, input wire VAR29, input wire VAR21, output wire [VAR6-1:0] VAR18, output wire [VAR20-1:0] VAR39, output wire VAR5, input wire VAR43, output wire VAR31, output wire VAR23 ); wire [3:0] request; wire [3:0] acknowledge; wire [3:0] VAR40; wire VAR30; wire [1:0] VAR8; assign acknowledge[0] = VAR19 & VAR1 & VAR41; assign request[0] = VAR19 & ~acknowledge[0]; assign acknowledge[1] = VAR32 & VAR27 & VAR24; assign request[1] = VAR32 & ~acknowledge[1]; assign acknowledge[2] = VAR34 & VAR4 & VAR7; assign request[2] = VAR34 & ~acknowledge[2]; assign acknowledge[3] = VAR45 & VAR44 & VAR29; assign request[3] = VAR45 & ~acknowledge[3]; VAR42 #( .VAR6(VAR6) ) VAR2 ( .clk(clk), .rst(rst), .VAR17(VAR17), .VAR36(VAR36), .VAR19(VAR19 & VAR40[0]), .VAR1(VAR1), .VAR41(VAR41), .VAR35(VAR35), .VAR37(VAR37), .VAR9(VAR9), .VAR32(VAR32 & VAR40[1]), .VAR27(VAR27), .VAR24(VAR24), .VAR14(VAR14), .VAR11(VAR11), .VAR46(VAR46), .VAR34(VAR34 & VAR40[2]), .VAR4(VAR4), .VAR7(VAR7), .VAR47(VAR47), .VAR13(VAR13), .VAR25(VAR25), .VAR45(VAR45 & VAR40[3]), .VAR44(VAR44), .VAR29(VAR29), .VAR21(VAR21), .VAR18(VAR18), .VAR39(VAR39), .VAR5(VAR5), .VAR43(VAR43), .VAR31(VAR31), .VAR23(VAR23), .enable(VAR30), .select(VAR8) ); VAR33 #( .VAR3(4), .VAR22(VAR26), .VAR16("VAR15"), .VAR28(VAR28) ) VAR10 ( .clk(clk), .rst(rst), .request(request), .acknowledge(acknowledge), .VAR40(VAR40), .VAR30(VAR30), .VAR8(VAR8) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
models/udp_dff_p/sky130_fd_sc_ls__udp_dff_p.symbol.v
1,252
module MODULE1 ( input VAR3 , output VAR2 , input VAR1 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o31a/sky130_fd_sc_ms__o31a_2.v
2,322
module MODULE2 ( VAR3 , VAR9 , VAR2 , VAR1 , VAR5 , VAR4, VAR8, VAR11 , VAR6 ); output VAR3 ; input VAR9 ; input VAR2 ; input VAR1 ; input VAR5 ; input VAR4; input VAR8; input VAR11 ; input VAR6 ; VAR10 VAR7 ( .VAR3(VAR3), .VAR9(VAR9), .VAR2(VAR2), .VAR1(VAR1), .VAR5(VAR5), .VAR4(VAR4), .VAR8(VAR8), .VAR11(VAR11), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR3 , VAR9, VAR2, VAR1, VAR5 ); output VAR3 ; input VAR9; input VAR2; input VAR1; input VAR5; supply1 VAR4; supply0 VAR8; supply1 VAR11 ; supply0 VAR6 ; VAR10 VAR7 ( .VAR3(VAR3), .VAR9(VAR9), .VAR2(VAR2), .VAR1(VAR1), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfxtp/sky130_fd_sc_hs__sdfxtp_4.v
2,218
module MODULE1 ( VAR2 , VAR9 , VAR4 , VAR1 , VAR3 , VAR7, VAR5 ); input VAR2 ; input VAR9 ; output VAR4 ; input VAR1 ; input VAR3 ; input VAR7; input VAR5; VAR8 VAR6 ( .VAR2(VAR2), .VAR9(VAR9), .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3), .VAR7(VAR7), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR2, VAR9 , VAR4 , VAR1, VAR3 ); input VAR2; input VAR9 ; output VAR4 ; input VAR1; input VAR3; supply1 VAR7; supply0 VAR5; VAR8 VAR6 ( .VAR2(VAR2), .VAR9(VAR9), .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o211a/sky130_fd_sc_lp__o211a.pp.symbol.v
1,372
module MODULE1 ( input VAR5 , input VAR2 , input VAR7 , input VAR4 , output VAR9 , input VAR1 , input VAR6, input VAR3, input VAR8 ); endmodule
apache-2.0
sukinull/vivado_zed_pieces
axigpio_w_linux_uio/project_uio/project_uio.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_addr_decoder.v
11,495
module MODULE1 # ( parameter VAR33 = "none", parameter integer VAR27 = 2, parameter integer VAR40 = 1, parameter integer VAR38 = 1, parameter integer VAR18 = 32, parameter integer VAR16 = 0, parameter integer VAR31 = 1, parameter integer VAR4 = 0, parameter [VAR27*VAR38*64-1:0] VAR35 = {VAR27*VAR38*64{1'b1}}, parameter [VAR27*VAR38*64-1:0] VAR54 = {VAR27*VAR38*64{1'b0}}, parameter [VAR27:0] VAR52 = {VAR27{1'b1}}, parameter integer VAR51 = 0, parameter integer VAR9 = 6 ) ( input wire [VAR18-1:0] VAR20, output wire [VAR27-1:0] VAR10, output wire [VAR40-1:0] VAR3, output wire VAR26, output wire [3:0] VAR39 ); genvar VAR34; genvar VAR17; function VAR5; input [VAR18-1:0] VAR42, VAR45, addr; reg [VAR18-VAR51-1:0] VAR53; reg [VAR18-VAR51-1:0] VAR6; reg [VAR18-VAR51-1:0] VAR1; reg [VAR18-VAR51-1:0] VAR14; begin VAR6 = addr[VAR51+:VAR18-VAR51]; VAR1 = VAR42[VAR51+:VAR18-VAR51]; VAR14 = VAR45[VAR51+:VAR18-VAR51]; if (VAR42[VAR18-1] & ~VAR45[VAR18-1]) begin VAR5 = 1'b0; end else begin VAR53 = VAR1 ^ VAR14; if ( (VAR1 & ~VAR53) == (VAR6 & ~VAR53) ) begin VAR5 = 1'b1; end else begin VAR5 = 1'b0; end end end endfunction function [3:0] VAR13 ( input [15:0] VAR12 ); begin VAR13[0] = |(VAR12 & 16'b1010101010101010); VAR13[1] = |(VAR12 & 16'b1100110011001100); VAR13[2] = |(VAR12 & 16'b1111000011110000); VAR13[3] = |(VAR12 & 16'b1111111100000000); end endfunction wire [VAR27-1:0] VAR8; wire [VAR27*VAR38-1:0] VAR44; wire [VAR27*VAR38-1:0] VAR36; wire [VAR38-1:0] VAR30; wire [3:0] VAR48; generate for (VAR34 = 0; VAR34 < VAR27; VAR34 = VAR34 + 1) begin : VAR50 for (VAR17 = 0; VAR17 < VAR38; VAR17 = VAR17 + 1) begin : VAR43 if ((VAR18 - VAR51) > VAR9) begin : VAR24 if (VAR52[VAR34] && ((VAR35[(VAR34*VAR38+VAR17)*64 +: VAR18] == 0) || (VAR54[(VAR34*VAR38+VAR17)*64 +: VAR18] != 0))) begin : VAR15 VAR21 # ( .VAR33("VAR41"), .VAR25(VAR35[(VAR34*VAR38+VAR17)*64+VAR51 +: VAR18-VAR51]), .VAR49(VAR18-VAR51) ) VAR2 ( .VAR47(1'b1), .VAR22(VAR20[VAR51 +: VAR18-VAR51] & ~(VAR35[(VAR34*VAR38+VAR17)*64+VAR51 +: VAR18-VAR51] ^ VAR54[(VAR34*VAR38+VAR17)*64+VAR51 +: VAR18-VAR51])), .VAR23(VAR44[VAR34*VAR38 + VAR17]) ); end else begin : VAR37 assign VAR44[VAR34*VAR38 + VAR17] = 1'b0; end end else begin : VAR11 assign VAR44[VAR34*VAR38 + VAR17] = VAR52[VAR34] ? VAR5( VAR35[(VAR34*VAR38+VAR17)*64 +: VAR18], VAR54[(VAR34*VAR38+VAR17)*64 +: VAR18], VAR20) : 1'b0; end assign VAR36[VAR17*VAR27+VAR34] = VAR44[VAR34*VAR38 + VAR17]; assign VAR30[VAR17] = | VAR36[VAR17*VAR27 +: VAR27]; end assign VAR8[VAR34] = | VAR44[VAR34*VAR38 +: VAR38]; end endgenerate assign VAR26 = | VAR8; generate if (VAR31 == 1) begin : VAR46 assign VAR10 = VAR26 ? VAR8 : 1; end else begin : VAR7 assign VAR10 = {VAR27{1'b0}}; end endgenerate generate if (VAR16 == 1) begin : VAR32 assign VAR48 = VAR13(VAR8); assign VAR3 = VAR48[VAR40-1:0]; end else begin : VAR28 assign VAR3 = {VAR40{1'b0}}; end endgenerate generate if (VAR16 == 1) begin : VAR19 assign VAR39 = VAR13(VAR30); end else begin : VAR29 assign VAR39 = 4'b0; end endgenerate endmodule
gpl-3.0
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/Source/ultrasonicReceiver.v
8,953
module MODULE1 # ( parameter VAR4 = 8, parameter VAR3 = 12'h7FF, parameter VAR88 = 3'b000)( input VAR66, input VAR101, VAR79, input VAR86, output VAR80, output VAR67, output VAR78, input VAR58, input VAR64, input VAR46, input VAR106, input VAR9, input VAR23, input VAR12, input [15:0] VAR6, input [23:0] VAR68, input VAR119, output VAR92, output [109:0] VAR81, VAR71, VAR33, output [13:0] VAR37, output VAR43, output VAR96, output [15:0] VAR129, output [12:0] VAR121 ); wire [13:0] VAR25; VAR49 VAR90 ( .VAR82 ( VAR66 ), .VAR105 ( VAR101 | VAR106 | VAR46 | VAR58 ), .VAR126 ( VAR14 ), .VAR118 ( VAR25 ) ); wire [15:0] VAR45 = {4'b0001, 1'b1, 2'b0, 2'b00, 7'b1000000}; localparam VAR34 = VAR4 - 1; reg [VAR34:0] VAR108; always @(posedge VAR66) VAR108 <= ~VAR101 & VAR9 ? VAR108 + 1 : 0; wire VAR30 = ~VAR108[VAR34] & VAR9 & ~VAR101; wire [15:0] VAR103; wire VAR124; VAR89 #(.VAR107 (16)) VAR117( .VAR66 ( VAR66 ), .VAR98 ( VAR30 ), .VAR13 ( VAR45 ), .VAR122 ( VAR86 ), .VAR74 ( VAR80 ), .VAR51 ( VAR78 ), .VAR59 ( VAR67 ), .VAR48 ( VAR124 ), .VAR41 ( VAR103 ) ); reg VAR14, VAR24; wire VAR47; assign VAR47 = (VAR124 & VAR9) | (VAR12 & ~VAR9); always @(posedge VAR66) begin VAR24 <= VAR47; VAR14 <= ~VAR24 & VAR47 & ~VAR101; end wire [12:0] VAR76; assign VAR76 = VAR103[11:0] - VAR3; wire [12:0] VAR1; assign VAR1 = VAR6[11:0] - VAR3; reg [15:0] VAR87; always @(posedge VAR66) begin if (VAR101) begin VAR87 <= 16'b0; end else begin case (VAR9) 1'b1: begin if (VAR103[15:12] == 4'b0) VAR87 <= VAR23 ? VAR76 : VAR103; end 1'b0: begin VAR87 <= VAR23 ? VAR1 : VAR6; end endcase end end VAR22 VAR99 ( .VAR82 ( VAR66 ), .VAR15 ( VAR101 | VAR46 ), VAR50 VAR91 .VAR94 ( VAR85 ), .VAR94 ( VAR75 ), .VAR102 ( VAR14 ), .VAR44 ( VAR87 ), .VAR28 ( VAR43 ), .VAR97 ( VAR96 ), .VAR118 ( VAR129 ) ); assign VAR121[12:0] = VAR129[12:0]; always @(posedge VAR66) begin VAR116 <= VAR72 ? VAR42 : VAR116; end localparam VAR123 = 7'd65; wire VAR75; assign VAR75 = ~VAR43 & ~VAR101 ; wire [29:0] VAR42; wire VAR72; VAR32 VAR19( .clk ( VAR66 ), .VAR52 ( VAR79 ), .VAR132 ( {VAR88, VAR121} ), .VAR84 ( VAR75 ), .VAR111 ( 2'b00 ), .VAR17 ( VAR42 ), .VAR109 ( VAR72 ), .VAR53 ( ) ); wire VAR57, VAR77; wire [29:0] VAR55; VAR35 VAR54 ( .VAR82 ( VAR66 ), .VAR15 ( VAR101 | VAR46 ), .VAR94 ( VAR127 ), .VAR102 ( VAR72 ), .VAR44 ( VAR42 ), .VAR28 ( VAR57 ), .VAR97 ( VAR77 ), .VAR118 ( VAR55 ) ); wire [25:0] VAR69; VAR114 VAR70 ( .VAR10 ( VAR121 ), .VAR18 ( VAR69 ) ); wire [25:0] VAR7; VAR128 VAR60 ( .VAR56 ( 1'b1 ), .VAR10 ( VAR69 ), .VAR130 ( VAR40 ), .VAR18 ( VAR7 ) ); wire [12:0] VAR29; VAR104 VAR93 ( .VAR65 ( VAR75 ), .VAR82 ( VAR66 ), .VAR120 ( VAR121 ), .VAR2 ( VAR29 ), .VAR110 ( ) ); wire [25:0] VAR26; VAR114 VAR112 ( .VAR10 ( VAR29 ), .VAR18 ( VAR26 ) ); wire [25:0] VAR61; VAR128 VAR83 ( .VAR56 ( 1'b0 ), .VAR10 ( VAR7 ), .VAR130 ( VAR26 ), .VAR18 ( VAR61 ) ); reg [25:0] VAR40; always @(negedge VAR66) begin if (VAR101 | VAR46) VAR40 <= 0; end else VAR40 <= VAR75 ? VAR61 : VAR40; end wire [25:0] VAR5; wire VAR113, VAR8; VAR100 VAR27 ( .VAR82 ( VAR66 ), .VAR15 ( VAR101 | VAR46 ), .VAR94 ( VAR127 ), .VAR102 ( VAR75 ), .VAR44 ( VAR40 ), .VAR28 ( VAR113 ), .VAR97 ( VAR8 ), .VAR118 ( VAR5 ) ); wire VAR127; assign VAR127 = ~VAR57 & ~VAR113; wire [59:0] VAR95; wire [49:0] VAR39; wire VAR62; VAR63 VAR115 ( .VAR66 ( VAR66 ), .VAR101 ( VAR101 | VAR46 ), .VAR38 ( VAR25 ), .VAR11 ( VAR68 ), .VAR36 ( VAR55 ), .VAR40 ( VAR5 ), .VAR95 ( VAR95 ), .VAR39 ( VAR39 ), .VAR62 ( VAR62 ) ); VAR133 #( .VAR110(65)) VAR131 ( .VAR66 ( VAR66 ), .VAR101 ( VAR101 | VAR46 | VAR58 ), .VAR9 ( VAR9 ), .VAR73 ( VAR127 ), .VAR62 ( VAR62 ), .VAR95 ( VAR95 ), .VAR39 ( VAR39 ), .VAR25 ( VAR25 ), .VAR64 ( VAR64 ), .VAR119 ( VAR119 ), .VAR125 ( VAR92 ), .VAR21 ( VAR81 ), .VAR31 ( VAR71 ), .VAR20 ( VAR33 ), .VAR16 ( VAR37 ) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sedfxbp/sky130_fd_sc_hd__sedfxbp.pp.blackbox.v
1,455
module MODULE1 ( VAR1 , VAR11 , VAR2 , VAR3 , VAR7 , VAR9 , VAR5 , VAR4, VAR8, VAR10 , VAR6 ); output VAR1 ; output VAR11 ; input VAR2 ; input VAR3 ; input VAR7 ; input VAR9 ; input VAR5 ; input VAR4; input VAR8; input VAR10 ; input VAR6 ; endmodule
apache-2.0
dawsonjon/fpu
adder/file_reader_b.v
7,932
module MODULE1(VAR30,clk,rst,VAR15,VAR38); integer VAR8; integer VAR17; input VAR30; input clk; input rst; output [31:0] VAR15; output VAR38; reg [31:0] VAR26; reg VAR22; reg VAR35; reg VAR12; reg VAR28; reg [3:0] VAR33; reg [3:0] VAR32; reg [39:0] VAR3; reg [3:0] VAR31; reg [1:0] VAR6; reg [1:0] VAR10; reg [1:0] VAR13; reg [31:0] VAR21; reg [3:0] VAR1; reg [3:0] VAR19; reg [1:0] VAR39; reg [31:0] VAR20; reg [31:0] VAR16; reg [31:0] VAR37; reg [1:0] VAR24; reg [31:0] VAR36; reg VAR23; reg [31:0] VAR34; reg [31:0] VAR27; reg [31:0] VAR4; reg VAR18; reg [31:0] VAR11; reg [31:0] VAR25; reg [31:0] VAR5; reg VAR14; reg [31:0] VAR29; reg [31:0] VAR2; reg [39:0] VAR7 [14:0]; reg [31:0] VAR9 [2:0]; begin begin begin begin begin begin begin begin begin begin begin
mit
danshanley/FPU
fpu.v
12,602
module MODULE1(clk, VAR1, VAR30, VAR24, VAR26); input clk; input [31:0] VAR1, VAR30; input [1:0] VAR24; output [31:0] VAR26; wire [31:0] VAR26; wire [7:0] VAR61; wire [23:0] VAR58; wire [7:0] VAR38; wire [23:0] VAR27; reg VAR46; reg [7:0] VAR49; reg [24:0] VAR31; reg [31:0] VAR22; reg [31:0] VAR29; wire [31:0] VAR18; reg [31:0] VAR7; reg [31:0] VAR9; wire [31:0] VAR6; reg [31:0] VAR68; reg [31:0] VAR17; wire [31:0] VAR35; assign VAR26[31] = VAR46; assign VAR26[30:23] = VAR49; assign VAR26[22:0] = VAR31[22:0]; assign VAR32 = VAR1[31]; assign VAR61[7:0] = VAR1[30:23]; assign VAR58[23:0] = {1'b1, VAR1[22:0]}; assign VAR11 = VAR30[31]; assign VAR38[7:0] = VAR30[30:23]; assign VAR27[23:0] = {1'b1, VAR30[22:0]}; assign VAR33 = !VAR24[1] & !VAR24[0]; assign VAR56 = !VAR24[1] & VAR24[0]; assign VAR41 = VAR24[1] & !VAR24[0]; assign VAR48 = VAR24[1] & VAR24[0]; MODULE5 VAR52 ( .VAR62(VAR22), .VAR55(VAR29), .out(VAR18) ); MODULE7 VAR40 ( .VAR62(VAR7), .VAR55(VAR9), .out(VAR6) ); MODULE2 VAR45 ( .VAR62(VAR68), .VAR55(VAR17), .out(VAR35) ); always @ (posedge clk) begin if (VAR33) begin if ((VAR61 == 255 && VAR58 != 0) || (VAR38 == 0) && (VAR27 == 0)) begin VAR46 = VAR32; VAR49 = VAR61; VAR31 = VAR58; end else if ((VAR38 == 255 && VAR27 != 0) || (VAR61 == 0) && (VAR58 == 0)) begin VAR46 = VAR11; VAR49 = VAR38; VAR31 = VAR27; end else if ((VAR61 == 255) || (VAR38 == 255)) begin VAR46 = VAR32 ^ VAR11; VAR49 = 255; VAR31 = 0; end else begin VAR22 = VAR1; VAR29 = VAR30; VAR46 = VAR18[31]; VAR49 = VAR18[30:23]; VAR31 = VAR18[22:0]; end end else if (VAR56) begin if ((VAR61 == 255 && VAR58 != 0) || (VAR38 == 0) && (VAR27 == 0)) begin VAR46 = VAR32; VAR49 = VAR61; VAR31 = VAR58; end else if ((VAR38 == 255 && VAR27 != 0) || (VAR61 == 0) && (VAR58 == 0)) begin VAR46 = VAR11; VAR49 = VAR38; VAR31 = VAR27; end else if ((VAR61 == 255) || (VAR38 == 255)) begin VAR46 = VAR32 ^ VAR11; VAR49 = 255; VAR31 = 0; end else begin VAR22 = VAR1; VAR29 = {~VAR30[31], VAR30[30:0]}; VAR46 = VAR18[31]; VAR49 = VAR18[30:23]; VAR31 = VAR18[22:0]; end end else if (VAR41) begin VAR68 = VAR1; VAR17 = VAR30; VAR46 = VAR35[31]; VAR49 = VAR35[30:23]; VAR31 = VAR35[22:0]; end else begin if (VAR61 == 255 && VAR58 != 0) begin VAR46 = VAR32; VAR49 = 255; VAR31 = VAR58; end else if (VAR38 == 255 && VAR27 != 0) begin VAR46 = VAR11; VAR49 = 255; VAR31 = VAR27; end else if ((VAR61 == 0) && (VAR58 == 0) || (VAR38 == 0) && (VAR27 == 0)) begin VAR46 = VAR32 ^ VAR11; VAR49 = 0; VAR31 = 0; end else if ((VAR61 == 255) || (VAR38 == 255)) begin VAR46 = VAR32; VAR49 = 255; VAR31 = 0; end else begin VAR7 = VAR1; VAR9 = VAR30; VAR46 = VAR6[31]; VAR49 = VAR6[30:23]; VAR31 = VAR6[22:0]; end end end endmodule module MODULE5(VAR62, VAR55, out); input [31:0] VAR62, VAR55; output [31:0] out; wire [31:0] out; reg VAR32; reg [7:0] VAR61; reg [23:0] VAR58; reg VAR11; reg [7:0] VAR38; reg [23:0] VAR27; reg VAR46; reg [7:0] VAR49; reg [24:0] VAR31; reg [7:0] VAR57; reg [23:0] VAR64; reg [7:0] VAR12; reg [7:0] VAR42; reg [24:0] VAR4; wire [7:0] VAR66; wire [24:0] VAR28; MODULE3 MODULE10 ( .VAR63(VAR42), .VAR65(VAR4), .VAR47(VAR66), .VAR54(VAR28) ); assign out[31] = VAR46; assign out[30:23] = VAR49; assign out[22:0] = VAR31[22:0]; always @ ( * ) begin VAR32 = VAR62[31]; if(VAR62[30:23] == 0) begin VAR61 = 8'b00000001; VAR58 = {1'b0, VAR62[22:0]}; end else begin VAR61 = VAR62[30:23]; VAR58 = {1'b1, VAR62[22:0]}; end VAR11 = VAR55[31]; if(VAR55[30:23] == 0) begin VAR38 = 8'b00000001; VAR27 = {1'b0, VAR55[22:0]}; end else begin VAR38 = VAR55[30:23]; VAR27 = {1'b1, VAR55[22:0]}; end if (VAR61 == VAR38) begin VAR49 = VAR61; if (VAR32 == VAR11) begin VAR31 = VAR58 + VAR27; VAR31[24] = 1; VAR46 = VAR32; end else begin if(VAR58 > VAR27) begin VAR31 = VAR58 - VAR27; VAR46 = VAR32; end else begin VAR31 = VAR27 - VAR58; VAR46 = VAR11; end end end else begin if (VAR61 > VAR38) begin VAR49 = VAR61; VAR46 = VAR32; VAR57 = VAR61 - VAR38; VAR64 = VAR27 >> VAR57; if (VAR32 == VAR11) VAR31 = VAR58 + VAR64; end else VAR31 = VAR58 - VAR64; end else if (VAR61 < VAR38) begin VAR49 = VAR38; VAR46 = VAR11; VAR57 = VAR38 - VAR61; VAR64 = VAR58 >> VAR57; if (VAR32 == VAR11) begin VAR31 = VAR27 + VAR64; end else begin VAR31 = VAR27 - VAR64; end end end if(VAR31[24] == 1) begin VAR49 = VAR49 + 1; VAR31 = VAR31 >> 1; end else if((VAR31[23] != 1) && (VAR49 != 0)) begin VAR42 = VAR49; VAR4 = VAR31; VAR49 = VAR66; VAR31 = VAR28; end end endmodule module MODULE7(VAR62, VAR55, out); input [31:0] VAR62, VAR55; output [31:0] out; wire [31:0] out; reg VAR32; reg [7:0] VAR61; reg [23:0] VAR58; reg VAR11; reg [7:0] VAR38; reg [23:0] VAR27; reg VAR46; reg [7:0] VAR49; reg [24:0] VAR31; reg [47:0] VAR53; assign out[31] = VAR46; assign out[30:23] = VAR49; assign out[22:0] = VAR31[22:0]; reg [7:0] VAR42; reg [47:0] VAR4; wire [7:0] VAR66; wire [47:0] VAR28; MODULE6 MODULE10 ( .VAR63(VAR42), .VAR65(VAR4), .VAR47(VAR66), .VAR54(VAR28) ); always @ ( * ) begin VAR32 = VAR62[31]; if(VAR62[30:23] == 0) begin VAR61 = 8'b00000001; VAR58 = {1'b0, VAR62[22:0]}; end else begin VAR61 = VAR62[30:23]; VAR58 = {1'b1, VAR62[22:0]}; end VAR11 = VAR55[31]; if(VAR55[30:23] == 0) begin VAR38 = 8'b00000001; VAR27 = {1'b0, VAR55[22:0]}; end else begin VAR38 = VAR55[30:23]; VAR27 = {1'b1, VAR55[22:0]}; end VAR46 = VAR32 ^ VAR11; VAR49 = VAR61 + VAR38 - 127; VAR53 = VAR58 * VAR27; if(VAR53[47] == 1) begin VAR49 = VAR49 + 1; VAR53 = VAR53 >> 1; end else if((VAR53[46] != 1) && (VAR49 != 0)) begin VAR42 = VAR49; VAR4 = VAR53; VAR49 = VAR66; VAR53 = VAR28; end VAR31 = VAR53[46:23]; end endmodule module MODULE3(VAR63, VAR65, VAR47, VAR54); input [7:0] VAR63; input [24:0] VAR65; output [7:0] VAR47; output [24:0] VAR54; wire [7:0] VAR63; wire [24:0] VAR65; reg [7:0] VAR47; reg [24:0] VAR54; always @ ( * ) begin if (VAR65[23:3] == 21'b000000000000000000001) begin VAR47 = VAR63 - 20; VAR54 = VAR65 << 20; end else if (VAR65[23:4] == 20'b00000000000000000001) begin VAR47 = VAR63 - 19; VAR54 = VAR65 << 19; end else if (VAR65[23:5] == 19'b0000000000000000001) begin VAR47 = VAR63 - 18; VAR54 = VAR65 << 18; end else if (VAR65[23:6] == 18'b000000000000000001) begin VAR47 = VAR63 - 17; VAR54 = VAR65 << 17; end else if (VAR65[23:7] == 17'b00000000000000001) begin VAR47 = VAR63 - 16; VAR54 = VAR65 << 16; end else if (VAR65[23:8] == 16'b0000000000000001) begin VAR47 = VAR63 - 15; VAR54 = VAR65 << 15; end else if (VAR65[23:9] == 15'b000000000000001) begin VAR47 = VAR63 - 14; VAR54 = VAR65 << 14; end else if (VAR65[23:10] == 14'b00000000000001) begin VAR47 = VAR63 - 13; VAR54 = VAR65 << 13; end else if (VAR65[23:11] == 13'b0000000000001) begin VAR47 = VAR63 - 12; VAR54 = VAR65 << 12; end else if (VAR65[23:12] == 12'b000000000001) begin VAR47 = VAR63 - 11; VAR54 = VAR65 << 11; end else if (VAR65[23:13] == 11'b00000000001) begin VAR47 = VAR63 - 10; VAR54 = VAR65 << 10; end else if (VAR65[23:14] == 10'b0000000001) begin VAR47 = VAR63 - 9; VAR54 = VAR65 << 9; end else if (VAR65[23:15] == 9'b000000001) begin VAR47 = VAR63 - 8; VAR54 = VAR65 << 8; end else if (VAR65[23:16] == 8'b00000001) begin VAR47 = VAR63 - 7; VAR54 = VAR65 << 7; end else if (VAR65[23:17] == 7'b0000001) begin VAR47 = VAR63 - 6; VAR54 = VAR65 << 6; end else if (VAR65[23:18] == 6'b000001) begin VAR47 = VAR63 - 5; VAR54 = VAR65 << 5; end else if (VAR65[23:19] == 5'b00001) begin VAR47 = VAR63 - 4; VAR54 = VAR65 << 4; end else if (VAR65[23:20] == 4'b0001) begin VAR47 = VAR63 - 3; VAR54 = VAR65 << 3; end else if (VAR65[23:21] == 3'b001) begin VAR47 = VAR63 - 2; VAR54 = VAR65 << 2; end else if (VAR65[23:22] == 2'b01) begin VAR47 = VAR63 - 1; VAR54 = VAR65 << 1; end end endmodule module MODULE6(VAR63, VAR65, VAR47, VAR54); input [7:0] VAR63; input [47:0] VAR65; output [7:0] VAR47; output [47:0] VAR54; wire [7:0] VAR63; wire [47:0] VAR65; reg [7:0] VAR47; reg [47:0] VAR54; always @ ( * ) begin if (VAR65[46:41] == 6'b000001) begin VAR47 = VAR63 - 5; VAR54 = VAR65 << 5; end else if (VAR65[46:42] == 5'b00001) begin VAR47 = VAR63 - 4; VAR54 = VAR65 << 4; end else if (VAR65[46:43] == 4'b0001) begin VAR47 = VAR63 - 3; VAR54 = VAR65 << 3; end else if (VAR65[46:44] == 3'b001) begin VAR47 = VAR63 - 2; VAR54 = VAR65 << 2; end else if (VAR65[46:45] == 2'b01) begin VAR47 = VAR63 - 1; VAR54 = VAR65 << 1; end end endmodule module MODULE2 (VAR62, VAR55, out); input [31:0] VAR62; input [31:0] VAR55; output [31:0] out; wire [31:0] VAR8; MODULE4 MODULE13 ( .in(VAR55), .out(VAR8) ); MODULE7 MODULE12 ( .VAR62(VAR62), .VAR55(VAR8), .out(out) ); endmodule module MODULE4 (in, out); input [31:0] in; output [31:0] out; assign out[31] = in[31]; assign out[22:0] = VAR10[22:0]; assign out[30:23] = (VAR19==9'b100000000)? 9'h102 - in[30:23] : 9'h101 - in[30:23]; wire [31:0] VAR19; assign VAR19 = {1'b0, 8'h80, in[22:0]}; wire [31:0] VAR34; assign VAR34 = 32'h4034B4B5; wire [31:0] VAR13; assign VAR13 = 32'h3FF0F0F1; wire [31:0] VAR14; assign VAR14 = 32'h40000000; wire [31:0] VAR51; wire [31:0] VAR21; wire [31:0] VAR10; wire [31:0] VAR67; wire [31:0] VAR25; wire [31:0] VAR37; wire [31:0] VAR15; wire [31:0] VAR2; wire [31:0] VAR50; assign VAR50 = {~VAR67[31], VAR67[30:0]}; MODULE7 VAR23 ( .VAR62(VAR13), .VAR55(VAR19), .out(VAR67) ); MODULE5 VAR43 ( .VAR62(VAR34), .VAR55(VAR50), .out(VAR51) ); MODULE7 VAR60 ( .VAR62(VAR19), .VAR55(VAR51), .out(VAR25) ); MODULE5 VAR20 ( .VAR62(VAR14), .VAR55({~VAR25[31], VAR25[30:0]}), .out(VAR37) ); MODULE7 VAR36 ( .VAR62(VAR51), .VAR55(VAR37), .out(VAR21) ); MODULE7 VAR39 ( .VAR62(VAR19), .VAR55(VAR21), .out(VAR15) ); MODULE5 VAR59 ( .VAR62(VAR14), .VAR55({~VAR15[31], VAR15[30:0]}), .out(VAR2) ); MODULE7 VAR44 ( .VAR62(VAR21), .VAR55(VAR2), .out(VAR10) ); endmodule
gpl-3.0
revaldinho/opc
copro/src/Tube/tube.v
14,740
module MODULE1 ( input [2:0] VAR22, input VAR11, input [7:0] VAR58, output [7:0] VAR8, inout [7:0] VAR69, input VAR35, input VAR49, input VAR21, output VAR13, output VAR28, input VAR39, input [2:0] VAR7, input VAR66, input [7:0] VAR31, output [7:0] VAR29, inout [7:0] VAR34, input VAR63, input VAR41, output VAR6, output VAR55, output VAR3 ); wire VAR61 = 1'b1; wire VAR61 = VAR39; wire VAR61 = 1'b1; wire VAR68; wire [3:0] VAR43; wire VAR19; reg VAR12; reg [3:0] VAR50; reg [3:0] VAR48; reg VAR32; reg VAR38; reg [7:0] VAR40; reg [7:0] VAR53; reg VAR5; reg [6:0] VAR59; reg [5:0] VAR36; wire [7:0] VAR4; wire [7:0] VAR9; wire [3:0] VAR65; wire [3:0] VAR1; wire [3:0] VAR25; wire [3:0] VAR2; wire [6:0] VAR42; wire VAR52; wire VAR18 ; assign VAR28 = VAR59[ VAR16] & !VAR5 ; assign VAR13 = ( VAR59[VAR10] & VAR25[3] ) ? 1'b0 : VAR23 ; assign VAR55 = (VAR5) ? VAR64 : 1'b0 ; assign VAR3 = ( (VAR59[VAR60] & VAR65[0]) | (VAR59[VAR62] & VAR65[3]) ) ? 1'b0 : VAR64 ; assign VAR6 = (!VAR59[VAR20] & VAR21) ; wire [7:0] VAR69; assign VAR69 = VAR58; assign VAR8 = VAR40; wire [7:0] VAR34; assign VAR34 = VAR31; assign VAR29 = VAR53; assign VAR19 = !VAR11 && ( VAR22 == 3'b0); assign VAR43[0] = !VAR11 & ( VAR22 == 3'h1); assign VAR43[1] = !VAR11 & ( VAR22 == 3'h3); assign VAR43[2] = !VAR11 & ( VAR22 == 3'h5); assign VAR43[3] = !VAR11 & ( VAR22 == 3'h7); assign VAR42[VAR10] = 1; assign VAR42[VAR10] = ( !VAR49 && VAR12) ? ( VAR69[ VAR10] ? VAR69[VAR51] : VAR59[ VAR10] ): VAR59 [ VAR10]; assign VAR42[VAR60] = ( !VAR49 && VAR12) ? ( VAR69[ VAR60] ? VAR69[VAR51] : VAR59[ VAR60] ): VAR59 [ VAR60]; assign VAR42[VAR62] = ( !VAR49 && VAR12) ? ( VAR69[ VAR62] ? VAR69[VAR51] : VAR59[ VAR62] ): VAR59 [ VAR62]; assign VAR42[VAR67] = ( !VAR49 && VAR12) ? ( VAR69[ VAR67] ? VAR69[VAR51] : VAR59[ VAR67] ): VAR59 [ VAR67]; assign VAR42[VAR16] = ( !VAR49 && VAR12) ? ( VAR69[ VAR16] ? VAR69[VAR51] : VAR59[ VAR16] ): VAR59 [ VAR16]; assign VAR42[VAR20] = ( !VAR49 && VAR12) ? ( VAR69[ VAR20] ? VAR69[VAR51] : VAR59[ VAR20] ): VAR59 [ VAR20]; assign VAR42[VAR44] = ( !VAR49 && VAR12) ? ( VAR69[ VAR44] ? VAR69[VAR51] : VAR59[ VAR44] ): VAR59 [ VAR44]; assign VAR52 = ! ( !VAR21 | VAR59[VAR44] ); always @ ( VAR59 or VAR65 or VAR18 or VAR68 or VAR1 ) begin if ( VAR59[VAR67] == 1'b0 ) VAR38 = ( VAR65[2] | VAR18 ) ; end else VAR38 = ( VAR68 | VAR18 ) ; if ( VAR59[VAR16] == 1'b1 ) if ( VAR59[VAR67] == 1'b0 ) VAR5 = ! ( VAR65[2] | VAR18 ) ; else VAR5 = ! ( VAR68 | VAR18 ) ; else VAR5 = 1'b1; end always @ ( VAR4 or VAR7 or VAR36 or VAR65 or VAR38 or VAR1 ) begin case ( VAR7 ) 3'h0: VAR53 = { VAR65[0], !VAR1[0], VAR36[5:0]}; 3'h1: VAR53 = VAR4; 3'h2: VAR53 = { VAR65[1], !VAR1[1], 6'b111111}; 3'h3: VAR53 = VAR4; 3'h4: VAR53 = { VAR38, !VAR1[2], 6'b111111}; 3'h5: VAR53 = VAR4; 3'h6: VAR53 = { VAR65[3], !VAR1[3], 6'b111111}; 3'h7: VAR53 = VAR4; endcase end always @ ( VAR9 or VAR22 or VAR59 or VAR25 or VAR2 ) begin case ( VAR22 ) 3'h0: VAR40 = { VAR25[0], !VAR2[0], VAR59[5:0]}; 3'h1: VAR40 = VAR9; 3'h2: VAR40 = { VAR25[1], !VAR2[1], 6'b111111}; 3'h3: VAR40 = VAR9; 3'h4: VAR40 = { VAR25[2], !VAR2[2], 6'b111111}; 3'h5: VAR40 = VAR9; 3'h6: VAR40 = { VAR25[3], !VAR2[3], 6'b111111}; 3'h7: VAR40 = VAR9; endcase end VAR54 VAR24 ( .VAR21( VAR52 ) , .VAR37 ( VAR49 ), .VAR46( VAR50 ), .VAR35( VAR35), .VAR69( VAR69), .VAR15( VAR48 ), .VAR41(VAR41), .VAR63(VAR63), .VAR34( VAR4), .VAR30( ! VAR59[VAR67]), .VAR56(VAR65), .VAR33(VAR68), .VAR27(VAR2) ); always @ ( VAR7 or VAR66 or VAR61) begin VAR48[0] = !VAR66 & (( VAR7 == 3'h1) & VAR61); VAR48[1] = !VAR66 & (( VAR7 == 3'h3) & VAR61); VAR48[2] = !VAR66 & (( VAR7 == 3'h5) | !VAR61); VAR48[3] = !VAR66 & (( VAR7 == 3'h7) & VAR61); end VAR14 VAR17 ( .VAR21(VAR52), .VAR47( VAR32 ), .VAR46( VAR50), .VAR35(VAR35 ), .VAR34(VAR34), .VAR15(VAR48 ), .VAR41(VAR41), .VAR63( (!VAR61) ^ VAR63), .VAR69 (VAR9), .VAR30( ! VAR59[VAR67]), .VAR45( VAR25), .VAR57( VAR18 ), .VAR26(VAR1) ); always @ ( negedge VAR35 or negedge VAR21 ) if ( ! VAR21 ) VAR59 <= 7'b0; else VAR59 <= VAR42; always @ (posedge VAR35 or negedge VAR21) begin if ( ! VAR21 ) begin VAR50 <= 4'h0; VAR12 <= 1'b0; VAR32 <= 1'b0; end else begin VAR32 <= VAR49; VAR12 <= VAR19; VAR50[0] <= VAR43[0]; VAR50[1] <= VAR43[1]; VAR50[2] <= VAR43[2]; VAR50[3] <= VAR43[3]; end end always @ ( posedge VAR41 or negedge VAR21 ) if ( !VAR21 ) VAR36 <= 6'b000000; else VAR36 <= VAR59[5:0]; endmodule
gpl-3.0
maltanar/fpga-tidbits
src/main/scala/fpgatidbits/platform-wrapper/convey/cae_pers.v
4,072
module MODULE1 #( parameter VAR40 = 1, parameter VAR27 = 32 ) ( input clk, input VAR21, input VAR13, input VAR23, input VAR6, input [4:0] VAR1, input [17:0] VAR18, input VAR44, input VAR42, input [63:0] VAR41, output [17:0] VAR5, output [15:0] VAR49, output VAR3, output VAR33, output [63:0] VAR37, output VAR2, output [VAR40*1-1 :0] VAR24, output [VAR40*VAR27-1:0] VAR48, output [VAR40*64-1:0] VAR29, output [VAR40*48-1:0] VAR47, output [VAR40*2-1 :0] VAR12, output [VAR40*3-1 :0] VAR7, output [VAR40*4-1 :0] VAR34, input [VAR40*1-1 :0] VAR38, input [VAR40*1-1 :0] VAR46, input [VAR40*3-1 :0] VAR8, input [VAR40*4-1 :0] VAR26, input [VAR40*64-1:0] VAR30, input [VAR40*VAR27-1:0] VAR4, output [VAR40*1-1 :0] VAR19, output [VAR40*1-1 :0] VAR43, input [VAR40*1-1 :0] VAR9, input VAR22, input VAR11, input [15:0] VAR39, input [63:0] VAR28, output VAR15, output [63:0] VAR16, input [3:0] VAR31 ); wire VAR10; VAR35 rst (.VAR17(clk),.VAR20(VAR23),.VAR14(VAR10),.VAR36(!VAR10),.VAR25(VAR10)); VAR45 VAR32( .clk(clk), .reset(VAR10), .VAR6(VAR6), .VAR1(VAR1), .VAR18(VAR18), .VAR44(VAR44), .VAR42(VAR42), .VAR41(VAR41), .VAR5(VAR5), .VAR49(VAR49), .VAR3(VAR3), .VAR33(VAR33), .VAR37(VAR37), .VAR2(VAR2), .VAR24(VAR24), .VAR48(VAR48), .VAR29(VAR29), .VAR47(VAR47), .VAR12(VAR12), .VAR7(VAR7), .VAR34(VAR34), .VAR38(VAR38), .VAR46(VAR46), .VAR8(VAR8), .VAR26(VAR26), .VAR30(VAR30), .VAR4(VAR4), .VAR19(VAR19), .VAR43(VAR43), .VAR9(VAR9), .VAR22(VAR22), .VAR11(VAR11), .VAR39(VAR39), .VAR28(VAR28), .VAR15(VAR15), .VAR16(VAR16), .VAR31(VAR31) ); endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfstp/sky130_fd_sc_ms__sdfstp.pp.blackbox.v
1,434
module MODULE1 ( VAR5 , VAR2 , VAR4 , VAR9 , VAR10 , VAR8, VAR6 , VAR3 , VAR7 , VAR1 ); output VAR5 ; input VAR2 ; input VAR4 ; input VAR9 ; input VAR10 ; input VAR8; input VAR6 ; input VAR3 ; input VAR7 ; input VAR1 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/inv/gf180mcu_fd_sc_mcu9t5v0__inv_4.behavioral.pp.v
1,167
module MODULE1( VAR4, VAR7, VAR5, VAR6 ); input VAR4; inout VAR5, VAR6; output VAR7; VAR2 VAR3(.VAR4(VAR4),.VAR7(VAR7),.VAR5(VAR5),.VAR6(VAR6)); VAR2 VAR1(.VAR4(VAR4),.VAR7(VAR7),.VAR5(VAR5),.VAR6(VAR6));
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o31a/sky130_fd_sc_ls__o31a.symbol.v
1,346
module MODULE1 ( input VAR8, input VAR5, input VAR7, input VAR9, output VAR4 ); supply1 VAR1; supply0 VAR6; supply1 VAR3 ; supply0 VAR2 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/mux2i/sky130_fd_sc_hd__mux2i.pp.blackbox.v
1,317
module MODULE1 ( VAR2 , VAR4 , VAR1 , VAR7 , VAR5, VAR6, VAR3 , VAR8 ); output VAR2 ; input VAR4 ; input VAR1 ; input VAR7 ; input VAR5; input VAR6; input VAR3 ; input VAR8 ; endmodule
apache-2.0
mlarouche/sd2snes
verilog/sd2sneslite/mcu_cmd.v
3,974
module MODULE1( input clk, input VAR7, input VAR10, input [7:0] VAR3, input [7:0] VAR19, output VAR12, output VAR20, input VAR13, output [7:0] VAR15, input [7:0] VAR21, output [7:0] VAR16, input [31:0] VAR17, input [2:0] VAR18, output [23:0] VAR11, output [23:0] VAR1, output [23:0] VAR6 ); reg [7:0] VAR2; reg [7:0] VAR5; reg [2:0] VAR22; wire VAR4; reg [23:0] VAR8; reg [23:0] VAR14; reg [23:0] VAR9; assign VAR16 = VAR5;
gpl-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/cabac/cabac_bae_stage3.v
10,802
module MODULE1( VAR20 , VAR2 , VAR14 , VAR11 , VAR21 , VAR7 , VAR4 , VAR6 , VAR13 , VAR17 , VAR15 ); input [ 9:0] VAR20 ; input [ 3:0] VAR2 ; input [ 8:0] VAR14 ; input VAR11 ; input VAR21 ; input [ 8:0] VAR7 ; input [ 9:0] VAR4 ; output [ 9:0] VAR6 ; output [ 2:0] VAR13 ; output [ 5:0] VAR17 ; output VAR15 ; reg [ 9:0] VAR6 ; reg [ 2:0] VAR13 ; reg [ 5:0] VAR17 ; reg VAR15 ; wire [15:0] VAR12 ; wire [ 2:0] VAR19 ; wire [15:0] VAR9 ; wire [14:0] VAR10 ; reg [14:0] VAR3 ; reg VAR5 ; assign VAR10 = (VAR20 << VAR4[7:5]) ; assign VAR12 = VAR10 + VAR3 ; assign VAR19= VAR4[7:5] ; always @* begin case(VAR4[7:5]) 3'd1 : VAR5 = !(!VAR12[15:11]) ; 3'd2 : VAR5 = !(!VAR12[15:12]) ; 3'd3 : VAR5 = !(!VAR12[15:13]) ; 3'd4 : VAR5 = !(!VAR12[15:14]) ; 3'd5 : VAR5 = VAR12[15 ] ; default: VAR5 = 1'b0 ; endcase end always @* begin case(VAR4[4:0]) 5'd0 : VAR3 = 11'd0 ; 5'd1 : VAR3 = (VAR7 ); 5'd2 : VAR3 = (VAR7<<1); 5'd3 : VAR3 = (VAR7<<1) + (VAR7) ; 5'd4 : VAR3 = (VAR7<<2) ; 5'd5 : VAR3 = (VAR7<<2) + (VAR7) ; 5'd6 : VAR3 = (VAR7<<2) + (VAR7<<1) ; 5'd7 : VAR3 = (VAR7<<2) + (VAR7<<1)+ VAR7 ; 5'd8 : VAR3 = (VAR7<<3) ; 5'd9 : VAR3 = (VAR7<<3) + VAR7 ; 5'd10: VAR3 = (VAR7<<3) + (VAR7<<1); 5'd11: VAR3 = (VAR7<<3) + (VAR7<<1) + VAR7 ; 5'd12: VAR3 = (VAR7<<3) + (VAR7<<2) ; 5'd13: VAR3 = (VAR7<<3) + (VAR7<<2) + VAR7 ; 5'd14: VAR3 = (VAR7<<3) + (VAR7<<2) +(VAR7<<1) ; 5'd15: VAR3 = (VAR7<<3) + (VAR7<<2) +(VAR7<<1) + VAR7; 5'd16: VAR3 = (VAR7<<4) ; 5'd17: VAR3 = (VAR7<<4) + (VAR7 ); 5'd18: VAR3 = (VAR7<<4) + (VAR7<<1); 5'd19: VAR3 = (VAR7<<4) + (VAR7<<1)+ VAR7 ; 5'd20: VAR3 = (VAR7<<4) + (VAR7<<2) ; 5'd21: VAR3 = (VAR7<<4) + (VAR7<<2)+ VAR7 ; 5'd22: VAR3 = (VAR7<<4) + (VAR7<<2)+ (VAR7<<1) ; 5'd23: VAR3 = (VAR7<<4) + (VAR7<<2)+ (VAR7<<1) + VAR7 ; 5'd24: VAR3 = (VAR7<<4) + (VAR7<<3) ; 5'd25: VAR3 = (VAR7<<4) + (VAR7<<3)+ (VAR7 ) ; 5'd26: VAR3 = (VAR7<<4) + (VAR7<<3)+ (VAR7<<1) ; 5'd27: VAR3 = (VAR7<<4) + (VAR7<<3)+ (VAR7<<1) + VAR7 ; 5'd28: VAR3 = (VAR7<<4) + (VAR7<<3)+ (VAR7<<2) ; 5'd29: VAR3 = (VAR7<<4) + (VAR7<<3)+ (VAR7<<2) + VAR7 ; 5'd30: VAR3 = (VAR7<<4) + (VAR7<<3)+ (VAR7<<2) +(VAR7<<1) ; 5'd31: VAR3 = (VAR7<<4) + (VAR7<<3)+ (VAR7<<2) +(VAR7<<1) + VAR7 ; endcase end reg [15:0] VAR18 ; reg [ 2:0] VAR8 ; always @* begin if(VAR4[7]) begin VAR18 = (VAR20 + VAR14) ; VAR8 = 3'd0 ; end else if(VAR14[8]) begin VAR18 = {6'b000000,VAR20} ; VAR8 = 3'd0 ; end else begin VAR18 = {5'b00000,VAR20,1'b0} ; VAR8 = 3'd1 ; end end reg [15:0] VAR16 ; reg [ 2:0] VAR1 ; wire [10:0] VAR22 = VAR20 + VAR14 ; always @* begin if(VAR11) begin VAR16 =(VAR22<<VAR2) ; VAR1 = VAR2[2:0] ; end else if(VAR21) begin VAR16 = {6'b0,VAR20} ; VAR1 = 3'd0 ; end else begin VAR16 = {5'b00000,VAR20,1'b0} ; VAR1= 3'd1 ; end end always @* begin case(VAR4[9:8]) 2'b01: VAR6 = VAR20 ; 2'b00: VAR6 = VAR16[9:0] ; 2'b10: VAR6 = VAR12[9:0] ; 2'b11: VAR6 = VAR18[9:0] ; endcase end always @* begin case(VAR4[9:8]) 2'b01: VAR13 = 3'd0 ; 2'b00: VAR13 = VAR1 ; 2'b10: VAR13 = VAR19 ; 2'b11: VAR13 = VAR8; endcase end always @* begin case(VAR4[9:8]) 2'b01: VAR15 = 1'b0 ; 2'b00: VAR15 = VAR11? VAR22[10]:1'b0 ; 2'b10: VAR15 = VAR5 ; 2'b11: VAR15 = VAR11 ? !(!VAR18[15:10]):1'b0; endcase end always @* begin case(VAR4[9:8]) 2'b01: VAR17 = 6'b000000 ; 2'b00: VAR17 = VAR16[15:10] ; 2'b10: VAR17 = VAR12[15:10] ; 2'b11: VAR17 = VAR18[15:10] ; endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sdfxbp/sky130_fd_sc_ls__sdfxbp.functional.v
1,869
module MODULE1 ( VAR15 , VAR1, VAR3, VAR13 , VAR10, VAR2 ); output VAR15 ; output VAR1; input VAR3; input VAR13 ; input VAR10; input VAR2; wire VAR12 ; wire VAR5; VAR8 VAR14 (VAR5, VAR13, VAR10, VAR2 ); VAR7 VAR6 VAR11 (VAR12 , VAR5, VAR3 ); buf VAR9 (VAR15 , VAR12 ); not VAR4 (VAR1 , VAR12 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/iobdg/common/rtl/iobdg_1r1w_rf32.v
8,798
module MODULE1 ( dout, VAR22, VAR74, VAR33, VAR70, din, VAR16 ); parameter VAR62 = 64; input VAR22; input VAR74; input [4:0] VAR33; input [4:0] VAR70; input [VAR62-1:0] din; input VAR16; output [VAR62-1:0] dout; wire [4:0] VAR27; wire [VAR62-1:0] VAR35; wire VAR12; wire [31:0] VAR68; wire [31:0] VAR80; wire [VAR62-1:0] VAR49; wire [VAR62-1:0] VAR61; wire [VAR62-1:0] VAR5; wire [VAR62-1:0] VAR58; wire [VAR62-1:0] VAR50; wire [VAR62-1:0] VAR73; wire [VAR62-1:0] VAR75; wire [VAR62-1:0] VAR48; wire [VAR62-1:0] VAR40; wire [VAR62-1:0] VAR84; wire [VAR62-1:0] VAR64; wire [VAR62-1:0] VAR77; wire [VAR62-1:0] VAR19; wire [VAR62-1:0] VAR3; wire [VAR62-1:0] VAR1; wire [VAR62-1:0] VAR28; wire [VAR62-1:0] VAR14; wire [VAR62-1:0] VAR57; wire [VAR62-1:0] VAR17; wire [VAR62-1:0] VAR81; wire [VAR62-1:0] VAR59; wire [VAR62-1:0] VAR15; wire [VAR62-1:0] VAR7; wire [VAR62-1:0] VAR2; wire [VAR62-1:0] VAR66; wire [VAR62-1:0] VAR69; wire [VAR62-1:0] VAR29; wire [VAR62-1:0] VAR67; wire [VAR62-1:0] VAR79; wire [VAR62-1:0] VAR20; wire [VAR62-1:0] VAR21; wire [VAR62-1:0] VAR26; wire [4:0] VAR54; reg [VAR62-1:0] dout; VAR18 #(5) VAR44 (.din(VAR70), .clk(VAR74), .VAR10(VAR27)); VAR18 #(VAR62) VAR23 (.din(din), .clk(VAR74), .VAR10(VAR35)); VAR18 #(1) VAR25 (.din(VAR16), .clk(VAR74), .VAR10(VAR12)); assign VAR68 = 1'b1 << VAR27; assign VAR80 = {32{~VAR12}} & VAR68; VAR55 #(VAR62) VAR72 (.din(VAR35),.en(VAR80[0]),.clk(VAR74),.VAR10(VAR49)); VAR55 #(VAR62) VAR39 (.din(VAR35),.en(VAR80[1]),.clk(VAR74),.VAR10(VAR61)); VAR55 #(VAR62) VAR63 (.din(VAR35),.en(VAR80[2]),.clk(VAR74),.VAR10(VAR5)); VAR55 #(VAR62) VAR13 (.din(VAR35),.en(VAR80[3]),.clk(VAR74),.VAR10(VAR58)); VAR55 #(VAR62) VAR83 (.din(VAR35),.en(VAR80[4]),.clk(VAR74),.VAR10(VAR50)); VAR55 #(VAR62) VAR65 (.din(VAR35),.en(VAR80[5]),.clk(VAR74),.VAR10(VAR73)); VAR55 #(VAR62) VAR56 (.din(VAR35),.en(VAR80[6]),.clk(VAR74),.VAR10(VAR75)); VAR55 #(VAR62) VAR60 (.din(VAR35),.en(VAR80[7]),.clk(VAR74),.VAR10(VAR48)); VAR55 #(VAR62) VAR9 (.din(VAR35),.en(VAR80[8]),.clk(VAR74),.VAR10(VAR40)); VAR55 #(VAR62) VAR6 (.din(VAR35),.en(VAR80[9]),.clk(VAR74),.VAR10(VAR84)); VAR55 #(VAR62) VAR53 (.din(VAR35),.en(VAR80[10]),.clk(VAR74),.VAR10(VAR64)); VAR55 #(VAR62) VAR30 (.din(VAR35),.en(VAR80[11]),.clk(VAR74),.VAR10(VAR77)); VAR55 #(VAR62) VAR8 (.din(VAR35),.en(VAR80[12]),.clk(VAR74),.VAR10(VAR19)); VAR55 #(VAR62) VAR37 (.din(VAR35),.en(VAR80[13]),.clk(VAR74),.VAR10(VAR3)); VAR55 #(VAR62) VAR32 (.din(VAR35),.en(VAR80[14]),.clk(VAR74),.VAR10(VAR1)); VAR55 #(VAR62) VAR36 (.din(VAR35),.en(VAR80[15]),.clk(VAR74),.VAR10(VAR28)); VAR55 #(VAR62) VAR41 (.din(VAR35),.en(VAR80[16]),.clk(VAR74),.VAR10(VAR14)); VAR55 #(VAR62) VAR4 (.din(VAR35),.en(VAR80[17]),.clk(VAR74),.VAR10(VAR57)); VAR55 #(VAR62) VAR31 (.din(VAR35),.en(VAR80[18]),.clk(VAR74),.VAR10(VAR17)); VAR55 #(VAR62) VAR78 (.din(VAR35),.en(VAR80[19]),.clk(VAR74),.VAR10(VAR81)); VAR55 #(VAR62) VAR38 (.din(VAR35),.en(VAR80[20]),.clk(VAR74),.VAR10(VAR59)); VAR55 #(VAR62) VAR46 (.din(VAR35),.en(VAR80[21]),.clk(VAR74),.VAR10(VAR15)); VAR55 #(VAR62) VAR11 (.din(VAR35),.en(VAR80[22]),.clk(VAR74),.VAR10(VAR7)); VAR55 #(VAR62) VAR76 (.din(VAR35),.en(VAR80[23]),.clk(VAR74),.VAR10(VAR2)); VAR55 #(VAR62) VAR82 (.din(VAR35),.en(VAR80[24]),.clk(VAR74),.VAR10(VAR66)); VAR55 #(VAR62) VAR24 (.din(VAR35),.en(VAR80[25]),.clk(VAR74),.VAR10(VAR69)); VAR55 #(VAR62) VAR71 (.din(VAR35),.en(VAR80[26]),.clk(VAR74),.VAR10(VAR29)); VAR55 #(VAR62) VAR51 (.din(VAR35),.en(VAR80[27]),.clk(VAR74),.VAR10(VAR67)); VAR55 #(VAR62) VAR47 (.din(VAR35),.en(VAR80[28]),.clk(VAR74),.VAR10(VAR79)); VAR55 #(VAR62) VAR42 (.din(VAR35),.en(VAR80[29]),.clk(VAR74),.VAR10(VAR20)); VAR55 #(VAR62) VAR45 (.din(VAR35),.en(VAR80[30]),.clk(VAR74),.VAR10(VAR21)); VAR55 #(VAR62) VAR52 (.din(VAR35),.en(VAR80[31]),.clk(VAR74),.VAR10(VAR26)); VAR18 #(5) VAR34 (.din(VAR33), .clk(VAR22), .VAR10(VAR54)); always @(VAR49 or VAR61 or VAR64 or VAR77 or VAR19 or VAR3 or VAR1 or VAR28 or VAR14 or VAR57 or VAR17 or VAR81 or VAR5 or VAR59 or VAR15 or VAR7 or VAR2 or VAR66 or VAR69 or VAR29 or VAR67 or VAR79 or VAR20 or VAR58 or VAR21 or VAR26 or VAR50 or VAR73 or VAR75 or VAR48 or VAR40 or VAR84 or VAR54) begin case (VAR54) 5'd0: dout = VAR49; 5'd1: dout = VAR61; 5'd2: dout = VAR5; 5'd3: dout = VAR58; 5'd4: dout = VAR50; 5'd5: dout = VAR73; 5'd6: dout = VAR75; 5'd7: dout = VAR48; 5'd8: dout = VAR40; 5'd9: dout = VAR84; 5'd10: dout = VAR64; 5'd11: dout = VAR77; 5'd12: dout = VAR19; 5'd13: dout = VAR3; 5'd14: dout = VAR1; 5'd15: dout = VAR28; 5'd16: dout = VAR14; 5'd17: dout = VAR57; 5'd18: dout = VAR17; 5'd19: dout = VAR81; 5'd20: dout = VAR59; 5'd21: dout = VAR15; 5'd22: dout = VAR7; 5'd23: dout = VAR2; 5'd24: dout = VAR66; 5'd25: dout = VAR69; 5'd26: dout = VAR29; 5'd27: dout = VAR67; 5'd28: dout = VAR79; 5'd29: dout = VAR20; 5'd30: dout = VAR21; 5'd31: dout = VAR26; default: dout = {VAR62{1'VAR43}}; endcase end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o32ai/sky130_fd_sc_hdll__o32ai_2.v
2,457
module MODULE1 ( VAR7 , VAR8 , VAR12 , VAR2 , VAR4 , VAR5 , VAR1, VAR6, VAR10 , VAR11 ); output VAR7 ; input VAR8 ; input VAR12 ; input VAR2 ; input VAR4 ; input VAR5 ; input VAR1; input VAR6; input VAR10 ; input VAR11 ; VAR9 VAR3 ( .VAR7(VAR7), .VAR8(VAR8), .VAR12(VAR12), .VAR2(VAR2), .VAR4(VAR4), .VAR5(VAR5), .VAR1(VAR1), .VAR6(VAR6), .VAR10(VAR10), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR7 , VAR8, VAR12, VAR2, VAR4, VAR5 ); output VAR7 ; input VAR8; input VAR12; input VAR2; input VAR4; input VAR5; supply1 VAR1; supply0 VAR6; supply1 VAR10 ; supply0 VAR11 ; VAR9 VAR3 ( .VAR7(VAR7), .VAR8(VAR8), .VAR12(VAR12), .VAR2(VAR2), .VAR4(VAR4), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o221a/sky130_fd_sc_hdll__o221a.pp.symbol.v
1,409
module MODULE1 ( input VAR10 , input VAR4 , input VAR5 , input VAR7 , input VAR2 , output VAR9 , input VAR3 , input VAR8, input VAR1, input VAR6 ); endmodule
apache-2.0
vipinkmenon/fpgadriver
src/hw/scripts/user_logic.v
5,073
module MODULE1( input VAR18, input VAR65, input VAR37, input VAR5, input [31:0] VAR25, input [19:0] VAR9, input VAR49, output reg [31:0] VAR54, output reg VAR40, input VAR52, output [255:0] VAR35, output [31:0] VAR24, output VAR17, output [26:0] VAR20, output VAR19, input [255:0] VAR36, input VAR1, input VAR68, input VAR42, input VAR44, output VAR46, input [63:0] VAR21, output VAR64, input VAR67, output reg [63:0]VAR55, input VAR13, output VAR14, input [63:0] VAR15, output VAR43, input VAR3, output reg [63:0]VAR56, input VAR57, output VAR59, input [63:0] VAR10, output VAR61, input VAR33, output reg [63:0]VAR62, input VAR8, output VAR11, input [63:0] VAR16, output VAR32, input VAR51, output reg [63:0]VAR30, input VAR60, output VAR53, input [63:0] VAR66, output VAR29, input VAR4, output reg [63:0]VAR38, input VAR70, output VAR39, input [63:0] VAR26, output VAR48, input VAR47, output reg [63:0]VAR69, input VAR41, output VAR63, input [63:0] VAR28, output VAR6, input VAR31, output reg [63:0]VAR22, input VAR45, output VAR34, input [63:0] VAR23, output VAR50, input VAR58, output reg [63:0]VAR12, output VAR7, input VAR2 ); reg [31:0] VAR27; assign VAR7 = 1'b0; assign VAR35 = 0; assign VAR24 = 0; assign VAR17 = 0; assign VAR20 = 0; assign VAR19 = 0; assign VAR46 = 1'b1; assign VAR14 = 1'b1; assign VAR59 = 1'b1; assign VAR11 = 1'b1; assign VAR53 = 1'b1; assign VAR39 = 1'b1; assign VAR63 = 1'b1; assign VAR34 = 1'b1; assign VAR29 = 1'b1; assign VAR48 = 1'b1; assign VAR6 = 1'b1; assign VAR50 = 1'b1; assign VAR64 = 1'b1; assign VAR43 = 1'b1; assign VAR61 = 1'b1; assign VAR32 = 1'b1; always @(posedge VAR37) begin VAR38 <= VAR66; VAR69 <= VAR26; VAR22 <= VAR28; VAR12 <= VAR23; VAR55 <= VAR21; VAR56 <= VAR15; VAR62 <= VAR10; VAR30 <= VAR16; end always @(posedge VAR18) begin if(VAR49) begin case(VAR9) 'h400:begin VAR27 <= VAR25; end endcase end end always @(posedge VAR18) begin case(VAR9) 'h400:begin VAR54 <= VAR27; end endcase VAR40 <= VAR52; end endmodule
mit
f3zz3h/Embedded-Co-Design
ts7300_top_restored/ethernet/eth_receivecontrol.v
14,431
module MODULE1 (VAR37, VAR35, VAR23, VAR1, VAR36, VAR11, VAR12, VAR54, VAR14, VAR24, VAR55, VAR33, VAR49, VAR15, VAR17, VAR59, VAR50, VAR13, VAR56, VAR60, VAR19, VAR27, VAR2, VAR34 ); parameter VAR18 = 1; input VAR37; input VAR35; input VAR23; input VAR1; input [7:0] VAR36; input VAR11; input VAR12; input VAR54; input VAR14; input VAR24; input [47:0]VAR55; input VAR33; input VAR49; input VAR15; input VAR17; input VAR59; input VAR50; input VAR13; input VAR27; input VAR2; output VAR56; output VAR60; output VAR19; output VAR34; reg VAR56; reg VAR19; reg VAR5; reg VAR32; reg VAR45; reg [2:0] VAR4; reg [4:0] VAR22; reg [15:0] VAR7; reg [15:0] VAR26; reg VAR60; reg VAR48; reg VAR44; reg VAR38; reg [15:0] VAR53; reg VAR51; reg [5:0] VAR41; wire [47:0] VAR58; wire [15:0] VAR42; wire VAR16; wire VAR40; wire VAR9; wire VAR57; wire VAR46; wire VAR39; wire VAR47; wire VAR52; wire VAR30; wire VAR31; wire VAR6; wire VAR29; wire VAR28; wire VAR8; wire VAR25; wire VAR43; wire VAR20; wire VAR21; wire VAR3; wire VAR10; assign VAR58 = 48'h0180C2000001; assign VAR42 = 16'h8808; always @ (posedge VAR35 or posedge VAR1) begin if(VAR1) VAR19 <= #VAR18 1'b0; end else if(VAR32 & VAR9) VAR19 <= #VAR18 VAR36[7:0] == VAR58[47:40] | VAR36[7:0] == VAR55[47:40]; else if(VAR32 & VAR57) VAR19 <= #VAR18 (VAR36[7:0] == VAR58[39:32] | VAR36[7:0] == VAR55[39:32]) & VAR19; else if(VAR32 & VAR46) VAR19 <= #VAR18 (VAR36[7:0] == VAR58[31:24] | VAR36[7:0] == VAR55[31:24]) & VAR19; else if(VAR32 & VAR39) VAR19 <= #VAR18 (VAR36[7:0] == VAR58[23:16] | VAR36[7:0] == VAR55[23:16]) & VAR19; else if(VAR32 & VAR47) VAR19 <= #VAR18 (VAR36[7:0] == VAR58[15:8] | VAR36[7:0] == VAR55[15:8]) & VAR19; else if(VAR32 & VAR52) VAR19 <= #VAR18 (VAR36[7:0] == VAR58[7:0] | VAR36[7:0] == VAR55[7:0]) & VAR19; else if(VAR24) VAR19 <= #VAR18 1'b0; end always @ (posedge VAR35 or posedge VAR1 ) begin if(VAR1) VAR5 <= #VAR18 1'b0; end else if(VAR32 & VAR30) VAR5 <= #VAR18 VAR30 & (VAR36[7:0] == VAR42[15:8]); else if(VAR32 & VAR31) VAR5 <= #VAR18 VAR31 & (VAR36[7:0] == VAR42[7:0]) & VAR5; else if(VAR24) VAR5 <= #VAR18 1'b0; end always @ (posedge VAR35 or posedge VAR1 ) begin if(VAR1) VAR45 <= #VAR18 1'b0; end else if(VAR28) VAR45 <= #VAR18 1'b0; else begin if(VAR32 & VAR6) VAR45 <= #VAR18 VAR6 & VAR36[7:0] == 8'h00; if(VAR32 & VAR29) VAR45 <= #VAR18 VAR29 & VAR36[7:0] == 8'h01 & VAR45; end end always @ (posedge VAR35 or posedge VAR1 ) begin if(VAR1) VAR48 <= #VAR18 1'b0; end else if(VAR24) VAR48 <= #VAR18 1'b0; else if(VAR28 & VAR5 & VAR45 & VAR19) VAR48 <= #VAR18 1'b1; end always @ (posedge VAR35 or posedge VAR1 ) begin if(VAR1) VAR7[15:0] <= #VAR18 16'h0; end else if(VAR12) VAR7[15:0] <= #VAR18 16'h0; else begin if(VAR32 & VAR28) VAR7[15:8] <= #VAR18 VAR36[7:0]; if(VAR32 & VAR8) VAR7[7:0] <= #VAR18 VAR36[7:0]; end end always @ (posedge VAR35 or posedge VAR1 ) begin if(VAR1) VAR32 <= #VAR18 1'b1; end else if(VAR25) VAR32 <= #VAR18 1'b0; else if(VAR24) VAR32 <= #VAR18 1'b1; end always @ (posedge VAR35 or posedge VAR1 ) begin if(VAR1) VAR26[15:0] <= #VAR18 16'h0; end else if(VAR32 & VAR48 & VAR25) VAR26[15:0] <= #VAR18 VAR7[15:0]; else if(VAR24) VAR26[15:0] <= #VAR18 16'h0; end always @ (posedge VAR35 or posedge VAR1) begin if(VAR1) VAR4 <= #VAR18 3'h0; end else if(VAR11 & VAR54) VAR4 <= #VAR18 3'h0; else if(VAR11 & ~VAR54 & ~VAR4[2]) VAR4 <= #VAR18 VAR4 + 1'b1; end assign VAR16 = VAR54; assign VAR40 = VAR11 & VAR32 & ~VAR25 & (~VAR33 | VAR33 & VAR4[2]); always @ (posedge VAR35 or posedge VAR1) begin if(VAR1) VAR22[4:0] <= #VAR18 5'h0; end else if(VAR16) VAR22[4:0] <= #VAR18 5'h0; else if(VAR40) VAR22[4:0] <= #VAR18 VAR22[4:0] + 1'b1; end assign VAR9 = VAR11 & VAR22[4:0] == 5'h0; assign VAR57 = VAR11 & VAR22[4:0] == 5'h1; assign VAR46 = VAR11 & VAR22[4:0] == 5'h2; assign VAR39 = VAR11 & VAR22[4:0] == 5'h3; assign VAR47 = VAR11 & VAR22[4:0] == 5'h4; assign VAR52 = VAR11 & VAR22[4:0] == 5'h5; assign VAR30 = VAR11 & VAR22[4:0] == 5'h0C; assign VAR31 = VAR11 & VAR22[4:0] == 5'h0D; assign VAR6 = VAR11 & VAR22[4:0] == 5'h0E; assign VAR29 = VAR11 & VAR22[4:0] == 5'h0F; assign VAR28 = VAR11 & VAR22[4:0] == 5'h10; assign VAR8 = VAR11 & VAR22[4:0] == 5'h11; assign VAR25 = VAR11 & VAR22[4:0] == 5'h12 & VAR32; assign VAR34 = VAR24 & VAR48 & VAR50 & VAR59 & VAR14; assign VAR43 = VAR10 & |VAR53; always @ (posedge VAR35 or posedge VAR1) begin if(VAR1) VAR53[15:0] <= #VAR18 16'h0; end else if(VAR34) VAR53[15:0] <= #VAR18 VAR26[15:0]; else if(VAR43) VAR53[15:0] <= #VAR18 VAR53[15:0] - 1'b1; end assign VAR20 = ~(|VAR53[15:0]); always @ (posedge VAR37 or posedge VAR23) begin if(VAR23) begin VAR44 <= #VAR18 1'b1; VAR38 <= #VAR18 1'b1; end else begin VAR44 <= #VAR18 VAR20; VAR38 <= #VAR18 VAR44; end end always @ (posedge VAR37 or posedge VAR23) begin if(VAR23) VAR56 <= #VAR18 1'b0; end else if((VAR49 | VAR15 | ~VAR13) & ~VAR17) VAR56 <= #VAR18 VAR14 & ~VAR38; end always @ (posedge VAR35 or posedge VAR1) begin if(VAR1) VAR51 <= #VAR18 1'b0; end else if(|VAR53[15:0] & VAR14) VAR51 <= #VAR18 ~VAR51; else VAR51 <= #VAR18 1'b0; end assign VAR21 = VAR1; assign VAR3 = VAR56 & VAR14 & VAR51; always @ (posedge VAR35 or posedge VAR1) begin if(VAR1) VAR41[5:0] <= #VAR18 6'h0; end else if(VAR21) VAR41[5:0] <= #VAR18 6'h0; else if(VAR3) VAR41[5:0] <= #VAR18 VAR41[5:0] + 1'b1; end assign VAR10 = &VAR41[5:0] & VAR3; always @ (posedge VAR35 or posedge VAR1) begin if(VAR1) VAR60 <=#VAR18 1'b0; end else if(VAR27 & VAR2 | VAR60 & (~VAR2)) VAR60 <=#VAR18 1'b0; else if(VAR28 & VAR5 & VAR45) VAR60 <=#VAR18 1'b1; end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/srsdfxtp/sky130_fd_sc_lp__srsdfxtp.behavioral.pp.v
2,938
module MODULE1 ( VAR13 , VAR5 , VAR18 , VAR11 , VAR3 , VAR6, VAR2 , VAR26 , VAR14 , VAR15 , VAR21 ); output VAR13 ; input VAR5 ; input VAR18 ; input VAR11 ; input VAR3 ; input VAR6; input VAR2 ; input VAR26 ; input VAR14 ; input VAR15 ; input VAR21 ; wire VAR24 ; wire VAR1 ; reg VAR16 ; wire VAR9 ; wire VAR10 ; wire VAR29 ; wire VAR20 ; wire VAR22 ; wire VAR27 ; wire VAR4 ; wire VAR19 ; wire VAR8; VAR12 VAR25 (VAR1 , VAR9, VAR10, VAR29 ); VAR7 VAR17 (VAR24 , VAR1, VAR20, VAR6, VAR16, VAR2, VAR14, VAR26); assign VAR22 = ( VAR6 === 1'b0 ); assign VAR27 = ( ( VAR29 === 1'b0 ) && VAR22 ); assign VAR4 = ( ( VAR29 === 1'b1 ) && VAR22 ); assign VAR19 = ( ( VAR9 !== VAR10 ) && VAR22 ); VAR30 VAR28 (VAR8, VAR24, VAR26, VAR14 ); buf VAR23 (VAR13 , VAR8 ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/ddr3_s4_uniphy_example_if0_p0_altdqdqs.v
5,763
module MODULE1 ( VAR76, VAR49, VAR12, VAR40, VAR32, VAR74, VAR46, VAR69, VAR25, VAR36, VAR71, VAR1, VAR21, VAR55, VAR5, VAR4, VAR19, VAR24, VAR73, VAR18, VAR22, VAR38, VAR66, VAR58, VAR37, VAR27, VAR60, VAR2, VAR59 ); input [6-1:0] VAR59; input VAR76; input VAR49; input VAR12; input VAR40; input VAR32; input VAR74; input VAR46; input [2-1:0] VAR69; inout [8-1:0] VAR25; input [2*8-1:0] VAR36; inout VAR71; input [2-1:0] VAR1; inout VAR21; input [2-1:0] VAR55; output [2 * 1 * 8-1:0] VAR5; output VAR4; input [2 * 2 * 8-1:0] VAR19; input [2 * 2 * 1-1:0] VAR24; output [1-1:0] VAR73; input [14-1:0] VAR18; input [14-1:0] VAR22; input VAR38; input VAR66; input VAR58; input [8-1:0] VAR37; input [1-1:0] VAR27; input VAR60; input VAR2; parameter VAR41 = ""; VAR17 VAR42 ( .VAR76( VAR76), .VAR49 (VAR49), .VAR12( VAR12), .VAR40( VAR40), .VAR32 (VAR32), .VAR74( VAR74), .VAR46( VAR46), .VAR69( VAR69), .VAR25( VAR25), .VAR36( VAR36), .VAR71( VAR71), .VAR1( VAR1), .VAR21( VAR21), .VAR55( VAR55), .VAR5( VAR5), .VAR4( VAR4), .VAR19( VAR19), .VAR24( VAR24), .VAR73( VAR73), .VAR18( VAR18), .VAR22( VAR22), .VAR38( VAR38), .VAR66( VAR66), .VAR58( VAR58), .VAR37( VAR37), .VAR27( VAR27), .VAR60( VAR60), .VAR2( VAR2), .VAR59(VAR59) ); endmodule
lgpl-3.0
nyaxt/dmix
ddr3_fb.v
4,964
module MODULE1( input wire clk, input wire rst, input wire VAR40, output wire VAR19, output wire VAR16, output wire [2:0] VAR35, output wire [5:0] VAR26, output wire [29:0] VAR12, input wire VAR20, input wire VAR13, output wire VAR5, output wire VAR24, output wire [3:0] VAR54, output wire [31:0] VAR39, input wire VAR32, input wire VAR1, input wire [6:0] VAR22, input wire VAR45, input wire VAR50, output wire VAR23, output wire VAR6, input wire [31:0] VAR14, input wire VAR7, input wire VAR18, input wire [6:0] VAR47, input wire VAR3, input wire VAR28, input wire [8:0] VAR52, input wire [6:0] VAR41, input wire VAR4, input wire VAR51, input wire VAR42, output wire [5:0] VAR9, output wire [5:0] VAR33, output wire [5:0] VAR25, output wire VAR49); reg [3:0] VAR31; localparam VAR10 = 1; localparam VAR8 = 2; localparam VAR46 = 4; wire [5:0] VAR44; wire [5:0] VAR43; wire [5:0] VAR36; assign VAR44 = VAR14[23:18]; assign VAR43 = VAR14[15:10]; assign VAR36 = VAR14[7:2]; reg [8:0] VAR15; reg [6:0] VAR29; reg VAR48; reg VAR2; reg [5:0] VAR53 [15:0]; reg [5:0] VAR34 [15:0]; reg [5:0] VAR30 [15:0]; wire [3:0] VAR21; assign VAR21 = {VAR2, VAR15[2:0]}; always @(posedge clk) begin if (rst) begin VAR31 <= VAR10; VAR15 <= 'b0; VAR29 <= 'b0; VAR48 <= 1'b0; VAR2 <= 1'b0; end else begin case (VAR31) VAR10: begin if (VAR40 && VAR42 == 1'b1 && VAR52[2:0] == 3'h0) begin if (VAR4 == 1'b1) begin VAR15 <= 9'h000; VAR48 <= 1'b1; VAR2 <= 1'b0; end else begin VAR15 <= VAR52 + 9'h008; VAR48 <= 1'b0; VAR2 <= ~VAR2; end VAR29 <= VAR41; if (VAR51 == 1'b0 && (VAR4 == 1'b0 || VAR48 == 1'b0)) begin VAR31 <= VAR8; end end end VAR8: begin VAR31 <= VAR46; end VAR46: begin if (VAR18 == 1'b1) begin VAR31 <= VAR46; end else begin VAR53[VAR21] <= VAR44; VAR34[VAR21] <= VAR43; VAR30[VAR21] <= VAR36; VAR15[2:0] <= VAR15[2:0] + 1; if (VAR15[2:0] != 3'h7) begin VAR31 <= VAR46; end else begin VAR31 <= VAR10; end end end endcase end end assign VAR19 = clk; assign VAR16 = (VAR31 == VAR8) ? 1'b1 : 1'b0; assign VAR35 = 3'b001; assign VAR26 = 6'h07; assign VAR12[29:0] = {12'h000, VAR29, VAR15, 2'b00}; assign VAR5 = clk; assign VAR24 = 1'b0; assign VAR54 = 4'b0000; assign VAR39 = 32'h0000; assign VAR23 = clk; assign VAR6 = (VAR31 == VAR46) ? 1'b1 : 1'b0; reg [5:0] VAR11; reg [5:0] VAR27; reg [5:0] VAR38; reg VAR17; wire [3:0] VAR37; assign VAR37 = VAR52[3:0]; always @(posedge clk) begin if (rst) begin VAR11 <= 6'h00; VAR27 <= 6'h00; VAR38 <= 6'h00; VAR17 <= 1'b1; end else begin if (VAR42) begin VAR11 <= VAR53[VAR37]; VAR27 <= VAR34[VAR37]; VAR38 <= VAR30[VAR37]; VAR17 <= 1'b1; end else begin VAR17 <= 1'b0; end end end assign VAR9 = VAR11; assign VAR33 = VAR27; assign VAR25 = VAR38; assign VAR49 = VAR17; endmodule
mit
ShirmanXia/EE469SPRING16
lab4/db/ip/nios_system/submodules/nios_system_nios2_qsys_0_jtag_debug_slave_tck.v
8,428
module MODULE1 ( VAR7, VAR30, VAR29, VAR13, VAR1, VAR28, VAR16, VAR21, VAR39, VAR25, VAR19, VAR14, VAR40, VAR9, VAR37, VAR32, VAR34, VAR20, VAR11, VAR10, VAR24, VAR35, VAR27, VAR38, VAR4, VAR23, VAR22, VAR26, VAR12, VAR33, VAR3 ) ; output [ 1: 0] VAR22; output VAR26; output [ 37: 0] VAR12; output VAR33; output VAR3; input [ 31: 0] VAR7; input [ 31: 0] VAR30; input VAR29; input VAR13; input VAR1; input VAR28; input VAR16; input [ 1: 0] VAR21; input VAR39; input VAR25; input VAR19; input VAR14; input VAR40; input VAR9; input VAR37; input VAR32; input [ 35: 0] VAR34; input VAR20; input [ 6: 0] VAR11; input VAR10; input VAR24; input VAR35; input VAR27; input VAR38; input VAR4; input VAR23; reg [ 2: 0] VAR17 ; wire VAR8; reg [ 1: 0] VAR22; wire VAR26; wire VAR15; reg [ 37: 0] VAR12 ; wire VAR33; wire VAR3; wire VAR6; wire VAR31; always @(posedge VAR9) begin if (VAR38) case (VAR21) 2'b00: begin VAR12[35] <= VAR8; VAR12[34] <= VAR25; VAR12[33] <= VAR40; VAR12[32 : 1] <= VAR7; VAR12[0] <= VAR15; end 2'b01: begin VAR12[35 : 0] <= VAR34; VAR12[37] <= VAR20; VAR12[36] <= VAR32; end 2'b10: begin VAR12[37] <= VAR27; VAR12[36] <= VAR28; VAR12[35] <= VAR1; VAR12[34] <= VAR13; VAR12[33] <= VAR29; VAR12[32 : 1] <= VAR30; VAR12[0] <= VAR35; end 2'b11: begin VAR12[15 : 2] <= VAR11; VAR12[1] <= VAR24; VAR12[0] <= VAR10; end endcase if (VAR4) case (VAR17) 3'b000: begin VAR12 <= {VAR37, VAR12[37 : 2], VAR37}; end 3'b001: begin VAR12 <= {VAR37, VAR12[37 : 9], VAR37, VAR12[7 : 1]}; end 3'b010: begin VAR12 <= {VAR37, VAR12[37 : 17], VAR37, VAR12[15 : 1]}; end 3'b011: begin VAR12 <= {VAR37, VAR12[37 : 33], VAR37, VAR12[31 : 1]}; end 3'b100: begin VAR12 <= {VAR37, VAR12[37], VAR37, VAR12[35 : 1]}; end 3'b101: begin VAR12 <= {VAR37, VAR12[37 : 1]}; end default: begin VAR12 <= {VAR37, VAR12[37 : 2], VAR37}; end endcase if (VAR23) case (VAR21) 2'b00: begin VAR17 <= 3'b100; end 2'b01: begin VAR17 <= 3'b101; end 2'b10: begin VAR17 <= 3'b101; end 2'b11: begin VAR17 <= 3'b010; end endcase end assign VAR3 = VAR12[0]; assign VAR33 = VAR39; assign VAR6 = VAR26; VAR36 VAR18 ( .clk (VAR9), .din (VAR16), .dout (VAR8), .VAR14 (VAR6) ); assign VAR31 = VAR26; VAR36 VAR2 ( .clk (VAR9), .din (VAR19), .dout (VAR15), .VAR14 (VAR31) ); always @(posedge VAR9 or negedge VAR26) begin if (VAR26 == 0) VAR22 <= 2'b0; end else VAR22 <= {VAR8, VAR15}; end assign VAR26 = VAR14; endmodule
gpl-3.0
hydai/Verilog-Practice
HardwareLab/Lab7/LCD_display.v
2,646
module MODULE1(VAR10, VAR8, VAR1, VAR12, VAR3, VAR9, VAR4, VAR11, VAR14, clk); input clk; input VAR14; input [0:255] VAR11; output VAR10; output VAR8; output VAR1; output VAR12; output VAR3; output VAR9; output [7:0] VAR4; reg [7:0] VAR4; reg [1:0] VAR16; reg [2:0] VAR6; reg [2:0] VAR5; reg [1:0] VAR15; reg [7:0] VAR7; reg [1:0] VAR2; reg VAR13; reg VAR8; reg VAR1; reg VAR9; wire clk; wire VAR12; wire VAR3; wire VAR10; always@(negedge clk or negedge VAR14) begin if(!VAR14) begin VAR13 <= 1'b1; VAR6 <= 3'b0; VAR15 <= 2'b00; VAR5 <= 3'o0; VAR7 = 0; VAR9<= 1'b0; VAR2 <= 2'b00; VAR16<= 2'b11; VAR1 <= 1'b0; VAR8 <= 1'b0; end else begin if(VAR2 < 2'b10) begin VAR2 <= VAR2 + 2'b1; VAR15[1]<= 1'b1; end else if(VAR15 != 2'b00) VAR15 <= VAR15 - 2'b1; end else if(VAR6 == 3'o0) begin VAR6 <= 3'o1; VAR9 <= 1'b1; VAR4<= 8'h3F; VAR2 <= 2'b00; end else if(VAR6 == 3'o1) begin VAR6 <= 3'o2; VAR4<= {2'b11,6'b000000}; VAR2 <= 2'b00; end else if(VAR6 == 3'o2) begin VAR6 <= 3'o3; VAR4<= 8'h40; VAR2 <= 2'b00; end else if(VAR6 == 3'o3) begin VAR6 <= 3'o4; VAR1 <= 1'b0; VAR7 = 0; VAR4<= {5'b10111,VAR5}; VAR2 <= 2'b00; end else if(VAR6 == 3'o4) begin if(VAR13) begin VAR16 <= 2'b11; if(VAR7 < 64) begin VAR7 = VAR7 + 8'h1; VAR1 <= 1'b1; VAR4<= 8'h00; VAR2 <= 2'b00; end else if(VAR5 < 3'o7) begin VAR6 <= 3'o3; VAR5 <= VAR5 + 3'o1; end else begin VAR6 <= 3'o3; VAR5 <= 3'o0; VAR13 <= 1'b0; end end else begin VAR16 <= 2'b01; if(VAR7 < 64) begin VAR1 <= 1'b1; if(VAR11[((VAR5<<1)<<4) + (VAR7>>2)] == 1'b1) VAR4[3:0] <= 4'hF; end else VAR4[3:0] <= 4'h0; if(VAR11[(((VAR5<<1)+1)<<4) + (VAR7>>2)] == 1'b1) VAR4[7:4] <= 4'hF; end else VAR4[7:4] <= 4'h0; VAR7 = VAR7 + 8'h1; VAR2<= 2'b00; end else begin VAR16 <= 2'b11; VAR6 <= 3'o3; VAR5 <= VAR5 + 3'o1; end end end end end assign VAR10 = VAR2[0]; assign VAR12 = VAR16[0]; assign VAR3 = VAR16[1]; endmodule
mit
Jawanga/ece385final
usb_system/synthesis/submodules/usb_system_mm_interconnect_1.v
16,686
module MODULE1 ( input wire VAR60, input wire VAR3, input wire [21:0] VAR95, output wire VAR69, input wire [0:0] VAR79, input wire [3:0] VAR75, input wire VAR56, output wire [31:0] VAR1, output wire VAR36, input wire VAR11, input wire [31:0] VAR16, input wire VAR93, output wire [1:0] VAR23, output wire VAR96, output wire VAR97, input wire [31:0] VAR31, output wire [31:0] VAR48, output wire VAR35 ); wire VAR54; wire [2:0] VAR26; wire [31:0] VAR34; wire [21:0] VAR41; wire VAR10; wire VAR70; wire VAR65; wire [31:0] VAR7; wire VAR61; wire [3:0] VAR84; wire VAR27; VAR71 #( .VAR53 (22), .VAR47 (32), .VAR37 (1), .VAR45 (4), .VAR5 (22), .VAR38 (3), .VAR8 (1), .VAR2 (1), .VAR68 (0), .VAR44 (0), .VAR51 (0), .VAR20 (1), .VAR64 (1), .VAR62 (1), .VAR80 (0), .VAR24 (0), .VAR82 (4), .VAR55 (1), .VAR74 (0), .VAR89 (0), .VAR13 (0), .VAR92 (0), .VAR81 (0) ) VAR63 ( .clk (VAR60), .reset (VAR3), .VAR43 (VAR41), .VAR25 (VAR26), .VAR88 (VAR65), .VAR72 (VAR70), .VAR90 (VAR54), .VAR40 (VAR27), .VAR22 (VAR84), .VAR9 (VAR7), .VAR94 (VAR34), .VAR98 (VAR10), .VAR32 (VAR61), .VAR6 (VAR95), .VAR78 (VAR69), .VAR91 (VAR79), .VAR101 (VAR75), .VAR4 (VAR56), .VAR28 (VAR1), .VAR14 (VAR36), .VAR58 (VAR11), .VAR76 (VAR16), .VAR42 (VAR93), .VAR52 (1'b0), .VAR49 (1'b0), .VAR50 (1'b0), .VAR57 (1'b0), .VAR83 (), .VAR29 (1'b1), .VAR66 (2'b00), .VAR15 (), .VAR33 (), .VAR99 (1'b0), .VAR39 (1'b0), .VAR86 () ); VAR17 #( .VAR53 (2), .VAR47 (32), .VAR100 (32), .VAR37 (1), .VAR45 (4), .VAR46 (4), .VAR5 (22), .VAR38 (3), .VAR18 (0), .VAR64 (0), .VAR62 (0), .VAR30 (0), .VAR80 (0), .VAR24 (0), .VAR82 (4), .VAR55 (0), .VAR74 (0), .VAR89 (0), .VAR13 (0), .VAR67 (0), .VAR85 (0), .VAR77 (2), .VAR87 (2), .VAR19 (2), .VAR12 (2) ) VAR73 ( .clk (VAR60), .reset (VAR3), .VAR43 (VAR41), .VAR25 (VAR26), .VAR88 (VAR65), .VAR72 (VAR70), .VAR90 (VAR54), .VAR40 (VAR27), .VAR22 (VAR84), .VAR9 (VAR7), .VAR94 (VAR34), .VAR98 (VAR10), .VAR32 (VAR61), .VAR6 (VAR23), .VAR58 (VAR96), .VAR4 (VAR97), .VAR28 (VAR31), .VAR76 (VAR48), .VAR50 (VAR35), .VAR49 (), .VAR52 (), .VAR91 (), .VAR101 (), .VAR14 (1'b0), .VAR78 (1'b0), .VAR59 (), .VAR57 (), .VAR29 (), .VAR83 (1'b0), .VAR42 (), .VAR21 (), .VAR66 (), .VAR15 (2'b00), .VAR33 (1'b0), .VAR99 (), .VAR39 (), .VAR86 (1'b0) ); endmodule
apache-2.0
alexforencich/verilog-ethernet
example/DE5-Net/fpga/cores/phy_reconfig.v
9,359
module MODULE1 ( output wire VAR3, input wire VAR2, input wire VAR33, input wire [6:0] VAR30, input wire VAR18, output wire [31:0] VAR11, output wire VAR20, input wire VAR31, input wire [31:0] VAR26, output wire [559:0] VAR35, input wire [367:0] VAR4 ); VAR17 #( .VAR25 ("VAR14 VAR23"), .VAR7 (8), .VAR29 (1), .VAR28 (1), .VAR32 (0), .VAR24 (1), .VAR6 (1), .VAR19 (0), .VAR8 (0), .VAR16 (0), .VAR1 (0), .VAR34 (0), .VAR22 (0) ) VAR9 ( .VAR3 (VAR3), .VAR2 (VAR2), .VAR33 (VAR33), .VAR30 (VAR30), .VAR18 (VAR18), .VAR11 (VAR11), .VAR20 (VAR20), .VAR31 (VAR31), .VAR26 (VAR26), .VAR35 (VAR35), .VAR4 (VAR4), .VAR15 (), .VAR21 (), .VAR13 (1'b0), .VAR27 (), .VAR5 (), .VAR10 (16'b0000000000000000), .VAR12 (1'b0) ); endmodule
mit
ptracton/vscale_soc
rtl/uart16550-1.5.4/rtl/verilog/uart_sync_flops.v
5,591
module MODULE1 ( VAR2, VAR8, VAR3, VAR6, VAR1, VAR5 ); parameter VAR9 = 1; parameter VAR4 = 1'b0; input VAR2; input VAR8; input VAR3; input VAR6; input [VAR9-1:0] VAR1; output [VAR9-1:0] VAR5; reg [VAR9-1:0] VAR5; reg [VAR9-1:0] VAR7; always @ (posedge VAR8 or posedge VAR2) begin if (VAR2) VAR7 <= {VAR9{VAR4}}; end else VAR7 <= VAR1; end always @ (posedge VAR8 or posedge VAR2) begin if (VAR2) VAR5 <= {VAR9{VAR4}}; end else if (VAR3) VAR5 <= {VAR9{VAR4}}; else if (VAR6) VAR5 <= VAR7; end endmodule
mit
jakubfi/mera400f
src/sevenseg.v
3,282
module MODULE4( input clk, input [6:0] VAR6 [7:0], input [7:0] VAR7, output reg [7:0] VAR13, output reg [7:0] VAR9 ); reg [0:18] counter; always @ (posedge clk) begin counter <= counter + 1'b1; end wire [2:0] addr = counter[0:2]; always @ (addr, VAR7, VAR6) begin VAR13 <= ~(1'b1 << addr); VAR9 <= ~{VAR7[addr], VAR6[addr]}; end endmodule module MODULE1( output reg [6:0] VAR9 ); assign VAR9 = VAR23; endmodule module MODULE2( input [10:0] VAR8, output reg [6:0] VAR9 ); always @ (VAR8) begin if (VAR8[10]) VAR9 <= VAR4; end else if (VAR8[6]) VAR9 <= VAR10; else if (VAR8[5]) VAR9 <= VAR18; else if (VAR8[4]) VAR9 <= VAR18; else if (VAR8[3]) VAR9 <= VAR10; else if (VAR8[2]) VAR9 <= VAR26; else if (VAR8[1]) VAR9 <= VAR10; else if (VAR8[0]) VAR9 <= VAR17; else VAR9 <= VAR23; end endmodule module MODULE3( input [10:0] VAR8, output reg [6:0] VAR9 ); always @ (VAR8) begin if (VAR8[10]) begin case (VAR8[9:7]) 3'd0 : VAR9 <= VAR28; 3'd1 : VAR9 <= VAR1; 3'd2 : VAR9 <= VAR24; 3'd3 : VAR9 <= VAR3; 3'd4 : VAR9 <= VAR15; 3'd5 : VAR9 <= VAR19; 3'd6 : VAR9 <= VAR25; 3'd7 : VAR9 <= VAR16; default : VAR9 <= VAR23; endcase end else begin if (VAR8[6]) VAR9 <= VAR5; end else if (VAR8[5]) VAR9 <= VAR5; end else if (VAR8[4]) VAR9 <= VAR4; end else if (VAR8[3]) VAR9 <= VAR4; else if (VAR8[2]) VAR9 <= VAR4; else if (VAR8[1]) VAR9 <= VAR12; else if (VAR8[0]) VAR9 <= VAR17; else VAR9 <= VAR23; end end endmodule module MODULE5( input [3:0] VAR2, output reg [6:0] VAR9 ); always @ (VAR2) begin case (VAR2) 4'h0 : VAR9 <= VAR28; 4'h1 : VAR9 <= VAR1; 4'h2 : VAR9 <= VAR24; 4'h3 : VAR9 <= VAR3; 4'h4 : VAR9 <= VAR15; 4'h5 : VAR9 <= VAR19; 4'h6 : VAR9 <= VAR25; 4'h7 : VAR9 <= VAR16; 4'h8 : VAR9 <= VAR22; 4'h9 : VAR9 <= VAR27; 4'ha : VAR9 <= VAR18; 4'hb : VAR9 <= VAR14; 4'hc : VAR9 <= VAR5; 4'hd : VAR9 <= VAR11; 4'he : VAR9 <= VAR21; 4'hf : VAR9 <= VAR20; endcase end endmodule
gpl-2.0
pwwu/FPGA
VGAbased/final/vga_font_gen.v
1,675
module MODULE1 ( input wire clk, input wire VAR6, input wire [9:0] VAR11, VAR4, output reg [2:0] VAR10 ); wire [10:0] VAR3; wire [6:0] VAR13; wire [3:0] VAR8; wire [2:0] VAR7; wire [7:0] VAR12; wire VAR5, VAR1; VAR14 VAR9 (.clk(clk), .addr(VAR3), .VAR2(VAR12)); assign VAR13 = {VAR4[5:4], VAR11[7:3]}; assign VAR8 = VAR4[3:0]; assign VAR3 = {VAR13, VAR8}; assign VAR7 = VAR11[2:0]; assign VAR5 = VAR12[~VAR7]; assign VAR1 = (VAR11[9:8]==0 && VAR4[9:6]==0) ? VAR5 : 1'b0; always @* if (~VAR6) VAR10 = 3'b001; else if (VAR1) VAR10 = 3'b110; else VAR10 = 3'b000; endmodule
mit
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/radio_controller_v1_22_a/hdl/verilog/user_logic.v
35,856
module MODULE1 ( VAR128, VAR157, VAR57, VAR122, VAR39, VAR144, VAR85, VAR213, VAR200, VAR7, VAR9, VAR201, VAR107, VAR141, VAR219, VAR98, VAR102, VAR173, VAR44, VAR26, VAR42, VAR38, VAR217, VAR175, VAR46, VAR95, VAR75, VAR28, VAR58, VAR22, VAR205, VAR192, VAR72, VAR154, VAR109, VAR174, VAR216, VAR222, VAR177, VAR112, VAR114, VAR156, VAR93, VAR60, VAR56, VAR214, VAR15, VAR82, VAR71, VAR138, VAR91, VAR188, VAR182, VAR208, VAR34, VAR80, VAR159, VAR115, VAR204, VAR61, VAR164, VAR230, VAR35, VAR146, VAR162, VAR94, VAR2, VAR137, VAR239, VAR47, VAR19, VAR27, VAR43, VAR10, VAR180, VAR206, VAR83, VAR158, VAR148, VAR227, VAR228, VAR121, VAR108, VAR68, VAR166, VAR118, VAR221, VAR124, VAR189, VAR229, VAR197, VAR194, VAR195, VAR123, VAR223, VAR150, VAR199, VAR84, VAR11, VAR17, VAR176, VAR96, VAR76, VAR67, VAR50, VAR190, VAR86, VAR143, VAR155, VAR1, VAR181, VAR129, VAR4, VAR225, VAR198, VAR12, VAR31, VAR64, VAR185, VAR87, VAR20, VAR100, VAR231, VAR142, VAR238, VAR120, VAR207, VAR151, VAR237, VAR168, VAR29, VAR55, VAR170, VAR5, VAR25, VAR211, VAR233 ); parameter VAR212 = 32; parameter VAR8 = 17; output VAR128; output VAR157; output VAR57; output VAR122; output VAR39; output VAR144; output VAR85; output VAR213; output VAR200; output VAR7; output VAR9; output VAR201; output VAR107; output VAR141; output VAR219; input VAR98; output VAR102; output VAR173; output [0 : 1] VAR44; output [0 : 2] VAR26; output VAR42; output VAR38; input VAR217; input VAR175; output VAR46; output VAR95; input [0 : 3] VAR75; output VAR28; output VAR58; input VAR22; output VAR205; input [0 : 9] VAR192; input VAR72; output VAR154; input VAR109; input VAR174; input VAR216; input VAR222; output [0 : 5] VAR177; output VAR112; output VAR114; output VAR156; output VAR93; output VAR60; input VAR56; output VAR214; output VAR15; output [0 : 1] VAR82; output [0 : 2] VAR71; output VAR138; output VAR91; input VAR188; input VAR182; output VAR208; output VAR34; input [0 : 3] VAR80; output VAR159; output VAR115; input VAR204; output VAR61; input [0 : 9] VAR164; input VAR230; output VAR35; input VAR146; input VAR162; input VAR94; input VAR2; output [0 : 5] VAR137; output VAR239; output VAR47; output VAR19; output VAR27; output VAR43; input VAR10; output VAR180; output VAR206; output [0 : 1] VAR83; output [0 : 2] VAR158; output VAR148; output VAR227; input VAR228; input VAR121; output VAR108; output VAR68; input [0 : 3] VAR166; output VAR118; output VAR221; input VAR124; output VAR189; input [0 : 9] VAR229; input VAR197; output VAR194; input VAR195; input VAR123; input VAR223; input VAR150; output [0 : 5] VAR199; output VAR84; output VAR11; output VAR17; output VAR176; output VAR96; input VAR76; output VAR67; output VAR50; output [0 : 1] VAR190; output [0 : 2] VAR86; output VAR143; output VAR155; input VAR1; input VAR181; output VAR129; output VAR4; input [0 : 3] VAR225; output VAR198; output VAR12; input VAR31; output VAR64; input [0 : 9] VAR185; input VAR87; output VAR20; input VAR100; input VAR231; input VAR142; input VAR238; output [0 : 5] VAR120; output VAR207; input VAR151; input VAR237; input [0 : VAR212-1] VAR168; input [0 : VAR212/8-1] VAR29; input [0 : VAR8-1] VAR55; input [0 : VAR8-1] VAR170; output [0 : VAR212-1] VAR5; output VAR25; output VAR211; output VAR233; reg [0 : VAR212-1] VAR163; reg [0 : VAR212-1] VAR63; reg [0 : VAR212-1] VAR209; reg [0 : VAR212-1] VAR77; reg [0 : VAR212-1] VAR99; reg [0 : VAR212-1] VAR161; reg [0 : VAR212-1] VAR191; reg [0 : VAR212-1] VAR165; reg [0 : VAR212-1] VAR140; reg [0 : VAR212-1] VAR130; reg [0 : VAR212-1] VAR3; reg [0 : VAR212-1] VAR160; reg [0 : VAR212-1] VAR202; reg [0 : VAR212-1] VAR49; reg [0 : VAR212-1] VAR41; reg [0 : VAR212-1] VAR152; reg [0 : VAR212-1] VAR187; wire [0 : 16] VAR97; wire [0 : 16] VAR36; reg [0 : VAR212-1] VAR6; wire VAR52; wire VAR171; integer VAR79, VAR65; wire [7:0] VAR40; wire VAR119; wire [13:0] VAR89; wire [7:0] VAR183; wire [3:0] VAR215; wire [17:0] VAR234; wire VAR24, VAR81, VAR48, VAR16; wire VAR147, VAR13, VAR37, VAR136; wire VAR135, VAR133, VAR23, VAR172; wire VAR59, VAR111, VAR113, VAR53; wire [0:5] VAR106, VAR51, VAR54, VAR232; wire [0:3] VAR127, VAR14, VAR167, VAR45; wire [0:3] VAR236, VAR240, VAR21, VAR210; wire [0:7] VAR74, VAR104, VAR117; wire [0:7] VAR139, VAR126, VAR218; wire [0:7] VAR62, VAR153, VAR69; wire [0:7] VAR226, VAR220, VAR18; wire [0:11] VAR110, VAR88, VAR125, VAR134; assign VAR122 = VAR40[0]; assign VAR39 = VAR40[1]; assign VAR144 = VAR40[2]; assign VAR85 = VAR40[3]; assign VAR213 = VAR40[4]; assign VAR200 = VAR40[5]; assign VAR7 = VAR40[6]; assign VAR9 = VAR40[7]; assign VAR89 = VAR161[18:31]; assign VAR215 = VAR191[28:31]; assign VAR183 = VAR165[24:31]; assign VAR234 = VAR140[14:31]; VAR90 VAR90( .VAR235(VAR151), .VAR184(VAR237), .VAR89(VAR89), .VAR183(VAR183), .VAR215(VAR215), .VAR234(VAR234), .VAR33(VAR170[5]), .VAR179(VAR168[23]), .VAR196(VAR119), .VAR40(VAR40), .VAR132(VAR157), .VAR116(VAR57) ); assign VAR128 = VAR151; assign VAR201 = (VAR163[27])?~VAR109:~VAR163[31]; assign VAR81 = (VAR163[19])?VAR174:VAR163[23]; assign VAR141 = (VAR163[11])?VAR216:VAR163[15]; assign VAR219 = (VAR163[3])?VAR222:VAR163[7]; assign VAR48 = VAR63[31]; assign VAR16 = VAR63[27]; assign VAR102 = ~(VAR48 & VAR24); assign VAR173 = ~(VAR16 & VAR24); assign VAR44[0] = (VAR163[19])? VAR174 : VAR63[15]; assign VAR44[1] = (VAR163[11])? VAR216 : ~VAR63[15]; assign VAR42 = VAR63[7]; assign VAR26[0] = VAR141; assign VAR26[1] = VAR107; assign VAR26[2] = ~VAR98; assign VAR46 = VAR209[23]; assign VAR95 = VAR209[19]; assign VAR205 = VAR209[15]; assign VAR154 = VAR63[11]; assign VAR38 = 1'b1; assign VAR28 = 1'b0; assign VAR58 = 1'b0; assign VAR106 = VAR130[0:5]; assign VAR127 = VAR130[6:9]; assign VAR236 = VAR130[10:13]; assign VAR74 = VAR49[0:7]; assign VAR104 = VAR49[8:15]; assign VAR117 = VAR49[16:23]; assign VAR110 = VAR130[16:27]; VAR92 VAR169 ( .clk(VAR151), .reset(VAR237), .VAR105(VAR81), .VAR149(VAR106), .VAR30(VAR127), .VAR203(VAR236), .VAR101(VAR117), .VAR66(VAR110), .VAR193(VAR104), .VAR186(VAR74), .VAR224(VAR107), .VAR70(VAR177), .VAR73(VAR24), .VAR32(VAR112) ); assign VAR114 = (VAR163[26])?~VAR146:~VAR163[30]; assign VAR13 = (VAR163[18])?VAR162:VAR163[22]; assign VAR93 = (VAR163[10])?VAR94:VAR163[14]; assign VAR60 = (VAR163[2])?VAR2:VAR163[6]; assign VAR37 = VAR63[30]; assign VAR136 = VAR63[26]; assign VAR214 = ~(VAR37 & VAR147); assign VAR15 = ~(VAR136 & VAR147); assign VAR82[0] = (VAR163[18])? VAR162 : VAR63[14]; assign VAR82[1] = (VAR163[10])? VAR94 : ~VAR63[14]; assign VAR138 = VAR63[6]; assign VAR71[0] = VAR93; assign VAR71[1] = VAR156; assign VAR71[2] = ~VAR56; assign VAR208 = VAR209[22]; assign VAR34 = VAR209[18]; assign VAR61 = VAR209[14]; assign VAR35 = VAR63[10]; assign VAR91 = 1'b1; assign VAR159 = 1'b0; assign VAR115 = 1'b0; assign VAR51 = VAR3[0:5]; assign VAR14 = VAR3[6:9]; assign VAR240 = VAR3[10:13]; assign VAR139 = VAR41[0:7]; assign VAR126 = VAR41[8:15]; assign VAR218 = VAR41[16:23]; assign VAR88 = VAR3[16:27]; VAR92 VAR178 ( .clk(VAR151), .reset(VAR237), .VAR105(VAR13), .VAR149(VAR51), .VAR30(VAR14), .VAR203(VAR240), .VAR101(VAR218), .VAR66(VAR88), .VAR193(VAR126), .VAR186(VAR139), .VAR224(VAR156), .VAR70(VAR137), .VAR73(VAR147), .VAR32(VAR239) ); assign VAR47 = (VAR163[25])?~VAR195:~VAR163[29]; assign VAR133 = (VAR163[17])?VAR123:VAR163[21]; assign VAR27 = (VAR163[9])?VAR223:VAR163[13]; assign VAR43 = (VAR163[1])?VAR150:VAR163[5]; assign VAR23 = VAR63[29]; assign VAR172 = VAR63[25]; assign VAR180 = ~(VAR23 & VAR135); assign VAR206 = ~(VAR172 & VAR135); assign VAR83[0] = (VAR163[17])? VAR123 : VAR63[13]; assign VAR83[1] = (VAR163[9])? VAR223 : ~VAR63[13]; assign VAR148 = VAR63[5]; assign VAR158[0] = VAR27; assign VAR158[1] = VAR19; assign VAR158[2] = ~VAR10; assign VAR108 = VAR209[21]; assign VAR68 = VAR209[17]; assign VAR189 = VAR209[13]; assign VAR194 = VAR63[9]; assign VAR227 = 1'b1; assign VAR118 = 1'b0; assign VAR221 = 1'b0; assign VAR54 = VAR160[0:5]; assign VAR167 = VAR160[6:9]; assign VAR21 = VAR160[10:13]; assign VAR62 = VAR152[0:7]; assign VAR153 = VAR152[8:15]; assign VAR69 = VAR152[16:23]; assign VAR125 = VAR160[16:27]; VAR92 VAR103 ( .clk(VAR151), .reset(VAR237), .VAR105(VAR133), .VAR149(VAR54), .VAR30(VAR167), .VAR203(VAR21), .VAR101(VAR69), .VAR66(VAR125), .VAR193(VAR153), .VAR186(VAR62), .VAR224(VAR19), .VAR70(VAR199), .VAR73(VAR135), .VAR32(VAR84) ); assign VAR11 = (VAR163[24])?~VAR100:~VAR163[28]; assign VAR111 = (VAR163[16])?VAR231:VAR163[20]; assign VAR176 = (VAR163[8])?VAR142:VAR163[12]; assign VAR96 = (VAR163[0])?VAR238:VAR163[4]; assign VAR113 = VAR63[28]; assign VAR53 = VAR63[24]; assign VAR67 = ~(VAR113 & VAR59); assign VAR50 = ~(VAR53 & VAR59); assign VAR190[0] = (VAR163[16])? VAR231 : VAR63[12]; assign VAR190[1] = (VAR163[8])? VAR142 : ~VAR63[12]; assign VAR143 = VAR63[4]; assign VAR86[0] = VAR176; assign VAR86[1] = VAR17; assign VAR86[2] = ~VAR76; assign VAR129 = VAR209[20]; assign VAR4 = VAR209[16]; assign VAR64 = VAR209[12]; assign VAR20 = VAR63[8]; assign VAR155 = 1'b1; assign VAR198 = 1'b0; assign VAR12 = 1'b0; assign VAR232 = VAR202[0:5]; assign VAR45 = VAR202[6:9]; assign VAR210 = VAR202[10:13]; assign VAR226 = VAR187[0:7]; assign VAR220 = VAR187[8:15]; assign VAR18 = VAR187[16:23]; assign VAR134 = VAR202[16:27]; VAR92 VAR78 ( .clk(VAR151), .reset(VAR237), .VAR105(VAR111), .VAR149(VAR232), .VAR30(VAR45), .VAR203(VAR210), .VAR101(VAR18), .VAR66(VAR134), .VAR193(VAR220), .VAR186(VAR226), .VAR224(VAR17), .VAR70(VAR120), .VAR73(VAR59), .VAR32(VAR207) ); assign VAR97 = VAR170[0:16], VAR36 = VAR55[0:16], VAR171 = VAR170[0] || VAR170[1] || VAR170[2] || VAR170[3] || VAR170[4] || VAR170[5] || VAR170[6] || VAR170[7] || VAR170[8] || VAR170[9] || VAR170[10] || VAR170[11] || VAR170[12] || VAR170[13] || VAR170[14] || VAR170[15] || VAR170[16], VAR52 = VAR55[0] || VAR55[1] || VAR55[2] || VAR55[3] || VAR55[4] || VAR55[5] || VAR55[6] || VAR55[7] || VAR55[8] || VAR55[9] || VAR55[10] || VAR55[11] || VAR55[12] || VAR55[13] || VAR55[14] || VAR55[15] || VAR55[16]; always @( posedge VAR151 ) begin: VAR145 if ( VAR237 == 1 ) begin VAR163 <= 0; VAR63 <= 0; VAR209 <= 0; VAR77 <= 0; VAR99 <= 0; VAR161 <= 0; VAR191 <= 0; VAR165 <= 0; VAR140 <= 0; VAR130 <= {14'h3fff, 22'h0}; VAR3 <= {14'h3fff, 22'h0}; VAR160 <= {14'h3fff, 22'h0}; VAR202 <= {14'h3fff, 22'h0}; VAR49 <= 0; VAR41 <= 0; VAR152 <= 0; VAR187 <= 0; end else case ( VAR97 ) 17'b10000000000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR163[VAR65] <= VAR168[VAR65]; 17'b01000000000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR63[VAR65] <= VAR168[VAR65]; 17'b00100000000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR209[VAR65] <= VAR168[VAR65]; 17'b00010000000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR77[VAR65] <= VAR168[VAR65]; 17'b00001000000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR99[VAR65] <= VAR168[VAR65]; 17'b00000100000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR161[VAR65] <= VAR168[VAR65]; 17'b00000010000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR191[VAR65] <= VAR168[VAR65]; 17'b00000001000000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR165[VAR65] <= VAR168[VAR65]; 17'b00000000100000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR140[VAR65] <= VAR168[VAR65]; 17'b00000000010000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR130[VAR65] <= VAR168[VAR65]; 17'b00000000001000000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR3[VAR65] <= VAR168[VAR65]; 17'b00000000000100000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR160[VAR65] <= VAR168[VAR65]; 17'b00000000000010000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR202[VAR65] <= VAR168[VAR65]; 17'b00000000000001000 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR49[VAR65] <= VAR168[VAR65]; 17'b00000000000000100 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR41[VAR65] <= VAR168[VAR65]; 17'b00000000000000010 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR152[VAR65] <= VAR168[VAR65]; 17'b00000000000000001 : for ( VAR79 = 0; VAR79 <= (VAR212/8)-1; VAR79 = VAR79+1 ) if ( VAR29[VAR79] == 1 ) for ( VAR65 = VAR79*8; VAR65 <= VAR79*8+7; VAR65 = VAR65+1 ) VAR187[VAR65] <= VAR168[VAR65]; default : ; endcase end always @( VAR36 or VAR163 or VAR63 or VAR209 or VAR77 or VAR99 or VAR161 or VAR191 or VAR165 or VAR140 or VAR130 or VAR3 or VAR160 or VAR202 or VAR49 or VAR41 or VAR152 or VAR187 ) begin: VAR131 case ( VAR36 ) 17'b10000000000000000 : VAR6 <= VAR163; 17'b01000000000000000 : VAR6 <= {VAR155, VAR227, VAR91, VAR38, VAR63[4:19], VAR76, VAR10, VAR56, VAR98, VAR63[24:31]}; 17'b00100000000000000 : VAR6 <= {VAR198, VAR118, VAR159, VAR28, VAR12, VAR221, VAR115, VAR58, VAR31, VAR124, VAR204, VAR22, VAR99[12:23], VAR181, VAR121, VAR182, VAR175, VAR1, VAR228, VAR188, VAR217}; 17'b00010000000000000 : VAR6 <= {VAR230, VAR77[1], VAR80[3], VAR80[2], VAR80[1], VAR80[0], VAR164, VAR72, VAR77[17], VAR75[3], VAR75[2], VAR75[1], VAR75[0], VAR192}; 17'b00001000000000000 : VAR6 <= {VAR87, VAR99[1], VAR225[3], VAR225[2], VAR225[1], VAR225[0], VAR185, VAR197, VAR99[17], VAR166[3], VAR166[2], VAR166[1], VAR166[0], VAR229}; 17'b00000100000000000 : VAR6 <= {VAR161[0:22], VAR119, VAR161[24:31]}; 17'b00000010000000000 : VAR6 <= VAR191; 17'b00000001000000000 : VAR6 <= VAR165; 17'b00000000100000000 : VAR6 <= VAR140; 17'b00000000010000000 : VAR6 <= VAR130; 17'b00000000001000000 : VAR6 <= VAR3; 17'b00000000000100000 : VAR6 <= VAR160; 17'b00000000000010000 : VAR6 <= VAR202; 17'b00000000000001000 : VAR6 <= VAR49; 17'b00000000000000100 : VAR6 <= VAR41; 17'b00000000000000010 : VAR6 <= VAR152; 17'b00000000000000001 : VAR6 <= VAR187; default : VAR6 <= 0; endcase end assign VAR5 = VAR6; assign VAR211 = VAR171; assign VAR25 = VAR52; assign VAR233 = 0; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a22o/sky130_fd_sc_hdll__a22o.symbol.v
1,371
module MODULE1 ( input VAR2, input VAR7, input VAR1, input VAR6, output VAR8 ); supply1 VAR4; supply0 VAR9; supply1 VAR5 ; supply0 VAR3 ; endmodule
apache-2.0
ryos36/polyphony-tutorial
OpenSuCo2017/VivadoHLS/fib.v
4,349
module MODULE1 ( VAR5, VAR26, VAR3, VAR8, VAR6, VAR27, VAR18, VAR11 ); parameter VAR24 = 2'b1; parameter VAR2 = 2'b10; parameter VAR9 = 32'b00000000000000000000000000000000; parameter VAR4 = 32'b1; parameter VAR10 = 64'b1; parameter VAR12 = 64'b0000000000000000000000000000000000000000000000000000000000000000; parameter VAR14 = 31'b0000000000000000000000000000000; parameter VAR7 = 31'b1; input VAR5; input VAR26; input VAR3; output VAR8; output VAR6; output VAR27; input [31:0] VAR18; output [63:0] VAR11; reg VAR8; reg VAR6; reg VAR27; reg [1:0] VAR25; wire [0:0] VAR13; wire [30:0] VAR17; wire [0:0] VAR20; wire [63:0] VAR19; wire [0:0] VAR16; reg [63:0] VAR22; reg [63:0] VAR21; reg [30:0] VAR1; wire [31:0] VAR23; reg [1:0] VAR15;
mit
efabless/openlane
designs/spm/src/spm.v
2,781
module MODULE3(clk, rst, VAR10, VAR16, VAR2); parameter VAR3 = 32; input clk, rst; input VAR16; input[VAR3-1:0] VAR10; output VAR2; wire[VAR3-1:1] VAR18; wire[VAR3-1:0] VAR11; genvar VAR17; MODULE2 MODULE1 (.clk(clk), .rst(rst), .VAR10(VAR10[0]&VAR16), .VAR16(VAR18[1]), .sum(VAR2)); generate for(VAR17=1; VAR17<VAR3-1; VAR17=VAR17+1) begin MODULE2 MODULE3 (.clk(clk), .rst(rst), .VAR10(VAR10[VAR17]&VAR16), .VAR16(VAR18[VAR17+1]), .sum(VAR18[VAR17])); end endgenerate MODULE1 MODULE2 (.clk(clk), .rst(rst), .VAR8(VAR10[VAR3-1]&VAR16), .VAR14(VAR18[VAR3-1])); endmodule module MODULE1(clk, rst, VAR8, VAR14); input clk, rst; input VAR8; output reg VAR14; reg VAR6; always @(posedge clk or posedge rst) begin if (rst) begin VAR14 <= 1'b0; VAR6 <= 1'b0; end else begin VAR6 <= VAR8 | VAR6; VAR14 <= VAR8 ^ VAR6; end end endmodule module MODULE2(clk, rst, VAR10, VAR16, sum); input clk, rst; input VAR10, VAR16; output reg sum; reg VAR15; wire VAR4, VAR12; assign VAR4 = VAR16 ^ VAR15; assign VAR12 = VAR16 & VAR15; wire VAR9, VAR7; assign VAR9 = VAR10 ^ VAR4; assign VAR7 = VAR10 & VAR4; always @(posedge clk or posedge rst) begin if (rst) begin sum <= 1'b0; VAR15 <= 1'b0; end else begin sum <= VAR9; VAR15 <= VAR12 ^ VAR7; end end endmodule
apache-2.0
benreynwar/fpga-sdrlib
verilog/uhd/bits.v
1,902
module MODULE1 parameter VAR5 = 32 ) ( input wire clk, input wire reset, input wire [VAR5-1:0] VAR10, input wire VAR11, output reg [VAR5-1:0] VAR2, output reg VAR6, output reg VAR9 ); reg ready; reg [VAR8-1:0] VAR7; reg VAR3; reg [VAR5-1:0] VAR1; always @ (posedge clk) if (reset) begin ready <= 1'b1; VAR9 <= 1'b0; VAR6 <= 1'b0; end else if (VAR9) begin VAR6 <= 1'b1; VAR2 <= VAR4; end else begin if (VAR11) begin if (!ready) VAR9 <= 1'b1; VAR1 <= VAR10; ready <= 1'b0; VAR7 <= VAR5-1; VAR6 <= 1; VAR2 <= VAR5-1; VAR3 <= 0; end else if (!ready) begin VAR6 <= 1'b1; VAR3 <= ~VAR3; if (VAR3) begin VAR2 <= VAR7; end else begin VAR2 <= VAR1[VAR7]; if (!(|VAR7)) ready <= 1'b1; end else VAR7 <= VAR7 - 1; end end else VAR6 <= 1'b0; end endmodule
mit
hoglet67/AtomBusMon
src/MultiBootLoader.v
9,488
module MODULE1 ( input VAR26, input VAR59, input [3:0] VAR20, output VAR51, output VAR18, output VAR10, output VAR3, output VAR15, output VAR37, output VAR9, output VAR33, output VAR40, output VAR42, output VAR5 ); reg [1:0] clk; reg [15:0] VAR7; reg VAR31; reg VAR52; reg [15:0] VAR21; reg VAR11; reg VAR17; reg [15:0] VAR1 = 16'h0000; reg [24:0] counter; VAR45 VAR56 ( .VAR25 (), .VAR29 (), .VAR16 (VAR11), .VAR50 (clk[0]), .VAR23 (VAR21), .VAR28 (VAR17) ); parameter VAR22 = 0, VAR24 = 1, VAR32 = 2, VAR55 = 3, VAR36 = 4, VAR53 = 5, VAR19 = 6, VAR6 = 7, VAR8 = 8, VAR57 = 9, VAR41 = 10, VAR49 = 11, VAR38 = 12, VAR14 = 13, VAR30 = 14, VAR43 = 15, VAR47 = 16, VAR58 = 17, VAR2 = 18, VAR13 = 19, VAR48 = 20, VAR46 = 21, VAR44 = 22, VAR4 = 23, VAR39 = 24, VAR54 = 25, VAR12 = 26; reg [4:0] state = VAR22; reg [4:0] VAR35; always @(VAR1 or state or VAR20 or VAR59) begin: VAR27 case (state) VAR22: begin if (VAR1==16'hffff) begin VAR35 = VAR24; VAR31 = 0; VAR52 = 0; VAR7 = 16'hAA99; end else begin VAR35 = VAR22; VAR31 = 1; VAR52 = 1; VAR7 = 16'hFFFF; end end VAR24: begin VAR35 = VAR32; VAR31 = 0; VAR52 = 0; VAR7 = 16'h5566; end VAR32: begin VAR35 = VAR53; VAR31 = 0; VAR52 = 0; VAR7 = 16'h3261; end VAR53: begin VAR35 = VAR19; VAR31 = 0; VAR52 = 0; case ({VAR59, VAR20}) 5'b11110: VAR7 = 16'h8000; 5'b11101: VAR7 = 16'hC000; 5'b01101: VAR7 = 16'hC000; 5'b01110: VAR7 = 16'h0000; 5'b11100: VAR7 = 16'h4000; 5'b01100: VAR7 = 16'h4000; default: VAR7 = 16'h4000; endcase end VAR19: begin VAR35 = VAR6; VAR31 = 0; VAR52 = 0; VAR7 = 16'h3281; end VAR6: begin VAR35 = VAR8; VAR31 = 0; VAR52 = 0; case ({VAR59, VAR20}) 5'b11110: VAR7 = 16'h030A; 5'b11101: VAR7 = 16'h030F; 5'b01101: VAR7 = 16'h030F; 5'b01110: VAR7 = 16'h0315; 5'b11100: VAR7 = 16'h031A; 5'b01100: VAR7 = 16'h031A; default: VAR7 = 16'h0305; endcase end VAR8: begin VAR35 = VAR46; VAR31 = 0; VAR52 = 0; VAR7 = 16'h30A1; end VAR46: begin VAR35 = VAR44; VAR31 = 0; VAR52 = 0; VAR7 = 16'h000E; end VAR44: begin VAR35 = VAR4; VAR31 = 0; VAR52 = 0; VAR7 = 16'h2000; end VAR4: begin VAR35 = VAR39; VAR31 = 0; VAR52 = 0; VAR7 = 16'h2000; end VAR39: begin VAR35 = VAR54; VAR31 = 0; VAR52 = 0; VAR7 = 16'h2000; end VAR54: begin VAR35 = VAR12; VAR31 = 0; VAR52 = 0; VAR7 = 16'h2000; end VAR12: begin VAR35 = VAR22; VAR31 = 1; VAR52 = 1; VAR7 = 16'h1111; end default: begin VAR35 = VAR22; VAR31 = 1; VAR52 = 1; VAR7 = 16'h1111; end endcase end always@(posedge VAR26) begin if (clk == 2'b00) clk <= 2'b10; end else if (clk == 2'b10) clk <= 2'b11; else if (clk == 2'b11) clk <= 2'b01; else clk <= 2'b00; end always @(posedge clk[1]) begin if (VAR1 == 16'hffff) begin state <= VAR35; end else begin VAR1 <= VAR1 + 1'b1; state <= VAR22; end end always @(posedge clk[1]) begin: VAR34 VAR21[0] <= VAR7[7]; VAR21[1] <= VAR7[6]; VAR21[2] <= VAR7[5]; VAR21[3] <= VAR7[4]; VAR21[4] <= VAR7[3]; VAR21[5] <= VAR7[2]; VAR21[6] <= VAR7[1]; VAR21[7] <= VAR7[0]; VAR21[8] <= VAR7[15]; VAR21[9] <= VAR7[14]; VAR21[10] <= VAR7[13]; VAR21[11] <= VAR7[12]; VAR21[12] <= VAR7[11]; VAR21[13] <= VAR7[10]; VAR21[14] <= VAR7[9]; VAR21[15] <= VAR7[8]; VAR11 <= VAR31; VAR17 <= VAR52; end always@(posedge VAR26) begin counter <= counter + 1'b1; end assign VAR51 = 1'b1; assign VAR18 = 1'b0; assign VAR10 = 1'b0; assign VAR3 = counter[24]; assign VAR15 = ~counter[24]; assign VAR37 = 1'b0; assign VAR9 = state[4]; assign VAR33 = state[3]; assign VAR40 = state[2]; assign VAR42 = state[1]; assign VAR5 = state[0]; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a21o/sky130_fd_sc_hd__a21o.functional.pp.v
1,994
module MODULE1 ( VAR9 , VAR7 , VAR2 , VAR16 , VAR1, VAR14, VAR4 , VAR5 ); output VAR9 ; input VAR7 ; input VAR2 ; input VAR16 ; input VAR1; input VAR14; input VAR4 ; input VAR5 ; wire VAR12 ; wire VAR3 ; wire VAR15; and VAR11 (VAR12 , VAR7, VAR2 ); or VAR10 (VAR3 , VAR12, VAR16 ); VAR13 VAR8 (VAR15, VAR3, VAR1, VAR14); buf VAR6 (VAR9 , VAR15 ); endmodule
apache-2.0
bgamari/timetag-fpga
register.v
1,443
module MODULE1( VAR9, VAR3, VAR4, VAR6, clk, VAR11 ); parameter VAR1 = 1; input VAR9; input [15:0] VAR3; inout [31:0] VAR4; input VAR6; input clk; output [31:0] VAR11; reg [31:0] VAR11; VAR5 VAR11 = 32'h0; always @(posedge VAR9) if (VAR3 == VAR1 && VAR6) VAR11 <= VAR4; assign VAR4 = (VAR3 == VAR1 && !VAR6) ? VAR11 : 32'VAR8; endmodule module MODULE2( VAR9, VAR3, VAR4, VAR6, VAR11 ); parameter VAR1 = 1; input VAR9; input [15:0] VAR3; inout [31:0] VAR4; input VAR6; input [31:0] VAR11; assign VAR4 = (VAR3 == VAR1 && !VAR6) ? VAR11 : 32'VAR8; endmodule module MODULE3( VAR9, VAR3, VAR4, VAR6, VAR7, VAR10 ); parameter VAR1 = 1; input VAR9; input [15:0] VAR3; inout [31:0] VAR4; input VAR6; input VAR7; input VAR10; reg [31:0] VAR2; VAR5 VAR2 = 32'h0; reg [31:0] VAR11; reg reset; VAR5 reset = 0; always @(posedge VAR7) begin VAR2 <= reset ? 0 : VAR2 + VAR10; end always @(posedge VAR9) begin VAR11 <= VAR2; if (VAR3 == VAR1 && VAR6) reset <= 1; end else if (reset) reset <= 0; end assign VAR4 = (VAR3 == VAR1 && !VAR6) ? VAR11 : 32'VAR8; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/isobufsrc/sky130_fd_sc_hdll__isobufsrc_16.v
2,264
module MODULE2 ( VAR1 , VAR3, VAR8 , VAR5 , VAR2 , VAR6 , VAR4 ); output VAR1 ; input VAR3; input VAR8 ; input VAR5 ; input VAR2 ; input VAR6 ; input VAR4 ; VAR9 VAR7 ( .VAR1(VAR1), .VAR3(VAR3), .VAR8(VAR8), .VAR5(VAR5), .VAR2(VAR2), .VAR6(VAR6), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR1 , VAR3, VAR8 ); output VAR1 ; input VAR3; input VAR8 ; supply1 VAR5; supply0 VAR2; supply1 VAR6 ; supply0 VAR4 ; VAR9 VAR7 ( .VAR1(VAR1), .VAR3(VAR3), .VAR8(VAR8) ); endmodule
apache-2.0
SymbiFlow/yosys
techlibs/anlogic/cells_map.v
3,928
module \VAR3 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR30"), .VAR45("VAR7"), .VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10) ,.VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR13 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR21"), .VAR45("VAR7"), .VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10), .VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR53 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR30"), .VAR45("VAR14"), .VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10), .VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR5 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR21"), .VAR45("VAR14"), . VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10), .VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR17 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR30"), .VAR45("VAR7"), .VAR18("VAR25")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10) ,.VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR36 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR21"), .VAR45("VAR7"), .VAR18("VAR25")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10), .VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR11 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR30"), .VAR45("VAR14"), .VAR18("VAR25")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10), .VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR29 (input VAR2, VAR10, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR4"), .VAR37("VAR21"), .VAR45("VAR14"), . VAR18("VAR25")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR10), .VAR41(VAR51), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR40 (input VAR2, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR35"), .VAR37("VAR30"), .VAR45("VAR7"), .VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR51) ,.VAR41(1'b1), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR28 (input VAR2, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR35"), .VAR37("VAR21"), .VAR45("VAR7"), .VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR51), .VAR41(1'b1), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR54 (input VAR2, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR35"), .VAR37("VAR30"), .VAR45("VAR14"), .VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR51), .VAR41(1'b1), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module \VAR19 (input VAR2, VAR43, VAR51, output VAR32); VAR48 #(.VAR6("VAR35"), .VAR37("VAR21"), .VAR45("VAR14"), . VAR18("VAR38")) VAR34 (.VAR55(VAR2), .VAR22(VAR32), .clk(VAR51), .VAR41(1'b1), .VAR39(VAR43)); wire VAR24 = 1'b1; endmodule module MODULE12 (VAR42, VAR46); parameter VAR9 = 0; parameter VAR1 = 0; input [VAR9-1:0] VAR42; output VAR46; generate if (VAR9 == 1) begin VAR12 #(.VAR23(""),.VAR26(VAR1)) VAR34 (.VAR52(VAR46), .VAR15(VAR42[0])); end else if (VAR9 == 2) begin VAR27 #(.VAR23(""),.VAR26(VAR1)) VAR34 (.VAR52(VAR46), .VAR15(VAR42[0]), .VAR16(VAR42[1])); end else if (VAR9 == 3) begin VAR20 #(.VAR23(""),.VAR26(VAR1)) VAR34 (.VAR52(VAR46), .VAR15(VAR42[0]), .VAR16(VAR42[1]), .VAR47(VAR42[2])); end else if (VAR9 == 4) begin VAR33 #(.VAR23(""),.VAR26(VAR1)) VAR34 (.VAR52(VAR46), .VAR15(VAR42[0]), .VAR16(VAR42[1]), .VAR47(VAR42[2]), .VAR55(VAR42[3])); end else if (VAR9 == 5) begin VAR8 #(.VAR23(""),.VAR26(VAR1)) VAR34 (.VAR52(VAR46), .VAR15(VAR42[0]), .VAR16(VAR42[1]), .VAR47(VAR42[2]), .VAR55(VAR42[3]), .VAR50(VAR42[4])); end else if (VAR9 == 6) begin VAR44 #(.VAR23(""),.VAR26(VAR1)) VAR34 (.VAR52(VAR46), .VAR15(VAR42[0]), .VAR16(VAR42[1]), .VAR47(VAR42[2]), .VAR55(VAR42[3]), .VAR50(VAR42[4]), .VAR31(VAR42[5])); end else begin wire VAR49 = 1; end endgenerate endmodule
isc
cafe-alpha/wascafe
v12/fpga_firmware/wasca/synthesis/submodules/altera_avalon_st_handshake_clock_crosser.v
7,553
module MODULE1 parameter VAR45 = 8, VAR12 = 8, VAR29 = 0, VAR42 = 0, VAR32 = 1, VAR44 = 0, VAR20 = 1, VAR26 = 2, VAR1 = 2, VAR11 = 1, VAR34 = VAR45 / VAR12, VAR35 = VAR36(VAR34) ) ( input VAR7, input VAR33, input VAR10, input VAR39, output VAR22, input VAR5, input [VAR45 - 1 : 0] VAR30, input [VAR32 - 1 : 0] VAR9, input [VAR20 - 1 : 0] VAR4, input VAR15, input VAR8, input [(VAR35 ? (VAR35 - 1) : 0) : 0] VAR2, input VAR24, output VAR38, output [VAR45 - 1 : 0] VAR43, output [VAR32 - 1 : 0] VAR23, output [VAR20 - 1 : 0] VAR25, output VAR21, output VAR37, output [(VAR35 ? (VAR35 - 1) : 0) : 0] VAR27 ); localparam VAR41 = (VAR29) ? 2 + VAR35 : 0; localparam VAR31 = (VAR42) ? VAR32 : 0; localparam VAR40 = (VAR44) ? VAR20 : 0; localparam VAR28 = VAR45 + VAR41 + VAR31 + VAR35 + VAR40; wire [VAR28 - 1: 0] VAR13; wire [VAR28 - 1: 0] VAR17; assign VAR13[VAR45 - 1 : 0] = VAR30; generate if (VAR41) begin assign VAR13[ VAR45 + VAR41 - 1 : VAR45 ] = {VAR15, VAR8}; end if (VAR42) begin assign VAR13[ VAR45 + VAR41 + VAR31 - 1 : VAR45 + VAR41 ] = VAR9; end if (VAR35) begin assign VAR13[ VAR45 + VAR41 + VAR31 + VAR35 - 1 : VAR45 + VAR41 + VAR31 ] = VAR2; end if (VAR44) begin assign VAR13[ VAR45 + VAR41 + VAR31 + VAR35 + VAR40 - 1 : VAR45 + VAR41 + VAR31 + VAR35 ] = VAR4; end endgenerate VAR14 .VAR34 (1), .VAR12 (VAR28), .VAR19 (VAR26), .VAR16 (VAR1), .VAR11 (VAR11) ) VAR3 ( .VAR7 (VAR7 ), .VAR33 (VAR33 ), .VAR22 (VAR22 ), .VAR5 (VAR5 ), .VAR30 (VAR13 ), .VAR10 (VAR10 ), .VAR39 (VAR39 ), .VAR24 (VAR24 ), .VAR38 (VAR38 ), .VAR43 (VAR17 ) ); assign VAR43 = VAR17[VAR45 - 1 : 0]; generate if (VAR29) begin assign {VAR21, VAR37} = VAR17[VAR45 + VAR41 - 1 : VAR45]; end else begin assign {VAR21, VAR37} = 2'b0; end if (VAR42) begin assign VAR23 = VAR17[ VAR45 + VAR41 + VAR31 - 1 : VAR45 + VAR41 ]; end else begin assign VAR23 = 1'b0; end if (VAR35) begin assign VAR27 = VAR17[ VAR45 + VAR41 + VAR31 + VAR35 - 1 : VAR45 + VAR41 + VAR31 ]; end else begin assign VAR27 = 1'b0; end if (VAR44) begin assign VAR25 = VAR17[ VAR45 + VAR41 + VAR31 + VAR35 + VAR40 - 1 : VAR45 + VAR41 + VAR31 + VAR35 ]; end else begin assign VAR25 = 1'b0; end endgenerate function integer VAR36; input integer VAR18; integer VAR6; begin VAR6 = 1; VAR36 = 0; while (VAR6 < VAR18) begin VAR36 = VAR36 + 1; VAR6 = VAR6 << 1; end end endfunction endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/xor2/sky130_fd_sc_lp__xor2.functional.pp.v
1,814
module MODULE1 ( VAR1 , VAR5 , VAR7 , VAR10, VAR12, VAR6 , VAR2 ); output VAR1 ; input VAR5 ; input VAR7 ; input VAR10; input VAR12; input VAR6 ; input VAR2 ; wire VAR13 ; wire VAR11; xor VAR4 (VAR13 , VAR7, VAR5 ); VAR8 VAR3 (VAR11, VAR13, VAR10, VAR12); buf VAR9 (VAR1 , VAR11 ); endmodule
apache-2.0
archlabo/Frix
fpga/nexys4_ddr/rtl/dram.v
11,213
module MODULE1(input wire VAR71, input wire VAR10, output wire VAR75, output wire VAR31, input wire [VAR12-1:0] VAR59, input wire [VAR69-1:0] VAR21, input wire VAR45, input wire VAR39, output reg [VAR69-1:0] VAR4, output reg VAR16, output wire VAR19, inout wire [VAR55] VAR53, inout wire [1:0] VAR6, inout wire [1:0] VAR76, output wire [VAR54] VAR54, output wire [2:0] VAR65, output wire VAR61, output wire VAR50, output wire VAR20, output wire [0:0] VAR2, output wire [0:0] VAR77, output wire [0:0] VAR1, output wire [0:0] VAR22, output wire [1:0] VAR52, output wire [0:0] VAR67); reg [VAR12-1:0] VAR60; reg [VAR27] VAR23; reg VAR72; reg [VAR69-1:0] VAR24; reg VAR74; reg [VAR80-1:0] VAR33; reg VAR68; wire VAR32 = 0; wire VAR79 = 0; wire VAR9 = 0; wire [VAR69-1:0] VAR37; wire VAR62; wire VAR58; wire VAR34; wire VAR63; wire VAR57; wire VAR51; wire VAR7; wire VAR66; wire VAR38; wire VAR30; VAR13 VAR26 ( .VAR35 (VAR53), .VAR8 (VAR6), .VAR3 (VAR76), .VAR28 (VAR54), .VAR73 (VAR65), .VAR36 (VAR61), .VAR11 (VAR50), .VAR49 (VAR20), .VAR41 (VAR2), .VAR18 (VAR77), .VAR48 (VAR1), .VAR5 (VAR22), .VAR70 (VAR52), .VAR29 (VAR67), .VAR17 (VAR71), .VAR60 (VAR60), .VAR23 (VAR23), .VAR72 (VAR72), .VAR24 (VAR24), .VAR74 (VAR74), .VAR33 (VAR33), .VAR68 (VAR68), .VAR37 (VAR37), .VAR62 (VAR62), .VAR58 (VAR58), .VAR34 (VAR34), .VAR63 (VAR63), .VAR32 (VAR32), .VAR57 (VAR57), .VAR79 (VAR79), .VAR51 (VAR51), .VAR9 (VAR9), .VAR7 (VAR7), .VAR66 (VAR66), .VAR38 (VAR38), .VAR30 (VAR30), .VAR43 (VAR10) ); assign VAR19 = (VAR64 != VAR25); assign VAR75 = VAR66; assign VAR31 = (VAR38 || ~VAR30); localparam VAR56 = 0; localparam VAR25 = 1; localparam VAR46 = 2; localparam VAR47 = 3; reg [1:0] VAR64; reg [1:0] state; reg [3:0] VAR15; reg [VAR69-1:0] VAR40; reg VAR42; reg VAR44; always @(posedge VAR66) begin if (VAR38) begin VAR64 <= VAR56; state <= 0; VAR60 <= 0; VAR23 <= 0; VAR72 <= 0; VAR24 <= 0; VAR68 <= 0; VAR33 <= 0; VAR74 <= 0; VAR15 <= 0; VAR4 <= 0; VAR16 <= 0; VAR42 <= 0; VAR44 <= 0; end else begin case (VAR64) VAR56: begin if (VAR30) VAR64 <= VAR25; end VAR25: begin VAR60 <= VAR59; VAR72 <= 0; VAR40 <= VAR21; VAR33 <= {VAR80{1'b0}}; if (VAR45) VAR64 <= VAR46; end else if (VAR39) VAR64 <= VAR47; end VAR46: begin case (state) 0: begin VAR23 <= VAR78; VAR72 <= 1; state <= 1; VAR15 <= 0; end 1: begin if (VAR34) begin VAR72 <= 0; end if (VAR63) begin VAR15 <= VAR15 + 1; if (VAR15 == 1) begin VAR68 <= 0; VAR74 <= 0; VAR42 <= 1; end else if (VAR15 == 0) begin VAR24 <= VAR40; VAR68 <= 1; VAR74 <= 1; end end if (!VAR72 && VAR42) begin VAR64 <= VAR25; state <= 0; VAR15 <= 0; VAR42 <= 0; end end endcase end VAR47: begin case (state) 0: begin VAR23 <= VAR14; VAR72 <= 1; state <= 1; VAR15 <= 0; end 1: begin if (VAR34) VAR72 <= 0; if (VAR58) begin if (VAR62) VAR15 <= 1; VAR4 <= VAR37; end if (!VAR72 && VAR15) begin state <= 2; VAR16 <= 1; end end 2: begin VAR16 <= 0; VAR64 <= VAR25; state <= 0; VAR15 <= 0; end endcase end endcase end end endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o31ai/sky130_fd_sc_hdll__o31ai.functional.pp.v
2,047
module MODULE1 ( VAR6 , VAR7 , VAR3 , VAR16 , VAR8 , VAR4, VAR11, VAR1 , VAR17 ); output VAR6 ; input VAR7 ; input VAR3 ; input VAR16 ; input VAR8 ; input VAR4; input VAR11; input VAR1 ; input VAR17 ; wire VAR15 ; wire VAR9 ; wire VAR10; or VAR5 (VAR15 , VAR3, VAR7, VAR16 ); nand VAR14 (VAR9 , VAR8, VAR15 ); VAR2 VAR13 (VAR10, VAR9, VAR4, VAR11); buf VAR12 (VAR6 , VAR10 ); endmodule
apache-2.0
cafe-alpha/wascafe
v13/wasca_10m08sc_20191205_abus_divide/wasca/synthesis/submodules/wasca_nios2_gen2_0_cpu_debug_slave_wrapper.v
9,436
module MODULE1 ( VAR39, VAR31, clk, VAR25, VAR27, VAR46, VAR54, VAR44, VAR6, VAR1, VAR16, VAR43, VAR50, VAR8, VAR17, VAR13, VAR10, VAR52, VAR49, VAR2, VAR37, VAR53, VAR30, VAR19, VAR28, VAR22, VAR57, VAR55, VAR41, VAR5, VAR35, VAR4, VAR32 ) ; output [ 37: 0] VAR37; output VAR53; output VAR30; output VAR19; output VAR28; output VAR22; output VAR57; output VAR55; output VAR41; output VAR5; output VAR35; output VAR4; output VAR32; input [ 31: 0] VAR39; input [ 31: 0] VAR31; input clk; input VAR25; input VAR27; input VAR46; input VAR54; input VAR44; input VAR6; input VAR1; input VAR16; input VAR43; input VAR50; input [ 35: 0] VAR8; input VAR17; input [ 6: 0] VAR13; input VAR10; input VAR52; input VAR49; input VAR2; wire [ 37: 0] VAR37; wire VAR53; wire [ 37: 0] VAR29; wire VAR30; wire VAR19; wire VAR28; wire VAR22; wire VAR57; wire VAR55; wire VAR41; wire VAR5; wire VAR35; wire VAR4; wire VAR32; wire VAR47; wire [ 1: 0] VAR18; wire [ 1: 0] VAR11; wire VAR7; wire VAR14; wire VAR48; wire VAR24; wire VAR36; wire VAR33; wire VAR3; VAR9 VAR40 ( .VAR39 (VAR39), .VAR31 (VAR31), .VAR25 (VAR25), .VAR27 (VAR27), .VAR46 (VAR46), .VAR54 (VAR54), .VAR44 (VAR44), .VAR51 (VAR18), .VAR26 (VAR11), .VAR53 (VAR53), .VAR12 (VAR7), .VAR6 (VAR6), .VAR1 (VAR1), .VAR16 (VAR16), .VAR43 (VAR43), .VAR29 (VAR29), .VAR30 (VAR30), .VAR45 (VAR48), .VAR42 (VAR24), .VAR38 (VAR36), .VAR50 (VAR50), .VAR8 (VAR8), .VAR17 (VAR17), .VAR13 (VAR13), .VAR10 (VAR10), .VAR52 (VAR52), .VAR49 (VAR49), .VAR2 (VAR2), .VAR56 (VAR47), .VAR34 (VAR14), .VAR20 (VAR3) ); VAR21 VAR23 ( .clk (clk), .VAR51 (VAR18), .VAR37 (VAR37), .VAR29 (VAR29), .VAR19 (VAR19), .VAR28 (VAR28), .VAR22 (VAR22), .VAR57 (VAR57), .VAR55 (VAR55), .VAR41 (VAR41), .VAR5 (VAR5), .VAR35 (VAR35), .VAR4 (VAR4), .VAR32 (VAR32), .VAR15 (VAR33), .VAR20 (VAR3) ); assign VAR48 = 1'b0; assign VAR24 = 1'b0; assign VAR14 = 1'b0; assign VAR47 = 1'b0; assign VAR7 = 1'b0; assign VAR3 = 1'b0; assign VAR33 = 1'b0; assign VAR18 = 2'b0; endmodule
gpl-2.0
asicguy/gplgpu
hdl/lucy_tc/de3d_tc_clamp.v
3,449
module MODULE1 ( input VAR6, input VAR8, input VAR2, input [10:0] VAR7, input [10:0] VAR18, input [10:0] VAR3, input [10:0] VAR4, input [8:0] VAR16, input [8:0] VAR9, output reg VAR13, output reg [8:0] VAR11, output reg [8:0] VAR10, output reg [8:0] VAR1, output reg [8:0] VAR15 ); reg VAR12; wire VAR14, VAR17; assign VAR14 = |(VAR7 & VAR3); assign VAR17 = |(VAR18 & VAR4); always @(posedge VAR6) begin VAR13 <= VAR12; VAR12 <= VAR14 & VAR8 | VAR17 & VAR2; VAR11 <= VAR1; VAR10 <= VAR15; end always @(posedge VAR6) begin casex ({VAR8, VAR14, VAR7[10]}) 3'VAR5,3'VAR19: VAR1 <= VAR7[8:0] & VAR16; 3'b110: VAR1 <= VAR16; 3'b111: VAR1 <= 0; endcase end always @(posedge VAR6) begin casex ({VAR2, VAR17, VAR18[10]}) 3'VAR5, 3'VAR19: VAR15 <= VAR18[8:0] & VAR9; 3'b110: VAR15 <= VAR9; 3'b111: VAR15 <= 0; endcase end endmodule
gpl-3.0
esonghori/TinyGarbled
circuit_synthesis/syn_lib/ADD.v
1,224
module MODULE1 #(parameter VAR14 = 8, VAR7 = VAR14)( input [VAR14-1:0] VAR18, input [VAR7-1:0] VAR8, input VAR17, output VAR4, output [VAR14-1:0] VAR20 ); wire [VAR14-1:0] VAR19; generate if (VAR14 > VAR7) begin: VAR10 assign VAR19 = {{(VAR14-VAR7){1'b0}}, VAR8}; end else begin: VAR2 assign VAR19 = VAR8; end endgenerate wire VAR5[VAR14:0]; assign VAR5[0] = VAR17; assign VAR4 = VAR5[VAR14]; genvar VAR9; genvar VAR16; localparam VAR6 = 512; generate if(VAR14 < VAR6) begin : VAR11 for(VAR9=0;VAR9<VAR14;VAR9=VAR9+1) begin: VAR13 VAR3 VAR3 ( .VAR18(VAR18[VAR9]), .VAR8(VAR19[VAR9]), .VAR17(VAR5[VAR9]), .VAR20(VAR20[VAR9]), .VAR4(VAR5[VAR9+1]) ); end end else begin: VAR12 for(VAR16=0;VAR16<VAR14/VAR6;VAR16=VAR16+1) begin: VAR1 for(VAR9=0;VAR9<VAR6;VAR9=VAR9+1) begin: VAR15 VAR3 VAR3 ( .VAR18(VAR18[VAR16*VAR6 + VAR9]), .VAR8(VAR19[VAR16*VAR6 + VAR9]), .VAR17(VAR5[VAR16*VAR6 + VAR9]), .VAR20(VAR20[VAR16*VAR6 + VAR9]), .VAR4(VAR5[VAR16*VAR6 + VAR9 +1]) ); end end for(VAR9=(VAR14/VAR6)*VAR6;VAR9 <VAR14;VAR9=VAR9+1) begin:VAR15 VAR3 VAR3 ( .VAR18(VAR18[VAR9]), .VAR8(VAR19[VAR9]), .VAR17(VAR5[VAR9]), .VAR20(VAR20[VAR9]), .VAR4(VAR5[VAR9+1]) ); end end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o21ai/sky130_fd_sc_hs__o21ai.symbol.v
1,313
module MODULE1 ( input VAR3, input VAR2, input VAR4, output VAR5 ); supply1 VAR6; supply0 VAR1; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlclkp/sky130_fd_sc_ls__dlclkp.behavioral.v
1,903
module MODULE1 ( VAR16, VAR2, VAR8 ); output VAR16; input VAR2; input VAR8 ; supply1 VAR12; supply0 VAR9; supply1 VAR7 ; supply0 VAR10 ; wire VAR15 ; wire VAR13 ; wire VAR17 ; wire VAR5; reg VAR3 ; wire VAR11 ; not VAR6 (VAR13 , VAR17 ); VAR14 VAR4 (VAR15 , VAR5, VAR13, VAR3, VAR12, VAR9); and VAR1 (VAR16 , VAR15, VAR17 ); assign VAR11 = ( VAR12 === 1'b1 ); endmodule
apache-2.0
Progressive-Learning-Platform/progressive-learning-platform
reference/hw/verilog/mm.v
2,121
module MODULE1(addr, VAR2, VAR1); input [31:0] addr; output [7:0] VAR2; output [31:0] VAR1; assign VAR2 = (addr[31:20] == 12'h000) ? 0 : (addr[31:24] == 8'h10) ? 1 : (addr[31:20] == 12'hf00) ? 2 : (addr[31:20] == 12'hf01) ? 3 : (addr[31:20] == 12'hf02) ? 4 : (addr[31:20] == 12'hf03) ? 5 : (addr[31:20] == 12'hf04) ? 6 : (addr[31:20] == 12'hf05) ? 7 : (addr[31:20] == 12'hf06) ? 8 : (addr[31:20] == 12'hf07) ? 10 : (addr[31:20] == 12'hf08) ? 11 : (addr[31:20] == 12'hf0a) ? 9 : 0; assign VAR1 = (VAR2 == 8'h01) ? {8'h00,addr[23:0]} : {12'h000,addr[19:0]}; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or2b/sky130_fd_sc_hd__or2b.symbol.v
1,285
module MODULE1 ( input VAR2 , input VAR4, output VAR5 ); supply1 VAR3; supply0 VAR6; supply1 VAR1 ; supply0 VAR7 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a21oi/sky130_fd_sc_hdll__a21oi.blackbox.v
1,342
module MODULE1 ( VAR2 , VAR3, VAR7, VAR4 ); output VAR2 ; input VAR3; input VAR7; input VAR4; supply1 VAR5; supply0 VAR8; supply1 VAR6 ; supply0 VAR1 ; endmodule
apache-2.0
CospanDesign/nysa-artemis-pcie-platform
artemis_pcie/slave/wb_artemis_pcie_platform/rtl/pcie_egress.v
7,702
module MODULE1 ( input clk, input rst, input VAR27, output reg VAR28, input [7:0] VAR6, input [13:0] VAR12, input [31:0] VAR36, input [15:0] VAR37, input [7:0] VAR7, input [9:0] VAR35, input VAR20, output [31:0] VAR40, output [3:0] VAR2, output reg VAR26, output reg VAR16, input VAR8, output reg VAR25, input [23:0] VAR10, input [31:0] VAR17, output reg VAR39, output reg VAR22 ); localparam VAR23 = 4'h0; localparam VAR32 = 4'h1; localparam VAR42 = 4'h2; localparam VAR13 = 4'h3; localparam VAR21 = 4'h4; localparam VAR14 = 4'h5; localparam VAR18 = 4'h6; localparam VAR33 = 4'h7; localparam VAR1 = 4'h8; reg [3:0] state; reg [23:0] VAR24; wire [31:0] VAR30[0:3]; wire [2:0] VAR41; reg [2:0] VAR9; wire [9:0] VAR3; wire [31:0] VAR15; wire [31:0] VAR11; wire [31:0] VAR34; wire [31:0] VAR38; wire VAR4; assign VAR2 = 4'hF; assign VAR4 = (VAR6 == VAR29); assign VAR3 = (VAR6 == VAR29) ? VAR35 : VAR10; assign VAR30[0][VAR5] = VAR6; assign VAR30[0][VAR31] = VAR12; assign VAR30[0][VAR19] = VAR3; assign VAR30[1] = (VAR6 == VAR29) ? {VAR37, VAR7, 8'h00} : (VAR10 == 1) ? 32'h0000000F : 32'h000000FF; assign VAR30[2] = VAR36; assign VAR41 = (VAR30[0][29]) ? 3'h4 : 3'h3; assign VAR15 = VAR30[0]; assign VAR11 = VAR30[1]; assign VAR34 = VAR30[2]; assign VAR40 = ((state == VAR42) || (state == VAR13) || (state == VAR18) || (state == VAR33)) ? VAR30[VAR9]: VAR17; always @ (posedge clk) begin VAR39 <= 0; VAR22 <= 0; if (rst) begin state <= VAR23; VAR28 <= 0; VAR9 <= 0; VAR16 <= 0; VAR26 <= 0; VAR25 <= 0; VAR24 <= 0; end else begin case (state) VAR23: begin VAR16 <= 0; VAR28 <= 0; VAR24 <= 0; VAR9 <= 0; if (VAR27) begin if (VAR4) begin state <= VAR14; end else begin state <= VAR32; end end end VAR32: begin if (VAR8 && !VAR25) begin VAR24 <= 0; VAR25 <= 1; state <= VAR42; end end VAR42: begin if (VAR20 && VAR16) begin VAR9 <= VAR9 + 1; if (VAR9 + 1 >= VAR41) begin if (VAR4) begin VAR26 <= 1; state <= VAR1; end else begin state <= VAR21; VAR39 <= 1; VAR24 <= VAR24 + 1; end end end VAR16 <= 1; end VAR21: begin VAR39 <= 1; if (VAR24 + 1 >= VAR10) begin state <= VAR1; VAR26 <= 1; end VAR24 <= VAR24 + 1; end VAR14: begin if (VAR20) begin state <= VAR18; end end VAR18: begin if (VAR16) begin if (!VAR20) begin VAR22 <= 1; end VAR9 <= VAR9 + 1; if (VAR9 + 2 >= VAR41) begin VAR26 <= 1; state <= VAR33; end end VAR16 <= 1; end VAR33: begin VAR16 <= 0; VAR26 <= 0; VAR25 <= 0; state <= VAR1; end VAR1: begin VAR16 <= 0; VAR26 <= 0; VAR25 <= 0; VAR28 <= 1; if (!VAR27) begin VAR28 <= 0; state <= VAR23; end end default: begin state <= VAR23; end endcase end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o221a/sky130_fd_sc_ms__o221a.pp.symbol.v
1,401
module MODULE1 ( input VAR5 , input VAR1 , input VAR2 , input VAR8 , input VAR9 , output VAR7 , input VAR6 , input VAR3, input VAR4, input VAR10 ); endmodule
apache-2.0
ZiCog/xoro
rtl/gpio.v
1,279
module MODULE1 ( input wire clk, input wire VAR3, input wire enable, input wire VAR8, output wire VAR1, input wire VAR4, input wire [3:0] VAR9, input wire [31:0] VAR6, input wire [31:0] VAR5, output wire [31:0] VAR7, output reg [31:0] MODULE1 ); reg [7:0] VAR2; reg VAR10; always @(posedge clk) begin if (!VAR3) begin VAR10 <= 0; VAR2 <= 0; MODULE1 <= 0; end else if (VAR8 & enable) begin if (VAR9[0]) MODULE1[7:0] <= VAR6[7:0]; if (VAR9[1]) MODULE1[15:8] <= VAR6[15:8]; if (VAR9[2]) MODULE1[23:16] <= VAR6[23:16]; if (VAR9[3]) MODULE1[31:24] <= VAR6[31:24]; VAR10 <= 1; end else begin VAR10 <= 0; end VAR2 <= MODULE1; end assign VAR7 = enable ? VAR2 : 1'b0; assign VAR1 = enable ? VAR10 : 1'b0; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlxbn/sky130_fd_sc_lp__dlxbn.functional.v
1,817
module MODULE1 ( VAR4 , VAR5 , VAR2 , VAR9 ); output VAR4 ; output VAR5 ; input VAR2 ; input VAR9; wire VAR8 ; wire VAR11 ; wire VAR12; wire VAR1 ; VAR13 VAR3 VAR6 (VAR11 , VAR2, VAR8 ); not VAR7 (VAR8 , VAR9 ); buf VAR14 (VAR4 , VAR11 ); not VAR10 (VAR5 , VAR11 ); endmodule
apache-2.0
jmahler/EECE344-Digital_System_Design
lab03/CPLD/mem_ctl.v
1,516
module MODULE1( input VAR10, VAR11, VAR4, input [6:0] VAR2, inout [7:0] VAR3, inout [7:0] VAR7, output [16:0] VAR8, output wire VAR6, VAR5, VAR12, VAR9); assign VAR8[16:7] = 0; assign VAR8[6:0] = VAR2; assign VAR3 = (~(VAR4 | VAR10 | ~VAR11)) ? VAR7 : 8'VAR1; assign VAR7 = (~(VAR4 | VAR11 | ~VAR10)) ? VAR3 : 8'VAR1; assign VAR6 = 1'b0; assign VAR5 = 1'b1; assign VAR9 = (~(VAR4 | VAR10)) ? 1'b0 : 1'b1; assign VAR12 = (~(VAR4 | VAR11 | ~VAR10)) ? 1'b0 : 1'b1; endmodule
gpl-3.0
jotego/jt51
syn/xilinx/contra_snd/hdl/uart_transceiver.v
5,377
module MODULE1( input VAR2, input VAR17, input VAR10, output reg VAR14, input [4:0] VAR18, input [4:0] VAR29, output reg [7:0] VAR30, output reg VAR9, output reg VAR27, output reg [7:0] VAR3, input [7:0] VAR1, input VAR26, output reg VAR22 ); reg [4:0] VAR28; wire VAR4; assign VAR4 = !VAR28; always @(posedge VAR17 or posedge VAR2) begin : VAR7 if(VAR2) VAR28 <= VAR29 - 4'b1; end else begin VAR28 <= VAR28 - 4'd1; if(VAR4) VAR28 <= VAR29 - 4'b1; end end reg VAR6; reg VAR24; always @(posedge VAR17) begin : VAR12 VAR6 <= VAR10; VAR24 <= VAR6; end reg VAR11; reg [4:0] VAR21; reg [3:0] VAR13; reg [7:0] VAR15; always @(posedge VAR17 or posedge VAR2) begin : VAR20 if( VAR2 ) begin VAR3 <= 8'd0; end else begin if( VAR9 && VAR27 ) VAR3 <= VAR3 + 1'd1; end end always @(posedge VAR17 or posedge VAR2) begin : VAR25 if(VAR2) begin VAR9 <= 1'b0; VAR11 <= 1'b0; VAR21 <= 5'd0; VAR13 <= 4'd0; VAR30 <= 8'd0; VAR15 <= 8'd0; VAR27 <= 1'b0; end else begin VAR9 <= 1'b0; if(VAR4) begin if(~VAR11) begin if(~VAR24) begin VAR11 <= 1'b1; VAR21 <= { 1'b0, VAR18[4:1] }; VAR13 <= 4'd0; VAR15 <= 8'h0; end end else begin if( !VAR21 ) begin VAR13 <= VAR13 + 4'd1; VAR21 <= VAR18; VAR27 <= 1'b0; case( VAR13 ) 4'd0: if(VAR24) VAR11 <= 1'b0; 4'd9: begin VAR11 <= 1'b0; if(VAR24) begin VAR30 <= VAR15; VAR9 <= 1'b1; end else begin VAR9 <= 1'b1; VAR27 <= 1'b1; end end default: VAR15 <= {VAR24, VAR15[7:1]}; endcase end else VAR21 <= VAR21 - 1'b1; end end end end reg VAR5; reg [3:0] VAR19; reg [4:0] VAR16; reg [7:0] VAR23; always @(posedge VAR17 or posedge VAR2) begin :VAR8 if(VAR2) begin VAR22 <= 1'b0; VAR5 <= 1'b0; VAR14 <= 1'b1; end else begin VAR22 <= 1'b0; if(VAR26) begin VAR23 <= VAR1; VAR19 <= 4'd0; VAR16 <= VAR18; VAR5 <= 1'b1; VAR14 <= 1'b0; end else if(VAR4 && VAR5) begin if( !VAR16 ) begin VAR19 <= VAR19 + 4'd1; VAR16 <= VAR18; if( VAR19 < 4'd8 ) begin VAR14 <= VAR23[0]; VAR23 <= {1'b0, VAR23[7:1]}; end else begin VAR14 <= 1'b1; if( VAR19==4'd10 ) begin VAR5 <= 1'b0; VAR22 <= 1'b1; end end end else VAR16 <= VAR16 - 1'b1; end end end endmodule
gpl-3.0
Jawanga/ece385final
usb_system/synthesis/submodules/usb_system_cpu_jtag_debug_module_sysclk.v
7,058
module MODULE1 ( clk, VAR18, VAR9, VAR23, VAR30, VAR14, VAR27, VAR12, VAR4, VAR29, VAR22, VAR5, VAR28, VAR20, VAR32, VAR11, VAR8, VAR10, VAR25 ) ; output [ 37: 0] VAR14; output VAR27; output VAR12; output VAR4; output VAR29; output VAR22; output VAR5; output VAR28; output VAR20; output VAR32; output VAR11; output VAR8; output VAR10; output VAR25; input clk; input [ 1: 0] VAR18; input [ 37: 0] VAR9; input VAR23; input VAR30; reg VAR24 ; reg [ 1: 0] VAR26 ; reg [ 37: 0] VAR14 ; reg VAR6 ; reg VAR3 ; reg VAR15 ; wire VAR16; wire VAR33; wire VAR27; wire VAR12; wire VAR4; wire VAR29; wire VAR22; wire VAR5; wire VAR28; wire VAR20; wire VAR32; wire VAR11; wire VAR8; wire VAR10; wire VAR25; wire VAR31; wire VAR2; reg VAR13 ; assign VAR31 = 1'b1; VAR17 VAR19 ( .clk (clk), .din (VAR23), .dout (VAR16), .VAR21 (VAR31) ); assign VAR2 = 1'b1; VAR17 VAR7 ( .clk (clk), .din (VAR30), .dout (VAR33), .VAR21 (VAR2) ); always @(posedge clk) begin VAR3 <= VAR16; VAR13 <= VAR16 & ~VAR3; VAR24 <= VAR13; VAR15 <= VAR33; VAR6 <= VAR33 & ~VAR15; end assign VAR29 = VAR24 && (VAR26 == 2'b00) && ~VAR14[35] && VAR14[34]; assign VAR10 = VAR24 && (VAR26 == 2'b00) && ~VAR14[35] && ~VAR14[34]; assign VAR22 = VAR24 && (VAR26 == 2'b00) && VAR14[35]; assign VAR28 = VAR24 && (VAR26 == 2'b01) && ~VAR14[37] && VAR14[36]; assign VAR25 = VAR24 && (VAR26 == 2'b01) && ~VAR14[37] && ~VAR14[36]; assign VAR20 = VAR24 && (VAR26 == 2'b01) && VAR14[37]; assign VAR27 = VAR24 && (VAR26 == 2'b10) && ~VAR14[36] && VAR14[37]; assign VAR32 = VAR24 && (VAR26 == 2'b10) && ~VAR14[36] && ~VAR14[37]; assign VAR12 = VAR24 && (VAR26 == 2'b10) && VAR14[36] && ~VAR14[35] && VAR14[37]; assign VAR11 = VAR24 && (VAR26 == 2'b10) && VAR14[36] && ~VAR14[35] && ~VAR14[37]; assign VAR4 = VAR24 && (VAR26 == 2'b10) && VAR14[36] && VAR14[35] && VAR14[37]; assign VAR8 = VAR24 && (VAR26 == 2'b10) && VAR14[36] && VAR14[35] && ~VAR14[37]; assign VAR5 = VAR24 && (VAR26 == 2'b11) && VAR14[15]; always @(posedge clk) begin if (VAR6) VAR26 <= VAR18; if (VAR13) VAR14 <= VAR9; end endmodule
apache-2.0
GSejas/Karatsuba_FPU
Resultados/CORDIC/CORDIC_Arch3_Vivado/CORDIC_Arch3_Vivado.srcs/sources_1/imports/new/CORDIC_Arch3.v
20,433
module MODULE1 #(parameter VAR3 = 32, parameter VAR33 = 8, parameter VAR126 = 23, parameter VAR10=26, parameter VAR43 = 5)/*#(parameter VAR3 = 64, parameter VAR33 = 11, parameter VAR126 = 52, parameter VAR10 = 55, parameter VAR43 = 6) ( input wire clk, input wire rst, input wire VAR49, input wire VAR141, input wire VAR11, input wire [VAR3-1:0] VAR113, input wire [1:0] VAR12, output wire VAR56, output wire VAR120, output wire VAR134, output wire VAR47, output wire VAR55, output wire [VAR3-1:0] VAR15 ); localparam VAR8 = 1'b0; localparam VAR70 = 4; wire [VAR3-1:0] VAR59,VAR35; generate case(VAR3) 32: begin assign VAR59 = 32'h3f1b74ee; assign VAR35 = 32'h00000000; end 64: begin assign VAR59 = 64'h3fe36e9db5086bc9; assign VAR35 = 64'h0000000000000000; end default: begin assign VAR59 = 32'h3f1b74ee; assign VAR35 = 32'h00000000; end endcase endgenerate wire VAR109; wire VAR36; wire VAR68; wire VAR85; wire VAR107, VAR75, VAR128; wire VAR88; wire VAR144, VAR14; wire VAR106; wire VAR79; wire [1:0] VAR129; wire VAR125, VAR117; wire [1:0] VAR24; wire VAR122; wire [1:0] VAR5; wire [VAR3-1:0] VAR104; wire [VAR3-1:0] VAR136, VAR105, VAR99; wire [VAR3-1:0] VAR114, VAR139, VAR98; wire [VAR3-1:0] VAR67, VAR23, VAR142; wire VAR41; wire [VAR3-1:0] VAR57; wire [VAR70-1:0] VAR96; wire [VAR33-1:0] VAR4, VAR30; wire [VAR3-1:0] VAR100, VAR63; wire [VAR3-1:0] VAR52; wire VAR17; wire [1:0] VAR112; wire [VAR3-1:0] VAR140; wire [VAR3-1:0] VAR46; wire [VAR3-1:0] VAR9; wire VAR132,VAR119; wire VAR143,VAR48; wire VAR25; wire [VAR3-1:0] VAR60; wire VAR61; wire VAR130; wire VAR123; wire [VAR3-1:0] VAR80; wire [VAR3-1:0] VAR82; VAR27 VAR28 ( .clk (clk), .reset (rst), .VAR87 (VAR49), .VAR66 (VAR141), .VAR94 (1'b0), .VAR119 (VAR119), .VAR48 (VAR48), .VAR138 (VAR128), .VAR109 (VAR109), .VAR76 (VAR56), .VAR61 (VAR61), .VAR144 (VAR144), .VAR14 (VAR14), .VAR115 (VAR36), .VAR102 (VAR68), .VAR85 (VAR85), .VAR88 (VAR88) ); VAR133 #(.VAR20(VAR70) ) VAR26 ( .clk (clk), .rst (VAR109), .enable (VAR144), .VAR71 (VAR96) ); assign VAR119 = (VAR96 == ((2**VAR70)-1)) ? 1'b1 : 1'b0; assign VAR132 = (VAR96 == 0) ? 1'b1 : 1'b0; VAR133 #(.VAR20(2) ) VAR50 ( .clk (clk), .rst (rst), .enable (VAR25|VAR14), .VAR71 (VAR112) ); assign VAR48 = (VAR112 == 2**2-1) ? 1'b1 : 1'b0; VAR77 # (.VAR3(1)) VAR53 ( .clk(clk), .rst(rst), .enable(VAR36), .VAR45(VAR11), .VAR90(VAR122) ); VAR77 # (.VAR3(2)) VAR83 ( .clk(clk), .rst(rst), .enable(VAR36), .VAR45(VAR12), .VAR90(VAR5) ); VAR77 # (.VAR3(VAR3)) VAR29 ( .clk(clk), .rst(rst), .enable(VAR36), .VAR45(VAR113), .VAR90(VAR104) ); VAR131 #(.VAR3(VAR3)) VAR34 ( .select(~VAR132), .VAR51(VAR59), .VAR54(VAR136), .VAR110(VAR114) ); VAR131 #(.VAR3(VAR3)) VAR93 ( .select(~VAR132), .VAR51(VAR35), .VAR54(VAR105), .VAR110(VAR139) ); VAR131 #(.VAR3(VAR3)) VAR37 ( .select(~VAR132), .VAR51(VAR104), .VAR54(VAR99), .VAR110(VAR98) ); VAR77 # (.VAR3(VAR3)) VAR65 ( .clk(clk), .rst(VAR109), .enable(VAR68), .VAR45(VAR114), .VAR90(VAR67) ); VAR77 # (.VAR3(VAR3)) VAR92 ( .clk(clk), .rst(VAR109), .enable(VAR68), .VAR45(VAR139), .VAR90(VAR23) ); VAR77 # (.VAR3(VAR3)) VAR97 ( .clk(clk), .rst(VAR109), .enable(VAR68), .VAR45(VAR98), .VAR90(VAR142) ); VAR42 #(.VAR3(VAR33),.VAR38(VAR70)) VAR1 ( .VAR121(VAR67[VAR3-2:VAR126]), .VAR19(VAR96), .VAR78(VAR4) ); VAR42 #(.VAR3(VAR33),.VAR38(VAR70)) VAR72 ( .VAR121(VAR23[VAR3-2:VAR126]), .VAR19(VAR96), .VAR78(VAR30) ); generate case(VAR3) 32: begin VAR39 #(.VAR3(VAR3),.VAR38(VAR70)) VAR108 ( .address(VAR96), .VAR110(VAR57) ); end 64: begin VAR40 #(.VAR3(VAR3),.VAR38(VAR70)) VAR135 ( .address(VAR96), .VAR110(VAR57) ); end default: begin VAR39 #(.VAR3(VAR3),.VAR38(VAR70)) VAR108 ( .address(VAR96), .VAR110(VAR57) ); end endcase endgenerate VAR131 #(.VAR3(1)) VAR64 ( .select(VAR8), .VAR51(VAR142[VAR3-1]), .VAR54(VAR23[VAR3-1]), .VAR110(VAR41) ); VAR77 # (.VAR3(VAR3)) VAR89 ( .clk(clk), .rst(VAR109), .enable(VAR85), .VAR45({VAR67[VAR3-1],VAR4,VAR67[VAR126-1:0]}), .VAR90(VAR100) ); VAR77 # (.VAR3(VAR3)) VAR32 ( .clk(clk), .rst(VAR109), .enable(VAR85), .VAR45({VAR23[VAR3-1],VAR30,VAR23[VAR126-1:0]}), .VAR90(VAR63) ); VAR77 # (.VAR3(VAR3)) VAR13 ( .clk(clk), .rst(VAR109), .enable(VAR85), .VAR45(VAR57), .VAR90(VAR52) ); VAR77 # (.VAR3(1)) VAR7 ( .clk(clk), .rst(VAR109), .enable(VAR85), .VAR45(VAR41), .VAR90(VAR17) ); VAR22 #(.VAR3(VAR3)) VAR116 ( .select(VAR112), .VAR51(VAR67), .VAR54(VAR23), .VAR16(VAR142), .VAR110(VAR80) ); VAR22 #(.VAR3(VAR3)) VAR84 ( .select(VAR112), .VAR51(VAR63), .VAR54(VAR100), .VAR16(VAR52), .VAR110(VAR82) ); VAR44 VAR18 ( .enable(VAR25), .VAR111(VAR112), .VAR86({VAR128,VAR75,VAR107}) ); VAR81 VAR2 ( .VAR95(~VAR112[0]), .VAR41(VAR17), .VAR11(VAR123) ); VAR101 #( .VAR3(VAR3), .VAR33(VAR33), .VAR126(VAR126), .VAR10(VAR10), .VAR43(VAR43) ) VAR6 ( .clk (clk), .rst (rst|VAR144), .VAR91 (VAR61), .VAR62 (VAR80), .VAR124 (VAR82), .VAR127 (VAR123), .VAR55 (VAR55), .VAR120 (VAR120), .VAR134 (VAR134), .VAR47 (VAR47), .ready (VAR25), .VAR137 (VAR60) ); VAR77 #(.VAR3(VAR3)) VAR103 ( .clk(clk), .rst(VAR109), .enable(VAR107), .VAR45(VAR60), .VAR90(VAR136) ); VAR77 #(.VAR3(VAR3)) VAR31 ( .clk(clk), .rst(VAR109), .enable(VAR75), .VAR45(VAR60), .VAR90(VAR105) ); VAR77 #(.VAR3(VAR3)) VAR58 ( .clk(clk), .rst(VAR109), .enable(VAR128), .VAR45(VAR60), .VAR90(VAR99) ); VAR131 #( .VAR3(VAR3) ) VAR73 ( .select (VAR79), .VAR51 (VAR136), .VAR54 (VAR105), .VAR110 (VAR140) ); VAR74 #( .VAR3(VAR3) ) VAR69 ( .VAR21 (VAR140), .VAR11 (VAR122), .VAR12 (VAR5), .VAR79 (VAR79), .VAR110 (VAR9) ); VAR77 #(.VAR3(VAR3)) VAR118 ( .clk(clk), .rst(VAR109), .enable(VAR88), .VAR45(VAR9), .VAR90(VAR15) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/dlxtp/sky130_fd_sc_hvl__dlxtp.functional.pp.v
1,937
module MODULE1 ( VAR4 , VAR14 , VAR6, VAR12, VAR13, VAR7 , VAR5 ); output VAR4 ; input VAR14 ; input VAR6; input VAR12; input VAR13; input VAR7 ; input VAR5 ; wire VAR2 ; wire VAR3; VAR11 VAR10 VAR1 (VAR2 , VAR14, VAR6, , VAR12, VAR13 ); buf VAR15 (VAR3, VAR2 ); VAR9 VAR8 (VAR4 , VAR3, VAR12, VAR13); endmodule
apache-2.0
Tsung-Wei/OpenTimer
benchmark/s344/s344.v
13,069
module MODULE1 ( VAR112, VAR288, VAR128, VAR205, VAR45, VAR201, VAR211, VAR268, VAR222, VAR404, VAR91, VAR378, VAR38, VAR398, VAR397, VAR389, VAR363, VAR129, VAR372, VAR120, VAR216, VAR387); input VAR112; input VAR288; input VAR128; input VAR205; input VAR45; input VAR201; input VAR211; input VAR268; input VAR222; input VAR404; input VAR91; output VAR378; output VAR38; output VAR398; output VAR397; output VAR389; output VAR363; output VAR129; output VAR372; output VAR120; output VAR216; output VAR387; wire VAR228; wire VAR80; wire VAR131; wire VAR263; wire VAR65; wire VAR361; wire VAR61; wire VAR77; wire VAR303; wire VAR12; wire VAR216; wire VAR377; wire VAR121; wire VAR76; wire VAR366; wire VAR124; wire VAR38; wire VAR165; wire VAR388; wire VAR128; wire VAR52; wire VAR94; wire VAR95; wire VAR22; wire VAR200; wire VAR259; wire VAR171; wire VAR39; wire VAR44; wire VAR344; wire VAR363; wire VAR269; wire VAR156; wire VAR376; wire VAR201; wire VAR72; wire VAR162; wire VAR150; wire VAR353; wire VAR141; wire VAR362; wire VAR170; wire VAR18; wire VAR262; wire VAR272; wire VAR35; wire VAR104; wire VAR240; wire VAR159; wire VAR268; wire VAR28; wire VAR198; wire VAR330; wire VAR83; wire VAR288; wire VAR242; wire VAR27; wire VAR191; wire VAR14; wire VAR385; wire VAR88; wire VAR354; wire VAR55; wire VAR277; wire VAR155; wire VAR69; wire VAR92; wire VAR338; wire VAR199; wire VAR142; wire VAR186; wire VAR284; wire VAR312; wire VAR45; wire VAR310; wire VAR9; wire VAR227; wire VAR404; wire VAR331; wire VAR47; wire VAR249; wire VAR59; wire VAR173; wire VAR40; wire VAR314; wire VAR101; wire VAR4; wire VAR167; wire VAR145; wire VAR281; wire VAR87; wire VAR112; wire VAR383; wire VAR292; wire VAR49; wire VAR8; wire VAR397; wire VAR320; wire VAR328; wire VAR301; wire VAR231; wire VAR379; wire VAR243; wire VAR225; wire VAR81; wire VAR329; wire VAR282; wire VAR321; wire VAR378; wire VAR114; wire VAR382; wire VAR202; wire VAR3; wire VAR75; wire VAR208; wire VAR149; wire VAR260; wire VAR387; wire VAR193; wire VAR68; wire VAR286; wire VAR241; wire VAR129; wire VAR107; wire VAR302; wire VAR120; wire VAR395; wire VAR21; wire VAR398; wire VAR144; wire VAR174; wire VAR399; wire VAR324; wire VAR389; wire VAR369; wire VAR215; wire VAR26; wire VAR123; wire VAR32; wire VAR270; wire VAR152; wire VAR224; wire VAR293; wire VAR374; wire VAR7; wire VAR287; wire VAR212; wire VAR34; wire VAR205; wire VAR20; wire VAR352; wire VAR211; wire VAR343; wire VAR342; wire VAR139; wire VAR15; wire VAR160; wire VAR58; wire VAR299; wire VAR67; wire VAR166; wire VAR184; wire VAR309; wire VAR394; wire VAR115; wire VAR359; wire VAR113; wire VAR13; wire VAR396; wire VAR356; wire VAR84; wire VAR98; wire VAR355; wire VAR296; wire VAR127; wire VAR85; wire VAR91; wire VAR236; wire VAR339; wire VAR327; wire VAR271; wire VAR280; wire VAR108; wire VAR146; wire VAR214; wire VAR237; wire VAR196; wire VAR157; wire VAR206; wire VAR24; wire VAR372; wire VAR222; wire VAR239; VAR105 VAR116 ( .VAR154(VAR24), .VAR230(VAR4) ); VAR276 VAR163 ( .VAR154(VAR277), .VAR1(VAR330) ); VAR117 VAR175 ( .VAR106(VAR356), .VAR297(VAR249), .VAR234(VAR199), .VAR99(VAR107) ); VAR105 VAR133 ( .VAR154(VAR87), .VAR230(VAR44) ); VAR105 VAR86 ( .VAR154(VAR107), .VAR230(VAR114) ); VAR54 VAR364 ( .VAR1(VAR139), .VAR45(VAR83), .VAR288(VAR142), .VAR205(VAR120) ); VAR105 VAR197 ( .VAR154(VAR75), .VAR230(VAR174) ); VAR105 VAR188 ( .VAR154(VAR114), .VAR230(VAR200) ); VAR203 VAR238 ( .VAR1(VAR240), .VAR45(VAR339), .VAR288(VAR146), .VAR205(VAR3) ); VAR384 VAR23 ( .VAR297(VAR249), .VAR106(VAR18), .VAR234(VAR193), .VAR99(VAR104) ); VAR375 VAR62 ( .VAR1(VAR212), .VAR205(VAR372), .VAR288(VAR128) ); VAR105 VAR209 ( .VAR154(VAR76), .VAR230(VAR312) ); VAR304 VAR220 ( .VAR1(VAR167), .VAR154(VAR309) ); VAR105 VAR348 ( .VAR154(VAR200), .VAR230(VAR115) ); VAR105 VAR207 ( .VAR154(VAR76), .VAR230(VAR123) ); VAR105 VAR30 ( .VAR154(VAR121), .VAR230(VAR166) ); VAR46 VAR298 ( .VAR205(VAR382), .VAR288(VAR67), .VAR1(VAR353) ); VAR105 VAR66 ( .VAR154(VAR24), .VAR230(VAR272) ); VAR304 VAR285 ( .VAR1(VAR162), .VAR154(VAR21) ); VAR48 VAR56 ( .VAR1(VAR331), .VAR288(VAR383), .VAR205(VAR224) ); VAR54 VAR337 ( .VAR1(VAR165), .VAR205(VAR231), .VAR45(VAR14), .VAR288(VAR139) ); VAR384 VAR405 ( .VAR297(VAR249), .VAR106(VAR22), .VAR234(VAR58), .VAR99(VAR241) ); VAR384 VAR346 ( .VAR297(VAR249), .VAR106(VAR13), .VAR234(VAR302), .VAR99(VAR4) ); VAR336 VAR255 ( .VAR45(VAR269), .VAR288(VAR160), .VAR1(VAR227), .VAR205(VAR191) ); VAR308 VAR267 ( .VAR205(VAR215), .VAR288(VAR344), .VAR1(VAR389) ); VAR384 VAR11 ( .VAR297(VAR249), .VAR106(VAR376), .VAR234(VAR21), .VAR99(VAR39) ); VAR304 VAR279 ( .VAR154(VAR146), .VAR1(VAR120) ); VAR105 VAR357 ( .VAR154(VAR35), .VAR230(VAR32) ); VAR54 VAR25 ( .VAR205(VAR165), .VAR45(VAR395), .VAR1(VAR22), .VAR288(VAR34) ); VAR46 VAR118 ( .VAR1(VAR12), .VAR288(VAR301), .VAR205(VAR242) ); VAR48 VAR358 ( .VAR1(VAR281), .VAR205(VAR301), .VAR288(VAR330) ); VAR276 VAR31 ( .VAR154(VAR237), .VAR1(VAR387) ); VAR48 VAR213 ( .VAR205(VAR12), .VAR1(VAR85), .VAR288(VAR237) ); VAR304 VAR125 ( .VAR1(VAR40), .VAR154(VAR243) ); VAR105 VAR380 ( .VAR154(VAR75), .VAR230(VAR121) ); VAR48 VAR151 ( .VAR1(VAR214), .VAR288(VAR162), .VAR205(VAR372) ); VAR105 VAR261 ( .VAR154(VAR49), .VAR230(VAR52) ); VAR105 VAR245 ( .VAR154(VAR262), .VAR230(VAR343) ); VAR276 VAR223 ( .VAR154(VAR324), .VAR1(VAR269) ); VAR304 VAR33 ( .VAR1(VAR394), .VAR154(VAR28) ); VAR276 VAR182 ( .VAR1(VAR156), .VAR154(VAR149) ); VAR134 VAR138 ( .VAR230(VAR8), .VAR305(VAR281), .VAR154(VAR55) ); VAR304 VAR278 ( .VAR1(VAR159), .VAR154(VAR129) ); VAR105 VAR360 ( .VAR154(VAR44), .VAR230(VAR241) ); VAR105 VAR93 ( .VAR154(VAR174), .VAR230(VAR92) ); VAR48 VAR217 ( .VAR1(VAR157), .VAR288(VAR12), .VAR205(VAR331) ); VAR105 VAR347 ( .VAR154(VAR174), .VAR230(VAR262) ); VAR48 VAR177 ( .VAR288(VAR269), .VAR1(VAR81), .VAR205(VAR191) ); VAR48 VAR36 ( .VAR1(VAR150), .VAR288(VAR40), .VAR205(VAR199) ); VAR105 VAR158 ( .VAR154(VAR47), .VAR230(VAR127) ); VAR384 VAR325 ( .VAR297(VAR249), .VAR106(VAR260), .VAR234(VAR28), .VAR99(VAR88) ); VAR48 VAR252 ( .VAR205(VAR328), .VAR1(VAR131), .VAR288(VAR156) ); VAR105 VAR283 ( .VAR154(VAR101), .VAR230(VAR361) ); VAR105 VAR185 ( .VAR154(VAR320), .VAR230(VAR352) ); VAR375 VAR367 ( .VAR1(VAR141), .VAR205(VAR372), .VAR288(VAR91) ); VAR105 VAR79 ( .VAR154(VAR98), .VAR230(VAR202) ); VAR304 VAR229 ( .VAR1(VAR271), .VAR154(VAR388) ); VAR48 VAR51 ( .VAR205(VAR12), .VAR1(VAR34), .VAR288(VAR191) ); VAR105 VAR6 ( .VAR154(VAR312), .VAR230(VAR399) ); VAR304 VAR204 ( .VAR1(VAR152), .VAR154(VAR193) ); VAR105 VAR178 ( .VAR154(VAR272), .VAR230(VAR47) ); VAR276 VAR5 ( .VAR154(VAR389), .VAR1(VAR398) ); VAR402 VAR73 ( .VAR230(VAR260), .VAR305(VAR394), .VAR119(VAR242), .VAR154(VAR222) ); VAR304 VAR153 ( .VAR1(VAR146), .VAR154(VAR199) ); VAR48 VAR53 ( .VAR1(VAR173), .VAR288(VAR271), .VAR205(VAR372) ); VAR105 VAR386 ( .VAR154(VAR127), .VAR230(VAR27) ); VAR48 VAR164 ( .VAR205(VAR12), .VAR1(VAR65), .VAR288(VAR355) ); VAR105 VAR181 ( .VAR154(VAR27), .VAR230(VAR228) ); VAR105 VAR137 ( .VAR154(VAR108), .VAR230(VAR75) ); VAR46 VAR254 ( .VAR1(VAR160), .VAR288(VAR362), .VAR205(VAR394) ); VAR105 VAR50 ( .VAR154(VAR166), .VAR230(VAR282) ); VAR384 VAR64 ( .VAR297(VAR249), .VAR106(VAR225), .VAR234(VAR309), .VAR99(VAR314) ); VAR295 VAR102 ( .VAR205(VAR84), .VAR1(VAR14), .VAR288(VAR240) ); VAR276 VAR42 ( .VAR1(VAR280), .VAR154(VAR327) ); VAR402 VAR179 ( .VAR230(VAR18), .VAR119(VAR242), .VAR305(VAR152), .VAR154(VAR288) ); VAR105 VAR210 ( .VAR154(VAR115), .VAR230(VAR369) ); VAR253 VAR392 ( .VAR288(VAR328), .VAR1(VAR362), .VAR205(VAR385) ); VAR402 VAR294 ( .VAR119(VAR231), .VAR230(VAR270), .VAR154(VAR68), .VAR305(VAR354) ); VAR105 VAR135 ( .VAR154(VAR201), .VAR230(VAR321) ); VAR304 VAR78 ( .VAR1(VAR231), .VAR154(VAR12) ); VAR46 VAR192 ( .VAR205(VAR81), .VAR288(VAR366), .VAR1(VAR339) ); VAR54 VAR82 ( .VAR1(VAR310), .VAR288(VAR84), .VAR45(VAR355), .VAR205(VAR131) ); VAR308 VAR194 ( .VAR1(VAR94), .VAR205(VAR8), .VAR288(VAR211) ); VAR105 VAR390 ( .VAR154(VAR87), .VAR230(VAR259) ); VAR54 VAR169 ( .VAR288(VAR269), .VAR45(VAR160), .VAR205(VAR191), .VAR1(VAR77) ); VAR384 VAR74 ( .VAR297(VAR249), .VAR106(VAR145), .VAR234(VAR385), .VAR99(VAR171) ); VAR46 VAR103 ( .VAR205(VAR338), .VAR288(VAR72), .VAR1(VAR84) ); VAR46 VAR264 ( .VAR205(VAR328), .VAR1(VAR359), .VAR288(VAR152) ); VAR110 VAR122 ( .VAR154(VAR328), .VAR230(VAR129) ); VAR105 VAR345 ( .VAR154(VAR202), .VAR230(VAR39) ); VAR105 VAR143 ( .VAR154(VAR121), .VAR230(VAR171) ); VAR48 VAR161 ( .VAR1(VAR299), .VAR288(VAR231), .VAR205(VAR353) ); VAR19 VAR400 ( .VAR154(VAR339), .VAR1(VAR83) ); VAR304 VAR136 ( .VAR1(VAR191), .VAR154(VAR58) ); VAR48 VAR187 ( .VAR205(VAR231), .VAR1(VAR20), .VAR288(VAR162) ); VAR48 VAR233 ( .VAR205(VAR328), .VAR1(VAR243), .VAR288(VAR124) ); VAR276 VAR315 ( .VAR154(VAR355), .VAR1(VAR378) ); VAR48 VAR318 ( .VAR1(VAR113), .VAR288(VAR155), .VAR205(VAR293) ); VAR402 VAR291 ( .VAR119(VAR231), .VAR230(VAR376), .VAR154(VAR95), .VAR305(VAR271) ); VAR48 VAR371 ( .VAR1(VAR374), .VAR288(VAR237), .VAR205(VAR208) ); VAR304 VAR235 ( .VAR1(VAR355), .VAR154(VAR342) ); VAR105 VAR370 ( .VAR154(VAR262), .VAR230(VAR239) ); VAR46 VAR183 ( .VAR205(VAR150), .VAR1(VAR72), .VAR288(VAR339) ); VAR276 VAR391 ( .VAR1(VAR55), .VAR154(VAR186) ); VAR276 VAR265 ( .VAR154(VAR84), .VAR1(VAR170) ); VAR105 VAR365 ( .VAR154(VAR352), .VAR230(VAR15) ); VAR46 VAR43 ( .VAR205(VAR280), .VAR1(VAR67), .VAR288(VAR84) ); VAR54 VAR190 ( .VAR1(VAR198), .VAR205(VAR395), .VAR45(VAR299), .VAR288(VAR65) ); VAR105 VAR368 ( .VAR154(VAR61), .VAR230(VAR24) ); VAR46 VAR311 ( .VAR205(VAR310), .VAR1(VAR303), .VAR288(VAR353) ); VAR349 VAR37 ( .VAR1(VAR301), .VAR205(VAR167), .VAR45(VAR287), .VAR288(VAR186) ); VAR48 VAR226 ( .VAR205(VAR160), .VAR1(VAR59), .VAR288(VAR374) ); VAR402 VAR307 ( .VAR119(VAR231), .VAR230(VAR13), .VAR305(VAR59), .VAR154(VAR113) ); VAR54 VAR168 ( .VAR1(VAR80), .VAR45(VAR379), .VAR288(VAR303), .VAR205(VAR231) ); VAR276 VAR306 ( .VAR1(VAR144), .VAR154(VAR120) ); VAR48 VAR256 ( .VAR1(VAR9), .VAR205(VAR157), .VAR288(VAR20) ); VAR105 VAR176 ( .VAR154(VAR69), .VAR230(VAR7) ); VAR105 VAR257 ( .VAR154(VAR343), .VAR230(VAR69) ); VAR276 VAR70 ( .VAR154(VAR271), .VAR1(VAR397) ); VAR105 VAR109 ( .VAR154(VAR123), .VAR230(VAR49) ); VAR384 VAR246 ( .VAR297(VAR249), .VAR106(VAR198), .VAR234(VAR342), .VAR99(VAR239) ); VAR54 VAR63 ( .VAR205(VAR395), .VAR1(VAR145), .VAR45(VAR206), .VAR288(VAR85) ); VAR54 VAR332 ( .VAR205(VAR231), .VAR1(VAR206), .VAR45(VAR296), .VAR288(VAR227) ); VAR304 VAR57 ( .VAR1(VAR277), .VAR154(VAR287) ); VAR375 VAR373 ( .VAR1(VAR215), .VAR288(VAR277), .VAR205(VAR186) ); VAR105 VAR147 ( .VAR154(VAR92), .VAR230(VAR98) ); VAR308 VAR100 ( .VAR1(VAR327), .VAR288(VAR355), .VAR205(VAR131) ); VAR384 VAR29 ( .VAR297(VAR249), .VAR106(VAR236), .VAR234(VAR149), .VAR99(VAR286) ); VAR105 VAR316 ( .VAR154(VAR7), .VAR230(VAR263) ); VAR48 VAR274 ( .VAR1(VAR95), .VAR288(VAR212), .VAR205(VAR214) ); VAR105 VAR247 ( .VAR154(VAR321), .VAR230(VAR87) ); VAR48 VAR275 ( .VAR1(VAR293), .VAR288(VAR354), .VAR205(VAR372) ); VAR105 VAR340 ( .VAR154(VAR321), .VAR230(VAR76) ); VAR304 VAR97 ( .VAR1(VAR3), .VAR154(VAR40) ); VAR105 VAR313 ( .VAR154(VAR201), .VAR230(VAR108) ); VAR105 VAR126 ( .VAR154(VAR399), .VAR230(VAR61) ); VAR105 VAR341 ( .VAR154(VAR27), .VAR230(VAR286) ); VAR105 VAR10 ( .VAR154(VAR282), .VAR230(VAR35) ); VAR48 VAR219 ( .VAR1(VAR338), .VAR288(VAR146), .VAR205(VAR3) ); VAR48 VAR71 ( .VAR205(VAR328), .VAR288(VAR394), .VAR1(VAR208) ); VAR105 VAR335 ( .VAR154(VAR259), .VAR230(VAR101) ); VAR304 VAR41 ( .VAR154(VAR167), .VAR1(VAR344) ); VAR48 VAR319 ( .VAR1(VAR379), .VAR205(VAR170), .VAR288(VAR327) ); VAR48 VAR351 ( .VAR1(VAR382), .VAR288(VAR355), .VAR205(VAR131) ); VAR276 VAR180 ( .VAR154(VAR162), .VAR1(VAR363) ); VAR276 VAR221 ( .VAR154(VAR191), .VAR1(VAR38) ); VAR134 VAR258 ( .VAR230(VAR184), .VAR154(VAR215), .VAR305(VAR167) ); VAR308 VAR334 ( .VAR1(VAR225), .VAR205(VAR184), .VAR288(VAR211) ); VAR375 VAR393 ( .VAR1(VAR155), .VAR205(VAR372), .VAR288(VAR268) ); VAR402 VAR60 ( .VAR230(VAR236), .VAR119(VAR242), .VAR305(VAR156), .VAR154(VAR45) ); VAR105 VAR218 ( .VAR154(VAR228), .VAR230(VAR314) ); VAR304 VAR96 ( .VAR154(VAR301), .VAR1(VAR372) ); VAR46 VAR326 ( .VAR205(VAR160), .VAR1(VAR366), .VAR288(VAR284) ); VAR384 VAR132 ( .VAR297(VAR249), .VAR106(VAR329), .VAR234(VAR196), .VAR99(VAR369) ); VAR402 VAR89 ( .VAR230(VAR26), .VAR119(VAR242), .VAR305(VAR124), .VAR154(VAR205) ); VAR384 VAR195 ( .VAR297(VAR249), .VAR106(VAR94), .VAR234(VAR186), .VAR99(VAR114) ); VAR276 VAR2 ( .VAR154(VAR354), .VAR1(VAR216) ); VAR295 VAR232 ( .VAR205(VAR339), .VAR1(VAR296), .VAR288(VAR77) ); VAR140 VAR251 ( .VAR1(VAR383), .VAR205(VAR372), .VAR288(VAR112) ); VAR48 VAR266 ( .VAR1(VAR68), .VAR288(VAR141), .VAR205(VAR173) ); VAR384 VAR189 ( .VAR234(VAR328), .VAR297(VAR249), .VAR106(VAR9), .VAR99(VAR312) ); VAR105 VAR90 ( .VAR154(VAR32), .VAR230(VAR396) ); VAR54 VAR401 ( .VAR1(VAR242), .VAR205(VAR277), .VAR45(VAR309), .VAR288(VAR186) ); VAR384 VAR322 ( .VAR297(VAR249), .VAR106(VAR270), .VAR234(VAR388), .VAR99(VAR396) ); VAR304 VAR403 ( .VAR1(VAR287), .VAR154(VAR196) ); VAR105 VAR148 ( .VAR154(VAR15), .VAR230(VAR88) ); VAR384 VAR350 ( .VAR297(VAR249), .VAR106(VAR26), .VAR234(VAR377), .VAR99(VAR52) ); VAR105 VAR290 ( .VAR154(VAR75), .VAR230(VAR320) ); VAR304 VAR381 ( .VAR1(VAR395), .VAR154(VAR211) ); VAR48 VAR273 ( .VAR1(VAR292), .VAR205(VAR12), .VAR288(VAR144) ); VAR304 VAR16 ( .VAR1(VAR354), .VAR154(VAR302) ); VAR19 VAR333 ( .VAR1(VAR249), .VAR154(VAR404) ); VAR276 VAR250 ( .VAR154(VAR3), .VAR1(VAR142) ); VAR105 VAR244 ( .VAR154(VAR361), .VAR230(VAR107) ); VAR48 VAR300 ( .VAR205(VAR324), .VAR1(VAR284), .VAR288(VAR58) ); VAR48 VAR111 ( .VAR288(VAR159), .VAR1(VAR224), .VAR205(VAR372) ); VAR289 VAR17 ( .VAR288(VAR395), .VAR1(VAR329), .VAR205(VAR281) ); VAR304 VAR172 ( .VAR1(VAR324), .VAR154(VAR359) ); VAR54 VAR130 ( .VAR45(VAR292), .VAR288(VAR80), .VAR1(VAR356), .VAR205(VAR395) ); VAR105 VAR248 ( .VAR154(VAR263), .VAR230(VAR104) ); VAR304 VAR317 ( .VAR1(VAR237), .VAR154(VAR385) ); VAR276 VAR323 ( .VAR1(VAR124), .VAR154(VAR377) ); endmodule
gpl-3.0
niketancm/tsea26
lab2-3/rtl/agu_rf.v
1,237
module MODULE1 parameter VAR9 = 2, parameter VAR5 = 0) ( input wire VAR6, input wire [VAR9-1:0] VAR3, input wire [VAR9-1:0] VAR13, output reg [VAR11-1:0] VAR17, output reg [VAR11-1:0] VAR2, input wire VAR1, input wire VAR7, input wire [VAR9-1:0] VAR12, input wire [VAR11-1:0] VAR19); reg [VAR11-1:0] VAR18 [1:0]; wire [VAR9-1:0] VAR14; wire [VAR11-1:0] VAR16; assign VAR14 = (VAR1 | VAR7) ? VAR12 : VAR3; always@(posedge VAR6) begin if(VAR1) begin VAR18[VAR14[0]] = VAR19; end end wire [VAR11-1:0] VAR4; wire [VAR11-1:0] VAR10; assign VAR4 = VAR18[VAR14[0]]; assign VAR10 = VAR18[VAR13[0]]; always@* begin casex(VAR14) 2'VAR8: begin VAR17 = VAR5; end 2'VAR15: begin VAR17 = VAR4; end endcase casex(VAR13) 2'VAR8: begin VAR2 = VAR5; end 2'VAR15: begin VAR2 = VAR10; end endcase end endmodule
gpl-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/to_send/ngnp_added_monitor/ngnp/src/tmp/yacc/decoder.v
33,218
module MODULE1(VAR56,VAR169,VAR128,VAR119,VAR80,VAR84, VAR5,VAR198,VAR30,VAR149,VAR48, VAR28,VAR109,VAR96,VAR1,VAR186, VAR155,VAR74,VAR219,VAR133,VAR29,VAR179,VAR200,VAR210,VAR231,VAR112,VAR86,VAR176, VAR4,VAR208,VAR150,VAR87,VAR214,VAR234,VAR95,VAR14,VAR177,VAR42, VAR66,VAR102,VAR98,VAR232,VAR17,VAR167, VAR207,VAR142,VAR34,VAR51,VAR165,VAR70,VAR31,VAR202); input VAR56,VAR169; input VAR200,VAR210; output VAR128,VAR119; output [1:0] VAR80; output [1:0] VAR84; output VAR198,VAR30; output [1:0] VAR149,VAR48; output [3:0] VAR28; output [1:0] VAR109; output [25:0] VAR186,VAR29; output [4:0] VAR155,VAR74; output [4:0] VAR96,VAR1,VAR219; output [4:0] VAR5; output [2:0] VAR133; output [31:0] VAR179; output VAR231,VAR112,VAR86,VAR176; output VAR70,VAR31; input [7:0] VAR51; output VAR165; input VAR202; input [25:0] VAR4,VAR208; input [25:0] VAR42; input [31:0] VAR150; output [31:0] VAR87; output [25:0] VAR214; output VAR234,VAR95; input VAR14; output VAR177; output VAR66; output [1:0] VAR102; output VAR98; output VAR232; output [1:0] VAR17; output [3:0] VAR167; input VAR207; output [7:0] VAR142; output VAR34; localparam [4:0] VAR53=0, VAR103=1, VAR15=2, VAR10=3, VAR221=4, VAR170=5, VAR83=6, VAR120=7, VAR94=8, VAR61=9,VAR206=10,VAR26=11,VAR63=12,VAR125=13,VAR121=14,VAR92=15, VAR90=16,VAR55=17,VAR110=18,VAR139=19,VAR190=20,VAR141=21,VAR16=22,VAR68=23,VAR235=24,VAR21=25, VAR72=26,VAR122=27,VAR140=28,VAR73=29,VAR3=30,VAR71=31; reg [31:0] VAR179; reg [31:0] VAR134; reg [1:0] VAR188; reg [4:0] VAR5; reg [1:0] VAR203,VAR109; reg [3:0] VAR28; reg [1:0] VAR80; reg [1:0] VAR84,VAR148; reg [1:0] VAR149,VAR48; reg VAR198,VAR30; reg VAR128,VAR119,VAR183,VAR98; reg [2:0] VAR133; reg VAR231,VAR112,VAR86,VAR176; reg VAR31,VAR70; reg VAR24,VAR234,VAR95; reg [7:0] VAR142; reg VAR99; reg [31:0] VAR97; reg VAR66; reg [1:0] VAR102; reg VAR189,VAR91; reg VAR215; reg [1:0] VAR17; reg VAR124; reg VAR135; reg [3:0] VAR167; reg VAR20; reg VAR113,VAR43; reg VAR34; reg VAR144; wire [31:0] VAR101; wire [5:0] VAR8=VAR101[31:26]; wire [5:0] VAR173=VAR179[31:26]; wire [5:0] VAR52=VAR179[5:0]; wire [5:0] VAR22=VAR101[5:0]; wire [4:0] VAR85; wire VAR232; wire VAR44; assign VAR165=VAR142==5; assign VAR186=VAR134[25:0]; assign VAR29=VAR179[25:0]; assign VAR155=VAR134[25:21]; assign VAR74=VAR134[20:16]; assign VAR96=VAR179[25:21]; assign VAR1=VAR179[20:16]; assign VAR85=VAR179[15:11]; assign VAR219=VAR134[10:6]; assign VAR214=VAR101[25:0]; wire [5:0] VAR227=VAR101[31:26]; wire [25:0] VAR6=VAR208+{{10{VAR101[15]}},VAR101[15:0]}*4; wire [4:0] VAR182=VAR101[25:21]; wire [4:0] VAR132=VAR101[20:16]; wire [4:0] rd=VAR101[15:11]; wire [4:0] VAR116=VAR101[10:6]; reg [5*8:1] VAR161="VAR225"; reg [30*8:1] VAR152,VAR50; function [4*8:1] VAR7; input [4:0] VAR35; begin case (VAR35) 0: VAR7="VAR224"; 1: VAR7="VAR103"; 2: VAR7="VAR15"; 3: VAR7="VAR10"; 4: VAR7="VAR221"; 5: VAR7="VAR170"; 6: VAR7="VAR83"; 7: VAR7="VAR120"; 8,9,10,11,12,13,14,15: VAR67(VAR7,"VAR127%1d",VAR35-8); 16,17,18,19,20,21,22,23,24,25: VAR67(VAR7,"VAR195%1d",VAR35-16); 26:VAR7="VAR72"; 27:VAR7="VAR122"; 28:VAR7="VAR140"; 29:VAR7="VAR73"; 30:VAR7="VAR3"; 31:VAR7="VAR71"; endcase end endfunction always @(posedge VAR56) begin VAR152<=VAR115; VAR50<=VAR152; end always @*begin:VAR67 reg [4*8:1] VAR41; reg [4*8:1] VAR57; reg [4*8:1] VAR192; VAR41=VAR7(rd); VAR57=VAR7(VAR182); VAR192=VAR7(VAR132); case (VAR227) 0: case (VAR101[5:0]) 0: if (rd==0 && VAR132==0 && VAR182==0 ) VAR67(VAR115,"VAR76"); end else VAR67(VAR115,"VAR146 %VAR195,%VAR195,%2d\VAR233",VAR41,VAR192,VAR116); 2: VAR67(VAR115," VAR197 %VAR195,%VAR195,%2d\VAR233",VAR41,VAR192,VAR116); 3: VAR67(VAR115," VAR153 %VAR195,%VAR195,%2d\VAR233",VAR41,VAR192,VAR116); 4: VAR67(VAR115," VAR78 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR192,VAR57); 6: VAR67(VAR115," VAR172 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR192,VAR57); 7: VAR67(VAR115," VAR11 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR192,VAR57); 8: VAR67(VAR115," VAR145 %VAR195\VAR233",VAR57); 9: VAR67(VAR115," VAR159 %VAR195\VAR233",VAR57); 12: VAR67(VAR115," VAR75\VAR233"); 13: VAR67(VAR115," break"); 16: VAR67(VAR115," VAR13 %VAR195\VAR233",VAR41); 17: VAR67(VAR115," VAR93 %VAR195\VAR233",VAR57); 18: VAR67(VAR115," VAR154 %VAR195\VAR233",VAR41); 19: VAR67(VAR115," VAR156 %VAR195\VAR233",VAR57); 24: VAR67(VAR115," VAR228 %VAR195,%VAR195\VAR233",VAR57,VAR192); 25: VAR67(VAR115," VAR199 %VAR195,%VAR195\VAR233",VAR57,VAR192); 26: VAR67(VAR115," VAR38 %VAR195,%VAR195\VAR233",VAR57,VAR192); 27: VAR67(VAR115," VAR213 %VAR195,%VAR195\VAR233",VAR57,VAR192); 32: VAR67(VAR115," VAR100 %VAR195,%VAR195,%VAR195",VAR41,VAR57,VAR192); 33: if(VAR132==0) VAR67(VAR115," VAR180 %VAR195,%VAR195\VAR233",VAR41,VAR57); else VAR67(VAR115," VAR205 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 34: VAR67(VAR115," VAR2 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 35: VAR67(VAR115," VAR175 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 36: VAR67(VAR115," and %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 37: if(VAR132==0) VAR67(VAR115," VAR180 %VAR195,%VAR195\VAR233",VAR41,VAR57); else VAR67(VAR115," or %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 38: VAR67(VAR115," xor %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 39: VAR67(VAR115," nor %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 42: VAR67(VAR115," VAR218 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); 43: VAR67(VAR115," VAR37 %VAR195,%VAR195,%VAR195\VAR233",VAR41,VAR57,VAR192); default: VAR67(VAR115,"VAR118 VAR46. %08h\VAR233",VAR101); endcase 1: case (VAR101[20:16]) 0: VAR67(VAR115," VAR178 %VAR195,%08h\VAR233",VAR57,VAR6); 1: VAR67(VAR115," VAR39 %VAR195,%08h\VAR233",VAR57,VAR6); 16: VAR67(VAR115," VAR209 %VAR195,%08h\VAR233",VAR57,VAR6); 17: VAR67(VAR115," VAR143 %VAR195,%08h\VAR233",VAR57,VAR6); default: VAR67(VAR115,"VAR12 %08h\VAR233",VAR101); endcase 2: VAR67(VAR115," VAR162 %08h\VAR233",((VAR101*4)&32'h0ffffffc)+(VAR208&32'hf0000000)); 3: VAR67(VAR115," VAR160 %08h\VAR233",((VAR101*4)&32'h0ffffffc)+(VAR208&32'hf0000000)); 4: if(VAR182==0 && VAR132==0) VAR67(VAR115," VAR6 %08h\VAR233",VAR6); else VAR67(VAR115," VAR62 %VAR195,%VAR195,%08h\VAR233",VAR57,VAR192,VAR6); 5: VAR67(VAR115," VAR32 %VAR195,%VAR195,%08h\VAR233",VAR57,VAR192,VAR6); 6: VAR67(VAR115," VAR238 %VAR195,%08h\VAR233",VAR57,VAR6); 7: VAR67(VAR115," VAR105 %VAR195,%08h\VAR233",VAR57,VAR6); 8: VAR67(VAR115," VAR81 %VAR195,%VAR195,#%04h\VAR233",VAR192,VAR57,VAR101[15:0]); 9: if(VAR182==0) VAR67(VAR115," VAR49 %VAR195,#%08h\VAR233",VAR192,VAR101[15:0]); else VAR67(VAR115," VAR64 %VAR195,%VAR195,#%04h\VAR233",VAR192,VAR57,VAR101[15:0]); 10: VAR67(VAR115," VAR104 %VAR195,%VAR195,#%04h\VAR233",VAR192,VAR57,VAR101[15:0]); 11: VAR67(VAR115," VAR129 %VAR195,%VAR195,#%04h\VAR233",VAR192,VAR57,VAR101[15:0]); 12: VAR67(VAR115," VAR136 %VAR195,%VAR195,#%04h\VAR233",VAR192,VAR57,VAR101[15:0]); 13: if(VAR182==0) VAR67(VAR115," VAR49 %VAR195,#%08h\VAR233",VAR192,VAR101[15:0]); else VAR67(VAR115," VAR174 %VAR195,%VAR195,#%04h\VAR233",VAR192,VAR57,VAR101[15:0]); 14: VAR67(VAR115," VAR147 %VAR195,%VAR195,#%04h\VAR233",VAR192,VAR57,VAR101[15:0]); 15: VAR67(VAR115," VAR23 %VAR195,#%04h",VAR192,VAR101[15:0]); 16, 17, 18, 19: begin if(VAR182>=16) VAR67(VAR115," VAR9%VAR108 %08h\VAR233",VAR227&3,VAR101[25:0]); end else case(VAR57) 0: VAR67(VAR115," VAR40%VAR108 %VAR195,%VAR195\VAR233",VAR227&3,VAR192,VAR41); 2: VAR67(VAR115," VAR25%VAR108 %VAR195,%VAR195\VAR233",VAR227&3,VAR192,VAR41); 4: VAR67(VAR115," VAR65%VAR108 %VAR195,%VAR195\VAR233",VAR227&3,VAR192,VAR41); 6: VAR67(VAR115," VAR196%VAR108 %VAR195,%VAR195\VAR233",VAR227&3,VAR192,VAR41); 8, 12: if(VAR132&1) VAR67(VAR115," VAR158%VAR193 %VAR108,%08h\VAR233",VAR227&3,VAR182*32+VAR132,VAR6); else VAR67(VAR115," VAR158%VAR107 %VAR108,%08h\VAR233",VAR227&3,VAR182*32+VAR132,VAR6); default: VAR67(VAR115,"VAR211 %08h\VAR233",VAR101); endcase end 32: VAR67(VAR115," VAR164 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 33: VAR67(VAR115," VAR130 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 34: VAR67(VAR115," VAR77 %VAR195,%04h(%VAR195)\VAR233",VAR101[15:0],VAR57); 35: VAR67(VAR115," VAR79 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 36: VAR67(VAR115," VAR27 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 37: VAR67(VAR115," VAR171 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 38: VAR67(VAR115," VAR185 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 40: VAR67(VAR115," VAR126 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 41: VAR67(VAR115," VAR116 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 42: VAR67(VAR115," VAR47 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 43: VAR67(VAR115," VAR163 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 46: VAR67(VAR115," VAR229 %VAR195,%04h(%VAR195)\VAR233",VAR192,VAR101[15:0],VAR57); 48, 49, 50, 51: VAR67(VAR115," VAR131%VAR108 %VAR195,%04h(%VAR195)\VAR233",VAR227&3,VAR192,VAR101[15:0],VAR57); 56, 57, 58, 59: VAR67(VAR115," VAR157%VAR108 %VAR195,%04h(%VAR195)\VAR233",VAR227&3,VAR192,VAR101[15:0],VAR57); default: VAR67(VAR115,"VAR58 %08h\VAR233",VAR101); endcase end always @ (posedge VAR56) VAR99 <=VAR169; always @ (posedge VAR56) begin if (VAR99) VAR179 <=32'h00; end else if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR179<=VAR42>>2; else if (VAR8==6'b000001) VAR179<={VAR101[31:21],5'b00000,VAR101[15:0]}; else VAR179 <=VAR101; end always @ (posedge VAR56) begin VAR134 <=VAR179; end always @ (posedge VAR56) begin case (VAR188) default : VAR5 <=VAR1; endcase end always @(posedge VAR56) begin if (VAR169) VAR144<=0; end else VAR144<=VAR142[5:0]==6'b000000 && VAR14; end always @ (posedge VAR56) begin VAR109<=VAR203; end always @ (posedge VAR56) begin VAR48<=VAR149; end always @ (posedge VAR56) begin VAR30<=VAR198; end always @ (posedge VAR56) begin if (VAR99) VAR189<=1'b0; end else if (VAR232) VAR189<=1'b0; else VAR189<=VAR200; end always @ (posedge VAR56) begin if (VAR99) VAR91<=1'b0; end else VAR91<=VAR189; end always @ (posedge VAR56) begin if (VAR99) begin VAR119<=1'b0; end else if (VAR189 ||VAR91 || VAR232 ) VAR119<=1'b0; else VAR119<=VAR183; end always @ (posedge VAR56) begin if (VAR99) VAR183<=1'b0; end else if (VAR142[5:0]==6'b000000 && VAR14) VAR183<=1'b1; end else case (VAR8) 6'b000000: case (VAR22) default: VAR183<=1'b1; endcase default: VAR183<=1'b0; endcase end always @ (posedge VAR56) begin if (VAR99) VAR124<=1'b0; end else if (VAR142[5:0]==6'b000000 && VAR14) VAR124<=1'b0; else case (VAR8) 6'b000000: case (VAR22) VAR124<=1'b1; VAR135<=1'b1; end VAR124<=1'b1; VAR135<=1'b1; end VAR124<=1'b1; VAR135<=1'b0; end VAR124<=1'b1; VAR135<=1'b0; end default: VAR124<=1'b0; endcase default: VAR124<=1'b0; endcase end always @ (posedge VAR56) begin if (VAR99) begin VAR167 <=VAR117; VAR17<=2'b00; end else if ( VAR167 [3] ) VAR167[3]<=1'b0; end else if( !VAR232) case (VAR173) 6'b000000: case (VAR52) VAR167<=VAR187; end VAR167<=VAR138; end VAR167<=VAR54; end VAR167<=VAR237; end if (!VAR207)VAR167<=VAR216; VAR17<=VAR123; end if(!VAR207) VAR167<=VAR191; VAR17<=VAR212; end default: begin VAR167 <=VAR191; VAR17<=2'b00; end endcase default: VAR17<=2'b00; endcase end always @ (posedge VAR56) begin if (VAR99) VAR113<=1'b0; end else VAR113<=VAR20; end always @ (posedge VAR56) begin if (VAR99) VAR43<=1'b0; end else VAR43<=VAR113; end assign VAR44=VAR20 && !VAR207; always @ (posedge VAR56) begin if (VAR99) VAR128<=1'b0; end else case (VAR8) default: VAR128<=1'b0; endcase end always @ (posedge VAR56) begin if (VAR99) VAR98<=1'b0; end else if ( VAR232 ) VAR98<=1'b0; else VAR98<=VAR128; end always @ (posedge VAR56) begin if (VAR99) VAR198<=VAR106; end else case (VAR8) default: VAR198<=VAR106; endcase end always @ (posedge VAR56) begin if (VAR99) VAR149<=VAR59; end else case (VAR8) default: VAR149<=VAR59; endcase end always @ (posedge VAR56) begin if (VAR99) begin VAR84 <=VAR36; VAR34<=VAR19; end else if ((VAR144) || VAR232 ) VAR84<=VAR33; else case (VAR173) 6'b000000: case (VAR52) VAR84<=VAR114 ; VAR34<=VAR19; end VAR84<=VAR114 ; VAR34<=VAR194; end VAR84<=VAR114 ; VAR34<=VAR19; end VAR84<=VAR114 ; VAR34<=VAR194; end VAR84<=VAR114; VAR34<=VAR19; end VAR84<=VAR114; VAR34<=VAR194; end default : begin VAR84<=VAR36; VAR34<=VAR19; end endcase default: begin VAR84<=VAR36; VAR34<=VAR19; end endcase end always @ (posedge VAR56) begin casex (VAR8) 6'b00000?: case (VAR22) default : VAR80<=VAR204; endcase default: VAR80<=VAR111; endcase end always @ (posedge VAR56) begin case (VAR8) default: VAR66<=0; endcase end always @ (posedge VAR56) begin end if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR102<=VAR45; else case (VAR8) default: VAR102<=VAR45; endcase end always @ (posedge VAR56) begin case (VAR173) 6'b000000: case (VAR52) and : VAR28<=VAR220; nor : VAR28<=VAR230; or : VAR28<=VAR69; xor : VAR28<=VAR137; default : VAR28<=VAR88; endcase default: VAR28<=VAR88; endcase end always @ (posedge VAR56) begin case (VAR8) 6'b000000: case (VAR22) default : VAR203<=VAR82; endcase default: VAR203<=VAR82; endcase end always @ (posedge VAR56) begin if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR188<=VAR222; end else case (VAR8) 6'b000000: case (VAR22) default : VAR188<=VAR201; endcase default: VAR188<=VAR223; endcase end always @(VAR8,VAR142,VAR14,VAR22,VAR232,VAR200,VAR189) begin if (VAR200 || VAR189) VAR133<=VAR89; else case (VAR8) 6'b000000: case (VAR22) default: VAR133<=VAR89; endcase default : VAR133<=VAR89; endcase end always @(posedge VAR56) begin case (VAR8) 6'b000001: case (VAR101[20:16]) end end end end end end endcase endcase end always @ (posedge VAR56) begin if ((VAR142[5:0]==6'b000000 && VAR14) ) begin VAR95<=1'b1; VAR24<=1'b0; end else if (VAR200) begin VAR95<=1'b0; VAR24<=1'b0; end else case (VAR8) VAR95<=1'b1; VAR24<=1'b0; end VAR95<=1'b1; VAR24<=1'b0; end VAR95<=1'b0; VAR24<=1'b1; end VAR95<=1'b0; VAR24<=1'b1; end VAR95<=1'b0; VAR24<=1'b1; end VAR95<=1'b0; VAR24<=1'b1; end 6'b000001: begin VAR95<=1'b0; VAR24<=1'b1; end default : begin VAR95<=1'b0; VAR24<=1'b0; end endcase end always @ (posedge VAR56) begin if (VAR232) VAR234<=1'b0; end else VAR234<=VAR24; end always @(posedge VAR56) begin if (VAR99) VAR231<=0; end else if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR231<=1'b0; else if (VAR8==VAR62) VAR231<=1'b1; else VAR231<=1'b0; end always @(posedge VAR56) begin if (VAR99) VAR31<=0; end else if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR31<=1'b0; else if (VAR8==6'b000001 && VAR101[20:16]==VAR178) VAR31<=1'b1; else VAR31<=1'b0; end always @(posedge VAR56) begin if (VAR99) VAR70<=0; end else if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR70<=1'b0; else if (VAR8==6'b0000001 && VAR101[20:16]==VAR39) VAR70<=1'b1; else VAR70<=1'b0; end always @(posedge VAR56) begin if (VAR99) VAR176<=0; end else if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR176<=1'b0; else if (VAR8==VAR105) VAR176<=1'b1; else VAR176<=1'b0; end always @(posedge VAR56) begin if (VAR99) VAR86<=0; end else if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR86<=1'b0; else if (VAR8==VAR238) VAR86<=1'b1; else VAR86<=1'b0; end always @(posedge VAR56) begin if (VAR99) VAR112<=0; end else if ((VAR142[5:0]==6'b000000 && VAR14) ) VAR112<=1'b0; else if (VAR8==VAR32) VAR112<=1'b1; else VAR112<=1'b0; end always @(posedge VAR56) begin if (VAR99) begin VAR20<=1'b0; end else begin if (!VAR207 && VAR43) VAR20<=1'b0; end else case (VAR8) 6'b000000: case (VAR22) endcase endcase end end always @(posedge VAR56) begin if (VAR99) begin VAR142<=6'b000000; end else begin casex (VAR142[5:0]) 6'b000000: begin end if(VAR14) VAR142<=6'b000101; else case (VAR8) 6'b000001: VAR142<=6'b110000; 6'b000000: case (VAR22) default: VAR142<=6'b000000; endcase endcase end 6'VAR239???101: VAR142<=6'b000000; 6'b100000: VAR142<=6'b000000; 6'b101000: VAR142<=6'b001100; 6'b001100: VAR142<=6'b000000; 6'b110000: VAR142<=6'b000100; 6'b000100: VAR168 VAR166 if (VAR200) VAR142<=6'b001100; else case (VAR8) 6'b000001: VAR142<=6'b110000; 6'b000000: case (VAR22) default: VAR142<=6'b000000; endcase endcase end 6'b000001: if (VAR200) VAR142<=6'b001100; else VAR142<=6'b110000; 6'b000000: case (VAR22) if (VAR200) VAR142<=6'b001100; else VAR142<=8'b00000010; end else if (VAR200) VAR142<=6'b001100; VAR154: if (VAR20) begin end if (VAR200) VAR142<=6'b001100; else VAR142<=8'b00000010; end else if (VAR200) VAR142<=6'b001100; default: if (VAR200) VAR142<=6'b001100; else VAR142<=6'b000000; endcase default : if (VAR200) VAR142<=6'b001100; endcase 6'VAR239???010: case (VAR142[7:6]) 2'b00: VAR142<=8'b01000010; 2'b01: if (!VAR207) VAR142<=8'b11000010; 2'b11: VAR142<=8'b10000010; 2'b10: VAR142<=8'b00000110; default: VAR142<=8'h00; endcase 6'VAR239???110: VAR142<=8'h01; 6'VAR239???001: VAR142<=8'h00; default: VAR142<=8'h00; endcase end end assign VAR177=VAR142[2:0]==3'b101; always @(posedge VAR56) begin if (VAR169) VAR215<=1'b0; end else if (6'b000100==VAR142[5:0] && !VAR200) VAR215<=1'b0; else VAR215<=VAR142[2]; end assign VAR232=VAR215 | VAR142[1]; VAR184 VAR217(.VAR56(VAR56),.VAR169(VAR169),.VAR101(VAR101),.VAR87(VAR87), .VAR18(VAR208[11:0]),.VAR181(VAR4[11:0]),.VAR236(VAR98), .VAR60(VAR150),.VAR151(VAR48), .VAR226(VAR30),.VAR51(VAR51),.VAR202(VAR202)); VAR217(.VAR56(VAR56),.VAR169(VAR169),.VAR101(VAR101),.VAR87(VAR87), .VAR18(VAR208[13:0]),.VAR181(VAR4[13:0]),.VAR236(VAR98), .VAR60(VAR150),.VAR151(VAR48), .VAR226(VAR30),.VAR51(VAR51),.VAR202(VAR202)); VAR217(.VAR56(VAR56),.VAR169(VAR169),.VAR101(VAR101),.VAR87(VAR87), .VAR18(VAR208[14:0]),.VAR181(VAR4[14:0]),.VAR236(VAR98), .VAR60(VAR150),.VAR151(VAR48), .VAR226(VAR30),.VAR51(VAR51),.VAR202(VAR202)); endmodule
mit
freecores/tiny_tate_bilinear_pairing
group_size_is_911_bits/rtl/cubic.v
62,682
module MODULE1(VAR1, VAR2); input [1185:0] VAR1; output [1185:0] VAR2; assign VAR2[1:0] = VAR1[1:0]; assign VAR2[3:2] = VAR1[1113:1112]; assign VAR2[5:4] = VAR1[793:792]; assign VAR2[7:6] = VAR1[3:2]; assign VAR2[9:8] = VAR1[1115:1114]; assign VAR2[11:10] = VAR1[1041:1040]; assign VAR2[13:12] = {VAR1[720], VAR1[721]}; assign VAR2[15:14] = VAR1[401:400]; assign VAR2[17:16] = VAR1[1043:1042]; assign VAR2[19:18] = {VAR1[722], VAR1[723]}; assign VAR2[21:20] = VAR1[403:402]; assign VAR2[23:22] = VAR1[1045:1044]; assign VAR2[25:24] = {VAR1[724], VAR1[725]}; assign VAR2[27:26] = VAR1[1121:1120]; assign VAR2[29:28] = VAR1[801:800]; assign VAR2[31:30] = {VAR1[726], VAR1[727]}; assign VAR2[33:32] = VAR1[1123:1122]; assign VAR2[35:34] = VAR1[803:802]; assign VAR2[37:36] = {VAR1[728], VAR1[729]}; assign VAR2[39:38] = VAR1[1125:1124]; assign VAR2[41:40] = VAR1[805:804]; assign VAR2[43:42] = {VAR1[730], VAR1[731]}; assign VAR2[45:44] = VAR1[1127:1126]; assign VAR2[47:46] = VAR1[807:806]; assign VAR2[49:48] = VAR1[17:16]; assign VAR2[51:50] = VAR1[1129:1128]; assign VAR2[53:52] = VAR1[809:808]; assign VAR2[55:54] = VAR1[19:18]; assign VAR2[57:56] = VAR1[1131:1130]; assign VAR2[59:58] = VAR1[1057:1056]; assign VAR2[61:60] = {VAR1[736], VAR1[737]}; assign VAR2[63:62] = VAR1[417:416]; assign VAR2[65:64] = VAR1[1059:1058]; assign VAR2[67:66] = {VAR1[738], VAR1[739]}; assign VAR2[69:68] = VAR1[419:418]; assign VAR2[71:70] = VAR1[1061:1060]; assign VAR2[73:72] = {VAR1[740], VAR1[741]}; assign VAR2[75:74] = VAR1[1137:1136]; assign VAR2[77:76] = VAR1[817:816]; assign VAR2[79:78] = {VAR1[742], VAR1[743]}; assign VAR2[81:80] = VAR1[1139:1138]; assign VAR2[83:82] = VAR1[819:818]; assign VAR2[85:84] = {VAR1[744], VAR1[745]}; assign VAR2[87:86] = VAR1[1141:1140]; assign VAR2[89:88] = VAR1[821:820]; assign VAR2[91:90] = {VAR1[746], VAR1[747]}; assign VAR2[93:92] = VAR1[1143:1142]; assign VAR2[95:94] = VAR1[823:822]; assign VAR2[97:96] = VAR1[33:32]; assign VAR2[99:98] = VAR1[1145:1144]; assign VAR2[101:100] = VAR1[825:824]; assign VAR2[103:102] = VAR1[35:34]; assign VAR2[105:104] = VAR1[1147:1146]; assign VAR2[107:106] = VAR1[1073:1072]; assign VAR2[109:108] = {VAR1[752], VAR1[753]}; assign VAR2[111:110] = VAR1[433:432]; assign VAR2[113:112] = VAR1[1075:1074]; assign VAR2[115:114] = {VAR1[754], VAR1[755]}; assign VAR2[117:116] = VAR1[435:434]; assign VAR2[119:118] = VAR1[1077:1076]; assign VAR2[121:120] = {VAR1[756], VAR1[757]}; assign VAR2[123:122] = VAR1[1153:1152]; assign VAR2[125:124] = VAR1[833:832]; assign VAR2[127:126] = {VAR1[758], VAR1[759]}; assign VAR2[129:128] = VAR1[1155:1154]; assign VAR2[131:130] = VAR1[835:834]; assign VAR2[133:132] = {VAR1[760], VAR1[761]}; assign VAR2[135:134] = VAR1[1157:1156]; assign VAR2[137:136] = VAR1[837:836]; assign VAR2[139:138] = {VAR1[762], VAR1[763]}; assign VAR2[141:140] = VAR1[1159:1158]; assign VAR2[143:142] = VAR1[839:838]; assign VAR2[145:144] = VAR1[49:48]; assign VAR2[147:146] = VAR1[1161:1160]; assign VAR2[149:148] = VAR1[841:840]; assign VAR2[151:150] = VAR1[51:50]; assign VAR2[153:152] = VAR1[1163:1162]; assign VAR2[155:154] = VAR1[1089:1088]; assign VAR2[157:156] = {VAR1[768], VAR1[769]}; assign VAR2[159:158] = VAR1[449:448]; assign VAR2[161:160] = VAR1[1091:1090]; assign VAR2[163:162] = {VAR1[770], VAR1[771]}; assign VAR2[165:164] = VAR1[451:450]; assign VAR2[167:166] = VAR1[1093:1092]; assign VAR2[169:168] = {VAR1[772], VAR1[773]}; assign VAR2[171:170] = VAR1[1169:1168]; assign VAR2[173:172] = VAR1[849:848]; assign VAR2[175:174] = {VAR1[774], VAR1[775]}; assign VAR2[177:176] = VAR1[1171:1170]; assign VAR2[179:178] = VAR1[851:850]; assign VAR2[181:180] = {VAR1[776], VAR1[777]}; assign VAR2[183:182] = VAR1[1173:1172]; assign VAR2[185:184] = VAR1[853:852]; assign VAR2[187:186] = {VAR1[778], VAR1[779]}; assign VAR2[189:188] = VAR1[1175:1174]; assign VAR2[191:190] = VAR1[855:854]; assign VAR2[193:192] = VAR1[65:64]; assign VAR2[195:194] = VAR1[1177:1176]; assign VAR2[197:196] = VAR1[857:856]; assign VAR2[199:198] = VAR1[67:66]; assign VAR2[201:200] = VAR1[1179:1178]; assign VAR2[203:202] = VAR1[1105:1104]; assign VAR2[205:204] = {VAR1[784], VAR1[785]}; assign VAR2[207:206] = VAR1[465:464]; assign VAR2[209:208] = VAR1[1107:1106]; assign VAR2[211:210] = {VAR1[786], VAR1[787]}; assign VAR2[213:212] = VAR1[467:466]; assign VAR2[215:214] = VAR1[1109:1108]; assign VAR2[217:216] = {VAR1[788], VAR1[789]}; assign VAR2[219:218] = VAR1[1185:1184]; assign VAR2[221:220] = VAR1[865:864]; assign VAR2[223:222] = {VAR1[790], VAR1[791]}; assign VAR2[225:224] = VAR1[471:470]; assign VAR2[227:226] = VAR1[867:866]; assign VAR2[229:228] = VAR1[793:792]; assign VAR2[231:230] = VAR1[473:472]; assign VAR2[233:232] = VAR1[869:868]; assign VAR2[235:234] = {VAR1[1040], VAR1[1041]}; assign VAR2[237:236] = VAR1[721:720]; assign VAR2[239:238] = {VAR1[400], VAR1[401]}; assign VAR2[241:240] = VAR1[81:80]; assign VAR2[243:242] = VAR1[723:722]; assign VAR2[245:244] = {VAR1[402], VAR1[403]}; assign VAR2[247:246] = VAR1[83:82]; assign VAR2[249:248] = VAR1[725:724]; assign VAR2[251:250] = {VAR1[404], VAR1[405]}; assign VAR2[253:252] = VAR1[801:800]; assign VAR2[255:254] = VAR1[481:480]; assign VAR2[257:256] = {VAR1[406], VAR1[407]}; assign VAR2[259:258] = VAR1[803:802]; assign VAR2[261:260] = VAR1[483:482]; assign VAR2[263:262] = {VAR1[408], VAR1[409]}; assign VAR2[265:264] = VAR1[805:804]; assign VAR2[267:266] = VAR1[485:484]; assign VAR2[269:268] = VAR1[881:880]; assign VAR2[271:270] = VAR1[807:806]; assign VAR2[273:272] = VAR1[487:486]; assign VAR2[275:274] = VAR1[883:882]; assign VAR2[277:276] = VAR1[809:808]; assign VAR2[279:278] = VAR1[489:488]; assign VAR2[281:280] = VAR1[885:884]; assign VAR2[283:282] = {VAR1[1056], VAR1[1057]}; assign VAR2[285:284] = VAR1[737:736]; assign VAR2[287:286] = {VAR1[416], VAR1[417]}; assign VAR2[289:288] = VAR1[97:96]; assign VAR2[291:290] = VAR1[739:738]; assign VAR2[293:292] = {VAR1[418], VAR1[419]}; assign VAR2[295:294] = VAR1[99:98]; assign VAR2[297:296] = VAR1[741:740]; assign VAR2[299:298] = {VAR1[420], VAR1[421]}; assign VAR2[301:300] = VAR1[817:816]; assign VAR2[303:302] = VAR1[497:496]; assign VAR2[305:304] = {VAR1[422], VAR1[423]}; assign VAR2[307:306] = VAR1[819:818]; assign VAR2[309:308] = VAR1[499:498]; assign VAR2[311:310] = {VAR1[424], VAR1[425]}; assign VAR2[313:312] = VAR1[821:820]; assign VAR2[315:314] = VAR1[501:500]; assign VAR2[317:316] = VAR1[897:896]; assign VAR2[319:318] = VAR1[823:822]; assign VAR2[321:320] = VAR1[503:502]; assign VAR2[323:322] = VAR1[899:898]; assign VAR2[325:324] = VAR1[825:824]; assign VAR2[327:326] = VAR1[505:504]; assign VAR2[329:328] = VAR1[901:900]; assign VAR2[331:330] = {VAR1[1072], VAR1[1073]}; assign VAR2[333:332] = VAR1[753:752]; assign VAR2[335:334] = {VAR1[432], VAR1[433]}; assign VAR2[337:336] = VAR1[113:112]; assign VAR2[339:338] = VAR1[755:754]; assign VAR2[341:340] = {VAR1[434], VAR1[435]}; assign VAR2[343:342] = VAR1[115:114]; assign VAR2[345:344] = VAR1[757:756]; assign VAR2[347:346] = {VAR1[436], VAR1[437]}; assign VAR2[349:348] = VAR1[833:832]; assign VAR2[351:350] = VAR1[513:512]; assign VAR2[353:352] = {VAR1[438], VAR1[439]}; assign VAR2[355:354] = VAR1[835:834]; assign VAR2[357:356] = VAR1[515:514]; assign VAR2[359:358] = {VAR1[440], VAR1[441]}; assign VAR2[361:360] = VAR1[837:836]; assign VAR2[363:362] = VAR1[517:516]; assign VAR2[365:364] = VAR1[913:912]; assign VAR2[367:366] = VAR1[839:838]; assign VAR2[369:368] = VAR1[519:518]; assign VAR2[371:370] = VAR1[915:914]; assign VAR2[373:372] = VAR1[841:840]; assign VAR2[375:374] = VAR1[521:520]; assign VAR2[377:376] = VAR1[917:916]; assign VAR2[379:378] = {VAR1[1088], VAR1[1089]}; assign VAR2[381:380] = VAR1[769:768]; assign VAR2[383:382] = {VAR1[448], VAR1[449]}; assign VAR2[385:384] = VAR1[129:128]; assign VAR2[387:386] = VAR1[771:770]; assign VAR2[389:388] = {VAR1[450], VAR1[451]}; assign VAR2[391:390] = VAR1[131:130]; assign VAR2[393:392] = VAR1[773:772]; assign VAR2[395:394] = {VAR1[452], VAR1[453]}; assign VAR2[397:396] = VAR1[849:848]; assign VAR2[399:398] = VAR1[529:528]; assign VAR2[401:400] = {VAR1[454], VAR1[455]}; assign VAR2[403:402] = VAR1[851:850]; assign VAR2[405:404] = VAR1[531:530]; assign VAR2[407:406] = {VAR1[456], VAR1[457]}; assign VAR2[409:408] = VAR1[853:852]; assign VAR2[411:410] = VAR1[533:532]; assign VAR2[413:412] = VAR1[929:928]; assign VAR2[415:414] = VAR1[855:854]; assign VAR2[417:416] = VAR1[535:534]; assign VAR2[419:418] = VAR1[931:930]; assign VAR2[421:420] = VAR1[857:856]; assign VAR2[423:422] = VAR1[537:536]; assign VAR2[425:424] = VAR1[933:932]; assign VAR2[427:426] = {VAR1[1104], VAR1[1105]}; assign VAR2[429:428] = VAR1[785:784]; assign VAR2[431:430] = {VAR1[464], VAR1[465]}; assign VAR2[433:432] = VAR1[145:144]; assign VAR2[435:434] = VAR1[787:786]; assign VAR2[437:436] = {VAR1[466], VAR1[467]}; assign VAR2[439:438] = VAR1[147:146]; assign VAR2[441:440] = VAR1[789:788]; assign VAR2[443:442] = {VAR1[468], VAR1[469]}; assign VAR2[445:444] = VAR1[865:864]; assign VAR2[447:446] = VAR1[545:544]; assign VAR2[449:448] = {VAR1[470], VAR1[471]}; assign VAR2[451:450] = VAR1[867:866]; assign VAR2[453:452] = VAR1[547:546]; assign VAR2[455:454] = {VAR1[472], VAR1[473]}; assign VAR2[457:456] = VAR1[869:868]; assign VAR2[459:458] = VAR1[549:548]; assign VAR2[461:460] = VAR1[945:944]; assign VAR2[463:462] = VAR1[871:870]; assign VAR2[465:464] = VAR1[551:550]; assign VAR2[467:466] = VAR1[947:946]; assign VAR2[469:468] = VAR1[873:872]; assign VAR2[471:470] = VAR1[553:552]; assign VAR2[473:472] = VAR1[949:948]; assign VAR2[475:474] = {VAR1[1120], VAR1[1121]}; assign VAR2[477:476] = VAR1[801:800]; assign VAR2[479:478] = {VAR1[480], VAR1[481]}; assign VAR2[481:480] = VAR1[161:160]; assign VAR2[483:482] = VAR1[803:802]; assign VAR2[485:484] = {VAR1[482], VAR1[483]}; assign VAR2[487:486] = VAR1[163:162]; assign VAR2[489:488] = VAR1[805:804]; assign VAR2[491:490] = {VAR1[484], VAR1[485]}; assign VAR2[493:492] = VAR1[881:880]; assign VAR2[495:494] = VAR1[561:560]; assign VAR2[497:496] = {VAR1[486], VAR1[487]}; assign VAR2[499:498] = VAR1[883:882]; assign VAR2[501:500] = VAR1[563:562]; assign VAR2[503:502] = {VAR1[488], VAR1[489]}; assign VAR2[505:504] = VAR1[885:884]; assign VAR2[507:506] = VAR1[565:564]; assign VAR2[509:508] = VAR1[961:960]; assign VAR2[511:510] = VAR1[887:886]; assign VAR2[513:512] = VAR1[567:566]; assign VAR2[515:514] = VAR1[963:962]; assign VAR2[517:516] = VAR1[889:888]; assign VAR2[519:518] = VAR1[569:568]; assign VAR2[521:520] = VAR1[965:964]; assign VAR2[523:522] = {VAR1[1136], VAR1[1137]}; assign VAR2[525:524] = VAR1[817:816]; assign VAR2[527:526] = {VAR1[496], VAR1[497]}; assign VAR2[529:528] = VAR1[177:176]; assign VAR2[531:530] = VAR1[819:818]; assign VAR2[533:532] = {VAR1[498], VAR1[499]}; assign VAR2[535:534] = VAR1[179:178]; assign VAR2[537:536] = VAR1[821:820]; assign VAR2[539:538] = {VAR1[500], VAR1[501]}; assign VAR2[541:540] = VAR1[897:896]; assign VAR2[543:542] = VAR1[577:576]; assign VAR2[545:544] = {VAR1[502], VAR1[503]}; assign VAR2[547:546] = VAR1[899:898]; assign VAR2[549:548] = VAR1[579:578]; assign VAR2[551:550] = {VAR1[504], VAR1[505]}; assign VAR2[553:552] = VAR1[901:900]; assign VAR2[555:554] = VAR1[581:580]; assign VAR2[557:556] = VAR1[977:976]; assign VAR2[559:558] = VAR1[903:902]; assign VAR2[561:560] = VAR1[583:582]; assign VAR2[563:562] = VAR1[979:978]; assign VAR2[565:564] = VAR1[905:904]; assign VAR2[567:566] = VAR1[585:584]; assign VAR2[569:568] = VAR1[981:980]; assign VAR2[571:570] = {VAR1[1152], VAR1[1153]}; assign VAR2[573:572] = VAR1[833:832]; assign VAR2[575:574] = {VAR1[512], VAR1[513]}; assign VAR2[577:576] = VAR1[193:192]; assign VAR2[579:578] = VAR1[835:834]; assign VAR2[581:580] = {VAR1[514], VAR1[515]}; assign VAR2[583:582] = VAR1[195:194]; assign VAR2[585:584] = VAR1[837:836]; assign VAR2[587:586] = {VAR1[516], VAR1[517]}; assign VAR2[589:588] = VAR1[913:912]; assign VAR2[591:590] = VAR1[593:592]; assign VAR2[593:592] = {VAR1[518], VAR1[519]}; assign VAR2[595:594] = VAR1[915:914]; assign VAR2[597:596] = VAR1[595:594]; assign VAR2[599:598] = {VAR1[520], VAR1[521]}; assign VAR2[601:600] = VAR1[917:916]; assign VAR2[603:602] = VAR1[597:596]; assign VAR2[605:604] = VAR1[993:992]; assign VAR2[607:606] = VAR1[919:918]; assign VAR2[609:608] = VAR1[599:598]; assign VAR2[611:610] = VAR1[995:994]; assign VAR2[613:612] = VAR1[921:920]; assign VAR2[615:614] = VAR1[601:600]; assign VAR2[617:616] = VAR1[997:996]; assign VAR2[619:618] = {VAR1[1168], VAR1[1169]}; assign VAR2[621:620] = VAR1[849:848]; assign VAR2[623:622] = {VAR1[528], VAR1[529]}; assign VAR2[625:624] = VAR1[209:208]; assign VAR2[627:626] = VAR1[851:850]; assign VAR2[629:628] = {VAR1[530], VAR1[531]}; assign VAR2[631:630] = VAR1[211:210]; assign VAR2[633:632] = VAR1[853:852]; assign VAR2[635:634] = {VAR1[532], VAR1[533]}; assign VAR2[637:636] = VAR1[929:928]; assign VAR2[639:638] = VAR1[609:608]; assign VAR2[641:640] = {VAR1[534], VAR1[535]}; assign VAR2[643:642] = VAR1[931:930]; assign VAR2[645:644] = VAR1[611:610]; assign VAR2[647:646] = {VAR1[536], VAR1[537]}; assign VAR2[649:648] = VAR1[933:932]; assign VAR2[651:650] = VAR1[613:612]; assign VAR2[653:652] = VAR1[1009:1008]; assign VAR2[655:654] = VAR1[935:934]; assign VAR2[657:656] = VAR1[615:614]; assign VAR2[659:658] = VAR1[1011:1010]; assign VAR2[661:660] = VAR1[937:936]; assign VAR2[663:662] = VAR1[617:616]; assign VAR2[665:664] = VAR1[1013:1012]; assign VAR2[667:666] = {VAR1[1184], VAR1[1185]}; assign VAR2[669:668] = VAR1[865:864]; assign VAR2[671:670] = {VAR1[544], VAR1[545]}; assign VAR2[673:672] = VAR1[225:224]; assign VAR2[675:674] = VAR1[867:866]; assign VAR2[677:676] = {VAR1[546], VAR1[547]}; assign VAR2[679:678] = VAR1[227:226]; assign VAR2[681:680] = VAR1[869:868]; assign VAR2[683:682] = {VAR1[548], VAR1[549]}; assign VAR2[685:684] = VAR1[945:944]; assign VAR2[687:686] = VAR1[625:624]; assign VAR2[689:688] = {VAR1[550], VAR1[551]}; assign VAR2[691:690] = VAR1[947:946]; assign VAR2[693:692] = VAR1[627:626]; assign VAR2[695:694] = {VAR1[552], VAR1[553]}; assign VAR2[697:696] = VAR1[949:948]; assign VAR2[699:698] = VAR1[629:628]; assign VAR2[701:700] = VAR1[1025:1024]; assign VAR2[703:702] = VAR1[951:950]; assign VAR2[705:704] = VAR1[631:630]; assign VAR2[707:706] = VAR1[1027:1026]; assign VAR2[709:708] = VAR1[953:952]; assign VAR2[711:710] = VAR1[633:632]; assign VAR2[713:712] = VAR1[1029:1028]; assign VAR2[715:714] = VAR1[955:954]; assign VAR2[717:716] = VAR1[881:880]; assign VAR2[719:718] = {VAR1[560], VAR1[561]}; assign VAR2[721:720] = VAR1[241:240]; assign VAR2[723:722] = VAR1[883:882]; assign VAR2[725:724] = {VAR1[562], VAR1[563]}; assign VAR2[727:726] = VAR1[243:242]; assign VAR2[729:728] = VAR1[885:884]; assign VAR2[731:730] = {VAR1[564], VAR1[565]}; assign VAR2[733:732] = VAR1[961:960]; assign VAR2[735:734] = VAR1[641:640]; assign VAR2[737:736] = {VAR1[566], VAR1[567]}; assign VAR2[739:738] = VAR1[963:962]; assign VAR2[741:740] = VAR1[643:642]; assign VAR2[743:742] = {VAR1[568], VAR1[569]}; assign VAR2[745:744] = VAR1[965:964]; assign VAR2[747:746] = VAR1[645:644]; assign VAR2[749:748] = VAR1[1041:1040]; assign VAR2[751:750] = VAR1[967:966]; assign VAR2[753:752] = VAR1[647:646]; assign VAR2[755:754] = VAR1[1043:1042]; assign VAR2[757:756] = VAR1[969:968]; assign VAR2[759:758] = VAR1[649:648]; assign VAR2[761:760] = VAR1[1045:1044]; assign VAR2[763:762] = VAR1[971:970]; assign VAR2[765:764] = VAR1[897:896]; assign VAR2[767:766] = {VAR1[576], VAR1[577]}; assign VAR2[769:768] = VAR1[257:256]; assign VAR2[771:770] = VAR1[899:898]; assign VAR2[773:772] = {VAR1[578], VAR1[579]}; assign VAR2[775:774] = VAR1[259:258]; assign VAR2[777:776] = VAR1[901:900]; assign VAR2[779:778] = {VAR1[580], VAR1[581]}; assign VAR2[781:780] = VAR1[977:976]; assign VAR2[783:782] = VAR1[657:656]; assign VAR2[785:784] = {VAR1[582], VAR1[583]}; assign VAR2[787:786] = VAR1[979:978]; assign VAR2[789:788] = VAR1[659:658]; assign VAR2[791:790] = {VAR1[584], VAR1[585]}; assign VAR2[793:792] = VAR1[981:980]; assign VAR2[795:794] = VAR1[661:660]; assign VAR2[797:796] = VAR1[1057:1056]; assign VAR2[799:798] = VAR1[983:982]; assign VAR2[801:800] = VAR1[663:662]; assign VAR2[803:802] = VAR1[1059:1058]; assign VAR2[805:804] = VAR1[985:984]; assign VAR2[807:806] = VAR1[665:664]; assign VAR2[809:808] = VAR1[1061:1060]; assign VAR2[811:810] = VAR1[987:986]; assign VAR2[813:812] = VAR1[913:912]; assign VAR2[815:814] = {VAR1[592], VAR1[593]}; assign VAR2[817:816] = VAR1[273:272]; assign VAR2[819:818] = VAR1[915:914]; assign VAR2[821:820] = {VAR1[594], VAR1[595]}; assign VAR2[823:822] = VAR1[275:274]; assign VAR2[825:824] = VAR1[917:916]; assign VAR2[827:826] = {VAR1[596], VAR1[597]}; assign VAR2[829:828] = VAR1[993:992]; assign VAR2[831:830] = VAR1[673:672]; assign VAR2[833:832] = {VAR1[598], VAR1[599]}; assign VAR2[835:834] = VAR1[995:994]; assign VAR2[837:836] = VAR1[675:674]; assign VAR2[839:838] = {VAR1[600], VAR1[601]}; assign VAR2[841:840] = VAR1[997:996]; assign VAR2[843:842] = VAR1[677:676]; assign VAR2[845:844] = VAR1[1073:1072]; assign VAR2[847:846] = VAR1[999:998]; assign VAR2[849:848] = VAR1[679:678]; assign VAR2[851:850] = VAR1[1075:1074]; assign VAR2[853:852] = VAR1[1001:1000]; assign VAR2[855:854] = VAR1[681:680]; assign VAR2[857:856] = VAR1[1077:1076]; assign VAR2[859:858] = VAR1[1003:1002]; assign VAR2[861:860] = VAR1[929:928]; assign VAR2[863:862] = {VAR1[608], VAR1[609]}; assign VAR2[865:864] = VAR1[289:288]; assign VAR2[867:866] = VAR1[931:930]; assign VAR2[869:868] = {VAR1[610], VAR1[611]}; assign VAR2[871:870] = VAR1[291:290]; assign VAR2[873:872] = VAR1[933:932]; assign VAR2[875:874] = {VAR1[612], VAR1[613]}; assign VAR2[877:876] = VAR1[1009:1008]; assign VAR2[879:878] = VAR1[689:688]; assign VAR2[881:880] = {VAR1[614], VAR1[615]}; assign VAR2[883:882] = VAR1[1011:1010]; assign VAR2[885:884] = VAR1[691:690]; assign VAR2[887:886] = {VAR1[616], VAR1[617]}; assign VAR2[889:888] = VAR1[1013:1012]; assign VAR2[891:890] = VAR1[693:692]; assign VAR2[893:892] = VAR1[1089:1088]; assign VAR2[895:894] = VAR1[1015:1014]; assign VAR2[897:896] = VAR1[695:694]; assign VAR2[899:898] = VAR1[1091:1090]; assign VAR2[901:900] = VAR1[1017:1016]; assign VAR2[903:902] = VAR1[697:696]; assign VAR2[905:904] = VAR1[1093:1092]; assign VAR2[907:906] = VAR1[1019:1018]; assign VAR2[909:908] = VAR1[945:944]; assign VAR2[911:910] = {VAR1[624], VAR1[625]}; assign VAR2[913:912] = VAR1[305:304]; assign VAR2[915:914] = VAR1[947:946]; assign VAR2[917:916] = {VAR1[626], VAR1[627]}; assign VAR2[919:918] = VAR1[307:306]; assign VAR2[921:920] = VAR1[949:948]; assign VAR2[923:922] = {VAR1[628], VAR1[629]}; assign VAR2[925:924] = VAR1[1025:1024]; assign VAR2[927:926] = VAR1[705:704]; assign VAR2[929:928] = {VAR1[630], VAR1[631]}; assign VAR2[931:930] = VAR1[1027:1026]; assign VAR2[933:932] = VAR1[707:706]; assign VAR2[935:934] = {VAR1[632], VAR1[633]}; assign VAR2[937:936] = VAR1[1029:1028]; assign VAR2[939:938] = VAR1[709:708]; assign VAR2[941:940] = VAR1[1105:1104]; assign VAR2[943:942] = VAR1[1031:1030]; assign VAR2[945:944] = VAR1[711:710]; assign VAR2[947:946] = VAR1[1107:1106]; assign VAR2[949:948] = VAR1[1033:1032]; assign VAR2[951:950] = VAR1[713:712]; assign VAR2[953:952] = VAR1[1109:1108]; assign VAR2[955:954] = VAR1[1035:1034]; assign VAR2[957:956] = VAR1[961:960]; assign VAR2[959:958] = {VAR1[640], VAR1[641]}; assign VAR2[961:960] = VAR1[321:320]; assign VAR2[963:962] = VAR1[963:962]; assign VAR2[965:964] = {VAR1[642], VAR1[643]}; assign VAR2[967:966] = VAR1[323:322]; assign VAR2[969:968] = VAR1[965:964]; assign VAR2[971:970] = {VAR1[644], VAR1[645]}; assign VAR2[973:972] = VAR1[1041:1040]; assign VAR2[975:974] = VAR1[721:720]; assign VAR2[977:976] = {VAR1[646], VAR1[647]}; assign VAR2[979:978] = VAR1[1043:1042]; assign VAR2[981:980] = VAR1[723:722]; assign VAR2[983:982] = {VAR1[648], VAR1[649]}; assign VAR2[985:984] = VAR1[1045:1044]; assign VAR2[987:986] = VAR1[725:724]; assign VAR2[989:988] = VAR1[1121:1120]; assign VAR2[991:990] = VAR1[1047:1046]; assign VAR2[993:992] = VAR1[727:726]; assign VAR2[995:994] = VAR1[1123:1122]; assign VAR2[997:996] = VAR1[1049:1048]; assign VAR2[999:998] = VAR1[729:728]; assign VAR2[1001:1000] = VAR1[1125:1124]; assign VAR2[1003:1002] = VAR1[1051:1050]; assign VAR2[1005:1004] = VAR1[977:976]; assign VAR2[1007:1006] = {VAR1[656], VAR1[657]}; assign VAR2[1009:1008] = VAR1[337:336]; assign VAR2[1011:1010] = VAR1[979:978]; assign VAR2[1013:1012] = {VAR1[658], VAR1[659]}; assign VAR2[1015:1014] = VAR1[339:338]; assign VAR2[1017:1016] = VAR1[981:980]; assign VAR2[1019:1018] = {VAR1[660], VAR1[661]}; assign VAR2[1021:1020] = VAR1[1057:1056]; assign VAR2[1023:1022] = VAR1[737:736]; assign VAR2[1025:1024] = {VAR1[662], VAR1[663]}; assign VAR2[1027:1026] = VAR1[1059:1058]; assign VAR2[1029:1028] = VAR1[739:738]; assign VAR2[1031:1030] = {VAR1[664], VAR1[665]}; assign VAR2[1033:1032] = VAR1[1061:1060]; assign VAR2[1035:1034] = VAR1[741:740]; assign VAR2[1037:1036] = VAR1[1137:1136]; assign VAR2[1039:1038] = VAR1[1063:1062]; assign VAR2[1041:1040] = VAR1[743:742]; assign VAR2[1043:1042] = VAR1[1139:1138]; assign VAR2[1045:1044] = VAR1[1065:1064]; assign VAR2[1047:1046] = VAR1[745:744]; assign VAR2[1049:1048] = VAR1[1141:1140]; assign VAR2[1051:1050] = VAR1[1067:1066]; assign VAR2[1053:1052] = VAR1[993:992]; assign VAR2[1055:1054] = {VAR1[672], VAR1[673]}; assign VAR2[1057:1056] = VAR1[353:352]; assign VAR2[1059:1058] = VAR1[995:994]; assign VAR2[1061:1060] = {VAR1[674], VAR1[675]}; assign VAR2[1063:1062] = VAR1[355:354]; assign VAR2[1065:1064] = VAR1[997:996]; assign VAR2[1067:1066] = {VAR1[676], VAR1[677]}; assign VAR2[1069:1068] = VAR1[1073:1072]; assign VAR2[1071:1070] = VAR1[753:752]; assign VAR2[1073:1072] = {VAR1[678], VAR1[679]}; assign VAR2[1075:1074] = VAR1[1075:1074]; assign VAR2[1077:1076] = VAR1[755:754]; assign VAR2[1079:1078] = {VAR1[680], VAR1[681]}; assign VAR2[1081:1080] = VAR1[1077:1076]; assign VAR2[1083:1082] = VAR1[757:756]; assign VAR2[1085:1084] = VAR1[1153:1152]; assign VAR2[1087:1086] = VAR1[1079:1078]; assign VAR2[1089:1088] = VAR1[759:758]; assign VAR2[1091:1090] = VAR1[1155:1154]; assign VAR2[1093:1092] = VAR1[1081:1080]; assign VAR2[1095:1094] = VAR1[761:760]; assign VAR2[1097:1096] = VAR1[1157:1156]; assign VAR2[1099:1098] = VAR1[1083:1082]; assign VAR2[1101:1100] = VAR1[1009:1008]; assign VAR2[1103:1102] = {VAR1[688], VAR1[689]}; assign VAR2[1105:1104] = VAR1[369:368]; assign VAR2[1107:1106] = VAR1[1011:1010]; assign VAR2[1109:1108] = {VAR1[690], VAR1[691]}; assign VAR2[1111:1110] = VAR1[371:370]; assign VAR2[1113:1112] = VAR1[1013:1012]; assign VAR2[1115:1114] = {VAR1[692], VAR1[693]}; assign VAR2[1117:1116] = VAR1[1089:1088]; assign VAR2[1119:1118] = VAR1[769:768]; assign VAR2[1121:1120] = {VAR1[694], VAR1[695]}; assign VAR2[1123:1122] = VAR1[1091:1090]; assign VAR2[1125:1124] = VAR1[771:770]; assign VAR2[1127:1126] = {VAR1[696], VAR1[697]}; assign VAR2[1129:1128] = VAR1[1093:1092]; assign VAR2[1131:1130] = VAR1[773:772]; assign VAR2[1133:1132] = VAR1[1169:1168]; assign VAR2[1135:1134] = VAR1[1095:1094]; assign VAR2[1137:1136] = VAR1[775:774]; assign VAR2[1139:1138] = VAR1[1171:1170]; assign VAR2[1141:1140] = VAR1[1097:1096]; assign VAR2[1143:1142] = VAR1[777:776]; assign VAR2[1145:1144] = VAR1[1173:1172]; assign VAR2[1147:1146] = VAR1[1099:1098]; assign VAR2[1149:1148] = VAR1[1025:1024]; assign VAR2[1151:1150] = {VAR1[704], VAR1[705]}; assign VAR2[1153:1152] = VAR1[385:384]; assign VAR2[1155:1154] = VAR1[1027:1026]; assign VAR2[1157:1156] = {VAR1[706], VAR1[707]}; assign VAR2[1159:1158] = VAR1[387:386]; assign VAR2[1161:1160] = VAR1[1029:1028]; assign VAR2[1163:1162] = {VAR1[708], VAR1[709]}; assign VAR2[1165:1164] = VAR1[1105:1104]; assign VAR2[1167:1166] = VAR1[785:784]; assign VAR2[1169:1168] = {VAR1[710], VAR1[711]}; assign VAR2[1171:1170] = VAR1[1107:1106]; assign VAR2[1173:1172] = VAR1[787:786]; assign VAR2[1175:1174] = {VAR1[712], VAR1[713]}; assign VAR2[1177:1176] = VAR1[1109:1108]; assign VAR2[1179:1178] = VAR1[789:788]; assign VAR2[1181:1180] = VAR1[1185:1184]; assign VAR2[1183:1182] = VAR1[1111:1110]; assign VAR2[1185:1184] = VAR1[791:790]; endmodule module MODULE2(VAR1, VAR2); input [1185:0] VAR1; output [1185:0] VAR2; assign VAR2[1:0] = {VAR1[716], VAR1[717]}; assign VAR2[3:2] = VAR1[397:396]; assign VAR2[5:4] = VAR1[1039:1038]; assign VAR2[7:6] = {VAR1[718], VAR1[719]}; assign VAR2[9:8] = VAR1[399:398]; assign VAR2[11:10] = VAR1[795:794]; assign VAR2[13:12] = VAR1[5:4]; assign VAR2[15:14] = VAR1[1117:1116]; assign VAR2[17:16] = VAR1[797:796]; assign VAR2[19:18] = VAR1[7:6]; assign VAR2[21:20] = VAR1[1119:1118]; assign VAR2[23:22] = VAR1[799:798]; assign VAR2[25:24] = VAR1[9:8]; assign VAR2[27:26] = VAR1[405:404]; assign VAR2[29:28] = VAR1[1047:1046]; assign VAR2[31:30] = VAR1[11:10]; assign VAR2[33:32] = VAR1[407:406]; assign VAR2[35:34] = VAR1[1049:1048]; assign VAR2[37:36] = VAR1[13:12]; assign VAR2[39:38] = VAR1[409:408]; assign VAR2[41:40] = VAR1[1051:1050]; assign VAR2[43:42] = VAR1[15:14]; assign VAR2[45:44] = VAR1[411:410]; assign VAR2[47:46] = VAR1[1053:1052]; assign VAR2[49:48] = {VAR1[732], VAR1[733]}; assign VAR2[51:50] = VAR1[413:412]; assign VAR2[53:52] = VAR1[1055:1054]; assign VAR2[55:54] = {VAR1[734], VAR1[735]}; assign VAR2[57:56] = VAR1[415:414]; assign VAR2[59:58] = VAR1[811:810]; assign VAR2[61:60] = VAR1[21:20]; assign VAR2[63:62] = VAR1[1133:1132]; assign VAR2[65:64] = VAR1[813:812]; assign VAR2[67:66] = VAR1[23:22]; assign VAR2[69:68] = VAR1[1135:1134]; assign VAR2[71:70] = VAR1[815:814]; assign VAR2[73:72] = VAR1[25:24]; assign VAR2[75:74] = VAR1[421:420]; assign VAR2[77:76] = VAR1[1063:1062]; assign VAR2[79:78] = VAR1[27:26]; assign VAR2[81:80] = VAR1[423:422]; assign VAR2[83:82] = VAR1[1065:1064]; assign VAR2[85:84] = VAR1[29:28]; assign VAR2[87:86] = VAR1[425:424]; assign VAR2[89:88] = VAR1[1067:1066]; assign VAR2[91:90] = VAR1[31:30]; assign VAR2[93:92] = VAR1[427:426]; assign VAR2[95:94] = VAR1[1069:1068]; assign VAR2[97:96] = {VAR1[748], VAR1[749]}; assign VAR2[99:98] = VAR1[429:428]; assign VAR2[101:100] = VAR1[1071:1070]; assign VAR2[103:102] = {VAR1[750], VAR1[751]}; assign VAR2[105:104] = VAR1[431:430]; assign VAR2[107:106] = VAR1[827:826]; assign VAR2[109:108] = VAR1[37:36]; assign VAR2[111:110] = VAR1[1149:1148]; assign VAR2[113:112] = VAR1[829:828]; assign VAR2[115:114] = VAR1[39:38]; assign VAR2[117:116] = VAR1[1151:1150]; assign VAR2[119:118] = VAR1[831:830]; assign VAR2[121:120] = VAR1[41:40]; assign VAR2[123:122] = VAR1[437:436]; assign VAR2[125:124] = VAR1[1079:1078]; assign VAR2[127:126] = VAR1[43:42]; assign VAR2[129:128] = VAR1[439:438]; assign VAR2[131:130] = VAR1[1081:1080]; assign VAR2[133:132] = VAR1[45:44]; assign VAR2[135:134] = VAR1[441:440]; assign VAR2[137:136] = VAR1[1083:1082]; assign VAR2[139:138] = VAR1[47:46]; assign VAR2[141:140] = VAR1[443:442]; assign VAR2[143:142] = VAR1[1085:1084]; assign VAR2[145:144] = {VAR1[764], VAR1[765]}; assign VAR2[147:146] = VAR1[445:444]; assign VAR2[149:148] = VAR1[1087:1086]; assign VAR2[151:150] = {VAR1[766], VAR1[767]}; assign VAR2[153:152] = VAR1[447:446]; assign VAR2[155:154] = VAR1[843:842]; assign VAR2[157:156] = VAR1[53:52]; assign VAR2[159:158] = VAR1[1165:1164]; assign VAR2[161:160] = VAR1[845:844]; assign VAR2[163:162] = VAR1[55:54]; assign VAR2[165:164] = VAR1[1167:1166]; assign VAR2[167:166] = VAR1[847:846]; assign VAR2[169:168] = VAR1[57:56]; assign VAR2[171:170] = VAR1[453:452]; assign VAR2[173:172] = VAR1[1095:1094]; assign VAR2[175:174] = VAR1[59:58]; assign VAR2[177:176] = VAR1[455:454]; assign VAR2[179:178] = VAR1[1097:1096]; assign VAR2[181:180] = VAR1[61:60]; assign VAR2[183:182] = VAR1[457:456]; assign VAR2[185:184] = VAR1[1099:1098]; assign VAR2[187:186] = VAR1[63:62]; assign VAR2[189:188] = VAR1[459:458]; assign VAR2[191:190] = VAR1[1101:1100]; assign VAR2[193:192] = {VAR1[780], VAR1[781]}; assign VAR2[195:194] = VAR1[461:460]; assign VAR2[197:196] = VAR1[1103:1102]; assign VAR2[199:198] = {VAR1[782], VAR1[783]}; assign VAR2[201:200] = VAR1[463:462]; assign VAR2[203:202] = VAR1[859:858]; assign VAR2[205:204] = VAR1[69:68]; assign VAR2[207:206] = VAR1[1181:1180]; assign VAR2[209:208] = VAR1[861:860]; assign VAR2[211:210] = VAR1[71:70]; assign VAR2[213:212] = VAR1[1183:1182]; assign VAR2[215:214] = VAR1[863:862]; assign VAR2[217:216] = VAR1[73:72]; assign VAR2[219:218] = VAR1[469:468]; assign VAR2[221:220] = VAR1[1111:1110]; assign VAR2[223:222] = VAR1[75:74]; assign VAR2[225:224] = VAR1[717:716]; assign VAR2[227:226] = {VAR1[396], VAR1[397]}; assign VAR2[229:228] = VAR1[77:76]; assign VAR2[231:230] = VAR1[719:718]; assign VAR2[233:232] = {VAR1[398], VAR1[399]}; assign VAR2[235:234] = VAR1[795:794]; assign VAR2[237:236] = VAR1[475:474]; assign VAR2[239:238] = VAR1[871:870]; assign VAR2[241:240] = {VAR1[1042], VAR1[1043]}; assign VAR2[243:242] = VAR1[477:476]; assign VAR2[245:244] = VAR1[873:872]; assign VAR2[247:246] = {VAR1[1044], VAR1[1045]}; assign VAR2[249:248] = VAR1[479:478]; assign VAR2[251:250] = VAR1[875:874]; assign VAR2[253:252] = VAR1[85:84]; assign VAR2[255:254] = VAR1[727:726]; assign VAR2[257:256] = VAR1[877:876]; assign VAR2[259:258] = VAR1[87:86]; assign VAR2[261:260] = VAR1[729:728]; assign VAR2[263:262] = VAR1[879:878]; assign VAR2[265:264] = VAR1[89:88]; assign VAR2[267:266] = VAR1[731:730]; assign VAR2[269:268] = {VAR1[410], VAR1[411]}; assign VAR2[271:270] = VAR1[91:90]; assign VAR2[273:272] = VAR1[733:732]; assign VAR2[275:274] = {VAR1[412], VAR1[413]}; assign VAR2[277:276] = VAR1[93:92]; assign VAR2[279:278] = VAR1[735:734]; assign VAR2[281:280] = {VAR1[414], VAR1[415]}; assign VAR2[283:282] = VAR1[811:810]; assign VAR2[285:284] = VAR1[491:490]; assign VAR2[287:286] = VAR1[887:886]; assign VAR2[289:288] = {VAR1[1058], VAR1[1059]}; assign VAR2[291:290] = VAR1[493:492]; assign VAR2[293:292] = VAR1[889:888]; assign VAR2[295:294] = {VAR1[1060], VAR1[1061]}; assign VAR2[297:296] = VAR1[495:494]; assign VAR2[299:298] = VAR1[891:890]; assign VAR2[301:300] = VAR1[101:100]; assign VAR2[303:302] = VAR1[743:742]; assign VAR2[305:304] = VAR1[893:892]; assign VAR2[307:306] = VAR1[103:102]; assign VAR2[309:308] = VAR1[745:744]; assign VAR2[311:310] = VAR1[895:894]; assign VAR2[313:312] = VAR1[105:104]; assign VAR2[315:314] = VAR1[747:746]; assign VAR2[317:316] = {VAR1[426], VAR1[427]}; assign VAR2[319:318] = VAR1[107:106]; assign VAR2[321:320] = VAR1[749:748]; assign VAR2[323:322] = {VAR1[428], VAR1[429]}; assign VAR2[325:324] = VAR1[109:108]; assign VAR2[327:326] = VAR1[751:750]; assign VAR2[329:328] = {VAR1[430], VAR1[431]}; assign VAR2[331:330] = VAR1[827:826]; assign VAR2[333:332] = VAR1[507:506]; assign VAR2[335:334] = VAR1[903:902]; assign VAR2[337:336] = {VAR1[1074], VAR1[1075]}; assign VAR2[339:338] = VAR1[509:508]; assign VAR2[341:340] = VAR1[905:904]; assign VAR2[343:342] = {VAR1[1076], VAR1[1077]}; assign VAR2[345:344] = VAR1[511:510]; assign VAR2[347:346] = VAR1[907:906]; assign VAR2[349:348] = VAR1[117:116]; assign VAR2[351:350] = VAR1[759:758]; assign VAR2[353:352] = VAR1[909:908]; assign VAR2[355:354] = VAR1[119:118]; assign VAR2[357:356] = VAR1[761:760]; assign VAR2[359:358] = VAR1[911:910]; assign VAR2[361:360] = VAR1[121:120]; assign VAR2[363:362] = VAR1[763:762]; assign VAR2[365:364] = {VAR1[442], VAR1[443]}; assign VAR2[367:366] = VAR1[123:122]; assign VAR2[369:368] = VAR1[765:764]; assign VAR2[371:370] = {VAR1[444], VAR1[445]}; assign VAR2[373:372] = VAR1[125:124]; assign VAR2[375:374] = VAR1[767:766]; assign VAR2[377:376] = {VAR1[446], VAR1[447]}; assign VAR2[379:378] = VAR1[843:842]; assign VAR2[381:380] = VAR1[523:522]; assign VAR2[383:382] = VAR1[919:918]; assign VAR2[385:384] = {VAR1[1090], VAR1[1091]}; assign VAR2[387:386] = VAR1[525:524]; assign VAR2[389:388] = VAR1[921:920]; assign VAR2[391:390] = {VAR1[1092], VAR1[1093]}; assign VAR2[393:392] = VAR1[527:526]; assign VAR2[395:394] = VAR1[923:922]; assign VAR2[397:396] = VAR1[133:132]; assign VAR2[399:398] = VAR1[775:774]; assign VAR2[401:400] = VAR1[925:924]; assign VAR2[403:402] = VAR1[135:134]; assign VAR2[405:404] = VAR1[777:776]; assign VAR2[407:406] = VAR1[927:926]; assign VAR2[409:408] = VAR1[137:136]; assign VAR2[411:410] = VAR1[779:778]; assign VAR2[413:412] = {VAR1[458], VAR1[459]}; assign VAR2[415:414] = VAR1[139:138]; assign VAR2[417:416] = VAR1[781:780]; assign VAR2[419:418] = {VAR1[460], VAR1[461]}; assign VAR2[421:420] = VAR1[141:140]; assign VAR2[423:422] = VAR1[783:782]; assign VAR2[425:424] = {VAR1[462], VAR1[463]}; assign VAR2[427:426] = VAR1[859:858]; assign VAR2[429:428] = VAR1[539:538]; assign VAR2[431:430] = VAR1[935:934]; assign VAR2[433:432] = {VAR1[1106], VAR1[1107]}; assign VAR2[435:434] = VAR1[541:540]; assign VAR2[437:436] = VAR1[937:936]; assign VAR2[439:438] = {VAR1[1108], VAR1[1109]}; assign VAR2[441:440] = VAR1[543:542]; assign VAR2[443:442] = VAR1[939:938]; assign VAR2[445:444] = VAR1[149:148]; assign VAR2[447:446] = VAR1[791:790]; assign VAR2[449:448] = VAR1[941:940]; assign VAR2[451:450] = VAR1[151:150]; assign VAR2[453:452] = VAR1[793:792]; assign VAR2[455:454] = VAR1[943:942]; assign VAR2[457:456] = VAR1[153:152]; assign VAR2[459:458] = VAR1[795:794]; assign VAR2[461:460] = {VAR1[474], VAR1[475]}; assign VAR2[463:462] = VAR1[155:154]; assign VAR2[465:464] = VAR1[797:796]; assign VAR2[467:466] = {VAR1[476], VAR1[477]}; assign VAR2[469:468] = VAR1[157:156]; assign VAR2[471:470] = VAR1[799:798]; assign VAR2[473:472] = {VAR1[478], VAR1[479]}; assign VAR2[475:474] = VAR1[875:874]; assign VAR2[477:476] = VAR1[555:554]; assign VAR2[479:478] = VAR1[951:950]; assign VAR2[481:480] = {VAR1[1122], VAR1[1123]}; assign VAR2[483:482] = VAR1[557:556]; assign VAR2[485:484] = VAR1[953:952]; assign VAR2[487:486] = {VAR1[1124], VAR1[1125]}; assign VAR2[489:488] = VAR1[559:558]; assign VAR2[491:490] = VAR1[955:954]; assign VAR2[493:492] = VAR1[165:164]; assign VAR2[495:494] = VAR1[807:806]; assign VAR2[497:496] = VAR1[957:956]; assign VAR2[499:498] = VAR1[167:166]; assign VAR2[501:500] = VAR1[809:808]; assign VAR2[503:502] = VAR1[959:958]; assign VAR2[505:504] = VAR1[169:168]; assign VAR2[507:506] = VAR1[811:810]; assign VAR2[509:508] = {VAR1[490], VAR1[491]}; assign VAR2[511:510] = VAR1[171:170]; assign VAR2[513:512] = VAR1[813:812]; assign VAR2[515:514] = {VAR1[492], VAR1[493]}; assign VAR2[517:516] = VAR1[173:172]; assign VAR2[519:518] = VAR1[815:814]; assign VAR2[521:520] = {VAR1[494], VAR1[495]}; assign VAR2[523:522] = VAR1[891:890]; assign VAR2[525:524] = VAR1[571:570]; assign VAR2[527:526] = VAR1[967:966]; assign VAR2[529:528] = {VAR1[1138], VAR1[1139]}; assign VAR2[531:530] = VAR1[573:572]; assign VAR2[533:532] = VAR1[969:968]; assign VAR2[535:534] = {VAR1[1140], VAR1[1141]}; assign VAR2[537:536] = VAR1[575:574]; assign VAR2[539:538] = VAR1[971:970]; assign VAR2[541:540] = VAR1[181:180]; assign VAR2[543:542] = VAR1[823:822]; assign VAR2[545:544] = VAR1[973:972]; assign VAR2[547:546] = VAR1[183:182]; assign VAR2[549:548] = VAR1[825:824]; assign VAR2[551:550] = VAR1[975:974]; assign VAR2[553:552] = VAR1[185:184]; assign VAR2[555:554] = VAR1[827:826]; assign VAR2[557:556] = {VAR1[506], VAR1[507]}; assign VAR2[559:558] = VAR1[187:186]; assign VAR2[561:560] = VAR1[829:828]; assign VAR2[563:562] = {VAR1[508], VAR1[509]}; assign VAR2[565:564] = VAR1[189:188]; assign VAR2[567:566] = VAR1[831:830]; assign VAR2[569:568] = {VAR1[510], VAR1[511]}; assign VAR2[571:570] = VAR1[907:906]; assign VAR2[573:572] = VAR1[587:586]; assign VAR2[575:574] = VAR1[983:982]; assign VAR2[577:576] = {VAR1[1154], VAR1[1155]}; assign VAR2[579:578] = VAR1[589:588]; assign VAR2[581:580] = VAR1[985:984]; assign VAR2[583:582] = {VAR1[1156], VAR1[1157]}; assign VAR2[585:584] = VAR1[591:590]; assign VAR2[587:586] = VAR1[987:986]; assign VAR2[589:588] = VAR1[197:196]; assign VAR2[591:590] = VAR1[839:838]; assign VAR2[593:592] = VAR1[989:988]; assign VAR2[595:594] = VAR1[199:198]; assign VAR2[597:596] = VAR1[841:840]; assign VAR2[599:598] = VAR1[991:990]; assign VAR2[601:600] = VAR1[201:200]; assign VAR2[603:602] = VAR1[843:842]; assign VAR2[605:604] = {VAR1[522], VAR1[523]}; assign VAR2[607:606] = VAR1[203:202]; assign VAR2[609:608] = VAR1[845:844]; assign VAR2[611:610] = {VAR1[524], VAR1[525]}; assign VAR2[613:612] = VAR1[205:204]; assign VAR2[615:614] = VAR1[847:846]; assign VAR2[617:616] = {VAR1[526], VAR1[527]}; assign VAR2[619:618] = VAR1[923:922]; assign VAR2[621:620] = VAR1[603:602]; assign VAR2[623:622] = VAR1[999:998]; assign VAR2[625:624] = {VAR1[1170], VAR1[1171]}; assign VAR2[627:626] = VAR1[605:604]; assign VAR2[629:628] = VAR1[1001:1000]; assign VAR2[631:630] = {VAR1[1172], VAR1[1173]}; assign VAR2[633:632] = VAR1[607:606]; assign VAR2[635:634] = VAR1[1003:1002]; assign VAR2[637:636] = VAR1[213:212]; assign VAR2[639:638] = VAR1[855:854]; assign VAR2[641:640] = VAR1[1005:1004]; assign VAR2[643:642] = VAR1[215:214]; assign VAR2[645:644] = VAR1[857:856]; assign VAR2[647:646] = VAR1[1007:1006]; assign VAR2[649:648] = VAR1[217:216]; assign VAR2[651:650] = VAR1[859:858]; assign VAR2[653:652] = {VAR1[538], VAR1[539]}; assign VAR2[655:654] = VAR1[219:218]; assign VAR2[657:656] = VAR1[861:860]; assign VAR2[659:658] = {VAR1[540], VAR1[541]}; assign VAR2[661:660] = VAR1[221:220]; assign VAR2[663:662] = VAR1[863:862]; assign VAR2[665:664] = {VAR1[542], VAR1[543]}; assign VAR2[667:666] = VAR1[939:938]; assign VAR2[669:668] = VAR1[619:618]; assign VAR2[671:670] = VAR1[1015:1014]; assign VAR2[673:672] = VAR1[941:940]; assign VAR2[675:674] = VAR1[621:620]; assign VAR2[677:676] = VAR1[1017:1016]; assign VAR2[679:678] = VAR1[943:942]; assign VAR2[681:680] = VAR1[623:622]; assign VAR2[683:682] = VAR1[1019:1018]; assign VAR2[685:684] = VAR1[229:228]; assign VAR2[687:686] = VAR1[871:870]; assign VAR2[689:688] = VAR1[1021:1020]; assign VAR2[691:690] = VAR1[231:230]; assign VAR2[693:692] = VAR1[873:872]; assign VAR2[695:694] = VAR1[1023:1022]; assign VAR2[697:696] = VAR1[233:232]; assign VAR2[699:698] = VAR1[875:874]; assign VAR2[701:700] = {VAR1[554], VAR1[555]}; assign VAR2[703:702] = VAR1[235:234]; assign VAR2[705:704] = VAR1[877:876]; assign VAR2[707:706] = {VAR1[556], VAR1[557]}; assign VAR2[709:708] = VAR1[237:236]; assign VAR2[711:710] = VAR1[879:878]; assign VAR2[713:712] = {VAR1[558], VAR1[559]}; assign VAR2[715:714] = VAR1[239:238]; assign VAR2[717:716] = VAR1[635:634]; assign VAR2[719:718] = VAR1[1031:1030]; assign VAR2[721:720] = VAR1[957:956]; assign VAR2[723:722] = VAR1[637:636]; assign VAR2[725:724] = VAR1[1033:1032]; assign VAR2[727:726] = VAR1[959:958]; assign VAR2[729:728] = VAR1[639:638]; assign VAR2[731:730] = VAR1[1035:1034]; assign VAR2[733:732] = VAR1[245:244]; assign VAR2[735:734] = VAR1[887:886]; assign VAR2[737:736] = VAR1[1037:1036]; assign VAR2[739:738] = VAR1[247:246]; assign VAR2[741:740] = VAR1[889:888]; assign VAR2[743:742] = VAR1[1039:1038]; assign VAR2[745:744] = VAR1[249:248]; assign VAR2[747:746] = VAR1[891:890]; assign VAR2[749:748] = {VAR1[570], VAR1[571]}; assign VAR2[751:750] = VAR1[251:250]; assign VAR2[753:752] = VAR1[893:892]; assign VAR2[755:754] = {VAR1[572], VAR1[573]}; assign VAR2[757:756] = VAR1[253:252]; assign VAR2[759:758] = VAR1[895:894]; assign VAR2[761:760] = {VAR1[574], VAR1[575]}; assign VAR2[763:762] = VAR1[255:254]; assign VAR2[765:764] = VAR1[651:650]; assign VAR2[767:766] = VAR1[1047:1046]; assign VAR2[769:768] = VAR1[973:972]; assign VAR2[771:770] = VAR1[653:652]; assign VAR2[773:772] = VAR1[1049:1048]; assign VAR2[775:774] = VAR1[975:974]; assign VAR2[777:776] = VAR1[655:654]; assign VAR2[779:778] = VAR1[1051:1050]; assign VAR2[781:780] = VAR1[261:260]; assign VAR2[783:782] = VAR1[903:902]; assign VAR2[785:784] = VAR1[1053:1052]; assign VAR2[787:786] = VAR1[263:262]; assign VAR2[789:788] = VAR1[905:904]; assign VAR2[791:790] = VAR1[1055:1054]; assign VAR2[793:792] = VAR1[265:264]; assign VAR2[795:794] = VAR1[907:906]; assign VAR2[797:796] = {VAR1[586], VAR1[587]}; assign VAR2[799:798] = VAR1[267:266]; assign VAR2[801:800] = VAR1[909:908]; assign VAR2[803:802] = {VAR1[588], VAR1[589]}; assign VAR2[805:804] = VAR1[269:268]; assign VAR2[807:806] = VAR1[911:910]; assign VAR2[809:808] = {VAR1[590], VAR1[591]}; assign VAR2[811:810] = VAR1[271:270]; assign VAR2[813:812] = VAR1[667:666]; assign VAR2[815:814] = VAR1[1063:1062]; assign VAR2[817:816] = VAR1[989:988]; assign VAR2[819:818] = VAR1[669:668]; assign VAR2[821:820] = VAR1[1065:1064]; assign VAR2[823:822] = VAR1[991:990]; assign VAR2[825:824] = VAR1[671:670]; assign VAR2[827:826] = VAR1[1067:1066]; assign VAR2[829:828] = VAR1[277:276]; assign VAR2[831:830] = VAR1[919:918]; assign VAR2[833:832] = VAR1[1069:1068]; assign VAR2[835:834] = VAR1[279:278]; assign VAR2[837:836] = VAR1[921:920]; assign VAR2[839:838] = VAR1[1071:1070]; assign VAR2[841:840] = VAR1[281:280]; assign VAR2[843:842] = VAR1[923:922]; assign VAR2[845:844] = {VAR1[602], VAR1[603]}; assign VAR2[847:846] = VAR1[283:282]; assign VAR2[849:848] = VAR1[925:924]; assign VAR2[851:850] = {VAR1[604], VAR1[605]}; assign VAR2[853:852] = VAR1[285:284]; assign VAR2[855:854] = VAR1[927:926]; assign VAR2[857:856] = {VAR1[606], VAR1[607]}; assign VAR2[859:858] = VAR1[287:286]; assign VAR2[861:860] = VAR1[683:682]; assign VAR2[863:862] = VAR1[1079:1078]; assign VAR2[865:864] = VAR1[1005:1004]; assign VAR2[867:866] = VAR1[685:684]; assign VAR2[869:868] = VAR1[1081:1080]; assign VAR2[871:870] = VAR1[1007:1006]; assign VAR2[873:872] = VAR1[687:686]; assign VAR2[875:874] = VAR1[1083:1082]; assign VAR2[877:876] = VAR1[293:292]; assign VAR2[879:878] = VAR1[935:934]; assign VAR2[881:880] = VAR1[1085:1084]; assign VAR2[883:882] = VAR1[295:294]; assign VAR2[885:884] = VAR1[937:936]; assign VAR2[887:886] = VAR1[1087:1086]; assign VAR2[889:888] = VAR1[297:296]; assign VAR2[891:890] = VAR1[939:938]; assign VAR2[893:892] = {VAR1[618], VAR1[619]}; assign VAR2[895:894] = VAR1[299:298]; assign VAR2[897:896] = VAR1[941:940]; assign VAR2[899:898] = {VAR1[620], VAR1[621]}; assign VAR2[901:900] = VAR1[301:300]; assign VAR2[903:902] = VAR1[943:942]; assign VAR2[905:904] = {VAR1[622], VAR1[623]}; assign VAR2[907:906] = VAR1[303:302]; assign VAR2[909:908] = VAR1[699:698]; assign VAR2[911:910] = VAR1[1095:1094]; assign VAR2[913:912] = VAR1[1021:1020]; assign VAR2[915:914] = VAR1[701:700]; assign VAR2[917:916] = VAR1[1097:1096]; assign VAR2[919:918] = VAR1[1023:1022]; assign VAR2[921:920] = VAR1[703:702]; assign VAR2[923:922] = VAR1[1099:1098]; assign VAR2[925:924] = VAR1[309:308]; assign VAR2[927:926] = VAR1[951:950]; assign VAR2[929:928] = VAR1[1101:1100]; assign VAR2[931:930] = VAR1[311:310]; assign VAR2[933:932] = VAR1[953:952]; assign VAR2[935:934] = VAR1[1103:1102]; assign VAR2[937:936] = VAR1[313:312]; assign VAR2[939:938] = VAR1[955:954]; assign VAR2[941:940] = {VAR1[634], VAR1[635]}; assign VAR2[943:942] = VAR1[315:314]; assign VAR2[945:944] = VAR1[957:956]; assign VAR2[947:946] = {VAR1[636], VAR1[637]}; assign VAR2[949:948] = VAR1[317:316]; assign VAR2[951:950] = VAR1[959:958]; assign VAR2[953:952] = {VAR1[638], VAR1[639]}; assign VAR2[955:954] = VAR1[319:318]; assign VAR2[957:956] = VAR1[715:714]; assign VAR2[959:958] = VAR1[1111:1110]; assign VAR2[961:960] = VAR1[1037:1036]; assign VAR2[963:962] = VAR1[717:716]; assign VAR2[965:964] = VAR1[1113:1112]; assign VAR2[967:966] = VAR1[1039:1038]; assign VAR2[969:968] = VAR1[719:718]; assign VAR2[971:970] = VAR1[1115:1114]; assign VAR2[973:972] = VAR1[325:324]; assign VAR2[975:974] = VAR1[967:966]; assign VAR2[977:976] = VAR1[1117:1116]; assign VAR2[979:978] = VAR1[327:326]; assign VAR2[981:980] = VAR1[969:968]; assign VAR2[983:982] = VAR1[1119:1118]; assign VAR2[985:984] = VAR1[329:328]; assign VAR2[987:986] = VAR1[971:970]; assign VAR2[989:988] = {VAR1[650], VAR1[651]}; assign VAR2[991:990] = VAR1[331:330]; assign VAR2[993:992] = VAR1[973:972]; assign VAR2[995:994] = {VAR1[652], VAR1[653]}; assign VAR2[997:996] = VAR1[333:332]; assign VAR2[999:998] = VAR1[975:974]; assign VAR2[1001:1000] = {VAR1[654], VAR1[655]}; assign VAR2[1003:1002] = VAR1[335:334]; assign VAR2[1005:1004] = VAR1[731:730]; assign VAR2[1007:1006] = VAR1[1127:1126]; assign VAR2[1009:1008] = VAR1[1053:1052]; assign VAR2[1011:1010] = VAR1[733:732]; assign VAR2[1013:1012] = VAR1[1129:1128]; assign VAR2[1015:1014] = VAR1[1055:1054]; assign VAR2[1017:1016] = VAR1[735:734]; assign VAR2[1019:1018] = VAR1[1131:1130]; assign VAR2[1021:1020] = VAR1[341:340]; assign VAR2[1023:1022] = VAR1[983:982]; assign VAR2[1025:1024] = VAR1[1133:1132]; assign VAR2[1027:1026] = VAR1[343:342]; assign VAR2[1029:1028] = VAR1[985:984]; assign VAR2[1031:1030] = VAR1[1135:1134]; assign VAR2[1033:1032] = VAR1[345:344]; assign VAR2[1035:1034] = VAR1[987:986]; assign VAR2[1037:1036] = {VAR1[666], VAR1[667]}; assign VAR2[1039:1038] = VAR1[347:346]; assign VAR2[1041:1040] = VAR1[989:988]; assign VAR2[1043:1042] = {VAR1[668], VAR1[669]}; assign VAR2[1045:1044] = VAR1[349:348]; assign VAR2[1047:1046] = VAR1[991:990]; assign VAR2[1049:1048] = {VAR1[670], VAR1[671]}; assign VAR2[1051:1050] = VAR1[351:350]; assign VAR2[1053:1052] = VAR1[747:746]; assign VAR2[1055:1054] = VAR1[1143:1142]; assign VAR2[1057:1056] = VAR1[1069:1068]; assign VAR2[1059:1058] = VAR1[749:748]; assign VAR2[1061:1060] = VAR1[1145:1144]; assign VAR2[1063:1062] = VAR1[1071:1070]; assign VAR2[1065:1064] = VAR1[751:750]; assign VAR2[1067:1066] = VAR1[1147:1146]; assign VAR2[1069:1068] = VAR1[357:356]; assign VAR2[1071:1070] = VAR1[999:998]; assign VAR2[1073:1072] = VAR1[1149:1148]; assign VAR2[1075:1074] = VAR1[359:358]; assign VAR2[1077:1076] = VAR1[1001:1000]; assign VAR2[1079:1078] = VAR1[1151:1150]; assign VAR2[1081:1080] = VAR1[361:360]; assign VAR2[1083:1082] = VAR1[1003:1002]; assign VAR2[1085:1084] = {VAR1[682], VAR1[683]}; assign VAR2[1087:1086] = VAR1[363:362]; assign VAR2[1089:1088] = VAR1[1005:1004]; assign VAR2[1091:1090] = {VAR1[684], VAR1[685]}; assign VAR2[1093:1092] = VAR1[365:364]; assign VAR2[1095:1094] = VAR1[1007:1006]; assign VAR2[1097:1096] = {VAR1[686], VAR1[687]}; assign VAR2[1099:1098] = VAR1[367:366]; assign VAR2[1101:1100] = VAR1[763:762]; assign VAR2[1103:1102] = VAR1[1159:1158]; assign VAR2[1105:1104] = VAR1[1085:1084]; assign VAR2[1107:1106] = VAR1[765:764]; assign VAR2[1109:1108] = VAR1[1161:1160]; assign VAR2[1111:1110] = VAR1[1087:1086]; assign VAR2[1113:1112] = VAR1[767:766]; assign VAR2[1115:1114] = VAR1[1163:1162]; assign VAR2[1117:1116] = VAR1[373:372]; assign VAR2[1119:1118] = VAR1[1015:1014]; assign VAR2[1121:1120] = VAR1[1165:1164]; assign VAR2[1123:1122] = VAR1[375:374]; assign VAR2[1125:1124] = VAR1[1017:1016]; assign VAR2[1127:1126] = VAR1[1167:1166]; assign VAR2[1129:1128] = VAR1[377:376]; assign VAR2[1131:1130] = VAR1[1019:1018]; assign VAR2[1133:1132] = {VAR1[698], VAR1[699]}; assign VAR2[1135:1134] = VAR1[379:378]; assign VAR2[1137:1136] = VAR1[1021:1020]; assign VAR2[1139:1138] = {VAR1[700], VAR1[701]}; assign VAR2[1141:1140] = VAR1[381:380]; assign VAR2[1143:1142] = VAR1[1023:1022]; assign VAR2[1145:1144] = {VAR1[702], VAR1[703]}; assign VAR2[1147:1146] = VAR1[383:382]; assign VAR2[1149:1148] = VAR1[779:778]; assign VAR2[1151:1150] = VAR1[1175:1174]; assign VAR2[1153:1152] = VAR1[1101:1100]; assign VAR2[1155:1154] = VAR1[781:780]; assign VAR2[1157:1156] = VAR1[1177:1176]; assign VAR2[1159:1158] = VAR1[1103:1102]; assign VAR2[1161:1160] = VAR1[783:782]; assign VAR2[1163:1162] = VAR1[1179:1178]; assign VAR2[1165:1164] = VAR1[389:388]; assign VAR2[1167:1166] = VAR1[1031:1030]; assign VAR2[1169:1168] = VAR1[1181:1180]; assign VAR2[1171:1170] = VAR1[391:390]; assign VAR2[1173:1172] = VAR1[1033:1032]; assign VAR2[1175:1174] = VAR1[1183:1182]; assign VAR2[1177:1176] = VAR1[393:392]; assign VAR2[1179:1178] = VAR1[1035:1034]; assign VAR2[1181:1180] = {VAR1[714], VAR1[715]}; assign VAR2[1183:1182] = VAR1[395:394]; assign VAR2[1185:1184] = VAR1[1037:1036]; endmodule module MODULE3(VAR1, VAR2); input [1185:0] VAR1; output [1185:0] VAR2; assign VAR2[1:0] = 0; assign VAR2[3:2] = 0; assign VAR2[5:4] = 0; assign VAR2[7:6] = 0; assign VAR2[9:8] = 0; assign VAR2[11:10] = 0; assign VAR2[13:12] = 0; assign VAR2[15:14] = 0; assign VAR2[17:16] = 0; assign VAR2[19:18] = 0; assign VAR2[21:20] = 0; assign VAR2[23:22] = 0; assign VAR2[25:24] = 0; assign VAR2[27:26] = 0; assign VAR2[29:28] = 0; assign VAR2[31:30] = 0; assign VAR2[33:32] = 0; assign VAR2[35:34] = 0; assign VAR2[37:36] = 0; assign VAR2[39:38] = 0; assign VAR2[41:40] = 0; assign VAR2[43:42] = 0; assign VAR2[45:44] = 0; assign VAR2[47:46] = 0; assign VAR2[49:48] = 0; assign VAR2[51:50] = 0; assign VAR2[53:52] = 0; assign VAR2[55:54] = 0; assign VAR2[57:56] = 0; assign VAR2[59:58] = 0; assign VAR2[61:60] = 0; assign VAR2[63:62] = 0; assign VAR2[65:64] = 0; assign VAR2[67:66] = 0; assign VAR2[69:68] = 0; assign VAR2[71:70] = 0; assign VAR2[73:72] = 0; assign VAR2[75:74] = 0; assign VAR2[77:76] = 0; assign VAR2[79:78] = 0; assign VAR2[81:80] = 0; assign VAR2[83:82] = 0; assign VAR2[85:84] = 0; assign VAR2[87:86] = 0; assign VAR2[89:88] = 0; assign VAR2[91:90] = 0; assign VAR2[93:92] = 0; assign VAR2[95:94] = 0; assign VAR2[97:96] = 0; assign VAR2[99:98] = 0; assign VAR2[101:100] = 0; assign VAR2[103:102] = 0; assign VAR2[105:104] = 0; assign VAR2[107:106] = 0; assign VAR2[109:108] = 0; assign VAR2[111:110] = 0; assign VAR2[113:112] = 0; assign VAR2[115:114] = 0; assign VAR2[117:116] = 0; assign VAR2[119:118] = 0; assign VAR2[121:120] = 0; assign VAR2[123:122] = 0; assign VAR2[125:124] = 0; assign VAR2[127:126] = 0; assign VAR2[129:128] = 0; assign VAR2[131:130] = 0; assign VAR2[133:132] = 0; assign VAR2[135:134] = 0; assign VAR2[137:136] = 0; assign VAR2[139:138] = 0; assign VAR2[141:140] = 0; assign VAR2[143:142] = 0; assign VAR2[145:144] = 0; assign VAR2[147:146] = 0; assign VAR2[149:148] = 0; assign VAR2[151:150] = 0; assign VAR2[153:152] = 0; assign VAR2[155:154] = 0; assign VAR2[157:156] = 0; assign VAR2[159:158] = 0; assign VAR2[161:160] = 0; assign VAR2[163:162] = 0; assign VAR2[165:164] = 0; assign VAR2[167:166] = 0; assign VAR2[169:168] = 0; assign VAR2[171:170] = 0; assign VAR2[173:172] = 0; assign VAR2[175:174] = 0; assign VAR2[177:176] = 0; assign VAR2[179:178] = 0; assign VAR2[181:180] = 0; assign VAR2[183:182] = 0; assign VAR2[185:184] = 0; assign VAR2[187:186] = 0; assign VAR2[189:188] = 0; assign VAR2[191:190] = 0; assign VAR2[193:192] = 0; assign VAR2[195:194] = 0; assign VAR2[197:196] = 0; assign VAR2[199:198] = 0; assign VAR2[201:200] = 0; assign VAR2[203:202] = 0; assign VAR2[205:204] = 0; assign VAR2[207:206] = 0; assign VAR2[209:208] = 0; assign VAR2[211:210] = 0; assign VAR2[213:212] = 0; assign VAR2[215:214] = 0; assign VAR2[217:216] = 0; assign VAR2[219:218] = 0; assign VAR2[221:220] = 0; assign VAR2[223:222] = 0; assign VAR2[225:224] = 0; assign VAR2[227:226] = 0; assign VAR2[229:228] = {VAR1[1038], VAR1[1039]}; assign VAR2[231:230] = 0; assign VAR2[233:232] = 0; assign VAR2[235:234] = VAR1[79:78]; assign VAR2[237:236] = 0; assign VAR2[239:238] = 0; assign VAR2[241:240] = VAR1[797:796]; assign VAR2[243:242] = 0; assign VAR2[245:244] = 0; assign VAR2[247:246] = VAR1[799:798]; assign VAR2[249:248] = 0; assign VAR2[251:250] = 0; assign VAR2[253:252] = {VAR1[1046], VAR1[1047]}; assign VAR2[255:254] = 0; assign VAR2[257:256] = 0; assign VAR2[259:258] = {VAR1[1048], VAR1[1049]}; assign VAR2[261:260] = 0; assign VAR2[263:262] = 0; assign VAR2[265:264] = {VAR1[1050], VAR1[1051]}; assign VAR2[267:266] = 0; assign VAR2[269:268] = 0; assign VAR2[271:270] = {VAR1[1052], VAR1[1053]}; assign VAR2[273:272] = 0; assign VAR2[275:274] = 0; assign VAR2[277:276] = {VAR1[1054], VAR1[1055]}; assign VAR2[279:278] = 0; assign VAR2[281:280] = 0; assign VAR2[283:282] = VAR1[95:94]; assign VAR2[285:284] = 0; assign VAR2[287:286] = 0; assign VAR2[289:288] = VAR1[813:812]; assign VAR2[291:290] = 0; assign VAR2[293:292] = 0; assign VAR2[295:294] = VAR1[815:814]; assign VAR2[297:296] = 0; assign VAR2[299:298] = 0; assign VAR2[301:300] = {VAR1[1062], VAR1[1063]}; assign VAR2[303:302] = 0; assign VAR2[305:304] = 0; assign VAR2[307:306] = {VAR1[1064], VAR1[1065]}; assign VAR2[309:308] = 0; assign VAR2[311:310] = 0; assign VAR2[313:312] = {VAR1[1066], VAR1[1067]}; assign VAR2[315:314] = 0; assign VAR2[317:316] = 0; assign VAR2[319:318] = {VAR1[1068], VAR1[1069]}; assign VAR2[321:320] = 0; assign VAR2[323:322] = 0; assign VAR2[325:324] = {VAR1[1070], VAR1[1071]}; assign VAR2[327:326] = 0; assign VAR2[329:328] = 0; assign VAR2[331:330] = VAR1[111:110]; assign VAR2[333:332] = 0; assign VAR2[335:334] = 0; assign VAR2[337:336] = VAR1[829:828]; assign VAR2[339:338] = 0; assign VAR2[341:340] = 0; assign VAR2[343:342] = VAR1[831:830]; assign VAR2[345:344] = 0; assign VAR2[347:346] = 0; assign VAR2[349:348] = {VAR1[1078], VAR1[1079]}; assign VAR2[351:350] = 0; assign VAR2[353:352] = 0; assign VAR2[355:354] = {VAR1[1080], VAR1[1081]}; assign VAR2[357:356] = 0; assign VAR2[359:358] = 0; assign VAR2[361:360] = {VAR1[1082], VAR1[1083]}; assign VAR2[363:362] = 0; assign VAR2[365:364] = 0; assign VAR2[367:366] = {VAR1[1084], VAR1[1085]}; assign VAR2[369:368] = 0; assign VAR2[371:370] = 0; assign VAR2[373:372] = {VAR1[1086], VAR1[1087]}; assign VAR2[375:374] = 0; assign VAR2[377:376] = 0; assign VAR2[379:378] = VAR1[127:126]; assign VAR2[381:380] = 0; assign VAR2[383:382] = 0; assign VAR2[385:384] = VAR1[845:844]; assign VAR2[387:386] = 0; assign VAR2[389:388] = 0; assign VAR2[391:390] = VAR1[847:846]; assign VAR2[393:392] = 0; assign VAR2[395:394] = 0; assign VAR2[397:396] = {VAR1[1094], VAR1[1095]}; assign VAR2[399:398] = 0; assign VAR2[401:400] = 0; assign VAR2[403:402] = {VAR1[1096], VAR1[1097]}; assign VAR2[405:404] = 0; assign VAR2[407:406] = 0; assign VAR2[409:408] = {VAR1[1098], VAR1[1099]}; assign VAR2[411:410] = 0; assign VAR2[413:412] = 0; assign VAR2[415:414] = {VAR1[1100], VAR1[1101]}; assign VAR2[417:416] = 0; assign VAR2[419:418] = 0; assign VAR2[421:420] = {VAR1[1102], VAR1[1103]}; assign VAR2[423:422] = 0; assign VAR2[425:424] = 0; assign VAR2[427:426] = VAR1[143:142]; assign VAR2[429:428] = 0; assign VAR2[431:430] = 0; assign VAR2[433:432] = VAR1[861:860]; assign VAR2[435:434] = 0; assign VAR2[437:436] = 0; assign VAR2[439:438] = VAR1[863:862]; assign VAR2[441:440] = 0; assign VAR2[443:442] = 0; assign VAR2[445:444] = {VAR1[1110], VAR1[1111]}; assign VAR2[447:446] = 0; assign VAR2[449:448] = 0; assign VAR2[451:450] = {VAR1[1112], VAR1[1113]}; assign VAR2[453:452] = 0; assign VAR2[455:454] = 0; assign VAR2[457:456] = {VAR1[1114], VAR1[1115]}; assign VAR2[459:458] = 0; assign VAR2[461:460] = 0; assign VAR2[463:462] = {VAR1[1116], VAR1[1117]}; assign VAR2[465:464] = 0; assign VAR2[467:466] = 0; assign VAR2[469:468] = {VAR1[1118], VAR1[1119]}; assign VAR2[471:470] = 0; assign VAR2[473:472] = 0; assign VAR2[475:474] = VAR1[159:158]; assign VAR2[477:476] = 0; assign VAR2[479:478] = 0; assign VAR2[481:480] = VAR1[877:876]; assign VAR2[483:482] = 0; assign VAR2[485:484] = 0; assign VAR2[487:486] = VAR1[879:878]; assign VAR2[489:488] = 0; assign VAR2[491:490] = 0; assign VAR2[493:492] = {VAR1[1126], VAR1[1127]}; assign VAR2[495:494] = 0; assign VAR2[497:496] = 0; assign VAR2[499:498] = {VAR1[1128], VAR1[1129]}; assign VAR2[501:500] = 0; assign VAR2[503:502] = 0; assign VAR2[505:504] = {VAR1[1130], VAR1[1131]}; assign VAR2[507:506] = 0; assign VAR2[509:508] = 0; assign VAR2[511:510] = {VAR1[1132], VAR1[1133]}; assign VAR2[513:512] = 0; assign VAR2[515:514] = 0; assign VAR2[517:516] = {VAR1[1134], VAR1[1135]}; assign VAR2[519:518] = 0; assign VAR2[521:520] = 0; assign VAR2[523:522] = VAR1[175:174]; assign VAR2[525:524] = 0; assign VAR2[527:526] = 0; assign VAR2[529:528] = VAR1[893:892]; assign VAR2[531:530] = 0; assign VAR2[533:532] = 0; assign VAR2[535:534] = VAR1[895:894]; assign VAR2[537:536] = 0; assign VAR2[539:538] = 0; assign VAR2[541:540] = {VAR1[1142], VAR1[1143]}; assign VAR2[543:542] = 0; assign VAR2[545:544] = 0; assign VAR2[547:546] = {VAR1[1144], VAR1[1145]}; assign VAR2[549:548] = 0; assign VAR2[551:550] = 0; assign VAR2[553:552] = {VAR1[1146], VAR1[1147]}; assign VAR2[555:554] = 0; assign VAR2[557:556] = 0; assign VAR2[559:558] = {VAR1[1148], VAR1[1149]}; assign VAR2[561:560] = 0; assign VAR2[563:562] = 0; assign VAR2[565:564] = {VAR1[1150], VAR1[1151]}; assign VAR2[567:566] = 0; assign VAR2[569:568] = 0; assign VAR2[571:570] = VAR1[191:190]; assign VAR2[573:572] = 0; assign VAR2[575:574] = 0; assign VAR2[577:576] = VAR1[909:908]; assign VAR2[579:578] = 0; assign VAR2[581:580] = 0; assign VAR2[583:582] = VAR1[911:910]; assign VAR2[585:584] = 0; assign VAR2[587:586] = 0; assign VAR2[589:588] = {VAR1[1158], VAR1[1159]}; assign VAR2[591:590] = 0; assign VAR2[593:592] = 0; assign VAR2[595:594] = {VAR1[1160], VAR1[1161]}; assign VAR2[597:596] = 0; assign VAR2[599:598] = 0; assign VAR2[601:600] = {VAR1[1162], VAR1[1163]}; assign VAR2[603:602] = 0; assign VAR2[605:604] = 0; assign VAR2[607:606] = {VAR1[1164], VAR1[1165]}; assign VAR2[609:608] = 0; assign VAR2[611:610] = 0; assign VAR2[613:612] = {VAR1[1166], VAR1[1167]}; assign VAR2[615:614] = 0; assign VAR2[617:616] = 0; assign VAR2[619:618] = VAR1[207:206]; assign VAR2[621:620] = 0; assign VAR2[623:622] = 0; assign VAR2[625:624] = VAR1[925:924]; assign VAR2[627:626] = 0; assign VAR2[629:628] = 0; assign VAR2[631:630] = VAR1[927:926]; assign VAR2[633:632] = 0; assign VAR2[635:634] = 0; assign VAR2[637:636] = {VAR1[1174], VAR1[1175]}; assign VAR2[639:638] = 0; assign VAR2[641:640] = 0; assign VAR2[643:642] = {VAR1[1176], VAR1[1177]}; assign VAR2[645:644] = 0; assign VAR2[647:646] = 0; assign VAR2[649:648] = {VAR1[1178], VAR1[1179]}; assign VAR2[651:650] = 0; assign VAR2[653:652] = 0; assign VAR2[655:654] = {VAR1[1180], VAR1[1181]}; assign VAR2[657:656] = 0; assign VAR2[659:658] = 0; assign VAR2[661:660] = {VAR1[1182], VAR1[1183]}; assign VAR2[663:662] = 0; assign VAR2[665:664] = 0; assign VAR2[667:666] = VAR1[223:222]; assign VAR2[669:668] = 0; assign VAR2[671:670] = 0; assign VAR2[673:672] = 0; assign VAR2[675:674] = 0; assign VAR2[677:676] = 0; assign VAR2[679:678] = 0; assign VAR2[681:680] = 0; assign VAR2[683:682] = 0; assign VAR2[685:684] = 0; assign VAR2[687:686] = 0; assign VAR2[689:688] = 0; assign VAR2[691:690] = 0; assign VAR2[693:692] = 0; assign VAR2[695:694] = 0; assign VAR2[697:696] = 0; assign VAR2[699:698] = 0; assign VAR2[701:700] = 0; assign VAR2[703:702] = 0; assign VAR2[705:704] = 0; assign VAR2[707:706] = 0; assign VAR2[709:708] = 0; assign VAR2[711:710] = 0; assign VAR2[713:712] = 0; assign VAR2[715:714] = 0; assign VAR2[717:716] = 0; assign VAR2[719:718] = 0; assign VAR2[721:720] = 0; assign VAR2[723:722] = 0; assign VAR2[725:724] = 0; assign VAR2[727:726] = 0; assign VAR2[729:728] = 0; assign VAR2[731:730] = 0; assign VAR2[733:732] = 0; assign VAR2[735:734] = 0; assign VAR2[737:736] = 0; assign VAR2[739:738] = 0; assign VAR2[741:740] = 0; assign VAR2[743:742] = 0; assign VAR2[745:744] = 0; assign VAR2[747:746] = 0; assign VAR2[749:748] = 0; assign VAR2[751:750] = 0; assign VAR2[753:752] = 0; assign VAR2[755:754] = 0; assign VAR2[757:756] = 0; assign VAR2[759:758] = 0; assign VAR2[761:760] = 0; assign VAR2[763:762] = 0; assign VAR2[765:764] = 0; assign VAR2[767:766] = 0; assign VAR2[769:768] = 0; assign VAR2[771:770] = 0; assign VAR2[773:772] = 0; assign VAR2[775:774] = 0; assign VAR2[777:776] = 0; assign VAR2[779:778] = 0; assign VAR2[781:780] = 0; assign VAR2[783:782] = 0; assign VAR2[785:784] = 0; assign VAR2[787:786] = 0; assign VAR2[789:788] = 0; assign VAR2[791:790] = 0; assign VAR2[793:792] = 0; assign VAR2[795:794] = 0; assign VAR2[797:796] = 0; assign VAR2[799:798] = 0; assign VAR2[801:800] = 0; assign VAR2[803:802] = 0; assign VAR2[805:804] = 0; assign VAR2[807:806] = 0; assign VAR2[809:808] = 0; assign VAR2[811:810] = 0; assign VAR2[813:812] = 0; assign VAR2[815:814] = 0; assign VAR2[817:816] = 0; assign VAR2[819:818] = 0; assign VAR2[821:820] = 0; assign VAR2[823:822] = 0; assign VAR2[825:824] = 0; assign VAR2[827:826] = 0; assign VAR2[829:828] = 0; assign VAR2[831:830] = 0; assign VAR2[833:832] = 0; assign VAR2[835:834] = 0; assign VAR2[837:836] = 0; assign VAR2[839:838] = 0; assign VAR2[841:840] = 0; assign VAR2[843:842] = 0; assign VAR2[845:844] = 0; assign VAR2[847:846] = 0; assign VAR2[849:848] = 0; assign VAR2[851:850] = 0; assign VAR2[853:852] = 0; assign VAR2[855:854] = 0; assign VAR2[857:856] = 0; assign VAR2[859:858] = 0; assign VAR2[861:860] = 0; assign VAR2[863:862] = 0; assign VAR2[865:864] = 0; assign VAR2[867:866] = 0; assign VAR2[869:868] = 0; assign VAR2[871:870] = 0; assign VAR2[873:872] = 0; assign VAR2[875:874] = 0; assign VAR2[877:876] = 0; assign VAR2[879:878] = 0; assign VAR2[881:880] = 0; assign VAR2[883:882] = 0; assign VAR2[885:884] = 0; assign VAR2[887:886] = 0; assign VAR2[889:888] = 0; assign VAR2[891:890] = 0; assign VAR2[893:892] = 0; assign VAR2[895:894] = 0; assign VAR2[897:896] = 0; assign VAR2[899:898] = 0; assign VAR2[901:900] = 0; assign VAR2[903:902] = 0; assign VAR2[905:904] = 0; assign VAR2[907:906] = 0; assign VAR2[909:908] = 0; assign VAR2[911:910] = 0; assign VAR2[913:912] = 0; assign VAR2[915:914] = 0; assign VAR2[917:916] = 0; assign VAR2[919:918] = 0; assign VAR2[921:920] = 0; assign VAR2[923:922] = 0; assign VAR2[925:924] = 0; assign VAR2[927:926] = 0; assign VAR2[929:928] = 0; assign VAR2[931:930] = 0; assign VAR2[933:932] = 0; assign VAR2[935:934] = 0; assign VAR2[937:936] = 0; assign VAR2[939:938] = 0; assign VAR2[941:940] = 0; assign VAR2[943:942] = 0; assign VAR2[945:944] = 0; assign VAR2[947:946] = 0; assign VAR2[949:948] = 0; assign VAR2[951:950] = 0; assign VAR2[953:952] = 0; assign VAR2[955:954] = 0; assign VAR2[957:956] = 0; assign VAR2[959:958] = 0; assign VAR2[961:960] = 0; assign VAR2[963:962] = 0; assign VAR2[965:964] = 0; assign VAR2[967:966] = 0; assign VAR2[969:968] = 0; assign VAR2[971:970] = 0; assign VAR2[973:972] = 0; assign VAR2[975:974] = 0; assign VAR2[977:976] = 0; assign VAR2[979:978] = 0; assign VAR2[981:980] = 0; assign VAR2[983:982] = 0; assign VAR2[985:984] = 0; assign VAR2[987:986] = 0; assign VAR2[989:988] = 0; assign VAR2[991:990] = 0; assign VAR2[993:992] = 0; assign VAR2[995:994] = 0; assign VAR2[997:996] = 0; assign VAR2[999:998] = 0; assign VAR2[1001:1000] = 0; assign VAR2[1003:1002] = 0; assign VAR2[1005:1004] = 0; assign VAR2[1007:1006] = 0; assign VAR2[1009:1008] = 0; assign VAR2[1011:1010] = 0; assign VAR2[1013:1012] = 0; assign VAR2[1015:1014] = 0; assign VAR2[1017:1016] = 0; assign VAR2[1019:1018] = 0; assign VAR2[1021:1020] = 0; assign VAR2[1023:1022] = 0; assign VAR2[1025:1024] = 0; assign VAR2[1027:1026] = 0; assign VAR2[1029:1028] = 0; assign VAR2[1031:1030] = 0; assign VAR2[1033:1032] = 0; assign VAR2[1035:1034] = 0; assign VAR2[1037:1036] = 0; assign VAR2[1039:1038] = 0; assign VAR2[1041:1040] = 0; assign VAR2[1043:1042] = 0; assign VAR2[1045:1044] = 0; assign VAR2[1047:1046] = 0; assign VAR2[1049:1048] = 0; assign VAR2[1051:1050] = 0; assign VAR2[1053:1052] = 0; assign VAR2[1055:1054] = 0; assign VAR2[1057:1056] = 0; assign VAR2[1059:1058] = 0; assign VAR2[1061:1060] = 0; assign VAR2[1063:1062] = 0; assign VAR2[1065:1064] = 0; assign VAR2[1067:1066] = 0; assign VAR2[1069:1068] = 0; assign VAR2[1071:1070] = 0; assign VAR2[1073:1072] = 0; assign VAR2[1075:1074] = 0; assign VAR2[1077:1076] = 0; assign VAR2[1079:1078] = 0; assign VAR2[1081:1080] = 0; assign VAR2[1083:1082] = 0; assign VAR2[1085:1084] = 0; assign VAR2[1087:1086] = 0; assign VAR2[1089:1088] = 0; assign VAR2[1091:1090] = 0; assign VAR2[1093:1092] = 0; assign VAR2[1095:1094] = 0; assign VAR2[1097:1096] = 0; assign VAR2[1099:1098] = 0; assign VAR2[1101:1100] = 0; assign VAR2[1103:1102] = 0; assign VAR2[1105:1104] = 0; assign VAR2[1107:1106] = 0; assign VAR2[1109:1108] = 0; assign VAR2[1111:1110] = 0; assign VAR2[1113:1112] = 0; assign VAR2[1115:1114] = 0; assign VAR2[1117:1116] = 0; assign VAR2[1119:1118] = 0; assign VAR2[1121:1120] = 0; assign VAR2[1123:1122] = 0; assign VAR2[1125:1124] = 0; assign VAR2[1127:1126] = 0; assign VAR2[1129:1128] = 0; assign VAR2[1131:1130] = 0; assign VAR2[1133:1132] = 0; assign VAR2[1135:1134] = 0; assign VAR2[1137:1136] = 0; assign VAR2[1139:1138] = 0; assign VAR2[1141:1140] = 0; assign VAR2[1143:1142] = 0; assign VAR2[1145:1144] = 0; assign VAR2[1147:1146] = 0; assign VAR2[1149:1148] = 0; assign VAR2[1151:1150] = 0; assign VAR2[1153:1152] = 0; assign VAR2[1155:1154] = 0; assign VAR2[1157:1156] = 0; assign VAR2[1159:1158] = 0; assign VAR2[1161:1160] = 0; assign VAR2[1163:1162] = 0; assign VAR2[1165:1164] = 0; assign VAR2[1167:1166] = 0; assign VAR2[1169:1168] = 0; assign VAR2[1171:1170] = 0; assign VAR2[1173:1172] = 0; assign VAR2[1175:1174] = 0; assign VAR2[1177:1176] = 0; assign VAR2[1179:1178] = 0; assign VAR2[1181:1180] = 0; assign VAR2[1183:1182] = 0; assign VAR2[1185:1184] = 0; endmodule
apache-2.0
alexforencich/verilog-axis
rtl/axis_broadcast.v
7,416
module MODULE1 # ( parameter VAR33 = 4, parameter VAR36 = 8, parameter VAR31 = (VAR36>8), parameter VAR26 = ((VAR36+7)/8), parameter VAR48 = 1, parameter VAR29 = 0, parameter VAR51 = 8, parameter VAR42 = 0, parameter VAR37 = 8, parameter VAR50 = 1, parameter VAR11 = 1 ) ( input wire clk, input wire rst, input wire [VAR36-1:0] VAR30, input wire [VAR26-1:0] VAR32, input wire VAR46, output wire VAR12, input wire VAR23, input wire [VAR51-1:0] VAR18, input wire [VAR37-1:0] VAR39, input wire [VAR11-1:0] VAR13, output wire [VAR33*VAR36-1:0] VAR16, output wire [VAR33*VAR26-1:0] VAR44, output wire [VAR33-1:0] VAR27, input wire [VAR33-1:0] VAR34, output wire [VAR33-1:0] VAR49, output wire [VAR33*VAR51-1:0] VAR3, output wire [VAR33*VAR37-1:0] VAR35, output wire [VAR33*VAR11-1:0] VAR15 ); parameter VAR38 = VAR24(VAR33); reg VAR40 = 1'b0, VAR4; reg [VAR36-1:0] VAR2 = {VAR36{1'b0}}; reg [VAR26-1:0] VAR1 = {VAR26{1'b0}}; reg [VAR33-1:0] VAR45 = {VAR33{1'b0}}, VAR20; reg VAR10 = 1'b0; reg [VAR51-1:0] VAR17 = {VAR51{1'b0}}; reg [VAR37-1:0] VAR47 = {VAR37{1'b0}}; reg [VAR11-1:0] VAR22 = {VAR11{1'b0}}; reg [VAR36-1:0] VAR9 = {VAR36{1'b0}}; reg [VAR26-1:0] VAR14 = {VAR26{1'b0}}; reg VAR7 = 1'b0, VAR5; reg VAR41 = 1'b0; reg [VAR51-1:0] VAR25 = {VAR51{1'b0}}; reg [VAR37-1:0] VAR21 = {VAR37{1'b0}}; reg [VAR11-1:0] VAR28 = {VAR11{1'b0}}; reg VAR19; reg VAR43; reg VAR6; assign VAR12 = VAR40; assign VAR16 = {VAR33{VAR2}}; assign VAR44 = VAR31 ? {VAR33{VAR1}} : {VAR33*VAR26{1'b1}}; assign VAR27 = VAR45; assign VAR49 = VAR48 ? {VAR33{VAR10}} : {VAR33{1'b1}}; assign VAR3 = VAR29 ? {VAR33{VAR17}} : {VAR33*VAR51{1'b0}}; assign VAR35 = VAR42 ? {VAR33{VAR47}} : {VAR33*VAR37{1'b0}}; assign VAR15 = VAR50 ? {VAR33{VAR22}} : {VAR33*VAR11{1'b0}}; wire VAR8 = ((VAR34 & VAR27) == VAR27) || (!VAR7 && (!VAR27 || !VAR46)); always @* begin VAR20 = VAR45 & ~VAR34; VAR5 = VAR7; VAR19 = 1'b0; VAR43 = 1'b0; VAR6 = 1'b0; if (VAR40) begin if (((VAR34 & VAR27) == VAR27) || !VAR27) begin VAR20 = {VAR33{VAR46}}; VAR19 = 1'b1; end else begin VAR5 = VAR46; VAR43 = 1'b1; end end else if ((VAR34 & VAR27) == VAR27) begin VAR20 = {VAR33{VAR7}}; VAR5 = 1'b0; VAR6 = 1'b1; end end always @(posedge clk) begin VAR40 <= VAR8; VAR45 <= VAR20; VAR7 <= VAR5; if (VAR19) begin VAR2 <= VAR30; VAR1 <= VAR32; VAR10 <= VAR23; VAR17 <= VAR18; VAR47 <= VAR39; VAR22 <= VAR13; end else if (VAR6) begin VAR2 <= VAR9; VAR1 <= VAR14; VAR10 <= VAR41; VAR17 <= VAR25; VAR47 <= VAR21; VAR22 <= VAR28; end if (VAR43) begin VAR9 <= VAR30; VAR14 <= VAR32; VAR41 <= VAR23; VAR25 <= VAR18; VAR21 <= VAR39; VAR28 <= VAR13; end if (rst) begin VAR40 <= 1'b0; VAR45 <= {VAR33{1'b0}}; VAR7 <= {VAR33{1'b0}}; end end endmodule
mit
asicguy/gplgpu
hdl/de_temp/dex_sm.v
27,883
module MODULE1 ( input VAR227, input VAR229, input VAR104, input VAR191, input VAR245, input VAR16, input VAR206, input VAR225, input VAR87, input [1:0] VAR130, input [1:0] VAR140, input VAR93, input VAR27, input VAR254, input VAR94, input VAR232, input VAR1, input VAR153, input VAR125, input VAR50, input VAR180, input VAR194, input VAR167, input [2:0] VAR57, input [3:0] VAR170, input [3:0] VAR213, input [3:0] VAR152, input [3:0] VAR157, input VAR221, input VAR98, input VAR43, input VAR85, input VAR6, input VAR66, input VAR2, input [2:0] VAR117, input VAR159, input VAR99, input VAR81, input VAR100, input VAR235,VAR218, input VAR46, input [2:0] VAR182, input VAR115, input VAR11, input VAR178, input VAR253, input VAR165, input VAR163, output [4:0] VAR210, output reg [4:0] VAR36, output reg [4:0] VAR204, output [3:0] VAR241, output [1:0] VAR147, output reg [4:0] VAR211, output VAR45, output VAR258, output VAR105, output VAR121, output [3:0] VAR15, output [1:0] VAR188, output VAR219, output VAR35, output VAR224, output VAR256, output VAR174, output VAR55, output VAR97, output VAR160, output VAR64, output VAR52, output reg VAR185, output reg VAR44, output VAR4, output reg VAR250, output reg VAR8, output reg VAR252, output VAR128, output VAR158, output VAR56, output reg VAR239, output reg VAR73, output reg VAR71, output reg VAR154, output reg VAR86, output reg VAR47, output VAR135, output VAR79, output reg VAR42, output VAR101, output VAR34, output VAR133, output VAR70, output VAR192, output reg VAR40, output VAR62, output reg VAR214, output reg VAR166, output VAR184, output VAR21, output reg VAR9 ); reg VAR175; reg VAR246; parameter VAR126 = 4'h0, VAR189 = 4'h1, VAR90 = 4'h2, VAR255 = 4'h3, VAR251 = 4'h5, VAR244 = 4'h8, VAR107 = 4'hB, VAR25 =4'b0000, VAR156 =4'b0011, VAR18 =4'b0101, VAR5 =4'b1100, VAR23 =4'b1111; wire VAR195; assign VAR195 = ~(VAR27 & ~VAR159); wire VAR177, VAR171, VAR65, VAR173; assign VAR15 = {VAR177,VAR171,VAR65,VAR173}; wire VAR119, VAR183; assign VAR188 = {VAR119,VAR183}; reg VAR78, VAR58; reg VAR113, VAR238; wire VAR103, VAR137, VAR12, VAR76, VAR198, VAR39, VAR122, VAR31, VAR212, VAR230, VAR127; wire VAR234, VAR240, VAR217, VAR155, VAR139, VAR91, VAR53, VAR17, VAR208, VAR202, VAR248, VAR30, VAR141, VAR199, VAR106, VAR59, VAR67, VAR243, VAR28, VAR63, VAR14, VAR77, VAR150, VAR123, VAR33, VAR226; wire [4:0] VAR24; wire VAR68,VAR116; wire VAR168, VAR49, VAR190, VAR32, VAR187, VAR169, VAR61, VAR82, VAR136, VAR179, VAR10, VAR242, VAR203, VAR60, VAR148, VAR108, VAR54, VAR111, VAR207, VAR29, VAR142, VAR164, VAR216, VAR41, VAR236, VAR72, VAR193, VAR109; wire [4:0] VAR181; wire VAR96, VAR37, VAR124, VAR80, VAR83, VAR69, VAR74, VAR172, VAR88, VAR3, VAR134, VAR249, VAR118, VAR176, VAR231; wire [4:0] VAR7; wire VAR143,VAR222, VAR237,VAR95,VAR259; wire VAR131; wire VAR138; always @(posedge VAR227)VAR238 <= VAR127; assign VAR138 = VAR238; always @(posedge VAR227)VAR166 <= VAR138; always@(posedge VAR227)VAR42 <= ~VAR11; assign VAR101 = VAR103; assign VAR34 = VAR103 & ~VAR232; assign VAR133 = VAR137; wire VAR144,VAR257; assign VAR79 = VAR109; assign VAR62 = VAR31; always @(posedge VAR227)VAR214 <= VAR212; always @* VAR40 <= VAR230; assign VAR135 = !(((VAR157 == VAR25) && !VAR225) || ((VAR157 == VAR18) && !VAR225) || ((VAR157 == VAR23) && !VAR225) || VAR206 || VAR27); assign VAR55 = ((VAR157 != VAR25) && (VAR157 != VAR156) && (VAR157 != VAR5) && (VAR157 != VAR23)); assign VAR144 = VAR55; assign VAR257 = VAR81; assign VAR160 = (VAR213 == VAR244); assign VAR97 = (VAR170 == VAR90) || (VAR170 ==VAR255) || (VAR170 ==VAR251); assign VAR64 = (VAR170 == VAR255); assign VAR52 = (VAR170 == VAR251); assign VAR4 = (VAR170 == VAR189); wire VAR223 = (VAR170 == VAR126); always @(posedge VAR227 or negedge VAR229) begin if(!VAR229) begin VAR185 <= 1'b0; VAR44 <= 1'b0; VAR246 <= 1'b0; VAR250 <= 1'b0; VAR175 <= 1'b0; end else if(!VAR11) begin VAR185 <= VAR52; VAR44 <= VAR97; VAR246 <= VAR64; VAR250 <= VAR4; VAR175 <= VAR223; end else if(VAR178) begin VAR185 <= 1'b0; VAR44 <= 1'b0; VAR246 <= 1'b0; VAR250 <= 1'b0; VAR175 <= 1'b0; end end assign VAR222 = VAR140[1]; assign VAR143 = VAR140[0]; always @(posedge VAR227)VAR86<=VAR77; always @(posedge VAR227)VAR47<=VAR150; wire [21:0] VAR196; wire [21:0] VAR92; wire [21:0] VAR162; wire [21:0] VAR228; reg [10:0] VAR22; always @* {VAR36,VAR204} = (VAR92[21:12] | VAR196[21:12] | VAR162[21:12] | VAR228[21:12]); always @(posedge VAR227) VAR22[10:2] <= ({VAR92[11:7],VAR92[5:2]} | {VAR196[11:7],VAR196[5:2]} | {VAR162[11:7],VAR162[5:2]} | {VAR228[11:7],VAR228[5:2]}); always @(posedge VAR227) VAR22[1:0] <= (VAR92[1:0] & VAR196[1:0] & VAR162[1:0] & VAR228[1:0]); assign {VAR210,VAR241,VAR147}= VAR22; always @* begin if(VAR250 && (VAR130[1] && (|VAR140)))VAR211=VAR7; end else if(VAR250 && VAR130[1] && !(VAR140[1] | VAR140[0]))VAR211=VAR181; else if(VAR250)VAR211=VAR24; else VAR211=5'h1; end assign VAR35 = VAR76; assign VAR171 = (VAR44 & VAR237); assign VAR177 = (VAR44 & VAR103 & ~VAR232); assign VAR173 = ((VAR130[1] & VAR250) | (VAR250 & VAR68)) | (VAR44 & VAR95); assign VAR65 = (VAR44 & VAR137 & ~VAR232); assign VAR183 = VAR250 & (VAR130[1] | VAR116); assign VAR119 = VAR250 & (VAR91 | VAR69 | VAR169); assign VAR219 = VAR44 & VAR12; assign VAR131 = VAR17 | VAR172 | VAR82; assign VAR158 = VAR67 | VAR88 | VAR179; assign VAR259 = VAR236; assign VAR56 = VAR243 | VAR3 | VAR10; assign VAR256 = VAR217 | VAR80 | VAR32; assign VAR174 = VAR155 | VAR83 | VAR187; wire VAR38; assign VAR38 = VAR28; always @(posedge VAR227)VAR71 <= VAR14 | VAR249 | VAR122 | VAR72; wire VAR120; wire VAR145; assign VAR120 = VAR176 | VAR108; assign VAR145 = VAR118 | VAR148; always @(posedge VAR227) VAR8 <= VAR53 | VAR74 | VAR61; assign VAR128 = VAR136; always @(posedge VAR227) begin VAR252 <= VAR113 | VAR136; VAR113 <= VAR131; end always @(posedge VAR227)VAR239 <= VAR63 | VAR134 | VAR242; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR154<=1'b0; end else if(VAR259)VAR154<=1'b0; else if(VAR158)VAR154<=1'b1; else if(VAR256 && !VAR174)VAR154<=1'b0; end reg VAR209; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR209<=1'b0; end else if(VAR120)VAR209<=1'b0; else if(VAR145)VAR209<=1'b1; end reg VAR110; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR110<=1'b0; end else if(VAR111)VAR110<=1'b0; else if(VAR54)VAR110<=1'b1; end reg VAR20; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR20<=1'b0; end else if(VAR29)VAR20<=1'b0; else if(VAR207)VAR20<=1'b1; end reg VAR129; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR129<=1'b0; end else if(VAR38)VAR129<=1'b1; else if(!VAR11)VAR129<=1'b0; else if(VAR158)VAR129<=1'b0; end reg VAR197; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR197<=1'b0; end else if(VAR216)VAR197<=1'b1; else if(!VAR11)VAR197<=1'b0; else if(VAR41)VAR197<=1'b0; end reg VAR102; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR102<=1'b0; end else if(VAR164)VAR102<=1'b0; else if(VAR142)VAR102<=1'b1; end reg VAR146; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR146<=1'b0; end else if(VAR60 | ~VAR11 | VAR226)VAR146<=1'b0; else if(VAR203 | VAR33)VAR146<=1'b1; end reg VAR200; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR200<=1'b0; end else if(VAR202 | ~VAR11)VAR200<=1'b0; else if(VAR208)VAR200<=1'b1; end reg VAR132; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR132<=1'b0; end else if(VAR30 | ~VAR11)VAR132<=1'b0; else if(VAR248)VAR132<=1'b1; end reg VAR220; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR220<=1'b0; end else if(VAR59 | ~VAR11)VAR220<=1'b0; else if(VAR106)VAR220<=1'b1; end reg VAR215; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR215<=1'b0; end else if(VAR199 | ~VAR11)VAR215<=1'b0; else if(VAR141)VAR215<=1'b1; end always @(posedge VAR227) VAR73 <= VAR139 | VAR124 | VAR190; reg VAR84; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR84 <= 1'b0; end else if(VAR198 | VAR234 | VAR96 | VAR168)VAR84<=1'b1; else if( VAR39 | VAR240 | VAR37 | VAR49)VAR84<=1'b0; end assign VAR224 = VAR84 | VAR163; always @(posedge VAR227 or negedge VAR229) begin if(!VAR229)VAR78 <= 1'b0; end else if(VAR198 | VAR234 | VAR96 | VAR168)VAR78<=1'b1; else if( VAR39 | VAR240 | VAR37 | VAR49)VAR78<=1'b0; end always @* VAR9=VAR198 | VAR234 | VAR96 | VAR168 | VAR78 | VAR163; VAR149 VAR112 ( .VAR227 (), .VAR229 (), .VAR58 (), .VAR114 (), .VAR13 (VAR232), .VAR97 (VAR97), .VAR4 (VAR4), .VAR175 (VAR175), .VAR253 (), .VAR165 (), .VAR151 (), .VAR201 (), .VAR11 (), .VAR233 () ); VAR161 VAR75 ( .VAR227 (VAR227), .VAR229 (VAR229), .VAR253 (VAR253), .VAR57 (VAR57), .VAR186 (VAR153), .VAR50 (VAR50), .VAR246 (VAR246), .VAR13 (VAR232), .VAR245 (VAR245), .VAR1 (VAR1), .VAR191 (VAR191), .VAR235 (VAR235), .VAR218 (VAR218), .VAR45 (VAR45), .VAR258 (VAR258), .VAR105 (VAR105), .VAR121 (VAR121), .VAR70 (VAR70), .VAR192 (VAR192), .VAR103 (VAR103), .VAR137 (VAR137), .VAR12 (VAR12), .VAR76 (VAR76), .VAR198 (VAR198), .VAR39 (VAR39), .VAR122 (VAR122), .VAR31 (VAR31), .VAR212 (VAR212), .VAR230 (VAR230), .VAR127 (VAR127), .VAR196 (VAR196), .VAR237 (VAR237), .VAR95 (VAR95) ); VAR89 VAR19 ( .VAR227 (VAR227), .VAR229 (VAR229), .VAR57 (VAR57[1:0]), .VAR165 (VAR165), .VAR87 (VAR87), .VAR94 (VAR94), .VAR195 (VAR195), .VAR50 (VAR50), .VAR180 (VAR180), .VAR167 (VAR167), .VAR194 (VAR194), .VAR135 (VAR135), .VAR98 (VAR98), .VAR43 (VAR43), .VAR85 (VAR85), .VAR6 (VAR6), .VAR66 (VAR66), .VAR143 (VAR143), .VAR222 (VAR222), .VAR132 (VAR132), .VAR220 (VAR220), .VAR200 (VAR200), .VAR146 (VAR146), .VAR144 (VAR144), .VAR129 (VAR129), .VAR154 (VAR154), .VAR205 (VAR117[1]), .VAR115 (VAR115), .VAR2 (VAR2), .VAR215 (VAR215), .VAR92 (VAR92), .VAR24 (VAR24), .VAR234 (VAR234), .VAR240 (VAR240), .VAR217 (VAR217), .VAR155 (VAR155), .VAR139 (VAR139), .VAR91 (VAR91), .VAR53 (VAR53), .VAR17 (VAR17), .VAR67 (VAR67), .VAR243 (VAR243), .VAR28 (VAR28), .VAR63 (VAR63), .VAR14 (VAR14), .VAR77 (VAR77), .VAR150 (VAR150), .VAR123 (VAR123), .VAR33 (VAR33), .VAR226 (VAR226), .VAR208 (VAR208), .VAR202 (VAR202), .VAR248 (VAR248), .VAR30 (VAR30), .VAR141 (VAR141), .VAR199 (VAR199), .VAR106 (VAR106), .VAR59 (VAR59), .VAR184 (VAR184), .VAR21 (VAR21), .VAR68 (VAR68), .VAR116 (VAR116) ); VAR51 VAR247 ( .VAR227 (VAR227), .VAR229 (VAR229), .VAR165 (VAR165), .VAR87 (VAR87), .VAR93 (VAR93), .VAR94 (VAR94), .VAR195 (VAR195), .VAR50 (VAR50), .VAR180 (VAR180), .VAR167 (VAR167), .VAR194 (VAR194), .VAR182 (VAR182), .VAR222 (VAR222), .VAR144 (VAR144), .VAR135 (VAR135), .VAR115 (VAR115), .VAR209 (VAR209), .VAR162 (VAR162), .VAR7 (VAR7), .VAR96 (VAR96), .VAR37 (VAR37), .VAR124 (VAR124), .VAR80 (VAR80), .VAR83 (VAR83), .VAR69 (VAR69), .VAR74 (VAR74), .VAR172 (VAR172), .VAR88 (VAR88), .VAR3 (VAR3), .VAR134 (VAR134), .VAR249 (VAR249), .VAR118 (VAR118), .VAR176 (VAR176), .VAR231 (VAR231) ); VAR26 VAR48 ( .VAR227 (VAR227), .VAR229 (VAR229), .VAR165 (VAR165), .VAR87 (VAR87), .VAR93 (VAR93), .VAR102 (VAR102), .VAR197 (VAR197), .VAR110 (VAR110), .VAR20 (VAR20), .VAR209 (VAR209), .VAR94 (VAR94), .VAR50 (VAR50), .VAR180 (VAR180), .VAR167 (VAR167), .VAR194 (VAR194), .VAR135 (VAR135), .VAR43 (VAR43), .VAR98 (VAR98), .VAR66 (VAR66), .VAR6 (VAR6), .VAR2 (VAR2), .VAR154 (VAR154), .VAR129 (VAR129), .VAR85 (VAR85), .VAR222 (VAR222), .VAR146 (VAR146), .VAR195 (VAR195), .VAR27 (VAR27), .VAR257 (VAR257), .VAR125 (VAR125), .VAR115 (VAR115), .VAR144 (VAR144), .VAR228 (VAR228), .VAR181 (VAR181), .VAR168 (VAR168), .VAR49 (VAR49), .VAR190 (VAR190), .VAR32 (VAR32), .VAR187 (VAR187), .VAR169 (VAR169), .VAR61 (VAR61), .VAR82 (VAR82), .VAR136 (VAR136), .VAR179 (VAR179), .VAR10 (VAR10), .VAR242 (VAR242), .VAR203 (VAR203), .VAR60 (VAR60), .VAR148 (VAR148), .VAR108 (VAR108), .VAR54 (VAR54), .VAR111 (VAR111), .VAR207 (VAR207), .VAR29 (VAR29), .VAR142 (VAR142), .VAR164 (VAR164), .VAR216 (VAR216), .VAR41 (VAR41), .VAR236 (VAR236), .VAR109 (VAR109), .VAR72 (VAR72), .VAR193 (VAR193) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/mux4/sky130_fd_sc_ls__mux4.behavioral.pp.v
1,983
module MODULE1 ( VAR2 , VAR15 , VAR7 , VAR11 , VAR6 , VAR14 , VAR10 , VAR12, VAR13, VAR18 , VAR17 ); output VAR2 ; input VAR15 ; input VAR7 ; input VAR11 ; input VAR6 ; input VAR14 ; input VAR10 ; input VAR12; input VAR13; input VAR18 ; input VAR17 ; wire VAR1 ; wire VAR3; VAR16 VAR9 (VAR1 , VAR15, VAR7, VAR11, VAR6, VAR14, VAR10 ); VAR5 VAR4 (VAR3, VAR1, VAR12, VAR13); buf VAR8 (VAR2 , VAR3 ); endmodule
apache-2.0
bluespec/Flute
builds/AWSteria_Core_Flute_RV64_Linux/Verilog_RTL_PLATFORM_AWSF1/mkTLB.v
35,027
module MODULE1(VAR68, VAR117, VAR108, VAR15, VAR48, VAR65, VAR74, VAR98, VAR125, VAR170, VAR126, VAR43, VAR212, VAR67, VAR85, VAR146, VAR120, VAR174, VAR154); parameter [0 : 0] VAR213 = 1'b0; parameter [2 : 0] VAR59 = 3'b0; input VAR68; input VAR117; input [63 : 0] VAR108; input [63 : 0] VAR15; input VAR48; input [1 : 0] VAR65; input VAR74; input VAR98; output [200 : 0] VAR125; output VAR170; input [15 : 0] VAR126; input [26 : 0] VAR43; input [63 : 0] VAR212; input [1 : 0] VAR67; input [63 : 0] VAR85; input VAR146; output VAR120; input VAR174; output VAR154; wire [200 : 0] VAR125; wire VAR154, VAR120, VAR170; reg VAR182; wire VAR105, VAR197; reg VAR4; wire VAR184, VAR78; reg VAR209; wire VAR109, VAR40; reg VAR175; wire VAR73, VAR198; reg VAR3; wire VAR111, VAR123; reg VAR139; wire VAR135, VAR86; reg VAR121; wire VAR94, VAR21; reg VAR173; wire VAR180, VAR99; reg VAR70; wire VAR10, VAR39; reg VAR106; wire VAR141, VAR113; reg VAR50; wire VAR27, VAR185; reg VAR153; wire VAR60, VAR151; reg VAR138; wire VAR177, VAR169; reg VAR92; wire VAR134, VAR75; reg VAR157; wire VAR89, VAR12; reg VAR63; wire VAR47, VAR110; reg VAR189; wire VAR191, VAR7; reg VAR128; wire VAR176, VAR82; reg VAR158; wire VAR77, VAR62; reg VAR186; wire VAR84, VAR28; reg VAR49; wire VAR30, VAR114; reg VAR80; wire VAR93, VAR35; reg VAR54; wire VAR26, VAR130; reg VAR45; wire VAR102, VAR1; reg VAR33; wire VAR44, VAR14; reg VAR91; wire VAR192, VAR9; reg VAR20; wire VAR140, VAR38; reg VAR66; wire VAR122, VAR183; wire [166 : 0] VAR124, VAR58; wire [3 : 0] VAR95, VAR208, VAR150, VAR204, VAR25, VAR136; wire VAR127; wire [158 : 0] VAR6, VAR195; wire [2 : 0] VAR24, VAR115, VAR172, VAR181, VAR46, VAR118; wire VAR69; wire [150 : 0] VAR144, VAR104; wire [1 : 0] VAR55, VAR5, VAR17, VAR167, VAR132, VAR101; wire VAR156; wire VAR162, VAR194, VAR152, VAR164, VAR2, VAR142; reg [31 : 0] VAR137; reg [31 : 0] VAR148; reg [31 : 0] VAR83; reg [31 : 0] VAR53; reg [63 : 0] VAR214; reg VAR207, VAR147, VAR155; wire [129 : 0] VAR171, VAR196, VAR166; wire [63 : 0] VAR51, VAR13, VAR165, VAR116, VAR200, VAR129, VAR29, VAR161, VAR88, VAR107, VAR81, VAR71, VAR52, VAR206, VAR178; wire [55 : 0] VAR76, VAR143, VAR22; wire [3 : 0] VAR56; wire [1 : 0] VAR190, VAR16; wire VAR133, VAR202, VAR32, VAR159, VAR41, VAR168, VAR8, VAR87, VAR210, VAR90, VAR37, VAR112; assign VAR125 = { VAR16, VAR206, VAR56, VAR65 <= 2'b01 && VAR15[63:60] == 4'd8 && VAR8 && VAR32, VAR178, VAR171[65:0] } ; assign VAR170 = 1'd1 ; assign VAR120 = 1'd1 ; assign VAR152 = 1'd1 ; assign VAR142 = VAR146 ; assign VAR154 = 1'd1 ; assign VAR194 = 1'd1 ; assign VAR2 = VAR174 ; VAR103 #(.VAR203(32'd4), .VAR31(32'd167), .VAR179(4'h0), .VAR11(4'd15)) VAR163(.VAR68(VAR68), .VAR72(VAR95), .VAR187(VAR208), .VAR199(VAR150), .VAR145(VAR204), .VAR18(VAR25), .VAR201(VAR136), .VAR160(VAR124), .VAR36(VAR127), .VAR100(VAR58), .VAR23(), .VAR19(), .VAR34(), .VAR42()); VAR103 #(.VAR203(32'd3), .VAR31(32'd159), .VAR179(3'h0), .VAR11(3'd7)) VAR119(.VAR68(VAR68), .VAR72(VAR24), .VAR187(VAR115), .VAR199(VAR172), .VAR145(VAR181), .VAR18(VAR46), .VAR201(VAR118), .VAR160(VAR6), .VAR36(VAR69), .VAR100(VAR195), .VAR23(), .VAR19(), .VAR34(), .VAR42()); VAR103 #(.VAR203(32'd2), .VAR31(32'd151), .VAR179(2'h0), .VAR11(2'd3)) VAR188(.VAR68(VAR68), .VAR72(VAR55), .VAR187(VAR5), .VAR199(VAR17), .VAR145(VAR167), .VAR18(VAR132), .VAR201(VAR101), .VAR160(VAR144), .VAR36(VAR156), .VAR100(VAR104), .VAR23(), .VAR19(), .VAR34(), .VAR42()); assign VAR162 = VAR174 ; assign VAR164 = VAR174 ; assign VAR105 = !VAR174 ; assign VAR197 = VAR146 && VAR43[3:0] == 4'd0 && VAR67 == 2'd0 || VAR174 ; assign VAR184 = !VAR174 ; assign VAR78 = VAR146 && VAR43[3:0] == 4'd1 && VAR67 == 2'd0 || VAR174 ; assign VAR109 = !VAR174 ; assign VAR40 = VAR146 && VAR43[3:0] == 4'd10 && VAR67 == 2'd0 || VAR174 ; assign VAR73 = !VAR174 ; assign VAR198 = VAR146 && VAR43[3:0] == 4'd11 && VAR67 == 2'd0 || VAR174 ; assign VAR111 = !VAR174 ; assign VAR123 = VAR146 && VAR43[3:0] == 4'd12 && VAR67 == 2'd0 || VAR174 ; assign VAR135 = !VAR174 ; assign VAR86 = VAR146 && VAR43[3:0] == 4'd13 && VAR67 == 2'd0 || VAR174 ; assign VAR94 = !VAR174 ; assign VAR21 = VAR146 && VAR43[3:0] == 4'd14 && VAR67 == 2'd0 || VAR174 ; assign VAR180 = !VAR174 ; assign VAR99 = VAR146 && VAR43[3:0] == 4'd15 && VAR67 == 2'd0 || VAR174 ; assign VAR10 = !VAR174 ; assign VAR39 = VAR146 && VAR43[3:0] == 4'd2 && VAR67 == 2'd0 || VAR174 ; assign VAR141 = !VAR174 ; assign VAR113 = VAR146 && VAR43[3:0] == 4'd3 && VAR67 == 2'd0 || VAR174 ; assign VAR27 = !VAR174 ; assign VAR185 = VAR146 && VAR43[3:0] == 4'd4 && VAR67 == 2'd0 || VAR174 ; assign VAR60 = !VAR174 ; assign VAR151 = VAR146 && VAR43[3:0] == 4'd5 && VAR67 == 2'd0 || VAR174 ; assign VAR177 = !VAR174 ; assign VAR169 = VAR146 && VAR43[3:0] == 4'd6 && VAR67 == 2'd0 || VAR174 ; assign VAR134 = !VAR174 ; assign VAR75 = VAR146 && VAR43[3:0] == 4'd7 && VAR67 == 2'd0 || VAR174 ; assign VAR89 = !VAR174 ; assign VAR12 = VAR146 && VAR43[3:0] == 4'd8 && VAR67 == 2'd0 || VAR174 ; assign VAR47 = !VAR174 ; assign VAR110 = VAR146 && VAR43[3:0] == 4'd9 && VAR67 == 2'd0 || VAR174 ; assign VAR191 = !VAR174 ; assign VAR7 = VAR146 && VAR43[11:9] == 3'd0 && VAR67 == 2'd1 || VAR174 ; assign VAR176 = !VAR174 ; assign VAR82 = VAR146 && VAR43[11:9] == 3'd1 && VAR67 == 2'd1 || VAR174 ; assign VAR77 = !VAR174 ; assign VAR62 = VAR146 && VAR43[11:9] == 3'd2 && VAR67 == 2'd1 || VAR174 ; assign VAR84 = !VAR174 ; assign VAR28 = VAR146 && VAR43[11:9] == 3'd3 && VAR67 == 2'd1 || VAR174 ; assign VAR30 = !VAR174 ; assign VAR114 = VAR146 && VAR43[11:9] == 3'd4 && VAR67 == 2'd1 || VAR174 ; assign VAR93 = !VAR174 ; assign VAR35 = VAR146 && VAR43[11:9] == 3'd5 && VAR67 == 2'd1 || VAR174 ; assign VAR26 = !VAR174 ; assign VAR130 = VAR146 && VAR43[11:9] == 3'd6 && VAR67 == 2'd1 || VAR174 ; assign VAR102 = !VAR174 ; assign VAR1 = VAR146 && VAR43[11:9] == 3'd7 && VAR67 == 2'd1 || VAR174 ; assign VAR44 = !VAR174 ; assign VAR14 = VAR146 && VAR43[19:18] == 2'd0 && VAR67 != 2'd0 && VAR67 != 2'd1 || VAR174 ; assign VAR192 = !VAR174 ; assign VAR9 = VAR146 && VAR43[19:18] == 2'd1 && VAR67 != 2'd0 && VAR67 != 2'd1 || VAR174 ; assign VAR140 = !VAR174 ; assign VAR38 = VAR146 && VAR43[19:18] == 2'd2 && VAR67 != 2'd0 && VAR67 != 2'd1 || VAR174 ; assign VAR122 = !VAR174 ; assign VAR183 = VAR146 && VAR43[19:18] == 2'd3 && VAR67 != 2'd0 && VAR67 != 2'd1 || VAR174 ; assign VAR95 = VAR108[15:12] ; assign VAR208 = 4'h0 ; assign VAR150 = 4'h0 ; assign VAR204 = 4'h0 ; assign VAR25 = 4'h0 ; assign VAR136 = VAR43[3:0] ; assign VAR124 = { VAR126, VAR43[26:4], VAR212, VAR85 } ; assign VAR127 = VAR146 && VAR67 == 2'd0 ; assign VAR24 = VAR108[23:21] ; assign VAR115 = 3'h0 ; assign VAR172 = 3'h0 ; assign VAR181 = 3'h0 ; assign VAR46 = 3'h0 ; assign VAR118 = VAR43[11:9] ; assign VAR6 = { VAR126, VAR43[26:12], VAR212, VAR85 } ; assign VAR69 = VAR146 && VAR67 == 2'd1 ; assign VAR55 = VAR108[31:30] ; assign VAR5 = 2'h0 ; assign VAR17 = 2'h0 ; assign VAR167 = 2'h0 ; assign VAR132 = 2'h0 ; assign VAR101 = VAR43[19:18] ; assign VAR144 = { VAR126, VAR43[26:20], VAR212, VAR85 } ; assign VAR156 = VAR146 && VAR67 != 2'd0 && VAR67 != 2'd1 ; assign VAR133 = VAR171[67] | VAR112 ; assign VAR171 = { VAR71, 2'd0, VAR52 } | VAR196 | VAR166 ; assign VAR196 = (VAR87 && VAR195[142:128] == VAR108[38:24]) ? { VAR195[127:64], 2'd1, VAR195[63:0] } : 130'd0 ; assign VAR166 = (VAR210 && VAR104[134:128] == VAR108[38:32]) ? { VAR104[127:64], 2'd2, VAR104[63:0] } : 130'd0 ; assign VAR190 = (VAR37 || !VAR171[72] || !VAR48 && !VAR171[73]) ? 2'd2 : 2'd0 ; assign VAR16 = (VAR65 <= 2'b01 && VAR15[63:60] == 4'd8) ? (VAR8 ? VAR190 : 2'd1) : 2'd0 ; assign VAR202 = !VAR213 && VAR48 && VAR171[69] || VAR213 && VAR48 && VAR133 || VAR213 && !VAR48 && VAR171[68] ; assign VAR32 = (VAR65 != 2'b0 || VAR171[70]) && (VAR65 != 2'b01 || !VAR171[70] || VAR74) && VAR202 && VAR171[72] && VAR171[73] && !VAR81[7] && !VAR48 ; assign VAR159 = VAR59 > 3'd1 ; assign VAR41 = VAR207 && (VAR58[166:151] == VAR15[59:44] || VAR58[69]) ; assign VAR168 = (VAR41 && VAR58[150:128] == VAR108[38:16]) | (VAR87 && VAR195[142:128] == VAR108[38:24]) ; assign VAR8 = VAR168 | (VAR210 && VAR104[134:128] == VAR108[38:32]) ; assign VAR87 = VAR147 && (VAR195[158:143] == VAR15[59:44] || VAR195[69]) ; assign VAR210 = VAR155 && (VAR104[150:135] == VAR15[59:44] || VAR104[69]) ; assign VAR51 = VAR8 ? VAR13 : VAR108 ; assign VAR13 = (VAR37 || !VAR171[72] || !VAR48 && !VAR171[73]) ? VAR108 : VAR214 ; assign VAR165 = VAR8 ? VAR116 : VAR171[129:66] ; assign VAR116 = (VAR37 || !VAR171[72] || !VAR48 && !VAR171[73]) ? VAR171[129:66] : VAR200 ; assign VAR200 = (!VAR81[7] && !VAR48) ? VAR107 : VAR81 ; assign VAR90 = (VAR213 || !VAR48 || !VAR171[69]) && (!VAR213 || !VAR48 || !VAR133) && (!VAR213 || VAR48 || !VAR171[68]) ; assign VAR56 = VAR213 ? (VAR48 ? 4'd13 : 4'd15) : 4'd12 ; assign VAR37 = VAR65 == 2'b0 && !VAR171[70] || VAR65 == 2'b01 && VAR171[70] && !VAR74 || VAR90 ; assign VAR129 = { 8'd0, VAR76 } ; assign VAR29 = { 8'd0, VAR143 } ; assign VAR161 = { 8'd0, VAR22 } ; assign VAR88 = { VAR171[129:73], 1'd1, VAR171[71:66] } ; assign VAR107 = { VAR81[63:8], 1'd1, VAR81[6:0] } ; assign VAR81 = VAR171[72] ? VAR171[129:66] : VAR88 ; assign VAR71 = (VAR41 && VAR58[150:128] == VAR108[38:16]) ? VAR58[127:64] : 64'd0 ; assign VAR52 = (VAR41 && VAR58[150:128] == VAR108[38:16]) ? VAR58[63:0] : 64'd0 ; assign VAR206 = (VAR65 <= 2'b01 && VAR15[63:60] == 4'd8) ? VAR51 : VAR108 ; assign VAR76 = { VAR171[119:76], VAR108[11:0] } ; assign VAR143 = { VAR171[119:85], VAR108[20:0] } ; assign VAR22 = { VAR171[119:94], VAR108[29:0] } ; assign VAR178 = (VAR65 <= 2'b01 && VAR15[63:60] == 4'd8) ? VAR165 : VAR171[129:66] ; assign VAR112 = VAR98 & VAR171[69] ; always@(VAR108 or VAR182 or VAR4 or VAR70 or VAR106 or VAR50 or VAR153 or VAR138 or VAR92 or VAR157 or VAR63 or VAR209 or VAR175 or VAR3 or VAR139 or VAR121 or VAR173) begin case (VAR108[15:12]) 4'd0: VAR207 = VAR182; 4'd1: VAR207 = VAR4; 4'd2: VAR207 = VAR70; 4'd3: VAR207 = VAR106; 4'd4: VAR207 = VAR50; 4'd5: VAR207 = VAR153; 4'd6: VAR207 = VAR138; 4'd7: VAR207 = VAR92; 4'd8: VAR207 = VAR157; 4'd9: VAR207 = VAR63; 4'd10: VAR207 = VAR209; 4'd11: VAR207 = VAR175; 4'd12: VAR207 = VAR3; 4'd13: VAR207 = VAR139; 4'd14: VAR207 = VAR121; 4'd15: VAR207 = VAR173; endcase end always@(VAR108 or VAR189 or VAR128 or VAR158 or VAR186 or VAR49 or VAR80 or VAR54 or VAR45) begin case (VAR108[23:21]) 3'd0: VAR147 = VAR189; 3'd1: VAR147 = VAR128; 3'd2: VAR147 = VAR158; 3'd3: VAR147 = VAR186; 3'd4: VAR147 = VAR49; 3'd5: VAR147 = VAR80; 3'd6: VAR147 = VAR54; 3'd7: VAR147 = VAR45; endcase end always@(VAR108 or VAR33 or VAR91 or VAR20 or VAR66) begin case (VAR108[31:30]) 2'd0: VAR155 = VAR33; 2'd1: VAR155 = VAR91; 2'd2: VAR155 = VAR20; 2'd3: VAR155 = VAR66; endcase end always@(VAR171 or VAR108 or VAR129 or VAR29 or VAR161) begin case (VAR171[65:64]) 2'd0: VAR214 = VAR129; 2'd1: VAR214 = VAR29; 2'd2: VAR214 = VAR161; 2'd3: VAR214 = VAR108; endcase end always@(posedge VAR68) begin if (VAR197) VAR182 <= VAR64 VAR105; if (VAR78) VAR4 <= VAR64 VAR184; if (VAR40) VAR209 <= VAR64 VAR109; if (VAR198) VAR175 <= VAR64 VAR73; if (VAR123) VAR3 <= VAR64 VAR111; if (VAR86) VAR139 <= VAR64 VAR135; if (VAR21) VAR121 <= VAR64 VAR94; if (VAR99) VAR173 <= VAR64 VAR180; if (VAR39) VAR70 <= VAR64 VAR10; if (VAR113) VAR106 <= VAR64 VAR141; if (VAR185) VAR50 <= VAR64 VAR27; if (VAR151) VAR153 <= VAR64 VAR60; if (VAR169) VAR138 <= VAR64 VAR177; if (VAR75) VAR92 <= VAR64 VAR134; if (VAR12) VAR157 <= VAR64 VAR89; if (VAR110) VAR63 <= VAR64 VAR47; if (VAR7) VAR189 <= VAR64 VAR191; if (VAR82) VAR128 <= VAR64 VAR176; if (VAR62) VAR158 <= VAR64 VAR77; if (VAR28) VAR186 <= VAR64 VAR84; if (VAR114) VAR49 <= VAR64 VAR30; if (VAR35) VAR80 <= VAR64 VAR93; if (VAR130) VAR54 <= VAR64 VAR26; if (VAR1) VAR45 <= VAR64 VAR102; if (VAR14) VAR33 <= VAR64 VAR44; if (VAR9) VAR91 <= VAR64 VAR192; if (VAR38) VAR20 <= VAR64 VAR140; if (VAR183) VAR66 <= VAR64 VAR122; end begin VAR182 = 1'h0; VAR4 = 1'h0; VAR209 = 1'h0; VAR175 = 1'h0; VAR3 = 1'h0; VAR139 = 1'h0; VAR121 = 1'h0; VAR173 = 1'h0; VAR70 = 1'h0; VAR106 = 1'h0; VAR50 = 1'h0; VAR153 = 1'h0; VAR138 = 1'h0; VAR92 = 1'h0; VAR157 = 1'h0; VAR63 = 1'h0; VAR189 = 1'h0; VAR128 = 1'h0; VAR158 = 1'h0; VAR186 = 1'h0; VAR49 = 1'h0; VAR80 = 1'h0; VAR54 = 1'h0; VAR45 = 1'h0; VAR33 = 1'h0; VAR91 = 1'h0; VAR20 = 1'h0; VAR66 = 1'h0; end always@(negedge VAR68) begin if (VAR146 && VAR159) begin VAR137 = VAR96; end VAR53 = VAR137 / 32'd10; if (VAR146 && VAR159) VAR131("%0d: %VAR193.VAR79: VAR205 0x%0h VAR97 0x%0h VAR61 0x%0h VAR57 %0d VAR149 0x%0h", VAR53, VAR126, VAR43, VAR212, VAR67, VAR85); if (VAR117 != VAR211) if (VAR174 && VAR159) begin VAR148 = VAR96; end VAR83 = VAR148 / 32'd10; if (VAR117 != VAR211) if (VAR174 && VAR159) end endmodule
apache-2.0
osrf/wandrr
firmware/motor_controller/fpga/udp_inbound_chain_writer.v
8,394
module MODULE1 (input VAR46, input [7:0] VAR75, input VAR57, input VAR95, input VAR18, input [7:0] VAR26, input VAR6, input VAR97, input VAR79, input [7:0] VAR87, input VAR4, output VAR9, output [1:0] VAR84); wire [4:0] VAR16; wire VAR67; VAR35 VAR59 (.VAR80(VAR46), .rst(~VAR57 & ~VAR95 & VAR16 < 5'h2), .en(VAR95 & VAR16 >= 5'h2), .VAR28(1'b1), .VAR65(VAR67)); wire VAR85, VAR77; wire [8:0] VAR22; VAR83 #(.VAR29(9), .VAR54(4096), .VAR24(12), .VAR14("VAR10"), .VAR91("VAR10"), .VAR13("VAR41 VAR81")) VAR93 (.VAR100(VAR46), .VAR51(~VAR67 & VAR57), .VAR69({VAR95, VAR75}), .VAR27(VAR18), .VAR55(VAR85), .VAR65(VAR22), .VAR17(VAR77), .VAR20(1'b0)); wire VAR49; VAR12 VAR89(.VAR80(VAR46), .VAR28(VAR95), .VAR65(VAR49)); wire [15:0] VAR32, VAR3; wire VAR64, VAR11; VAR35 #(16) VAR58 (.VAR80(VAR46), .rst(VAR49), .en(VAR57), .VAR28(VAR3+1'b1), .VAR65(VAR3)); VAR83 #(.VAR29(16), .VAR54(30), .VAR24(5), .VAR14("VAR10"), .VAR91("VAR10"), .VAR13("VAR41 VAR81")) VAR8 (.VAR100(VAR46), .VAR51(~VAR67 & VAR95), .VAR69(VAR3+1'b1), .VAR70(VAR16), .VAR27(VAR18), .VAR55(VAR64), .VAR65(VAR32), .VAR17(VAR11), .VAR20(1'b0)); localparam VAR2 = 4, VAR96 = 4; localparam VAR82 = 4'h0; localparam VAR31 = 4'h1; localparam VAR44 = 4'h2; localparam VAR94 = 4'h3; localparam VAR36 = 4'h4; localparam VAR48 = 4'h5; localparam VAR68 = 4'h6; localparam VAR38 = 4'h7; localparam VAR92 = 4'h8; localparam VAR62 = 4'h9; localparam VAR76 = 4'ha; localparam VAR23 = 4'hb; localparam VAR5 = 4'hc; localparam VAR90 = 4'hd; localparam VAR66 = 4'he; localparam VAR53 = 4'hf; wire [23:0] VAR78; VAR35 #(24) VAR21 (.VAR80(VAR18), .en(1'b1), .rst(VAR6), .VAR28(VAR78+1'b1), .VAR65(VAR78)); wire VAR50 = VAR78 == 24'hffffff; reg [VAR96+VAR2-1:0] VAR33; wire [VAR2-1:0] state; wire [VAR2-1:0] VAR101 = VAR33[VAR2+VAR96-1:VAR96]; VAR35 #(VAR2) VAR25 (.VAR80(VAR18), .rst(VAR50), .en(1'b1), .VAR28(VAR101), .VAR65(state)); wire VAR15 = state == VAR82; wire [15:0] VAR98; VAR35 #(16) VAR88 (.VAR80(VAR18), .en(1'b1), .rst(VAR33[0]), .VAR28(VAR98+1'b1), .VAR65(VAR98)); wire VAR72 = (~VAR15 & VAR98[1:0] == 2'h0); localparam VAR34 = 8; wire [8*VAR34-1:0] VAR71; VAR35 #(8*VAR34, 64'h55555555555555d5) VAR52 (.VAR80(VAR18), .rst(VAR15 & ~VAR6), .en(VAR6), .VAR28({VAR71[8*(VAR34-1)-1:0], VAR26}), .VAR65(VAR71)); wire [7:0] VAR19 = VAR71[8*VAR34-1:8*(VAR34-1)]; wire [7:0] VAR1; VAR35 #(8) VAR99(.VAR80(VAR18), .rst(VAR33[1]), .en(1'b1), .VAR28(VAR1+1'b1), .VAR65(VAR1)); wire [5:0] VAR74; VAR35 #(6) VAR63 (.VAR80(VAR18), .rst(VAR15), .en(VAR6), .VAR28({VAR74[4:0], VAR4}), .VAR65(VAR74)); always @* begin case (state) VAR82: if (VAR6) VAR33 = { VAR31 , 4'b0000 }; end else VAR33 = { VAR82 , 4'b0001 }; VAR31: if (VAR98 == 16'd31) VAR33 = { VAR44 , 4'b0000 }; else VAR33 = { VAR31 , 4'b0000 }; VAR44: if (VAR79) VAR33 = { VAR94, 4'b0000 }; else if (VAR97) VAR33 = { VAR53 , 4'b0000 }; else VAR33 = { VAR44 , 4'b0000 }; VAR94: if (VAR98 == 16'hcc) VAR33 = { VAR36 , 4'b0000 }; else VAR33 = { VAR94, 4'b0000 }; VAR36: if (VAR98 == 16'hd0) VAR33 = { VAR48 , 4'b0000 }; else VAR33 = { VAR36 , 4'b0000 }; VAR48: if (VAR74[5]) if (VAR11) VAR33 = { VAR5 , 4'b0000 }; else VAR33 = { VAR68 , 4'b0000 }; else VAR33 = { VAR48 , 4'b0000 }; VAR68: if (VAR72) VAR33 = { VAR38 , 4'b0000 }; else VAR33 = { VAR68 , 4'b0000 }; VAR38: if (VAR72) VAR33 = { VAR92 , 4'b0000 }; else VAR33 = { VAR38 , 4'b0000 }; VAR92: if (VAR72) VAR33 = { VAR62 , 4'b0000 }; else VAR33 = { VAR92 , 4'b0000 }; VAR62: if (VAR72) VAR33 = { VAR76 , 4'b0100 }; else VAR33 = { VAR62 , 4'b0000 }; VAR76: if (VAR72) if (VAR22[8]) if (VAR11) VAR33 = { VAR5 , 4'b1000 }; else VAR33 = { VAR68 , 4'b1000 }; else VAR33 = { VAR76 , 4'b1000 }; else VAR33 = { VAR76 , 4'b0000 }; VAR5: if (VAR97) VAR33 = { VAR90 , 4'b0010 }; else VAR33 = { VAR5 , 4'b0000 }; VAR90: if (VAR1 == 16'hf) VAR33 = { VAR66 , 4'b0010 }; else VAR33 = { VAR90 , 4'b0000 }; VAR66: if (VAR1 == 16'h12) VAR33 = { VAR82 , 4'b0000 }; else VAR33 = { VAR66 , 4'b0000 }; default: VAR33 = { VAR82 , 4'b0000 }; endcase end assign VAR64 = VAR33[2]; assign VAR85 = VAR33[3]; reg [7:0] VAR43; wire VAR7 = VAR72 & state > VAR31 & state != VAR66; wire [31:0] VAR60; VAR39 VAR37 (.VAR80(VAR18), .VAR35(VAR15), .VAR42(VAR7), .VAR28(VAR43), .VAR86(VAR60)); wire [31:0] VAR61; VAR35 #(32) VAR30 (.VAR80(VAR18), .rst(VAR15), .en(VAR72), .VAR28(state == VAR66 ? { VAR61[23:0], 8'h0 } : VAR60), .VAR65(VAR61)); always @* begin case (state) VAR36: VAR43 = VAR87; VAR68: VAR43 = VAR87; VAR38: VAR43 = 8'h0; VAR92: VAR43 = VAR32[7:0]; VAR62: VAR43 = VAR32[15:8]; VAR76: VAR43 = VAR22[7:0]; VAR66: VAR43 = VAR61[31:24]; default: VAR43 = VAR19; endcase end wire VAR56 = ~VAR15; wire [7:0] VAR45; VAR35 #(8) VAR40 (.VAR80(VAR18), .rst(1'b0), .en(1'b1), .VAR28(VAR15 | VAR72 ? VAR43 : { 2'h0, VAR45[7:2] }), .VAR65(VAR45)); wire [1:0] VAR47 = VAR45[1:0]; VAR35 #(3) VAR73 (.VAR80(VAR18), .rst(1'b0), .en(1'b1), .VAR28({VAR56, VAR47}), .VAR65({VAR9, VAR84})); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and3b/sky130_fd_sc_lp__and3b_1.v
2,218
module MODULE2 ( VAR6 , VAR8 , VAR5 , VAR2 , VAR4, VAR10, VAR3 , VAR1 ); output VAR6 ; input VAR8 ; input VAR5 ; input VAR2 ; input VAR4; input VAR10; input VAR3 ; input VAR1 ; VAR7 VAR9 ( .VAR6(VAR6), .VAR8(VAR8), .VAR5(VAR5), .VAR2(VAR2), .VAR4(VAR4), .VAR10(VAR10), .VAR3(VAR3), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR6 , VAR8, VAR5 , VAR2 ); output VAR6 ; input VAR8; input VAR5 ; input VAR2 ; supply1 VAR4; supply0 VAR10; supply1 VAR3 ; supply0 VAR1 ; VAR7 VAR9 ( .VAR6(VAR6), .VAR8(VAR8), .VAR5(VAR5), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a31oi/sky130_fd_sc_lp__a31oi_0.v
2,350
module MODULE1 ( VAR10 , VAR4 , VAR6 , VAR8 , VAR2 , VAR3, VAR5, VAR1 , VAR9 ); output VAR10 ; input VAR4 ; input VAR6 ; input VAR8 ; input VAR2 ; input VAR3; input VAR5; input VAR1 ; input VAR9 ; VAR7 VAR11 ( .VAR10(VAR10), .VAR4(VAR4), .VAR6(VAR6), .VAR8(VAR8), .VAR2(VAR2), .VAR3(VAR3), .VAR5(VAR5), .VAR1(VAR1), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR10 , VAR4, VAR6, VAR8, VAR2 ); output VAR10 ; input VAR4; input VAR6; input VAR8; input VAR2; supply1 VAR3; supply0 VAR5; supply1 VAR1 ; supply0 VAR9 ; VAR7 VAR11 ( .VAR10(VAR10), .VAR4(VAR4), .VAR6(VAR6), .VAR8(VAR8), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
models/udp_dff_pr_pp_pg_n/sky130_fd_sc_hdll__udp_dff_pr_pp_pg_n.blackbox.v
1,445
module MODULE1 ( VAR5 , VAR2 , VAR1 , VAR6 , VAR7, VAR4 , VAR3 ); output VAR5 ; input VAR2 ; input VAR1 ; input VAR6 ; input VAR7; input VAR4 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or4b/sky130_fd_sc_lp__or4b.functional.v
1,402
module MODULE1 ( VAR1 , VAR6 , VAR8 , VAR2 , VAR4 ); output VAR1 ; input VAR6 ; input VAR8 ; input VAR2 ; input VAR4; wire VAR5 ; wire VAR3; not VAR7 (VAR5 , VAR4 ); or VAR10 (VAR3, VAR5, VAR2, VAR8, VAR6); buf VAR9 (VAR1 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfbbp/sky130_fd_sc_hd__sdfbbp.blackbox.v
1,532
module MODULE1 ( VAR2 , VAR4 , VAR6 , VAR1 , VAR7 , VAR10 , VAR12 , VAR5 ); output VAR2 ; output VAR4 ; input VAR6 ; input VAR1 ; input VAR7 ; input VAR10 ; input VAR12 ; input VAR5; supply1 VAR9; supply0 VAR3; supply1 VAR11 ; supply0 VAR8 ; endmodule
apache-2.0
alanachtenberg/CSCE-350
Project 2/Control.v
3,010
module MODULE1(VAR7, VAR13, VAR8, VAR12, VAR15, VAR1, VAR11, VAR5, VAR9, VAR10, VAR3); input [5:0] VAR3; output VAR7; output VAR13; output VAR8; output VAR12; output VAR15; output VAR1; output VAR11; output VAR5; output VAR9; output [3:0] VAR10; reg VAR7, VAR13, VAR8, VAR12, VAR15, VAR1, VAR11, VAR5, VAR9; reg [3:0] VAR10; always @ (VAR3) begin case(VAR3) 6'b000000: begin VAR7 <= 1; VAR13 <= 0; VAR8 <= 0; VAR12 <= 1; VAR15 <= 0; VAR1 <= 0; VAR11 <= 0; VAR5 <= 0; VAR9 <= 1'VAR2; VAR10 <= 4'b1111; end VAR7 <= 0; VAR13 <= 1; VAR8 <= 0; VAR12 <= 1; VAR15 <= 0; VAR1 <= 0; VAR11 <= 0; VAR5 <= 0; VAR9 <= 1; VAR10 <= VAR14; end VAR7 <= 0; VAR13 <= 1; VAR8 <= 0; VAR12 <= 1; VAR15 <= 0; VAR1 <= 0; VAR11 <= 0; VAR5 <= 0; VAR9 <= 1; VAR10 <= VAR6; end VAR7 <= 1'VAR2; VAR13 <= 1'VAR2; VAR8 <= 1'VAR2; VAR12 <= 1'VAR2; VAR15 <= 1'VAR2; VAR1 <= 1'VAR2; VAR11 <= 1'VAR2; VAR5 <= 1'VAR2; VAR9 <= 1'VAR2; VAR10 <= VAR4; end endcase end endmodule
gpl-2.0
defano/digital-design
seven-segment-counter/rtl/displaydriver.v
2,379
module MODULE1 ( clk, reset, VAR2, VAR3, VAR5, VAR7, VAR6, VAR8 ); input clk; input reset; input [6:0] VAR2; input [6:0] VAR3; input [6:0] VAR5; input [6:0] VAR7; output [6:0] VAR6; output [3:0] VAR8; reg [7:0] VAR4; reg [1:0] VAR1; assign VAR6 = (VAR1 == 2'd0) ? ~VAR7 : (VAR1 == 2'd1) ? ~VAR5 : (VAR1 == 2'd2) ? ~VAR3 : ~VAR2; assign enable = !(VAR4 > 8'd64 && VAR4 < 8'd192); assign VAR8 = enable ? 4'b1111 : (VAR1 == 2'd0) ? 4'b1110 : (VAR1 == 2'd1) ? 4'b1101 : (VAR1 == 2'd2) ? 4'b1011 : 4'b0111; always@ (posedge clk or negedge reset) if (!reset) VAR4 <= 8'h00; else VAR4 <= VAR4 + 8'h01; always@ (posedge clk or negedge reset) if (!reset) VAR1 <= 2'd0; else if (VAR4 == 8'hff) VAR1 <= VAR1 + 2'd1; endmodule
mit
keith-epidev/VHDL-lib
top/stereo_radio/ip/multi_fft/multi_fft_stub.v
1,206
module MODULE1(VAR1, VAR4, VAR2, VAR3) ; input VAR1; input [28:0]VAR4; input [28:0]VAR2; output [57:0]VAR3; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/buf/sky130_fd_sc_lp__buf.behavioral.v
1,319
module MODULE1 ( VAR4, VAR7 ); output VAR4; input VAR7; supply1 VAR1; supply0 VAR6; supply1 VAR5 ; supply0 VAR8 ; wire VAR9; buf VAR2 (VAR9, VAR7 ); buf VAR3 (VAR4 , VAR9 ); endmodule
apache-2.0
kDaniu/miaow
src/verilog/rtl/issue/finished_wf.v
4,034
module MODULE1 ( VAR34, VAR5, VAR52, clk, rst, VAR29, VAR39, VAR22, VAR17, VAR8, VAR11, VAR37, VAR26, VAR51, VAR49, VAR33, VAR13 ); input clk,rst; input [VAR53-1:0] VAR29, VAR39, VAR22, VAR17, VAR8; input VAR11, VAR37, VAR26, VAR51, VAR49, VAR33; input [VAR44-1:0] VAR13; output VAR34; output [VAR53-1:0] VAR5; output [VAR44-1:0] VAR52; wire VAR2; wire [VAR44-1:0] VAR15, VAR23, VAR4; wire [VAR44-1:0] VAR7, VAR56, VAR36, VAR1; wire [VAR44-1:0] VAR35; wire [VAR44-1:0] VAR12, VAR25, VAR9; wire [VAR44-1:0] VAR10; VAR3 VAR43 ( .VAR48(VAR39), .out(VAR15), .en(VAR51) ); VAR3 VAR42 ( .VAR48(VAR22), .out(VAR23), .en(VAR26) ); VAR3 VAR50 ( .VAR48(VAR8), .out(VAR4), .en(VAR33) ); VAR3 VAR18 ( .VAR48(VAR17), .out(VAR10), .en(VAR49) ); VAR3 VAR19 ( .VAR48(VAR29), .out(VAR7), .en(VAR2) ); VAR3 VAR55 ( .VAR48(VAR29), .out(VAR56), .en(VAR11) ); VAR3 VAR46 ( .VAR48(VAR5), .out(VAR36), .en(VAR34) ); VAR31 VAR24[VAR44-1:0] ( .VAR28(VAR12), .VAR27(VAR25), .en(VAR9), .clk(clk), .rst(rst) ); VAR45 VAR41 ( .VAR47(VAR34), .VAR30(VAR5), .VAR20(VAR35), .VAR32(VAR34), .VAR16(VAR5), .clk(clk), .rst(rst) ); VAR6 VAR57[VAR44-1:0] ( .clk(clk), .rst(rst), .VAR38(VAR23), .VAR14(VAR4), .VAR21(VAR15), .VAR47(VAR10), .VAR54(VAR1), .VAR40(VAR52) ); assign VAR2 = VAR11 && VAR37; assign VAR35 = VAR12 & VAR1 & ~VAR13; assign VAR25 = VAR7 | (~VAR36 & VAR12); assign VAR9 = VAR56 | VAR36; endmodule
bsd-3-clause
scalable-networks/ext
uhd/fpga/usrp2/control_lib/ram_harv_cache.v
3,133
module MODULE1 (input VAR50, input VAR26, input [VAR45-1:0] VAR40, input [31:0] VAR7, input VAR54, input [3:0] VAR23, input VAR30, output VAR58, input VAR24, input [VAR45-1:0] VAR5, input VAR1, output [31:0] VAR21, output VAR35, input [VAR45-1:0] VAR61, input [31:0] VAR2, output [31:0] VAR28, input VAR18, output VAR3, input VAR4, input [3:0] VAR22, input VAR51 ); wire [31:0] VAR37, VAR8, VAR47; wire [VAR45-1:0] VAR31, VAR10; wire VAR38, VAR59, VAR25; wire [3:0] VAR36; VAR32 #(.VAR45(VAR45),.VAR17(VAR17)) VAR43 (.clk(VAR50), .VAR6(VAR24 ? VAR31 : VAR40), .VAR33(VAR7), .VAR49(VAR37), .VAR57(VAR24 ? 1'b0 : VAR30), .VAR14(VAR24 ? VAR38 : VAR54), .VAR60(VAR24 ? 4'hF : VAR23), .VAR27(VAR10),.VAR20(VAR8),.VAR56(VAR47), .VAR11(VAR25),.VAR41(VAR59),.VAR39(VAR36) ); VAR9 #(.VAR45(VAR45),.VAR42(VAR46)) VAR9(.VAR50(VAR50),.VAR26(VAR26), .VAR61(VAR61),.VAR4(VAR4), .VAR18(VAR18),.VAR22(VAR22), .VAR2(VAR2),.VAR28(VAR28), .VAR3(VAR3), .VAR8(VAR47),.VAR47(VAR8),.VAR29(VAR10), .VAR34(VAR25),.VAR44(VAR59), .VAR52(VAR36) ); VAR12 #(.VAR45(VAR45),.VAR42(VAR48)) VAR12(.VAR50(VAR50),.VAR26(VAR26), .VAR5(VAR5),.VAR1(VAR1), .VAR21(VAR21),.VAR35(VAR35), .VAR53(VAR37),.VAR19(VAR31),.VAR15(VAR38), .VAR16(VAR51)); assign VAR58 = VAR54; wire VAR13 = VAR1 & ~VAR35; wire VAR55 = VAR4 & ~VAR3; endmodule
gpl-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/tq/premuat1_4.v
1,064
module MODULE1( VAR4, VAR1, VAR3, VAR2, o0, o1, o2, o3 ); input signed [18:0] VAR4; input signed [18:0] VAR1; input signed [18:0] VAR3; input signed [18:0] VAR2; output signed [18:0] o0; output signed [18:0] o1; output signed [18:0] o2; output signed [18:0] o3; assign o0=VAR4; assign o1=VAR3; assign o2=VAR1; assign o3=VAR2; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlygate4sd1/sky130_fd_sc_ms__dlygate4sd1.pp.symbol.v
1,322
module MODULE1 ( input VAR3 , output VAR4 , input VAR5 , input VAR6, input VAR1, input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkdlyinv3sd3/sky130_fd_sc_hs__clkdlyinv3sd3.behavioral.pp.v
1,768
module MODULE1 ( VAR9 , VAR1 , VAR2, VAR10 ); output VAR9 ; input VAR1 ; input VAR2; input VAR10; wire VAR3 ; wire VAR4; not VAR5 (VAR3 , VAR1 ); VAR8 VAR6 (VAR4, VAR3, VAR2, VAR10); buf VAR7 (VAR9 , VAR4 ); endmodule
apache-2.0
monotone-RK/FACE
IEICE-Trans/data_compression/16-way_2-tree/src/ip_pcie/source/PCIeGen2x8If128_pipe_rate.v
46,133
module MODULE1 # ( parameter VAR19 = "VAR77", parameter VAR16 = "VAR49", parameter VAR35 = "3.0", parameter VAR83 = "VAR47", parameter VAR18 = "VAR135", parameter VAR43 = "VAR77", parameter VAR40 = "VAR77", parameter VAR97 = "VAR135", parameter VAR48 = 4'd15 ) ( input VAR90, input VAR21, input VAR108, input VAR30, input [ 1:0] VAR50, input VAR61, input VAR15, input VAR85, input VAR134, input VAR132, input VAR87, input VAR72, input VAR117, input VAR106, input VAR52, input VAR45, input VAR17, input VAR7, output VAR20, output VAR53, output VAR75, output VAR24, output VAR71, output VAR36, output VAR74, output [ 1:0] VAR4, output VAR68, output VAR124, output VAR107, output VAR27, output [ 2:0] VAR122, output VAR80, output VAR54, output VAR110, output VAR32, output VAR121, output VAR69, output [ 4:0] VAR88 ); reg VAR62; reg [ 1:0] VAR59; reg VAR96; reg VAR109; reg VAR57; reg VAR100; reg VAR70; reg VAR3; reg VAR118; reg VAR125; reg VAR127; reg VAR102; reg VAR114; reg VAR37; reg VAR44; reg VAR92; reg [ 1:0] VAR73; reg VAR63; reg VAR99; reg VAR104; reg VAR64; reg VAR103; reg VAR76; reg VAR23; reg VAR8; reg VAR116; reg VAR1; reg VAR66; reg VAR42; reg VAR98; wire VAR51; wire [ 2:0] VAR81; reg [ 3:0] VAR2 = 4'd0; reg VAR31 = 1'd0; reg VAR129 = 1'd0; reg VAR65 = 1'd0; reg VAR123 = 1'd0; reg VAR115 = 1'd0; reg VAR78 = 1'd0; reg VAR28 = 1'd0; reg VAR133 = 1'd0; reg VAR113 = 1'd0; reg VAR14 = 1'd0; reg VAR5 = 1'd0; reg [ 1:0] VAR82 = (VAR83 == "VAR33") ? 2'd1 : 2'd0; reg VAR46 = 1'd0; reg VAR89 = 1'd0; reg [ 2:0] VAR6 = 3'd0; reg VAR10 = 1'd0; reg VAR9 = 1'd0; reg VAR26 = 1'd0; reg [4:0] fsm = 0; localparam VAR34 = 0; localparam VAR22 = 1; localparam VAR11 = 2; localparam VAR131 = 3; localparam VAR105 = 4; localparam VAR112 = 5; localparam VAR120 = 6; localparam VAR79 = 7; localparam VAR130 = 8; localparam VAR25 = 9; localparam VAR94 = 10; localparam VAR91 = 11; localparam VAR55 = 12; localparam VAR56 = 13; localparam VAR84 = 14; localparam VAR111 = 15; localparam VAR39 = 16; localparam VAR13 = 17; localparam VAR128 = 18; localparam VAR67 = 19; localparam VAR101 = 20; localparam VAR126 = 21; localparam VAR12 = 22; localparam VAR29 = 23; localparam VAR86 = 24; localparam VAR95 = 25; localparam VAR41 = 26; localparam VAR38 = 27; localparam VAR93 = 28; localparam VAR119 = 29; localparam VAR58 = 30; always @ (posedge VAR90) begin if (!VAR21) begin VAR62 <= 1'd0; VAR59 <= 2'd0; VAR96 <= 1'd0; VAR109 <= 1'd0; VAR57 <= 1'd0; VAR100 <= 1'd0; VAR70 <= 1'd0; VAR3 <= 1'd0; VAR118 <= 1'd0; VAR125 <= 1'd0; VAR127 <= 1'd0; VAR102 <= 1'd0; VAR114 <= 1'd0; VAR37 <= 1'd0; VAR44 <= 1'd0; VAR92 <= 1'd0; VAR73 <= 2'd0; VAR63 <= 1'd0; VAR99 <= 1'd0; VAR104 <= 1'd0; VAR64 <= 1'd0; VAR103 <= 1'd0; VAR76 <= 1'd0; VAR23 <= 1'd0; VAR8 <= 1'd0; VAR116 <= 1'd0; VAR1 <= 1'd0; VAR66 <= 1'd0; VAR42 <= 1'd0; VAR98 <= 1'd0; end else begin VAR62 <= VAR108; VAR59 <= VAR50; VAR96 <= VAR61; VAR109 <= VAR15; VAR57 <= VAR85; VAR100 <= VAR134; VAR70 <= VAR132; VAR3 <= VAR87; VAR118 <= VAR72; VAR125 <= VAR117; VAR127 <= VAR106; VAR102 <= VAR52; VAR114 <= VAR45; VAR37 <= VAR17; VAR44 <= VAR7; VAR92 <= VAR62; VAR73 <= VAR59; VAR63 <= VAR96; VAR99 <= VAR109; VAR104 <= VAR57; VAR64 <= VAR100; VAR103 <= VAR70; VAR76 <= VAR3; VAR23 <= VAR118; VAR8 <= VAR125; VAR116 <= VAR127; VAR1 <= VAR102; VAR66 <= VAR114; VAR42 <= VAR37; VAR98 <= VAR44; end end assign VAR51 = (VAR73 == 2'd2) || (VAR83 == "VAR33") ? VAR99 : VAR63; assign VAR81 = (VAR73 == 2'd1) && (VAR83 == "VAR33") ? 3'd2 : (VAR73 == 2'd1) && (VAR83 == "VAR47") ? 3'd1 : 3'd0; always @ (posedge VAR90) begin if (!VAR21) VAR2 <= 4'd0; end else if ((fsm == VAR56) && (VAR2 < VAR48)) VAR2 <= VAR2 + 4'd1; else if ((fsm == VAR56) && (VAR2 == VAR48)) VAR2 <= VAR2; else VAR2 <= 4'd0; end always @ (posedge VAR90) begin if (!VAR21) begin VAR31 <= 1'd0; VAR129 <= 1'd0; VAR65 <= 1'd0; VAR123 <= 1'd0; end else begin if (fsm == VAR126) begin if (VAR8) VAR31 <= 1'd1; end else VAR31 <= VAR31; if (VAR116) VAR129 <= 1'd1; end else VAR129 <= VAR129; if (VAR1) VAR65 <= 1'd1; end else VAR65 <= VAR65; if (VAR129 && VAR31 && VAR65) VAR123 <= 1'd1; else VAR123 <= VAR123; end else begin VAR31 <= 1'd0; VAR129 <= 1'd0; VAR65 <= 1'd0; VAR123 <= 1'd0; end end end always @ (posedge VAR90) begin if (!VAR21) begin fsm <= VAR131; VAR115 <= 1'd0; VAR78 <= 1'd0; VAR28 <= 1'd0; VAR133 <= 1'd0; VAR113 <= 1'd0; VAR14 <= 1'd0; VAR5 <= 1'd0; VAR82 <= (VAR83 == "VAR33") ? 2'd1 : 2'd0; VAR89 <= 1'd0; VAR46 <= 1'd0; VAR6 <= 3'd0; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end else begin case (fsm) VAR34 : begin if (VAR73 != VAR59) begin fsm <= ((VAR73 == 2'd2) || (VAR59 == 2'd2)) ? VAR22 : VAR56; VAR115 <= (VAR73 == 2'd2); VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end else begin fsm <= VAR34; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end end VAR22 : begin fsm <= VAR11; VAR115 <= VAR115; VAR78 <= (VAR83 == "VAR33"); VAR28 <= 1'd0; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR11 : begin fsm <= VAR131; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= (VAR83 == "VAR33"); VAR113 <= 1'd0; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR131 : begin fsm <= (VAR51 ? ((!VAR92 || (VAR73 == 2'd1)) ? VAR120 : VAR105) : VAR131); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR105 : begin fsm <= (!VAR64) ? VAR112 : VAR105; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd1; VAR9 <= 1'd1; VAR26 <= 1'd1; end VAR112 : begin fsm <= VAR64 ? VAR120 : VAR112; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd1; VAR26 <= 1'd1; end VAR120 : begin fsm <= VAR79; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= ((VAR73 == 2'd2) || VAR115); VAR5 <= ((VAR73 == 2'd2) || VAR115); VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR79 : begin fsm <= VAR130; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= ((VAR73 == 2'd2) || (VAR83 == "VAR33")) ? 2'd1 : 2'd0; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR130 : begin fsm <= (VAR104 && !VAR103 ? VAR25 : VAR130); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR25: begin fsm <= (!VAR64 ? VAR94 : VAR25); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= ((VAR73 == 2'd1) || (VAR73 == 2'd2)); VAR46 <= (VAR73 == 2'd2); VAR6 <= (((VAR73 == 2'd2) || VAR115) ? VAR81 : VAR6); VAR10 <= 1'd1; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR94 : begin fsm <= ((VAR64 && VAR51) ? (VAR92 ? VAR91 : VAR34): VAR94); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR91 : begin fsm <= VAR55; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= 1'd0; VAR5 <= 1'd0; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR55 : begin fsm <= (((VAR23 && VAR76 && !VAR1) || !VAR30) ? VAR56 : VAR55); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR56 : begin fsm <= (VAR2 == VAR48) ? VAR84 : VAR56; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR84 : begin fsm <= ((VAR16 == "VAR60") && ((VAR73 == 2'd1) || ((!VAR115) && (VAR73 == 2'd0)))) ? VAR111 : VAR13; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= ((VAR73 == 2'd1) || (VAR73 == 2'd2)); VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR111 : begin fsm <= (!VAR64) ? VAR39 : VAR111; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd1; VAR9 <= 1'd1; VAR26 <= 1'd1; end VAR39 : begin fsm <= VAR64 ? VAR13 : VAR39; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd1; VAR26 <= 1'd1; end VAR13 : begin fsm <= ((VAR16 == "VAR60") && ((VAR73 == 2'd1) || ((!VAR115) && (VAR73 == 2'd0)))) ? VAR128 : VAR126; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR81; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR128 : begin fsm <= (!VAR103) ? VAR67 : VAR128; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR67 : begin fsm <= (!VAR64) ? VAR101 : VAR67; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd1; VAR9 <= 1'd1; VAR26 <= 1'd0; end VAR101 : begin fsm <= VAR64 ? VAR126 : VAR101; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd1; VAR26 <= 1'd0; end VAR126 : begin if (VAR123 || (VAR73 == 2'd2) || (VAR115) || !VAR30) if ((VAR35 == "1.0") && (VAR73 != 2'd2) && (!VAR115)) fsm <= VAR12; end else fsm <= VAR86; end else fsm <= VAR126; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR12: begin fsm <= (!VAR66 ? VAR29 : VAR12); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR29 : begin fsm <= (VAR66 ? VAR86 : VAR29); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR86 : begin fsm <= VAR95; VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= (VAR83 == "VAR33") ? 1'd1 : (VAR73 == 2'd2); VAR113 <= (VAR83 == "VAR33") ? 1'd0 : (VAR73 != 2'd2); VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR95 : begin fsm <= (((VAR73 == 2'd2) || (VAR40 == "VAR77")) ? VAR41 : VAR93); VAR115 <= VAR115; VAR78 <= (VAR83 == "VAR33") ? 1'd1 : (VAR73 == 2'd2); VAR28 <= (VAR83 == "VAR33") ? 1'd0 : (VAR73 != 2'd2); VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR41: begin fsm <= (!VAR42 ? VAR38 : VAR41); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR38: begin fsm <= (VAR42 ? VAR93 : VAR38); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR93 : begin fsm <= (((VAR73 == 2'd2) && (VAR97 == "VAR77") && (VAR43 == "VAR135")) ? VAR119 : VAR34); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR119: begin fsm <= (!VAR98 ? VAR58 : VAR119); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end VAR58: begin fsm <= (VAR98 ? VAR34 : VAR58); VAR115 <= VAR115; VAR78 <= VAR78; VAR28 <= VAR28; VAR133 <= VAR133; VAR113 <= VAR113; VAR14 <= VAR14; VAR5 <= VAR5; VAR82 <= VAR82; VAR89 <= VAR89; VAR46 <= VAR46; VAR6 <= VAR6; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end default : begin fsm <= VAR34; VAR115 <= 1'd0; VAR78 <= 1'd0; VAR28 <= 1'd0; VAR133 <= 1'd0; VAR113 <= 1'd0; VAR14 <= 1'd0; VAR5 <= 1'd0; VAR82 <= (VAR83 == "VAR33") ? 2'd1 : 2'd0; VAR89 <= 1'd0; VAR46 <= 1'd0; VAR6 <= 3'd0; VAR10 <= 1'd0; VAR9 <= 1'd0; VAR26 <= 1'd0; end endcase end end assign VAR20 = ((VAR18 == "VAR77") ? 1'd0 : VAR78); assign VAR53 = ((VAR18 == "VAR77") ? 1'd0 : VAR28); assign VAR75 = ((VAR18 == "VAR77") ? 1'd0 : VAR133); assign VAR24 = ((VAR18 == "VAR77") ? 1'd0 : VAR113); assign VAR71 = VAR14; assign VAR36 = VAR5; assign VAR4 = VAR82; assign VAR74 = VAR10; assign VAR107 = VAR9; assign VAR27 = VAR26; assign VAR68 = VAR89; assign VAR124 = VAR46; assign VAR122 = VAR6; assign VAR80 = (fsm == VAR12); assign VAR54 = (fsm == VAR41); assign VAR110 = (fsm == VAR93); assign VAR32 = (fsm == VAR119); assign VAR121 = ((fsm == VAR119) || (fsm == VAR58)); assign VAR69 = (fsm == VAR34); assign VAR88 = fsm; endmodule
mit
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/synth/windows/altpllpll_bb.v
11,855
module MODULE1 ( VAR3, VAR2, VAR1); input VAR3; output VAR2; output VAR1; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkdlyinv3sd1/sky130_fd_sc_hs__clkdlyinv3sd1.functional.pp.v
1,768
module MODULE1 ( VAR10 , VAR5 , VAR4, VAR3 ); output VAR10 ; input VAR5 ; input VAR4; input VAR3; wire VAR7 ; wire VAR8; not VAR1 (VAR7 , VAR5 ); VAR6 VAR2 (VAR8, VAR7, VAR4, VAR3); buf VAR9 (VAR10 , VAR8 ); endmodule
apache-2.0