repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
asicguy/gplgpu | hdl/crt_sp/dc_adout.v | 6,290 | module MODULE1
(
input VAR27,
input VAR16,
input VAR4,
input VAR5,
input VAR13,
input VAR12,
input [9:0] VAR20,
input [9:0] VAR18,
input [10:0] VAR33,
input [10:0] VAR19,
input [1:0] VAR26,
input [127:0] VAR31,
input [7:0] VAR15,
input VAR9,
output reg [23:0] VAR8,
output VAR25
);
reg [3:0] counter; reg [3:0] VAR30, VAR14; reg [3:0] VAR11; reg VAR28; reg [11:0] VAR10; reg [9:0] VAR1; reg VAR21;
reg VAR7;
reg [31:0] VAR29;
reg [7:0] VAR17;
wire [11:0] VAR2;
wire VAR6;
assign VAR25 = VAR13 & (counter == 0);
assign VAR6 = ~(VAR21 & VAR7 & VAR13 & VAR12);
always @(posedge VAR27 or negedge VAR4 )
if(!VAR4) begin
VAR11 <= 4'b0;
end else if (VAR6)
casex (VAR26)
2'b01: VAR11 <= 4'h1; 2'b10: VAR11 <= 4'h2; default: VAR11 <= 4'h4; endcase
always @(posedge VAR27 or negedge VAR4 )
if(!VAR4) begin
counter <= 4'b0;
end else if (!(VAR5 && VAR13)) begin
counter <= 4'b0;
end else if (VAR6) begin
counter <= counter + VAR11;
end
always @(posedge VAR27 or negedge VAR4 )
if(!VAR4) begin
VAR17 <= 8'h0;
VAR8 <= 24'b0;
end else begin
VAR17 <= VAR15;
casex ({VAR9, VAR26, VAR14[1:0]})
5'VAR3: VAR8 <= {3{VAR17}};
5'b00100: VAR8 <= {3{VAR29[7:0]}};
5'b00101: VAR8 <= {3{VAR29[15:8]}};
5'b00110: VAR8 <= {3{VAR29[23:16]}};
5'b00111: VAR8 <= {3{VAR29[31:24]}};
5'VAR32: VAR8 <= {8'h0, VAR29[15:0]};
5'VAR24: VAR8 <= {8'h0, VAR29[31:16]};
5'VAR22, 6'VAR23: VAR8 <= VAR29[23:0];
endcase
end
always @(posedge VAR27 or negedge VAR4 )
if(!VAR4) begin
VAR28 <= 1'b0;
VAR10 <= 12'b0;
VAR1 <= 10'b0;
VAR21 <= 1'b0;
VAR7 <= 1'b0;
VAR30 <= 2'b0;
VAR14 <= 2'b0;
end else if(!VAR16) begin
VAR28 <= 1'b0;
VAR10 <= 12'b0;
VAR1 <= 10'b0;
VAR21 <= 1'b0;
VAR7 <= 1'b0;
VAR30 <= 2'b0;
VAR14 <= 2'b0;
VAR29 <= 32'b0;
end else begin
VAR30 <= counter;
VAR14 <= VAR30;
case (VAR30[3:2])
2'd0: VAR29 <= VAR31[31:0];
2'd1: VAR29 <= VAR31[63:32];
2'd2: VAR29 <= VAR31[95:64];
2'd3: VAR29 <= VAR31[127:96];
endcase
VAR28 <= VAR13;
end
if (!(VAR5 & VAR12)) VAR10 <= 12'b0; else if (VAR28 & ~VAR13) VAR10 <= VAR10 + 12'h1;
end
if(!(VAR5 & VAR13 & VAR12)) VAR1 <= 10'b0; else if (VAR25) VAR1 <= VAR1 + 10'h1;
if (VAR1 == VAR20) VAR21 <= 1'b1;
end
else if ((VAR1 == VAR18) || !VAR13) VAR21 <= 1'b0;
if (VAR10 == {1'b0,VAR33})
VAR7 <= 1'b1;
end
else if ((VAR10 == VAR2)|| !VAR5)
VAR7 <= 1'b0;
end
assign VAR2 = VAR19 +1'b1;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/sdfxtp/sky130_fd_sc_hvl__sdfxtp.pp.symbol.v | 1,413 | module MODULE1 (
input VAR4 ,
output VAR5 ,
input VAR2 ,
input VAR6 ,
input VAR7 ,
input VAR3 ,
input VAR8,
input VAR1,
input VAR9
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/or3b/sky130_fd_sc_ls__or3b_1.v | 2,209 | module MODULE2 (
VAR9 ,
VAR10 ,
VAR5 ,
VAR8 ,
VAR2,
VAR6,
VAR1 ,
VAR4
);
output VAR9 ;
input VAR10 ;
input VAR5 ;
input VAR8 ;
input VAR2;
input VAR6;
input VAR1 ;
input VAR4 ;
VAR3 VAR7 (
.VAR9(VAR9),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR9 ,
VAR10 ,
VAR5 ,
VAR8
);
output VAR9 ;
input VAR10 ;
input VAR5 ;
input VAR8;
supply1 VAR2;
supply0 VAR6;
supply1 VAR1 ;
supply0 VAR4 ;
VAR3 VAR7 (
.VAR9(VAR9),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule | apache-2.0 |
YurongYou/MIPS_CPU | decoder.v | 12,084 | module MODULE1 (
input rst,
input[VAR29-1:0] VAR1,
output reg VAR30,
output reg VAR50,
output reg VAR23,
output reg VAR41,
output reg[VAR46-1:0] VAR45,
output reg[VAR15-1:0] VAR33,
output reg VAR18,
output reg VAR6,
output reg VAR16,
output reg VAR35,
output reg VAR54
);
wire[VAR19] VAR11;
wire[VAR51] VAR25;
assign VAR11 = VAR1[VAR19];
assign VAR25 = VAR1[VAR51];
always @ begin : VAR38
if (rst == ~VAR44) begin
case (VAR11)
case (VAR25)
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR50 = VAR43;
VAR45 = VAR53;
VAR33 = VAR12;
VAR18 = VAR21;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR50 = VAR43;
VAR45 = VAR53;
VAR33 = VAR9;
VAR18 = VAR21;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR50 = VAR43;
VAR45 = VAR53;
VAR33 = VAR8;
VAR18 = VAR21;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR50 = VAR43;
VAR45 = VAR53;
VAR33 = VAR12;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR50 = VAR43;
VAR45 = VAR53;
VAR33 = VAR9;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR50 = VAR43;
VAR45 = VAR53;
VAR33 = VAR8;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR4;
VAR33 = VAR13;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR4;
VAR33 = VAR40;
VAR16 = VAR37;
end
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR45 = VAR5;
VAR33 = VAR14;
VAR18 = VAR27;
VAR6 = VAR17;
end
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR45 = VAR5;
VAR33 = VAR22;
VAR18 = VAR27;
VAR6 = VAR17;
end
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR45 = VAR5;
VAR33 = VAR24;
VAR18 = VAR27;
VAR6 = VAR17;
end
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
VAR45 = VAR5;
VAR33 = VAR31;
VAR18 = VAR27;
VAR6 = VAR17;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR2;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR42;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR3;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR20;
VAR33 = VAR39;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR20;
VAR33 = VAR52;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR20;
VAR33 = VAR10;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR20;
VAR33 = VAR57;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR47;
VAR33 = VAR56;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR47;
VAR33 = VAR34;
VAR18 = VAR27;
VAR6 = VAR17;
VAR16 = VAR37;
end
default: begin
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
end
endcase end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
end
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
end
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR2;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR47;
VAR33 = VAR56;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR20;
VAR33 = VAR39;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = ~VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR20;
VAR33 = VAR52;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = ~VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR20;
VAR33 = VAR10;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = ~VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR43;
VAR41 = ~VAR49;
VAR45 = VAR4;
VAR33 = VAR26;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = ~VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR7;
VAR41 = VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR7;
VAR41 = VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR7;
VAR41 = VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR7;
VAR41 = VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = VAR55;
VAR23 = ~VAR55;
VAR50 = VAR7;
VAR41 = VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR16 = VAR17;
VAR35 = VAR32;
end
VAR30 = ~VAR55;
VAR23 = VAR55;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR35 = VAR32;
end
VAR30 = ~VAR55;
VAR23 = VAR55;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR35 = VAR32;
end
VAR30 = ~VAR55;
VAR23 = VAR55;
VAR41 = ~VAR49;
VAR45 = VAR48;
VAR33 = VAR28;
VAR18 = VAR27;
VAR6 = VAR36;
VAR35 = VAR32;
end
default: begin
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
end
endcase end
else begin
VAR30 = ~VAR55;
VAR23 = ~VAR55;
VAR41 = ~VAR49;
end
end
endmodule | mpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a2bb2oi/sky130_fd_sc_hdll__a2bb2oi.functional.pp.v | 2,267 | module MODULE1 (
VAR2 ,
VAR14,
VAR7,
VAR10 ,
VAR19 ,
VAR3,
VAR15,
VAR4 ,
VAR11
);
output VAR2 ;
input VAR14;
input VAR7;
input VAR10 ;
input VAR19 ;
input VAR3;
input VAR15;
input VAR4 ;
input VAR11 ;
wire VAR6 ;
wire VAR12 ;
wire VAR16 ;
wire VAR13;
and VAR18 (VAR6 , VAR10, VAR19 );
nor VAR9 (VAR12 , VAR14, VAR7 );
nor VAR8 (VAR16 , VAR12, VAR6 );
VAR5 VAR17 (VAR13, VAR16, VAR3, VAR15);
buf VAR1 (VAR2 , VAR13 );
endmodule | apache-2.0 |
glennchid/font5-firmware | src/verilog/synthesis/Interleaver.v | 1,289 | module MODULE1(
input clk,
input VAR6,
input VAR5,
input VAR3,
output reg VAR7 = 1'b1
output reg VAR7 = 1'b0
);
reg VAR1 = 1'b0, VAR4 = 1'b0;
reg VAR8 = 1'b0, VAR2 = 1'b0;
always @(posedge clk) begin
VAR1 <= VAR4;
VAR4 <= VAR5;
VAR8 <= VAR3;
VAR2 <= VAR8;
if (VAR6 && VAR2) VAR7 <= (VAR1) ? ~VAR7 : 1'b1;
end
else if (VAR6) VAR7 <= 1'b0;
else VAR7 <= VAR7;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/lsbufhv2hv_hl/sky130_fd_sc_hvl__lsbufhv2hv_hl.functional.pp.v | 1,992 | module MODULE1 (
VAR5 ,
VAR11 ,
VAR9 ,
VAR8 ,
VAR3,
VAR13 ,
VAR2
);
output VAR5 ;
input VAR11 ;
input VAR9 ;
input VAR8 ;
input VAR3;
input VAR13 ;
input VAR2 ;
wire VAR12;
wire VAR1 ;
VAR7 VAR4 (VAR12, VAR11, VAR9, VAR8 );
buf VAR6 (VAR1 , VAR12 );
VAR7 VAR10 (VAR5 , VAR1, VAR3, VAR8);
endmodule | apache-2.0 |
chriswynnyk/american-put-verilog | american_put_stratix/src/lpm_ff_v1.v | 3,918 | module MODULE1 (
VAR10,
VAR4,
VAR15);
input VAR10;
input [63:0] VAR4;
output [63:0] VAR15;
wire [63:0] VAR17;
wire [63:0] VAR15 = VAR17[63:0];
VAR1 VAR16 (
.VAR10 (VAR10),
.VAR4 (VAR4),
.VAR15 (VAR17)
,
.VAR9 (),
.VAR5 (),
.VAR8 (),
.enable (),
.VAR6 (),
.VAR13 (),
.VAR3 ()
);
VAR16.VAR14 = "VAR12",
VAR16.VAR11 = "VAR7",
VAR16.VAR2 = 64;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dfrtn/sky130_fd_sc_lp__dfrtn.pp.symbol.v | 1,436 | module MODULE1 (
input VAR2 ,
output VAR1 ,
input VAR8,
input VAR4 ,
input VAR6 ,
input VAR3 ,
input VAR7 ,
input VAR5
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/nand2b/sky130_fd_sc_hdll__nand2b.behavioral.v | 1,460 | module MODULE1 (
VAR10 ,
VAR8,
VAR6
);
output VAR10 ;
input VAR8;
input VAR6 ;
supply1 VAR12;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR11 ;
wire VAR5 ;
wire VAR1;
not VAR2 (VAR5 , VAR6 );
or VAR9 (VAR1, VAR5, VAR8 );
buf VAR3 (VAR10 , VAR1 );
endmodule | apache-2.0 |
jlrandulfe/UviSpace | DE1-SoC/FPGA_Design/ip/sdram_control/command.v | 16,652 | module MODULE1(
VAR42,
VAR28,
VAR31,
VAR61,
VAR40,
VAR50,
VAR5,
VAR33,
VAR9,
VAR34,
VAR37,
VAR55,
VAR58,
VAR26,
VAR38,
VAR46,
VAR13,
VAR7,
VAR22,
VAR49,
VAR54,
VAR18,
VAR6
);
input VAR42; input VAR28; input [VAR4-1:0] VAR31; input VAR61; input VAR40; input VAR50; input VAR5; input VAR33; input VAR9; input VAR34; input VAR37; input VAR55; input VAR58; output VAR26; output VAR38; output VAR46; output [VAR29-1:0] VAR13; output [1:0] VAR7; output [1:0] VAR22; output VAR49; output VAR54; output VAR18; output VAR6;
reg VAR38;
reg VAR26;
reg VAR46;
reg [VAR29-1:0] VAR13;
reg [1:0] VAR7;
reg [1:0] VAR22;
reg VAR49;
reg VAR54;
reg VAR18;
reg VAR6;
reg VAR43;
reg VAR14;
reg VAR45;
reg VAR51;
reg VAR10;
reg VAR30;
reg VAR44;
reg [7:0] VAR47;
reg [1:0] VAR15;
reg VAR48;
reg VAR24;
reg VAR20;
reg [6:0] VAR16;
reg VAR39;
reg VAR35;
reg VAR57;
reg VAR25;
reg [3:0] VAR8;
reg VAR36;
reg VAR3;
reg VAR11;
wire [VAR17 - 1:0] VAR60;
wire [VAR19 - 1:0] VAR53;
wire [VAR21 - 1:0] VAR2;
assign VAR60 = VAR31[VAR1 + VAR17 - 1: VAR1]; assign VAR53 = VAR31[VAR56 + VAR19 - 1:VAR56]; assign VAR2 = VAR31[VAR27 + VAR21 - 1:VAR27];
always @(posedge VAR42 or negedge VAR28)
begin
if (VAR28 == 0)
begin
VAR43 <= 0;
VAR14 <= 0;
VAR45 <= 0;
VAR51 <= 0;
VAR10 <= 0;
VAR30 <= 0;
VAR44 <= 0;
VAR47 <= 0;
VAR24 <= 0;
VAR8 <= 0;
VAR36 <= 0;
VAR3 <= 0;
VAR11 <= 0;
end
else
begin
if( VAR37 == 1 )
begin
VAR43 <= 0;
VAR14 <= 0;
VAR45 <= 0;
VAR51 <= 0;
VAR10 <= 0;
VAR30 <= 1;
VAR44 <= 0;
VAR47 <= 0;
VAR24 <= 0;
VAR8 <= 0;
VAR36 <= 0;
VAR3 <= 0;
VAR11 <= 0;
end
else
begin
VAR30 <= 0;
if ((VAR34 == 1 | VAR5 == 1) & VAR44 == 0 & VAR45 == 0 & VAR36 == 0 & VAR43 == 0 & VAR14 == 0)
VAR45 <= 1;
end
else
VAR45 <= 0;
if ((VAR40 == 1) & (VAR44 == 0) & (VAR43 == 0) & (VAR36 == 0) & (VAR34 == 0)) begin
VAR43 <= 1;
VAR3 <= 1;
end
else
VAR43 <= 0;
if ((VAR50 == 1) & (VAR44 == 0) & (VAR14 == 0) & (VAR36 == 0) & (VAR34 == 0)) begin
VAR14 <= 1;
VAR11 <= 1;
end
else
VAR14 <= 0;
if ((VAR33 == 1) & (VAR44 == 0) & (VAR51 == 0)) VAR51 <= 1;
end
else
VAR51 <= 0;
if ((VAR9 == 1) & (VAR44 == 0) & (VAR10 == 0)) VAR10 <= 1;
end
else
VAR10 <= 0;
if ((VAR45 == 1) | (VAR43 == 1) | (VAR14 == 1) | (VAR51 == 1)
| (VAR10 == 1))
begin
VAR47 <= 8'b11111111;
VAR44 <= 1;
VAR24 <= VAR43;
end
else
begin
VAR44 <= VAR47[0]; VAR47 <= (VAR47>>1);
end
if (VAR47[0] == 0 & VAR44 == 1)
begin
VAR8 <= 4'b1111;
VAR36 <= 1;
end
else
begin
if(VAR59 == 0)
begin
VAR8 <= (VAR8>>1);
VAR36 <= VAR8[0];
end
else
begin
if( (VAR3 == 0) && (VAR11 == 0) )
begin
VAR8 <= (VAR8>>1);
VAR36 <= VAR8[0];
end
else
begin
if( VAR55==1 )
begin
VAR8 <= (VAR8>>1);
VAR36 <= VAR8[0];
VAR3 <= 1'b0;
VAR11 <= 1'b0;
end
end
end
end
end
end
end
always @(posedge VAR42 or negedge VAR28)
begin
if (VAR28 == 0)
begin
VAR16 <= 0;
VAR39 <= 0;
VAR35 <= 0;
VAR46 <= 0;
end
else
begin
if (VAR59 == 0)
begin
if (VAR14 == 1)
begin
end
if (VAR52 == 1) VAR16 <= 0; else if (VAR52 == 2)
VAR16 <= 1;
end
else if (VAR52 == 4)
VAR16 <= 7;
end
else if (VAR52 == 8)
VAR16 <= 127;
VAR39 <= 1;
end
else
begin
VAR16 <= (VAR16>>1);
VAR39 <= VAR16[0];
VAR35 <= VAR39;
VAR57 <= VAR35;
VAR25 <= VAR57;
if (VAR23 == 2)
VAR46 <= VAR57;
end
else
VAR46 <= VAR25;
end
end
else
begin
if (VAR14 == 1) VAR25 <= 1;
end
else if (VAR51 == 1 | VAR43 == 1 | VAR45==1 | VAR30 == 1 | VAR55==1 )
VAR25 <= 0;
VAR46 <= VAR25;
end
end
end
always @(posedge VAR42 or negedge VAR28)
begin
if (VAR28 == 0)
begin
VAR15 <= 0;
VAR20 <= 0;
end
else
begin
if ((VAR43 == 1) | (VAR14 == 1))
begin
if (VAR23 == 1) VAR20 <= 1;
end
else if (VAR23 == 2)
VAR15 <= 1;
end
else if (VAR23 == 3)
VAR15 <= 2;
end
else
begin
VAR15 <= (VAR15>>1);
VAR20 <= VAR15[0];
end
end
end
always @(posedge VAR42 or negedge VAR28)
begin
if (VAR28 == 0)
begin
VAR38 <= 0;
VAR26 <= 0;
end
else
begin
if (VAR45 == 1 & VAR34 == 1) VAR26 <= 1;
end
else if ((VAR45 == 1) | (VAR43 == 1) | (VAR14 == 1) | (VAR51 == 1) | (VAR10))
VAR38 <= 1;
end
else
begin
VAR26 <= 0;
VAR38 <= 0;
end
end
end
always @(posedge VAR42 ) begin
if (VAR28==0) begin
VAR13 <= 0;
VAR7 <= 0;
VAR22 <= 1;
VAR54 <= 1;
VAR18 <= 1;
VAR6 <= 1;
VAR49 <= 0;
end
else begin
VAR49 <= 1;
if (VAR14 == 1 | VAR43 == 1) VAR13 <= VAR60;
end
else
VAR13 <= VAR53; if ((VAR20==1) | (VAR51))
VAR13[10] <= !VAR59; if (VAR51==1 | VAR10==1)
end
VAR7 <= 0; else
VAR7 <= VAR2[1:0];
if (VAR45==1 | VAR51==1 | VAR10==1 | VAR30==1)
VAR22 <= 0; else begin
VAR22 <= 0;
end
if(VAR10==1)
VAR13 <= {2'b00,VAR41,VAR32,VAR12};
if ( VAR45==1 ) begin VAR54 <= 0;
VAR18 <= 0;
VAR6 <= 1;
end
else if ((VAR51==1) & ((VAR25 == 1) | (VAR24 == 1))) begin VAR54 <= 1;
VAR18 <= 1;
VAR6 <= 0;
end
else if (VAR51==1) begin VAR54 <= 0;
VAR18 <= 1;
VAR6 <= 0;
end
else if (VAR10==1) begin VAR54 <= 0;
VAR18 <= 0;
VAR6 <= 0;
end
else if (VAR43 == 1 | VAR14 == 1) begin VAR54 <= 0;
VAR18 <= 1;
VAR6 <= 1;
end
else if (VAR20 == 1) begin VAR54 <= 1;
VAR18 <= 0;
VAR6 <= VAR24;
end
else if (VAR30 ==1) begin
VAR54 <= 1;
VAR18 <= 1;
VAR6 <= 1;
end
else begin VAR54 <= 1;
VAR18 <= 1;
VAR6 <= 1;
end
end
end
endmodule | gpl-3.0 |
mammenx/synesthesia_moksha | wxp/dgn/syn/limbus/synthesis/submodules/limbus_jtag_uart_0.v | 16,748 | module MODULE1 (
clk,
VAR13,
VAR48,
VAR35,
VAR12,
VAR37,
VAR9
)
;
output VAR35;
output [ 7: 0] VAR12;
output VAR37;
output [ 5: 0] VAR9;
input clk;
input [ 7: 0] VAR13;
input VAR48;
wire VAR35;
wire [ 7: 0] VAR12;
wire VAR37;
wire [ 5: 0] VAR9;
always @(posedge clk)
begin
if (VAR48)
("%VAR30", VAR13);
end
assign VAR9 = {6{1'b0}};
assign VAR12 = {8{1'b0}};
assign VAR35 = 1'b0;
assign VAR37 = 1'b1;
endmodule
module MODULE2 (
clk,
VAR5,
VAR13,
VAR48,
VAR21,
VAR35,
VAR12,
VAR37,
VAR9
)
;
output VAR35;
output [ 7: 0] VAR12;
output VAR37;
output [ 5: 0] VAR9;
input clk;
input VAR5;
input [ 7: 0] VAR13;
input VAR48;
input VAR21;
wire VAR35;
wire [ 7: 0] VAR12;
wire VAR37;
wire [ 5: 0] VAR9;
MODULE1 MODULE4
(
.clk (clk),
.VAR35 (VAR35),
.VAR13 (VAR13),
.VAR48 (VAR48),
.VAR12 (VAR12),
.VAR37 (VAR37),
.VAR9 (VAR9)
);
endmodule
module MODULE5 (
clk,
VAR11,
VAR20,
VAR57,
VAR1,
VAR22,
VAR16
)
;
output VAR57;
output [ 7: 0] VAR1;
output VAR22;
output [ 5: 0] VAR16;
input clk;
input VAR11;
input VAR20;
reg [ 31: 0] VAR43;
wire VAR57;
reg VAR46;
wire [ 7: 0] VAR1;
wire VAR42;
wire [ 31: 0] VAR34;
wire [ 6: 0] VAR39;
wire VAR22;
wire [ 5: 0] VAR16;
always @(posedge clk or negedge VAR20)
begin
if (VAR20 == 0)
begin
VAR43 <= 32'h0;
VAR46 <= 1'b0;
end
else
begin
VAR46 <= VAR11;
if (VAR46)
VAR43 <= VAR43 - 1'b1;
if (VAR42)
VAR43 <= VAR34;
end
end
assign VAR57 = VAR43 == 32'b0;
assign VAR22 = VAR43 > 7'h40;
assign VAR39 = (VAR22) ? 7'h40 : VAR43;
assign VAR16 = VAR39[5 : 0];
assign VAR42 = 1'b0;
assign VAR34 = 32'b0;
assign VAR1 = 8'b0;
endmodule
module MODULE4 (
clk,
VAR5,
VAR11,
VAR20,
VAR3,
VAR25,
VAR57,
VAR1,
VAR22,
VAR16
)
;
output VAR57;
output [ 7: 0] VAR1;
output VAR22;
output [ 5: 0] VAR16;
input clk;
input VAR5;
input VAR11;
input VAR20;
input [ 7: 0] VAR3;
input VAR25;
wire VAR57;
wire [ 7: 0] VAR1;
wire VAR22;
wire [ 5: 0] VAR16;
MODULE5 MODULE2
(
.clk (clk),
.VAR57 (VAR57),
.VAR11 (VAR11),
.VAR1 (VAR1),
.VAR22 (VAR22),
.VAR16 (VAR16),
.VAR20 (VAR20)
);
endmodule
module MODULE3 (
VAR28,
VAR40,
VAR14,
VAR51,
VAR56,
clk,
VAR20,
VAR24,
VAR52,
VAR33,
VAR18,
VAR45
)
;
output VAR24;
output [ 31: 0] VAR52;
output VAR33;
output VAR18;
output VAR45;
input VAR28;
input VAR40;
input VAR14;
input VAR51;
input [ 31: 0] VAR56;
input clk;
input VAR20;
reg VAR15;
wire VAR10;
wire VAR24;
wire [ 31: 0] VAR52;
reg VAR33;
reg VAR18;
reg VAR17;
reg VAR32;
wire VAR57;
wire VAR35;
wire VAR5;
wire VAR11;
wire [ 7: 0] VAR1;
wire [ 7: 0] VAR13;
reg VAR48;
reg VAR29;
reg VAR47;
wire VAR53;
wire VAR41;
reg VAR31;
wire [ 7: 0] VAR12;
wire VAR4;
reg VAR55;
wire VAR21;
reg VAR44;
reg VAR45;
wire VAR22;
wire [ 5: 0] VAR16;
reg VAR49;
reg VAR19;
reg VAR36;
reg VAR23;
reg VAR2;
wire [ 7: 0] VAR3;
reg VAR26;
wire VAR27;
wire VAR8;
wire VAR37;
wire [ 5: 0] VAR9;
reg VAR6;
wire VAR25;
assign VAR21 = VAR4 & ~VAR37;
assign VAR25 = VAR27 & ~VAR22;
assign VAR5 = ~VAR20;
MODULE2 MODULE3
(
.clk (clk),
.VAR35 (VAR35),
.VAR5 (VAR5),
.VAR13 (VAR13),
.VAR48 (VAR48),
.VAR12 (VAR12),
.VAR21 (VAR21),
.VAR37 (VAR37),
.VAR9 (VAR9)
);
MODULE4 MODULE1
(
.clk (clk),
.VAR57 (VAR57),
.VAR5 (VAR5),
.VAR11 (VAR11),
.VAR1 (VAR1),
.VAR22 (VAR22),
.VAR16 (VAR16),
.VAR20 (VAR20),
.VAR3 (VAR3),
.VAR25 (VAR25)
);
assign VAR53 = VAR29 & VAR17;
assign VAR41 = VAR47 & (VAR31 | VAR32);
assign VAR24 = VAR53 | VAR41;
assign VAR10 = VAR8 | VAR27;
always @(posedge clk or negedge VAR20)
begin
if (VAR20 == 0)
VAR31 <= 1'b0;
end
else if (VAR8 & ~VAR57)
VAR31 <= 1'b1;
else if (VAR44)
VAR31 <= 1'b0;
end
always @(posedge clk or negedge VAR20)
begin
if (VAR20 == 0)
begin
VAR55 <= 1'b0;
VAR26 <= 1'b1;
end
else
begin
VAR55 <= VAR4 & ~VAR37;
VAR26 <= ~VAR22;
end
end
always @(posedge clk or negedge VAR20)
begin
if (VAR20 == 0)
begin
VAR17 <= 1'b0;
VAR32 <= 1'b0;
VAR48 <= 1'b0;
VAR49 <= 1'b0;
VAR44 <= 1'b0;
VAR29 <= 1'b0;
VAR47 <= 1'b0;
VAR15 <= 1'b0;
VAR6 <= 1'b0;
VAR33 <= 1'b1;
end
else
begin
VAR17 <= {VAR35,VAR9} <= 8;
VAR32 <= (7'h40 - {VAR22,VAR16}) <= 8;
VAR48 <= 1'b0;
VAR44 <= 1'b0;
VAR33 <= ~(VAR40 & (~VAR51 | ~VAR14) & VAR33);
if (VAR10)
VAR15 <= 1'b1;
if (VAR40 & ~VAR51 & VAR33)
if (VAR28)
begin
VAR47 <= VAR56[0];
VAR29 <= VAR56[1];
if (VAR56[10] & ~VAR10)
VAR15 <= 1'b0;
end
else
begin
VAR48 <= ~VAR35;
VAR6 <= VAR35;
end
if (VAR40 & ~VAR14 & VAR33)
begin
if (~VAR28)
VAR49 <= ~VAR57;
VAR44 <= ~VAR28;
end
end
end
assign VAR13 = VAR56[7 : 0];
assign VAR11 = (VAR40 & ~VAR14 & VAR33 & ~VAR28) ? ~VAR57 : 1'b0;
assign VAR52 = VAR44 ? { {9{1'b0}},VAR22,VAR16,VAR49,VAR6,~VAR35,~VAR57,1'b0,VAR15,VAR53,VAR41,VAR1 } : { {9{1'b0}},(7'h40 - {VAR35,VAR9}),VAR49,VAR6,~VAR35,~VAR57,1'b0,VAR15,VAR53,VAR41,{6{1'b0}},VAR29,VAR47 };
always @(posedge clk or negedge VAR20)
begin
if (VAR20 == 0)
VAR45 <= 0;
end
else
VAR45 <= ~VAR35;
end
always @(posedge clk)
begin
VAR2 <= 1'b0;
VAR23 <= 1'b0;
VAR36 <= VAR26 ? VAR12 : {8{VAR55}};
VAR19 <= 1'b0;
end
assign VAR4 = VAR19;
assign VAR27 = VAR23;
assign VAR3 = VAR36;
assign VAR8 = VAR2;
always @(VAR57)
begin
VAR18 = ~VAR57;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/buflp/sky130_fd_sc_lp__buflp_4.v | 2,024 | module MODULE2 (
VAR8 ,
VAR5 ,
VAR3,
VAR6,
VAR1 ,
VAR2
);
output VAR8 ;
input VAR5 ;
input VAR3;
input VAR6;
input VAR1 ;
input VAR2 ;
VAR4 VAR7 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR8,
VAR5
);
output VAR8;
input VAR5;
supply1 VAR3;
supply0 VAR6;
supply1 VAR1 ;
supply0 VAR2 ;
VAR4 VAR7 (
.VAR8(VAR8),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
julioamerico/prj_crc_ip | src/SoC/component/work/crc_ahb_ip_MSS/crc_ahb_ip_MSS.v | 18,976 | module MODULE1(
VAR303,
VAR198,
VAR289,
VAR272,
VAR189,
VAR205,
VAR20,
VAR88,
VAR40,
VAR111,
VAR101,
VAR283,
VAR58,
VAR63
);
input [31:0] VAR303;
input VAR198;
input VAR289;
input VAR272;
input VAR189;
output VAR205;
output VAR20;
output [19:0] VAR88;
output VAR40;
output [1:0] VAR111;
output [1:0] VAR101;
output [31:0] VAR283;
output VAR58;
output VAR63;
wire VAR247;
wire VAR213;
wire VAR80;
wire VAR67;
wire VAR257;
wire VAR242;
wire VAR113;
wire VAR272;
wire VAR207;
wire VAR89;
wire VAR232;
wire [19:0] VAR297;
wire VAR33;
wire [31:0] VAR303;
wire VAR198;
wire VAR289;
wire [1:0] VAR172;
wire [1:0] VAR258;
wire [31:0] VAR219;
wire VAR255;
wire VAR189;
wire VAR194;
wire VAR27;
wire VAR291;
wire VAR178;
wire VAR190;
wire [19:0] VAR114;
wire [1:0] VAR263;
wire [1:0] VAR49;
wire [31:0] VAR85;
wire VAR183;
wire VAR250;
wire VAR187;
wire [1:0] VAR151;
wire [31:0] VAR104;
wire [1:0] VAR59;
wire [1:0] VAR249;
wire [15:0] VAR134;
wire [31:0] VAR6;
wire [31:0] VAR56;
wire [1:0] VAR184;
wire [1:0] VAR44;
assign VAR250 = 1'b0;
assign VAR187 = 1'b1;
assign VAR151 = 2'h0;
assign VAR104 = 32'h00000000;
assign VAR59 = 2'h0;
assign VAR249 = 2'h0;
assign VAR134 = 16'h0000;
assign VAR6 = 32'h00000000;
assign VAR56 = 32'h00000000;
assign VAR184 = 2'h0;
assign VAR44 = 2'h0;
assign VAR27 = VAR232;
assign VAR20 = VAR27;
assign VAR291 = VAR242;
assign VAR205 = VAR291;
assign VAR178 = VAR255;
assign VAR58 = VAR178;
assign VAR190 = VAR33;
assign VAR40 = VAR190;
assign VAR114 = VAR297;
assign VAR88[19:0] = VAR114;
assign VAR263 = VAR258;
assign VAR101[1:0] = VAR263;
assign VAR49 = VAR172;
assign VAR111[1:0] = VAR49;
assign VAR85 = VAR219;
assign VAR283[31:0] = VAR85;
assign VAR183 = VAR194;
assign VAR63 = VAR183;
VAR300 #(
.VAR26 ( 256 ),
.VAR193 ( "VAR103" ),
.VAR212 ( 100000000 ),
.VAR180 ( "VAR196" ) )
VAR156(
.VAR303 ( VAR303 ),
.VAR198 ( VAR198 ),
.VAR289 ( VAR289 ),
.VAR171 ( VAR6 ), .VAR290 ( VAR56 ), .VAR252 ( VAR184 ), .VAR228 ( VAR44 ), .VAR167 ( VAR250 ), .VAR295 ( VAR250 ), .VAR90 ( VAR250 ), .VAR177 ( VAR187 ), .VAR71 ( VAR242 ),
.VAR277 ( VAR250 ), .VAR43 ( VAR250 ), .VAR99 ( VAR187 ), .VAR281 ( VAR151 ), .VAR97 ( VAR250 ), .VAR251 ( VAR250 ), .VAR120 ( VAR104 ), .VAR95 ( VAR250 ), .VAR278 ( VAR250 ), .VAR1 ( VAR250 ), .VAR288 ( VAR250 ), .VAR47 ( VAR250 ), .VAR299 ( VAR250 ), .VAR237 ( VAR250 ), .VAR42 ( VAR250 ), .VAR175 ( VAR250 ), .VAR223 ( VAR250 ), .VAR147 ( VAR250 ), .VAR32 ( VAR250 ), .VAR87 ( VAR250 ), .VAR83 ( VAR250 ), .VAR162 ( VAR59 ), .VAR70 ( VAR250 ), .VAR157 ( VAR250 ), .VAR25 ( VAR250 ), .VAR52 ( VAR250 ), .VAR92 ( VAR250 ), .VAR292 ( VAR250 ), .VAR82 ( VAR250 ), .VAR294 ( VAR250 ), .VAR234 ( VAR250 ), .VAR18 ( VAR250 ), .VAR55 ( VAR250 ), .VAR150 ( VAR250 ), .VAR7 ( VAR250 ), .VAR226 ( VAR250 ), .VAR24 ( VAR250 ), .VAR273 ( VAR250 ), .VAR285 ( VAR250 ), .VAR138 ( VAR250 ), .VAR271 ( VAR250 ), .VAR78 ( VAR250 ), .VAR131 ( VAR250 ), .VAR16 ( VAR250 ), .VAR72 ( VAR213 ),
.VAR154 ( VAR67 ),
.VAR306 ( VAR250 ), .VAR68 ( VAR80 ),
.VAR206 ( VAR257 ),
.VAR200 ( VAR113 ),
.VAR81 ( VAR250 ), .VAR139 ( VAR250 ), .VAR17 ( VAR250 ), .VAR189 ( VAR207 ),
.VAR15 ( VAR250 ), .VAR176 ( VAR250 ), .VAR31 ( VAR250 ), .VAR274 ( VAR250 ), .VAR161 ( VAR250 ), .VAR224 ( VAR250 ), .VAR210 ( VAR250 ), .VAR240 ( VAR250 ), .VAR191 ( VAR249 ), .VAR222 ( VAR250 ), .VAR41 ( VAR250 ), .VAR57 ( VAR250 ), .VAR275 ( VAR247 ),
.VAR221 ( VAR134 ), .VAR30 ( VAR250 ), .VAR231 ( VAR250 ), .VAR302 ( VAR250 ), .VAR209 ( VAR250 ), .VAR14 ( VAR250 ), .VAR266 ( VAR250 ), .VAR39 ( VAR250 ), .VAR74 ( VAR250 ), .VAR233 ( VAR250 ), .VAR235 ( VAR250 ), .VAR143 ( VAR250 ), .VAR280 ( VAR250 ), .VAR136 ( VAR250 ), .VAR201 ( VAR250 ), .VAR94 ( VAR250 ), .VAR203 ( VAR250 ), .VAR8 ( VAR250 ), .VAR149 ( VAR250 ), .VAR230 ( VAR250 ), .VAR259 ( VAR250 ), .VAR66 ( VAR250 ), .VAR125 ( VAR250 ), .VAR144 ( VAR250 ), .VAR23 ( VAR250 ), .VAR270 ( VAR250 ), .VAR34 ( VAR250 ), .VAR84 ( VAR250 ), .VAR130 ( VAR250 ), .VAR160 ( VAR250 ), .VAR37 ( VAR250 ), .VAR188 ( VAR250 ), .VAR238 ( VAR250 ), .VAR284 ( VAR250 ), .VAR169 ( VAR250 ), .VAR279 ( VAR250 ), .VAR179 ( VAR250 ), .VAR163 ( VAR250 ), .VAR135 ( VAR250 ), .VAR211 ( VAR250 ), .VAR126 ( VAR250 ), .VAR29 ( VAR250 ), .VAR276 ( VAR250 ), .VAR116 ( VAR250 ), .VAR215 ( VAR250 ), .VAR88 ( VAR297 ),
.VAR283 ( VAR219 ),
.VAR101 ( VAR258 ),
.VAR111 ( VAR172 ),
.VAR40 ( VAR33 ),
.VAR58 ( VAR255 ),
.VAR186 ( ),
.VAR110 ( ),
.VAR225 ( ),
.VAR22 ( ),
.VAR115 ( ),
.VAR282 ( ),
.VAR124 ( VAR232 ),
.VAR181 ( ),
.VAR77 ( ),
.VAR204 ( ),
.VAR158 ( ),
.VAR38 ( ),
.VAR298 ( ),
.VAR60 ( ),
.VAR129 ( ),
.VAR48 ( ),
.VAR96 ( ),
.VAR4 ( ),
.VAR102 ( ),
.VAR35 ( ),
.VAR164 ( ),
.VAR12 ( ),
.VAR137 ( ),
.VAR248 ( ),
.VAR117 ( ),
.VAR61 ( ),
.VAR10 ( ),
.VAR64 ( ),
.VAR5 ( ),
.VAR173 ( ),
.VAR220 ( ),
.VAR54 ( ),
.VAR296 ( ),
.VAR109 ( ),
.VAR75 ( ),
.VAR304 ( ),
.VAR267 ( ),
.VAR62 ( ),
.VAR165 ( ),
.VAR148 ( ),
.VAR229 ( ),
.VAR128 ( ),
.VAR98 ( ),
.VAR46 ( ),
.VAR19 ( ),
.VAR208 ( ),
.VAR264 ( ),
.VAR13 ( ),
.VAR51 ( ),
.VAR36 ( ),
.VAR260 ( ),
.VAR218 ( ),
.VAR182 ( ),
.VAR262 ( ),
.VAR159 ( ),
.VAR91 ( ),
.VAR21 ( ),
.VAR76 ( ),
.VAR63 ( VAR89 ),
.VAR174 ( ),
.VAR269 ( ),
.VAR293 ( ),
.VAR141 ( ),
.VAR155 ( ),
.VAR217 ( ),
.VAR168 ( ),
.VAR239 ( ),
.VAR45 ( ),
.VAR166 ( ),
.VAR227 ( ),
.VAR245 ( ),
.VAR107 ( ),
.VAR73 ( ),
.VAR214 ( ),
.VAR195 ( VAR247 ),
.VAR50 ( ),
.VAR265 ( ),
.VAR119 ( ),
.VAR9 ( ),
.VAR153 ( ),
.VAR287 ( ),
.VAR106 ( ),
.VAR140 ( ),
.VAR253 ( ),
.VAR305 ( ),
.VAR28 ( ),
.VAR202 ( ),
.VAR133 ( )
);
VAR152 VAR112(
.VAR53 ( VAR250 ), .VAR236 ( VAR250 ), .VAR146 ( VAR250 ), .VAR108 ( VAR250 ), .VAR127 ( VAR250 ), .VAR142 ( VAR250 ), .VAR241 ( VAR250 ), .VAR268 ( VAR250 ), .VAR11 ( VAR250 ), .VAR69 ( VAR250 ), .VAR132 ( VAR250 ), .VAR254 ( VAR250 ), .VAR192 ( VAR250 ), .VAR86 ( VAR250 ), .VAR68 ( VAR250 ), .VAR199 ( ),
.VAR3 ( ),
.VAR122 ( ),
.VAR205 ( VAR242 ),
.VAR216 ( ),
.VAR145 ( ),
.VAR100 ( ),
.VAR123 ( ),
.VAR246 ( ),
.VAR301 ( ),
.VAR243 ( VAR213 ),
.VAR197 ( VAR257 ),
.VAR154 ( VAR67 ),
.VAR2 ( VAR80 )
);
VAR118 #(
.VAR26 ( 0 ),
.VAR185 ( "VAR244" ) )
VAR261(
.VAR79 ( VAR272 ),
.VAR286 ( VAR113 )
);
VAR118 #(
.VAR26 ( 0 ),
.VAR185 ( "VAR105" ) )
VAR170(
.VAR79 ( VAR189 ),
.VAR286 ( VAR207 )
);
VAR121 #(
.VAR26 ( 0 ),
.VAR185 ( "VAR65" ) )
VAR256(
.VAR93 ( VAR89 ),
.VAR79 ( VAR194 )
);
endmodule | gpl-3.0 |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v | 1,850 | module MODULE1 #(parameter VAR2(VAR6)
,parameter VAR2(VAR17)
, parameter VAR5=0
, parameter VAR11=VAR10(VAR17)
, parameter VAR15=0
)
(input VAR13
, input VAR18
, input VAR12
, input [VAR11-1:0] VAR7
, input [VAR1(VAR6, 1):0] VAR3
, input VAR16
, input [VAR11-1:0] VAR9
, output logic [VAR1(VAR6, 1):0] VAR4
);
VAR8
,.VAR17(VAR17)
,.VAR5(VAR5)
,.VAR15(VAR15)
) VAR14
(.*);
begin
begin
end | bsd-3-clause |
johan92/altera_opencl_sandbox | vector_add/bin_vector_add/system/synthesis/submodules/acl_ic_to_avm.v | 2,685 | module MODULE1 #(
parameter integer VAR19 = 256,
parameter integer VAR21 = 6,
parameter integer VAR14 = 32,
parameter integer VAR27 = VAR19 / 8,
parameter integer VAR7 = 1
)
(
output logic VAR22,
output logic VAR29,
output logic VAR12,
output logic [VAR19-1:0] VAR30,
output logic [VAR21-1:0] VAR17,
output logic [VAR14-1:0] VAR11,
output logic [VAR27-1:0] VAR2,
input logic VAR20,
input logic VAR4,
input logic [VAR19-1:0] VAR13,
input logic VAR28,
input logic VAR5,
input logic VAR15,
input logic VAR16,
input logic VAR24,
input logic [VAR19-1:0] VAR6,
input logic [VAR21-1:0] VAR9,
input logic [VAR14-VAR3(VAR19 / 8)-1:0] VAR10,
input logic [VAR27-1:0] VAR25,
input logic [VAR7-1:0] VAR23,
output logic VAR8,
output logic VAR18,
output logic VAR26,
output logic [VAR19-1:0] VAR1
);
assign VAR29 = VAR16;
assign VAR12 = VAR24;
assign VAR30 = VAR6;
assign VAR17 = VAR9;
assign VAR11 = {VAR10, {VAR3(VAR19 / 8){1'b0}}};
assign VAR2 = VAR25;
assign VAR8 = VAR20;
assign VAR26 = VAR4;
assign VAR1 = VAR13;
assign VAR18 = VAR12 & ~VAR20;
endmodule | mit |
HeTpro/Verilog | S3/Contador0-9.v | 1,115 | module MODULE1(VAR11, VAR18, VAR5, VAR1);
input VAR11;
output reg VAR18=0;
output VAR5=1;
output reg [6:0] VAR1=0;
reg [24:0] VAR2 = 0;
reg [3:0] VAR7=0;
parameter [6:0] VAR3 = 7'b0000001;
parameter [6:0] VAR17 = 7'b0000001;
parameter [6:0] VAR9 = 7'b0000001;
parameter [6:0] VAR6 = 7'b0000001;
parameter [6:0] VAR16 = 7'b0000001;
parameter [6:0] VAR12 = 7'b0000001;
parameter [6:0] VAR4 = 7'b0000001;
parameter [6:0] VAR15 = 7'b0000001;
parameter [6:0] VAR10 = 7'b0000001;
parameter [6:0] VAR14 = 7'b0000001;
parameter [6:0] VAR8 = 7'b0000001;
assign VAR13 = 1;
always @(posedge VAR11)
begin
VAR2 = VAR2+1;
if(VAR2==25000000)
begin
VAR2=0;
VAR18 = ~VAR18;
end
end
always @(posedge VAR18)
begin
VAR7 = VAR7+1;
if(VAR7==10)
VAR7=0;
end
always @(VAR7)
begin
case(VAR7)
0: VAR1 = VAR3;
1: VAR1 = VAR17;
2: VAR1 = VAR9;
3: VAR1 = VAR6;
4: VAR1 = VAR16;
5: VAR1 = VAR12;
6: VAR1 = VAR4;
7: VAR1 = VAR15;
8: VAR1 = VAR10;
9: VAR1 = VAR14;
default: VAR1 = VAR8;
endcase
end
endmodule | unlicense |
camsoupa/cc3000 | cc3000fpga/hdl/rgb_led.v | 3,681 | module MODULE1
(
VAR6,
VAR3,
VAR9,
VAR20,
VAR25,
VAR1,
VAR26,
VAR10, VAR8, VAR12
);
input VAR6, VAR3, VAR9, VAR20;
input [7:0] VAR25;
input [31:0] VAR1;
output reg [31:0] VAR26;
output reg VAR10, VAR8, VAR12;
reg [31:0] VAR15;
reg [31:0] VAR2;
reg [31:0] VAR14;
reg [7:0] VAR11;
reg [31:0] VAR19;
reg [31:0] VAR23;
reg [31:0] VAR22;
reg [31:0] VAR13;
reg [31:0] VAR28;
reg [31:0] VAR18;
reg VAR4;
reg pulse;
reg VAR7;
reg VAR16;
reg VAR5;
always@(posedge VAR6)
if(~VAR3)
begin
VAR23 <= 32'h00000000;
VAR22 <= 32'h00000000;
end
else begin
if(VAR9) begin : VAR17
case(VAR25[3:2])
2'b00: begin
VAR19 <= VAR1;
end
2'b01: begin
VAR23 <= VAR1;
end
2'b10: begin
VAR22 <= VAR1;
end
endcase
end
else if(VAR20) begin : VAR21
case(VAR25[3:2])
2'b00: begin
VAR26 <= VAR19;
end
2'b01: begin
VAR26 <= VAR23;
end
2'b10: begin
VAR26 <= VAR22;
end
endcase
end
end
always@(posedge VAR6) begin
VAR4 <= VAR18[31];
pulse <= VAR18[30:24];
VAR7 <= VAR18[23:16];
VAR16 <= VAR18[15:8];
VAR5 <= VAR18[7:0];
end
always@(posedge VAR6) begin
if(VAR2 == 32'd100000000) begin
VAR11 = -1;
end
else begin
if(VAR2 == 32'd0) begin
VAR11 = 1;
end
else begin
VAR2 = VAR2 + VAR11;
end
end
VAR14 <= ((VAR24 * VAR2) / 32'd100000000);
end
always@(posedge VAR6) begin
if(~VAR3)begin
VAR13 <= 32'h00000000;
VAR28 <= 32'h00000000;
VAR10 <= 0;
VAR8 <= 0;
VAR12 <= 0;
end
else begin
VAR15 <= VAR15 + 1;
if(VAR15 == VAR27) begin
VAR15 <= 0;
end
else begin
if(VAR7 * VAR14 == VAR15) begin
VAR10 <= 0;
end
if(VAR5 * VAR14 == VAR15) begin
VAR12 <= 0;
end
if(VAR16 * VAR14 == VAR15) begin
VAR8 <= 0;
end
end
if(VAR15 == 0)begin
if(VAR18 == VAR13)begin
VAR18 <= VAR28;
end
else begin
VAR18 <= VAR13;
end
VAR13 <= VAR23;
VAR28 <= VAR22;
VAR10 <= 1;
VAR8 <= 1;
VAR12 <= 1;
end
end
end
endmodule | mit |
MeshSr/onetswitch30 | ons30-app21-ref_switch/vivado/onets_7030_4x_ref_switch/ip/ref_switch_core/src/core/unused_reg.v | 1,133 | module MODULE1
parameter VAR3 = 5
)
(
input VAR1,
output VAR7,
input VAR10,
input [VAR3 - 1:0] VAR9,
output [VAR8 - 1:0] VAR6,
input [VAR8 - 1:0] VAR5,
input clk,
input reset
);
reg VAR2;
assign VAR6 = 'VAR11 VAR4;
assign VAR7 = VAR1 && !VAR2;
always @(posedge clk)
begin
VAR2 <= VAR1;
end
endmodule | lgpl-2.1 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/nand4bb/sky130_fd_sc_hs__nand4bb.blackbox.v | 1,300 | module MODULE1 (
VAR3 ,
VAR4,
VAR6,
VAR7 ,
VAR2
);
output VAR3 ;
input VAR4;
input VAR6;
input VAR7 ;
input VAR2 ;
supply1 VAR1;
supply0 VAR5;
endmodule | apache-2.0 |
cafe-alpha/wascafe | v13/wasca_10m08scv4k_no_spi_20190420/wasca/synthesis/submodules/wasca_mm_interconnect_0_avalon_st_adapter_008.v | 6,161 | module MODULE1 #(
parameter VAR23 = 18,
parameter VAR5 = 0,
parameter VAR25 = 18,
parameter VAR21 = 0,
parameter VAR14 = 0,
parameter VAR1 = 0,
parameter VAR19 = 1,
parameter VAR6 = 1,
parameter VAR10 = 0,
parameter VAR17 = 18,
parameter VAR24 = 0,
parameter VAR22 = 1,
parameter VAR18 = 0,
parameter VAR4 = 1,
parameter VAR15 = 1,
parameter VAR12 = 0
) (
input wire VAR3, input wire VAR13, input wire [17:0] VAR11, input wire VAR2, output wire VAR8, output wire [17:0] VAR16, output wire VAR20, input wire VAR9, output wire [0:0] VAR7 );
generate
if (VAR23 != 18)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | gpl-2.0 |
UCR-CS179-SUMMER2014/NES_FPGA | source/NES_FPGA/nios_system/synthesis/submodules/nios_system_Pixel_Buffer.v | 8,827 | module MODULE1 (
clk,
reset,
address,
VAR18,
read,
write,
VAR16,
VAR5,
VAR10,
VAR19,
VAR3,
VAR13,
VAR24,
VAR8,
VAR15,
VAR1,
VAR25,
VAR4,
VAR26,
VAR11,
VAR22,
VAR21,
VAR14,
VAR7
);
input clk;
input reset;
input [18: 0] address;
input [ 3: 0] VAR18;
input read;
input write;
input [31: 0] VAR16;
inout [31: 0] VAR5; inout [ 3: 0] VAR10;
output reg [31: 0] VAR19;
output VAR3;
output VAR13;
output VAR24; output reg [18: 0] VAR8; output reg VAR15; output reg VAR1; output reg VAR25; output reg [ 3: 0] VAR4; output reg VAR26; output reg VAR11; output reg VAR22; output reg VAR21; output reg VAR14; output reg VAR7;
wire [ 3: 0] VAR20;
wire VAR6;
reg [ 3: 0] VAR23;
reg [ 3: 0] VAR9;
reg [31: 0] VAR2;
always @(posedge clk)
begin
VAR19 <= VAR5;
VAR8 <= address;
VAR1 <= 1'b1;
VAR15 <= ~(read | VAR6);
VAR25 <= 1'b1;
VAR4[3] <= ~VAR20[3];
VAR4[2] <= ~VAR20[2];
VAR4[1] <= ~VAR20[1];
VAR4[0] <= ~VAR20[0];
VAR26 <= ~(read | VAR6);
VAR11 <= (read | VAR6);
VAR22 <= ~(read | VAR6);
VAR21 <= 1'b1;
VAR14 <= ~(VAR23[1]);
VAR7 <= ~VAR6;
end
always @(posedge clk)
begin
if (reset)
VAR23 <= 4'h0;
end
else
VAR23 <= {VAR23[2:0], read};
end
always @(posedge clk)
begin
if (reset)
VAR9 <= 4'h0;
end
else
VAR9 <= VAR20;
end
always @(posedge clk)
begin
VAR2 <= VAR16;
end
assign VAR3 = VAR23[3];
assign VAR13 = write & (|(VAR23[2:0]));
assign VAR5[31:24] = (VAR20[3]) ? VAR2[31:24] : 8'VAR12;
assign VAR5[23:16] = (VAR20[2]) ? VAR2[23:16] : 8'VAR12;
assign VAR5[15: 8] = (VAR20[1]) ? VAR2[15: 8] : 8'VAR12;
assign VAR5[ 7: 0] = (VAR20[0]) ? VAR2[ 7: 0] : 8'VAR12;
assign VAR10 = 4'VAR17;
assign VAR24 = clk;
assign VAR20[3] = VAR18[3] & VAR6;
assign VAR20[2] = VAR18[2] & VAR6;
assign VAR20[1] = VAR18[1] & VAR6;
assign VAR20[0] = VAR18[0] & VAR6;
assign VAR6 = write & ~(|(VAR23[2:0]));
endmodule | mit |
MarkBlanco/FPGA_Sandbox | RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_2/syn/verilog/convolve_kernel_fbkb.v | 1,946 | module MODULE1
VAR6 = 7,
VAR2 = 9,
VAR1 = 32,
VAR12 = 32,
VAR21 = 32
)(
input wire clk,
input wire reset,
input wire VAR4,
input wire [VAR1-1:0] VAR24,
input wire [VAR12-1:0] VAR26,
output wire [VAR21-1:0] dout
);
wire VAR3;
wire VAR8;
wire VAR20;
wire [31:0] VAR15;
wire VAR19;
wire [31:0] VAR22;
wire VAR16;
wire [31:0] VAR23;
reg [VAR1-1:0] VAR5;
reg [VAR12-1:0] VAR7;
VAR11 VAR14 (
.VAR3 ( VAR3 ),
.VAR8 ( VAR8 ),
.VAR17 ( VAR20 ),
.VAR9 ( VAR15 ),
.VAR13 ( VAR19 ),
.VAR10 ( VAR22 ),
.VAR25 ( VAR16 ),
.VAR18 ( VAR23 )
);
assign VAR3 = clk;
assign VAR8 = VAR4;
assign VAR20 = 1'b1;
assign VAR15 = VAR5;
assign VAR19 = 1'b1;
assign VAR22 = VAR7;
assign dout = VAR23;
always @(posedge clk) begin
if (VAR4) begin
VAR5 <= VAR24;
VAR7 <= VAR26;
end
end
endmodule | mit |
kactus2/ipxactexamplelib | tut.fi/peripheral.logic/wb_external_mem/1.0/wb_memory.v | 6,535 | module MODULE1 #(
parameter VAR3 = 16, parameter VAR21 = 32, parameter VAR8 = 128, parameter VAR13 = 'h0F00, parameter VAR15 = 'hDEADF00D ) (
input [VAR3-1:0] VAR10, input VAR20, input [VAR21-1:0] VAR2, input VAR19, input VAR11, output reg VAR14, output reg [VAR21-1:0] VAR24, output reg VAR16,
input VAR7, input VAR22,
input VAR4 );
localparam VAR9 = 8;
localparam VAR12 = VAR21/VAR9;
reg [VAR9-1:0] memory [VAR8-1:0];
integer VAR6;
integer VAR5;
reg [0:0] state;
reg [VAR21-1:0] VAR17;
parameter [0:0]
VAR23 = 1'd0, VAR1 = 1'd1;
always @(posedge VAR7 or posedge VAR22) begin
if(VAR22 == 1'b1) begin
VAR14 <= 0; VAR24 <= 0; VAR16 <= 0; state <= VAR23; VAR17 <= VAR15;
end
else begin
if (state == VAR23) begin
if (VAR18 && VAR11 == 1) begin
if (VAR10 < VAR13 + VAR8/2 && VAR10 >= VAR13) begin
VAR5 = 1;
end
else begin
VAR5 = 0;
end
end
else begin
if (VAR10 < VAR13 + VAR8 && VAR10 >= VAR13) begin
VAR5 = 1;
end
else begin
VAR5 = 0;
end
end
if (VAR20 == 1 && VAR19 == 1) begin
if (VAR5 == 1) begin
VAR14 <= 1;
if ( VAR11 == 1 ) begin
for (VAR6 = 0; VAR6 < VAR12; VAR6 = VAR6 + 1) begin
memory[VAR10 - VAR13 + VAR6] <= VAR2[(VAR6*VAR9)+:VAR9];
end
if (VAR18) begin
for (VAR6 = 0; VAR6 < VAR12; VAR6 = VAR6 + 1) begin
memory[VAR10 - VAR13 + VAR8/2 + VAR6] <= (VAR2[(VAR6*VAR9)+:VAR9] ^ VAR17[(VAR6*VAR9)+:VAR9]);
end
end
end
else begin
for (VAR6 = 0; VAR6 < VAR12; VAR6 = VAR6 + 1) begin
VAR24[(VAR6*VAR9)+:VAR9] <= memory[VAR10 - VAR13 + VAR6];
end
end
end
else begin
VAR16 <= 1;
end
state <= VAR1;
end
end
else if (state == VAR1) begin
VAR14 <= 0;
VAR16 <= 0;
state <= VAR23;
end
else
end
end
endmodule | mit |
hhuang25/uwaterloo_ece224 | Lab1/pio_dutycycle.v | 2,120 | module MODULE1 (
address,
VAR1,
clk,
VAR8,
VAR9,
VAR2,
VAR4,
VAR5
)
;
output [ 3: 0] VAR4;
output [ 3: 0] VAR5;
input [ 1: 0] address;
input VAR1;
input clk;
input VAR8;
input VAR9;
input [ 3: 0] VAR2;
wire VAR6;
reg [ 3: 0] VAR7;
wire [ 3: 0] VAR4;
wire [ 3: 0] VAR3;
wire [ 3: 0] VAR5;
assign VAR6 = 1;
assign VAR3 = {4 {(address == 0)}} & VAR7;
always @(posedge clk or negedge VAR8)
begin
if (VAR8 == 0)
VAR7 <= 0;
end
else if (VAR1 && ~VAR9 && (address == 0))
VAR7 <= VAR2[3 : 0];
end
assign VAR5 = VAR3;
assign VAR4 = VAR7;
endmodule | mit |
andrewandrepowell/axiplasma | hdl/projects/VC707/bd/mig_wrap/ip/mig_wrap_mig_7series_0_0/mig_wrap_mig_7series_0_0/example_design/rtl/example_top.v | 32,267 | module MODULE1 #
(
parameter VAR57 = 32'h00000000,
parameter VAR221 = 32'h00ffffff,
parameter VAR211 = 32'hff000000,
parameter VAR50 = 0,
parameter VAR215 = 8'h11,
parameter VAR194 = 3'b000,
parameter VAR133 = 0,
parameter VAR216 = 0,
parameter VAR232 = 1,
parameter VAR112 = 1,
parameter VAR54 = 1,
parameter VAR72 = 8,
parameter VAR214 = 1,
parameter VAR32 = 3,
parameter VAR169 = 10,
parameter VAR88 = 1,
parameter VAR15 = 64,
parameter VAR151 = 8,
parameter VAR8 = 3,
parameter VAR154 = 8,
parameter VAR52 = "VAR164",
parameter VAR121 = "VAR164",
parameter VAR124 = 4,
parameter VAR168 = 1,
parameter VAR167 = 14,
parameter VAR37 = 28,
parameter VAR21 = "8",
parameter VAR231 = 5000,
parameter VAR138 = 8,
parameter VAR172 = 1,
parameter VAR230 = 337.5,
parameter VAR205 = 2,
parameter VAR159 = 2,
parameter VAR48 = 32,
parameter VAR143 = 8,
parameter VAR53 = 800,
parameter VAR34 = 4,
parameter VAR166 = 1,
parameter VAR228 = "VAR188",
parameter VAR22 = "VAR29",
parameter VAR115 = "VAR29",
parameter VAR117 = "VAR29",
parameter VAR30 = "VAR29",
parameter VAR178 = 16.000,
parameter VAR103 = 1,
parameter VAR210 = 1,
parameter VAR104 = 1,
parameter VAR111 = 1,
parameter VAR183 = "VAR29",
parameter VAR69 = 100,
parameter VAR17 = "VAR226",
parameter VAR24 = 4,
parameter VAR212 = 4,
parameter VAR219 = 30,
parameter VAR47 = 32,
parameter VAR122 = 1,
parameter VAR65 = "VAR164",
parameter VAR195 = 0
)
(
inout [63:0] VAR55,
inout [7:0] VAR94,
inout [7:0] VAR148,
output [13:0] VAR229,
output [2:0] VAR182,
output VAR33,
output VAR98,
output VAR105,
output VAR236,
output [0:0] VAR201,
output [0:0] VAR71,
output [0:0] VAR83,
output [0:0] VAR184,
output [7:0] VAR235,
output [0:0] VAR185,
input VAR82,
input VAR213,
output VAR97,
output VAR73,
input VAR67
);
function integer VAR76 (input integer VAR187);
begin
VAR187 = VAR187 - 1;
for (VAR76=1; VAR187>1; VAR76=VAR76+1)
VAR187 = VAR187 >> 1;
end
endfunction
function integer VAR95;
input [7:0] in;
begin
if(in == "8")
VAR95 = 8;
end
else if(in == "4")
VAR95 = 4;
else
VAR95 = 0;
end
endfunction
localparam VAR70 = 64;
localparam VAR161 = VAR76(VAR168);
localparam VAR145 = (VAR121 == "VAR164") ? VAR70 : VAR15;
localparam VAR77 = VAR95(VAR21);
localparam VAR44 = 2 * VAR24 * VAR145;
localparam VAR206 = VAR44 / 8;
localparam VAR62 = ((VAR88 == 1) ? 0 : VAR161)
+ VAR32 + VAR167 + VAR169;
localparam VAR3 = VAR70/8;
localparam VAR10 = 40;
localparam VAR4 = 40;
wire clk;
wire rst;
wire VAR66;
wire VAR78;
wire VAR20;
wire VAR99;
wire VAR11;
wire VAR109;
reg VAR209;
wire VAR162;
wire VAR5;
wire VAR131;
wire VAR74;
wire [VAR44-1:0] VAR150;
wire VAR114;
wire VAR43;
wire VAR23;
wire VAR26;
wire VAR46;
wire VAR129;
wire VAR147;
wire VAR79;
wire VAR234;
wire [VAR212-1:0] VAR181;
wire [VAR219-1:0] VAR152;
wire [7:0] VAR119;
wire [2:0] VAR217;
wire [1:0] VAR140;
wire [0:0] VAR102;
wire [3:0] VAR100;
wire [2:0] VAR19;
wire VAR175;
wire VAR218;
wire [VAR47-1:0] VAR91;
wire [(VAR47/8)-1:0] VAR174;
wire VAR128;
wire VAR116;
wire VAR207;
wire VAR38;
wire [VAR212-1:0] VAR89;
wire [1:0] VAR81;
wire VAR158;
wire [VAR212-1:0] VAR139;
wire [VAR219-1:0] VAR31;
wire [7:0] VAR237;
wire [2:0] VAR142;
wire [1:0] VAR204;
wire [0:0] VAR68;
wire [3:0] VAR12;
wire [2:0] VAR118;
wire VAR193;
wire VAR132;
wire VAR156;
wire [VAR212-1:0] VAR85;
wire [VAR47-1:0] VAR63;
wire [1:0] VAR41;
wire VAR136;
wire VAR176;
wire VAR2;
wire [VAR47-1:0] VAR80; wire [VAR47-1:0] VAR90;
wire VAR238;
wire [VAR10-1:0] VAR227;
wire VAR160;
wire [VAR4-1:0] VAR171;
wire [11:0] VAR61;
wire VAR1;
reg VAR14;
reg [6:0] VAR130;
reg [7:0] VAR49;
reg VAR45;
assign VAR97 = VAR43 | VAR23 | VAR26 | VAR46;
VAR27 VAR186
(
.VAR229 (VAR229),
.VAR182 (VAR182),
.VAR98 (VAR98),
.VAR71 (VAR71),
.VAR201 (VAR201),
.VAR83 (VAR83),
.VAR33 (VAR33),
.VAR105 (VAR105),
.VAR55 (VAR55),
.VAR94 (VAR94),
.VAR148 (VAR148),
.VAR236 (VAR236),
.VAR73 (VAR73),
.VAR184 (VAR184),
.VAR235 (VAR235),
.VAR185 (VAR185),
.VAR35 (clk),
.VAR108 (rst),
.VAR66 (VAR66),
.VAR78 (VAR78),
.VAR20 (VAR20),
.VAR99 (VAR99),
.VAR11 (VAR11),
.VAR109 (VAR109),
.VAR209 (VAR209),
.VAR162 (VAR162),
.VAR5 (VAR5),
.VAR131 (VAR131),
.VAR181 (VAR181),
.VAR152 (VAR152),
.VAR119 (VAR119),
.VAR217 (VAR217),
.VAR140 (VAR140),
.VAR102 (VAR102),
.VAR100 (VAR100),
.VAR19 (VAR19),
.VAR165 (4'h0),
.VAR175 (VAR175),
.VAR218 (VAR218),
.VAR91 (VAR91),
.VAR174 (VAR174),
.VAR128 (VAR128),
.VAR116 (VAR116),
.VAR207 (VAR207),
.VAR89 (VAR89),
.VAR81 (VAR81),
.VAR158 (VAR158),
.VAR38 (VAR38),
.VAR139 (VAR139),
.VAR31 (VAR31),
.VAR237 (VAR237),
.VAR142 (VAR142),
.VAR204 (VAR204),
.VAR68 (VAR68),
.VAR12 (VAR12),
.VAR118 (VAR118),
.VAR199 (4'h0),
.VAR193 (VAR193),
.VAR132 (VAR132),
.VAR85 (VAR85),
.VAR63 (VAR63),
.VAR41 (VAR41),
.VAR136 (VAR136),
.VAR176 (VAR176),
.VAR156 (VAR156),
.VAR82 (VAR82),
.VAR213 (VAR213),
.VAR61 (VAR61),
.VAR1 (VAR1),
.VAR14 (VAR14),
.VAR130 (VAR130),
.VAR49 (VAR49),
.VAR45 (VAR45),
.VAR67 (VAR67)
);
always @(posedge clk) begin
VAR209 <= ~rst;
end
VAR191 #(
.VAR163 (VAR212),
.VAR18 (VAR219),
.VAR155 (VAR47),
.VAR173 (VAR216),
.VAR133 (VAR133),
.VAR179 (VAR57),
.VAR197 (VAR221),
.VAR211 (VAR211),
.VAR10 (VAR10),
.VAR4 (VAR4),
.VAR50 (VAR50),
.VAR215 (VAR215),
.VAR58 (VAR122),
.VAR194 (VAR194)
) VAR9
(
.VAR64 (clk),
.VAR209 (VAR209),
.VAR36 (VAR73),
.VAR137 (1'b0),
.VAR190 (~VAR73),
.VAR42 (1'b0),
.VAR123 (VAR218),
.VAR92 (VAR181),
.VAR192 (VAR152),
.VAR233 (VAR119),
.VAR135 (VAR217),
.VAR13 (VAR140),
.VAR40 (VAR102),
.VAR149 (VAR100),
.VAR127 (VAR19),
.VAR75 (VAR175),
.VAR101 (VAR207),
.VAR107 (VAR59),
.VAR146 (VAR91),
.VAR113 (VAR174),
.VAR86 (VAR128),
.VAR60 (VAR116),
.VAR87 (VAR89),
.VAR200 (VAR81),
.VAR16 (VAR158),
.VAR144 (VAR38),
.VAR7 (VAR132),
.VAR177 (VAR139),
.VAR198 (VAR31),
.VAR39 (VAR237),
.VAR56 (VAR142),
.VAR208 (VAR204),
.VAR153 (VAR68),
.VAR96 (VAR12),
.VAR222 (VAR118),
.VAR203 (VAR193),
.VAR196 (VAR85),
.VAR126 (VAR41),
.VAR6 (VAR176),
.VAR220 (VAR63),
.VAR157 (VAR136),
.VAR51 (VAR156),
.VAR43 (VAR43),
.VAR23 (VAR23),
.VAR26 (VAR26),
.VAR46 (VAR46),
.VAR129 (VAR129),
.VAR147 (VAR147),
.VAR79 (VAR79),
.VAR234 (VAR234),
.VAR223 (VAR2),
.VAR84 (VAR80),
.VAR90 (VAR90),
.VAR238 (VAR238),
.VAR227 (VAR227),
.VAR160 (VAR160),
.VAR171 (VAR171)
);
assign VAR202 = 'b0;
assign VAR170 = 'b0;
assign VAR110 = 'b0;
assign VAR224 = 'b0;
assign VAR141 = 'b0;
assign VAR28 = 'b0;
assign VAR120 = 'b0;
assign VAR180 = 'b0;
assign VAR106 = 'b0;
reg[3*VAR151-1:0] VAR125;
reg[6*VAR151-1:0] VAR189;
reg[6*VAR151-1:0] VAR25;
reg[6*VAR151-1:0] VAR93;
reg[5*VAR151-1:0] VAR225;
reg[11:0] VAR134;
always @(posedge clk) begin
end
always @(posedge clk) begin
if (rst)
end
else if (VAR1)
end
always @(posedge clk) begin
if (rst) begin
end else if (VAR14) begin
case (VAR130[2:0])
3'b000: begin
3'b001: begin
3'b010: begin
3'b011: begin
3'b100: begin
if (VAR130[6:3] < VAR151-1) begin
end else begin
end
end
3'b110: begin
end
3'b111: begin
end
endcase
end
end
endmodule | mit |
finnball/igloo | projects/mandlebrot/hdl/mandlebrot_factory.v | 3,153 | module MODULE1(
input clk,
input [VAR9 - 1 : 0]VAR20,
output [VAR17 - 1 : 0] VAR26
);
parameter VAR17 = 8;
parameter VAR9 = 9;
parameter VAR13 = 2 * VAR17 + 7;
localparam VAR12 = 5;
reg VAR10 = 0;
reg [VAR17 - 1 : 0] VAR14[VAR13 - 1 : 0];
reg [VAR9 - 1 : 0] VAR7 = 0;
reg [VAR9 - 1 : 0] VAR25[VAR13 - 1 : 0];
wire VAR3;
wire en;
always @(posedge clk)
begin
if ( ~en || VAR3 || (VAR14[VAR13 - 1] > VAR12) )
begin
VAR7 <= VAR7 + 1;
end
end
always @(posedge clk)
begin
if ( VAR3 || (VAR14[VAR13 - 2] > (VAR12 - 1)) )
begin
VAR10 <= 1;
VAR14[0] <= 0;
end
else
begin
VAR10 <= 0;
VAR14[0] <= en ? VAR14[VAR13 - 2] + 1 : 0;
end
end
always @(posedge clk)
begin
if ( ~en || VAR3 || (VAR14[VAR13 - 2] > VAR12 - 1) )
begin
VAR25[0] <= VAR7;
end
else
begin
VAR25[0] <= VAR25[VAR13 - 2];
end
end
genvar VAR15;
generate
for (VAR15 = 1; VAR15 < VAR13; VAR15 = VAR15 + 1)
begin
always @(posedge clk)
begin
VAR25[VAR15] <= VAR25[VAR15 - 1];
if (VAR15 == VAR13 - 1)
begin
VAR14[VAR15] <= VAR3 ? VAR14[VAR15 - 1] : VAR14[VAR15 - 1] + 1;
end
else
begin
VAR14[VAR15] <= VAR14[VAR15 - 1];
end
end
end
endgenerate
wire [VAR17 - 1 : 0] VAR27;
wire [VAR9 - 1 : 0] VAR1;
assign VAR27 = VAR14[VAR13 - 1];
assign VAR1 = VAR25[VAR13 - 1];
VAR29#(
) memory (
.VAR16(clk),
.VAR8(clk),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR27(VAR27),
.VAR11(1'b1),
.VAR20(VAR20),
.VAR26(VAR26)
);
reg [VAR9 - 1 : 0] VAR18, VAR22;
wire [VAR9 - 1 : 0] VAR6;
reg [VAR9 - 1 : 0] VAR2, VAR4;
wire [VAR9 - 1 : 0] VAR23;
reg VAR24;
always @(*)
begin
if (~en ||~VAR3)
begin
VAR18 <= 0;
VAR2 <= 0;
VAR22 <= VAR25[0];
VAR4 <= VAR25[0];
end
else
begin
VAR18 <= VAR6;
VAR2 <= VAR23;
VAR22 <= VAR25[0];
VAR4 <= VAR25[0];
end
end
always @(posedge clk)
begin
VAR24 <= 1'b1;
end
VAR5#(
.VAR30(VAR9)
) VAR28(
.clk(clk),
.VAR18(VAR18),
.VAR2(VAR2),
.VAR22(VAR22),
.VAR4(VAR4),
.VAR6(VAR6),
.VAR23(VAR23),
.VAR3(VAR3),
.VAR24(VAR24),
.VAR21(en)
);
integer VAR19;
begin
begin | gpl-3.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/axi_mc_controller/axi_mc_controller.v | 22,111 | module MODULE1
(
input VAR140, input VAR175,
output VAR57,
output VAR229,
output VAR27,
output VAR45,
output VAR195,
output VAR177,
output VAR205,
output [3:0] VAR222,
input VAR88,
input VAR210,
input VAR110,
input VAR30,
input [31:0] VAR137,
input [31:0] VAR219,
input [31:0] VAR19,
input [31:0] VAR143,
input [31:0] VAR12,
input [31:0] VAR10,
input [31:0] VAR198,
input [31:0] VAR168,
output[1:0] VAR22,
input [2:0] VAR236,
output VAR28,
output VAR85,
output VAR237,
output VAR39,
output VAR44,
output VAR16,
output VAR33,
output VAR169,
output VAR125,
output VAR212,
output VAR167,
output VAR249,
output VAR58,
output VAR14,
output VAR50,
output VAR189,
output VAR247,
output [31:0] VAR243,
output [31:0] VAR76,
output [31:0] VAR99,
output [31:0] VAR129,
output [31:0] VAR83,
output [31:0] VAR114,
output [31:0] VAR101,
output [31:0] VAR89,
input VAR109,
input VAR149,
input VAR9,
input [31:0] VAR26,
output VAR74,
input VAR40,
input [31:0] VAR64,
input [3:0] VAR221,
output VAR60,
output VAR3,
output [1:0] VAR182,
input VAR115,
input VAR157,
input [31:0] VAR77,
output VAR138,
output VAR159,
output [1:0] VAR63,
output [31:0] VAR5,
input VAR133
);
reg [31:0] VAR183 = 'd0;
reg VAR11 = 'd0;
reg VAR233 = 'd0;
reg VAR124 = 'd0;
wire VAR79;
wire VAR192;
wire VAR213;
wire VAR184;
wire VAR43;
wire [13:0] VAR185;
wire [13:0] VAR29;
wire [31:0] VAR214;
wire [31:0] VAR112;
wire [31:0] VAR248;
wire [31:0] VAR230;
wire [31:0] VAR49;
wire [31:0] VAR162;
wire [31:0] VAR144;
wire [31:0] VAR218;
wire [31:0] VAR231;
wire [31:0] VAR200;
wire [31:0] VAR141;
wire VAR188;
wire VAR135;
wire VAR90;
wire VAR206;
wire VAR147;
wire VAR170;
wire VAR21;
wire VAR119;
wire VAR126;
wire VAR93;
wire VAR156;
wire VAR113;
wire VAR65;
wire VAR164;
wire VAR78;
wire VAR194;
wire VAR46;
wire VAR235;
wire VAR216;
wire VAR6;
wire VAR131;
wire VAR172;
wire VAR171;
wire [10:0] VAR52;
wire [10:0] VAR154;
wire VAR17;
wire VAR165;
wire VAR1;
wire VAR37;
wire VAR148;
wire VAR73;
wire VAR209;
assign VAR213 = VAR109;
assign VAR192 = VAR149;
assign VAR28 = VAR175;
assign VAR212 = VAR30;
assign VAR167 = VAR30;
assign VAR249 = VAR30;
assign VAR58 = VAR30;
assign VAR14 = VAR30;
assign VAR50 = VAR30;
assign VAR189 = VAR30;
assign VAR247 = VAR30;
assign VAR243 = VAR137;
assign VAR76 = VAR219;
assign VAR99 = VAR19;
assign VAR129 = VAR143;
assign VAR83 = VAR12;
assign VAR114 = VAR10;
assign VAR101 = VAR198;
assign VAR89 = VAR168;
assign VAR202 = !VAR131;
assign VAR57 = VAR131;
assign VAR154 = VAR52 ;
assign VAR229 = VAR209 ? !VAR88 : VAR17;
assign VAR27 = VAR209 ? VAR88 : VAR165;
assign VAR45 = VAR209 ? !VAR210 : VAR1;
assign VAR195 = VAR209 ? VAR210 : VAR37;
assign VAR177 = VAR209 ? !VAR110 : VAR148;
assign VAR205 = VAR209 ? VAR110 : VAR73;
always @(posedge VAR140)
begin
VAR124 <= ~VAR124; end
always @(negedge VAR192 or posedge VAR213) begin
if(VAR192 == 0) begin
VAR183 <= 'd0;
VAR11 <= 'd0;
VAR233 <= 'd0;
end else begin
VAR183 <= VAR248 | VAR112 | VAR230 | VAR49 | VAR162 | VAR144 | VAR218 | VAR231 | VAR200 | VAR141;
VAR233 <= VAR206 | VAR135 | VAR170 | VAR119 | VAR93 | VAR113 | VAR164 | VAR194 | VAR235 | VAR6;
VAR11 <= VAR90 | VAR188 | VAR147 | VAR21 | VAR126 | VAR156 | VAR65 | VAR78 | VAR46 | VAR216;
end
end
VAR105
VAR91(
.VAR81(VAR175),
.VAR54(VAR124),
.VAR181(VAR192) ,
.VAR128(VAR131),
.VAR224(VAR172),
.VAR196(VAR171),
.VAR236(VAR236),
.VAR208(VAR154),
.VAR56(VAR17),
.VAR20(VAR1),
.VAR232(VAR148),
.VAR239(VAR165),
.VAR118(VAR37),
.VAR107(VAR73));
VAR70 VAR215(
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR90),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR248),
.VAR233 (VAR206),
.VAR66(VAR131),
.VAR225(),
.VAR71(VAR171),
.VAR122(VAR172),
.VAR22(VAR22),
.VAR241(),
.VAR190(),
.VAR104(),
.VAR191(),
.VAR2(),
.VAR25(),
.VAR222(VAR222),
.VAR120(),
.VAR223(VAR209),
.VAR238(32'h0),
.VAR96(),
.VAR98(VAR52));
VAR203 #(.VAR84(0)) VAR69(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR85),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR147),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR230),
.VAR233 (VAR170));
VAR203 #(.VAR84(1)) VAR72(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR237),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR21),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR49),
.VAR233 (VAR119));
VAR203 #(.VAR84(2)) VAR151(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR39),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR126),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR162),
.VAR233 (VAR93));
VAR203 #(.VAR84(3)) VAR8(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR44),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR156),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR144),
.VAR233 (VAR113));
VAR203 #(.VAR84(4)) VAR155(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR16),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR65),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR218),
.VAR233 (VAR164));
VAR203 #(.VAR84(5)) VAR62(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR33),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR78),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR231),
.VAR233 (VAR194));
VAR203 #(.VAR84(6)) VAR197(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR169),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR46),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR200),
.VAR233 (VAR235));
VAR203 #(.VAR84(7)) VAR108(
.VAR34(VAR140),
.VAR79(VAR79),
.VAR244(VAR125),
.VAR18(),
.VAR161(),
.VAR35(),
.VAR242(),
.VAR15(),
.VAR7(),
.VAR4(),
.VAR134(),
.VAR32(),
.VAR160(),
.VAR127(),
.VAR187(1'b0),
.VAR111(1'b0),
.VAR87(1'b0),
.VAR38(),
.VAR174(),
.VAR158(),
.VAR94(),
.VAR193(),
.VAR163(),
.VAR211(),
.VAR47(),
.VAR178(),
.VAR227(),
.VAR103(1'b0),
.VAR48(1'b1),
.VAR41(8'd0),
.VAR207(8'd16),
.VAR152(8'd16),
.VAR179(16'd1),
.VAR24(16'd1),
.VAR192(VAR192),
.VAR213(VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR216),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR141),
.VAR233 (VAR6));
VAR217 VAR97(
.VAR186(),
.VAR34(VAR140),
.VAR79(VAR79),
.VAR42(),
.VAR123(),
.VAR180(),
.VAR228(1'b1),
.VAR31(1'b1),
.VAR132(1'b0),
.VAR220(1'b0),
.VAR68(32'd1),
.VAR150(),
.VAR130(),
.VAR86(1'b0),
.VAR121(1'b0),
.VAR51(1'b0),
.VAR199(),
.VAR146(),
.VAR117(),
.VAR36(),
.VAR80(16'd0),
.VAR245(1'b0),
.VAR13(1'b0),
.VAR53(),
.VAR153(8'd7),
.VAR246(32'h0),
.VAR23(),
.VAR192 (VAR192),
.VAR213 (VAR213),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR188),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR112),
.VAR233 (VAR135));
VAR75 VAR95(
.VAR192(VAR192),
.VAR213(VAR213),
.VAR145(VAR9),
.VAR201(VAR26),
.VAR106(VAR74),
.VAR61(VAR40),
.VAR142(VAR64),
.VAR55(VAR221),
.VAR226(VAR60),
.VAR136(VAR3),
.VAR100(VAR182),
.VAR139(VAR115),
.VAR102(VAR157),
.VAR82(VAR77),
.VAR116(VAR138),
.VAR176(VAR159),
.VAR92(VAR63),
.VAR204(VAR5),
.VAR240(VAR133),
.VAR67 (VAR43),
.VAR59 (VAR29),
.VAR173 (VAR214),
.VAR11 (VAR11),
.VAR234 (VAR184),
.VAR166 (VAR185),
.VAR183 (VAR183),
.VAR233 (VAR233));
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/dlrbp/sky130_fd_sc_ls__dlrbp_1.v | 2,474 | module MODULE1 (
VAR3 ,
VAR2 ,
VAR11,
VAR9 ,
VAR1 ,
VAR10 ,
VAR5 ,
VAR8 ,
VAR7
);
output VAR3 ;
output VAR2 ;
input VAR11;
input VAR9 ;
input VAR1 ;
input VAR10 ;
input VAR5 ;
input VAR8 ;
input VAR7 ;
VAR4 VAR6 (
.VAR3(VAR3),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR3 ,
VAR2 ,
VAR11,
VAR9 ,
VAR1
);
output VAR3 ;
output VAR2 ;
input VAR11;
input VAR9 ;
input VAR1 ;
supply1 VAR10;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR7 ;
VAR4 VAR6 (
.VAR3(VAR3),
.VAR2(VAR2),
.VAR11(VAR11),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
hpeng2/ECE492_Group4_Project | ECE_492_Project_new/db/ip/Video_System/submodules/altera_up_video_itu_656_decoder.v | 9,353 | module MODULE1 (
clk,
reset,
VAR12,
ready,
VAR5,
VAR2,
VAR6,
valid
);
input clk;
input reset;
input [ 7: 0] VAR12;
input ready;
output [15: 0] VAR5;
output VAR2;
output VAR6;
output valid;
wire VAR14;
wire VAR19;
wire VAR23;
wire [ 7: 0] VAR11;
reg [ 7: 0] VAR9;
reg [ 7: 0] VAR20 [ 5: 1];
reg VAR29;
reg [ 6: 1] VAR24;
reg VAR27;
reg [15: 0] VAR30;
reg VAR22;
reg VAR13;
integer VAR18;
always @ (posedge clk)
VAR9 <= VAR12;
always @ (posedge clk)
begin
for (VAR18 = 5; VAR18 > 1; VAR18 = VAR18 - 1)
VAR20[VAR18] <= VAR20[(VAR18 - 1)];
VAR20[1] <= VAR9;
end
always @(posedge clk)
begin
if ((VAR20[3] == 8'hFF) &&
(VAR20[2] == 8'h00) &&
(VAR20[1] == 8'h00))
VAR29 <= 1'b1;
end
else
VAR29 <= 1'b0;
end
always @ (posedge clk)
begin
if (reset)
VAR24 <= 6'h00;
end
else if (VAR19 | VAR23)
VAR24 <= {VAR24[5:1], 1'b1};
else if (VAR14 == 1'b1)
VAR24 <= 6'h00;
else
VAR24[6:2] <= VAR24[5:1];
end
always @ (posedge clk)
begin
if (reset)
VAR27 <= 1'b0;
end
else if (VAR23)
VAR27 <= 1'b1;
else if (VAR19)
VAR27 <= 1'b0;
end
always @ (posedge clk)
VAR30 <= {VAR20[5], VAR20[4]};
always @ (posedge clk)
begin
if (~VAR27 & VAR23)
VAR22 <= 1'b1;
end
else if (VAR27 & VAR19)
VAR22 <= 1'b1;
else if (valid)
VAR22 <= 1'b0;
end
always @(posedge clk)
begin
if (VAR24[5])
VAR13 <= VAR13 ^ 1'b1;
end
else
VAR13 <= 1'b0;
end
assign VAR11 = VAR20[1];
assign VAR14 =
( VAR29 &
( (VAR11[5] ^ VAR11[4]) == VAR11[3]) &
( (VAR11[6] ^ VAR11[4]) == VAR11[2]) &
( (VAR11[6] ^ VAR11[5]) == VAR11[1]) &
( (VAR11[6] ^ VAR11[5] ^ VAR11[4]) == VAR11[0])
);
assign VAR19 = VAR14 &
VAR11[6] & ~VAR11[5] & ~VAR11[4];
assign VAR23 = VAR14 &
~VAR11[6] & ~VAR11[5] & ~VAR11[4];
VAR25 VAR26 (
.clk (clk),
.reset (reset),
.VAR8 (VAR30),
.VAR3 (VAR22),
.VAR1 (1'b0),
.VAR7 (VAR13),
.VAR4 (ready),
.VAR16 (),
.VAR10 (VAR5),
.VAR21 (VAR2),
.VAR28 (VAR6),
.VAR15 (valid)
);
VAR26.VAR17 = 15;
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a211oi/sky130_fd_sc_ls__a211oi_4.v | 2,361 | module MODULE2 (
VAR11 ,
VAR10 ,
VAR6 ,
VAR8 ,
VAR1 ,
VAR2,
VAR4,
VAR5 ,
VAR3
);
output VAR11 ;
input VAR10 ;
input VAR6 ;
input VAR8 ;
input VAR1 ;
input VAR2;
input VAR4;
input VAR5 ;
input VAR3 ;
VAR7 VAR9 (
.VAR11(VAR11),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR11 ,
VAR10,
VAR6,
VAR8,
VAR1
);
output VAR11 ;
input VAR10;
input VAR6;
input VAR8;
input VAR1;
supply1 VAR2;
supply0 VAR4;
supply1 VAR5 ;
supply0 VAR3 ;
VAR7 VAR9 (
.VAR11(VAR11),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a21boi/sky130_fd_sc_hdll__a21boi.pp.symbol.v | 1,402 | module MODULE1 (
input VAR6 ,
input VAR5 ,
input VAR4,
output VAR3 ,
input VAR2 ,
input VAR1,
input VAR7,
input VAR8
);
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/controllerHdl_MATLAB_Function.v | 1,169 | module MODULE1
(
VAR1,
VAR2
);
input [35:0] VAR1; output [17:0] VAR2;
assign VAR2 = VAR1[17:0];
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/fahcon/sky130_fd_sc_hd__fahcon_1.v | 2,412 | module MODULE2 (
VAR2,
VAR6 ,
VAR9 ,
VAR3 ,
VAR10 ,
VAR8 ,
VAR4 ,
VAR7 ,
VAR11
);
output VAR2;
output VAR6 ;
input VAR9 ;
input VAR3 ;
input VAR10 ;
input VAR8 ;
input VAR4 ;
input VAR7 ;
input VAR11 ;
VAR1 VAR5 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR11(VAR11)
);
endmodule
module MODULE2 (
VAR2,
VAR6 ,
VAR9 ,
VAR3 ,
VAR10
);
output VAR2;
output VAR6 ;
input VAR9 ;
input VAR3 ;
input VAR10 ;
supply1 VAR8;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR11 ;
VAR1 VAR5 (
.VAR2(VAR2),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
tmatsuya/milkymist-ml401 | cores/lm32/rtl/lm32_adder.v | 4,349 | module MODULE1 (
VAR21,
VAR5,
VAR12,
VAR4,
VAR8,
VAR16,
VAR2
);
input VAR21; input VAR5; input [VAR17] VAR12; input [VAR17] VAR4;
output [VAR17] VAR8; wire [VAR17] VAR8;
output VAR16; wire VAR16;
output VAR2; reg VAR2;
wire VAR6; wire VAR11; wire VAR10;
VAR14 VAR3 (
.VAR7 (VAR12),
.VAR20 (VAR4),
.VAR15 (VAR21),
.VAR9 (VAR5),
.VAR22 (VAR8),
.VAR19 (VAR16)
);
assign VAR6 = VAR12[VAR1-1];
assign VAR11 = VAR4[VAR1-1];
assign VAR10 = VAR8[VAR1-1];
always @(*)
begin
if ( (!VAR6 & VAR11 & VAR10)
|| (VAR6 & !VAR11 & !VAR10)
)
VAR2 = VAR13;
end
else
VAR2 = VAR18;
end
endmodule | lgpl-3.0 |
twlostow/dsi-shield | hdl/rtl/hpdmc/spartan6/hpdmc_iodelay16.v | 1,848 | module MODULE1 #(
parameter VAR2 = 30
) (
input [15:0] VAR22,
output [15:0] VAR1,
input [15:0] VAR14,
output [15:0] VAR21,
input [15:0] VAR10,
output [15:0] VAR11,
input VAR7,
input VAR17,
input VAR15,
input VAR23,
input VAR13,
input VAR4,
input VAR20
);
genvar VAR3;
generate
for(VAR3=0;VAR3<16;VAR3=VAR3+1)
VAR18 #(
.VAR6("VAR12"),
.VAR19("VAR8"),
.VAR16("VAR5"),
.VAR2(VAR2)
) VAR9 (
.VAR22(VAR22[VAR3]),
.VAR1(VAR1[VAR3]),
.VAR14(VAR14[VAR3]),
.VAR21(VAR21[VAR3]),
.VAR10(VAR10[VAR3]),
.VAR11(VAR11[VAR3]),
.VAR7(VAR7),
.VAR17(VAR17),
.VAR15(VAR15),
.VAR13(VAR13),
.VAR4(VAR4),
.VAR20(VAR20),
.VAR23(VAR23)
);
endgenerate
endmodule | lgpl-3.0 |
tmatsuya/milkymist-ml401 | cores/minimac/rtl/minimac_asfifo.v | 4,288 | module MODULE1
VAR18 = 4,
VAR14 = (1 << VAR18))
(output wire [VAR25-1:0] VAR27,
output reg VAR1,
input wire VAR8,
input wire VAR19,
input wire [VAR25-1:0] VAR4,
output reg VAR17,
input wire VAR21,
input wire VAR16,
input wire VAR11);
reg [VAR25-1:0] VAR9 [VAR14-1:0];
wire [VAR18-1:0] VAR30, VAR24;
wire VAR15;
wire VAR7, VAR6;
wire VAR13, VAR12;
reg VAR28;
wire VAR2, VAR20;
assign VAR27 = VAR9[VAR24];
always @ (posedge VAR16)
if (VAR21 & !VAR17)
VAR9[VAR30] <= VAR4;
assign VAR7 = VAR21 & ~VAR17;
assign VAR6 = VAR8 & ~VAR1;
VAR23 #(
.VAR3( VAR18 )
) VAR26 (
.VAR10(VAR30),
.VAR5(VAR7),
.VAR11(VAR11),
.VAR29(VAR16)
);
VAR23 #(
.VAR3( VAR18 )
) VAR22 (
.VAR10(VAR24),
.VAR5(VAR6),
.VAR11(VAR11),
.VAR29(VAR19)
);
assign VAR15 = (VAR30 == VAR24);
assign VAR13 = (VAR30[VAR18-2] ~^ VAR24[VAR18-1]) &
(VAR30[VAR18-1] ^ VAR24[VAR18-2]);
assign VAR12 = (VAR30[VAR18-2] ^ VAR24[VAR18-1]) &
(VAR30[VAR18-1] ~^ VAR24[VAR18-2]);
always @ (VAR13, VAR12, VAR11) if (VAR12 | VAR11)
VAR28 = 0; else if (VAR13)
VAR28 = 1;
assign VAR2 = VAR28 & VAR15;
always @ (posedge VAR16, posedge VAR2) if (VAR2)
VAR17 <= 1;
else
VAR17 <= 0;
assign VAR20 = ~VAR28 & VAR15;
always @ (posedge VAR19, posedge VAR20) if (VAR20)
VAR1 <= 1;
else
VAR1 <= 0;
endmodule | lgpl-3.0 |
m-labs/milkymist | cores/tmu2/rtl/tmu2_alpha.v | 2,986 | module MODULE1 #(
parameter VAR16 = 26
) (
input VAR43,
input VAR11,
output VAR20,
input [5:0] VAR31,
input VAR28,
input VAR45,
output VAR15,
input [15:0] VAR35,
input [VAR16-1-1:0] VAR12,
input [15:0] VAR1,
output VAR42,
input VAR6,
output reg [VAR16-1-1:0] VAR36,
output [15:0] VAR21
);
wire en;
reg VAR27;
reg VAR13;
reg VAR34;
reg VAR4;
always @(posedge VAR43) begin
if(VAR11) begin
VAR27 <= 1'b0;
VAR13 <= 1'b0;
VAR34 <= 1'b0;
VAR4 <= 1'b0;
end else if(en) begin
VAR27 <= VAR45;
VAR13 <= VAR27;
VAR34 <= VAR13;
VAR4 <= VAR34;
end
end
reg [VAR16-1-1:0] VAR3;
reg [VAR16-1-1:0] VAR19;
reg [VAR16-1-1:0] VAR5;
wire [4:0] VAR33 = VAR35[15:11];
wire [5:0] VAR9 = VAR35[10:5];
wire [4:0] VAR40 = VAR35[4:0];
wire [4:0] VAR17 = VAR1[15:11];
wire [5:0] VAR32 = VAR1[10:5];
wire [4:0] VAR8 = VAR1[4:0];
reg [10:0] VAR18;
reg [11:0] VAR25;
reg [10:0] b1;
reg [10:0] VAR37;
reg [11:0] VAR29;
reg [10:0] VAR10;
reg [10:0] VAR44;
reg [11:0] VAR38;
reg [10:0] VAR22;
reg [10:0] VAR7;
reg [11:0] VAR14;
reg [10:0] VAR2;
reg [11:0] VAR26;
reg [12:0] VAR23;
reg [11:0] VAR30;
reg [5:0] VAR39;
reg [6:0] VAR24;
reg [5:0] VAR41;
always @(posedge VAR43) begin
if(en) begin
VAR3 <= VAR12;
VAR19 <= VAR3;
VAR5 <= VAR19;
VAR36 <= VAR5;
VAR18 <= ({1'b0, VAR31} + 7'd1)*VAR33;
VAR25 <= ({1'b0, VAR31} + 7'd1)*VAR9;
b1 <= ({1'b0, VAR31} + 7'd1)*VAR40;
VAR37 <= (VAR28 ? 7'd64 : (7'd63 - VAR31))*VAR17;
VAR29 <= (VAR28 ? 7'd64 : (7'd63 - VAR31))*VAR32;
VAR10 <= (VAR28 ? 7'd64 : (7'd63 - VAR31))*VAR8;
VAR44 <= VAR18;
VAR38 <= VAR25;
VAR22 <= b1;
VAR7 <= VAR37;
VAR14 <= VAR29;
VAR2 <= VAR10;
VAR26 <= VAR44 + VAR7;
VAR23 <= VAR38 + VAR14;
VAR30 <= VAR22 + VAR2;
VAR39 <= VAR26[11:6] + (VAR26[5] & (|VAR26[4:0] | VAR26[6]));
VAR24 <= VAR23[12:6] + (VAR23[5] & (|VAR23[4:0] | VAR23[6]));
VAR41 <= VAR30[11:6] + (VAR30[5] & (|VAR30[4:0] | VAR30[6]));
end
end
assign VAR21 = {
{5{VAR39[5]}} | VAR39[4:0],
{6{VAR24[6]}} | VAR24[5:0],
{5{VAR41[5]}} | VAR41[4:0]
};
assign VAR20 = VAR27 | VAR13 | VAR34 | VAR4;
assign VAR15 = ~VAR4 | VAR6;
assign en = ~VAR4 | VAR6;
assign VAR42 = VAR4;
endmodule | lgpl-3.0 |
ptracton/pmodacl2 | rtl/fpga.v | 4,988 | module MODULE1 (
VAR13, VAR14, VAR5,
VAR20, VAR17, VAR12, VAR10, VAR4
) ;
input wire VAR20;
input wire VAR17;
output wire VAR13;
output wire VAR14;
output wire VAR5;
input wire VAR12;
input wire VAR10;
input wire VAR4;
wire VAR18; wire VAR11; wire clk; wire VAR25; wire VAR8; wire [7:0] VAR21; wire VAR1; wire rst; wire [7:0] VAR16; wire [7:0] VAR2; wire [7:0] VAR9; wire [15:0] VAR26; wire [7:0] VAR15; wire VAR3; wire VAR19;
VAR6 VAR7(
.clk (clk),
.rst (rst),
.VAR8 (VAR8),
.VAR20 (VAR20),
.VAR17 (VAR17));
VAR22 VAR23(
.VAR15 (VAR15[7:0]),
.VAR16 (VAR16[7:0]),
.VAR2 (VAR2[7:0]),
.VAR3 (VAR3),
.VAR1 (VAR1),
.VAR19 (VAR19),
.VAR18 (VAR18),
.VAR11 (VAR11),
.VAR14 (VAR14),
.VAR26 (VAR26[15:0]),
.clk (clk),
.rst (rst),
.VAR21 (VAR21[7:0]),
.VAR25 (VAR25),
.VAR9 (VAR9[7:0]));
VAR27 VAR24(
.VAR9 (VAR9[7:0]),
.VAR25 (VAR25),
.VAR21 (VAR21[7:0]),
.VAR13 (VAR13),
.VAR5 (VAR5),
.VAR20 (VAR20),
.VAR8 (VAR8),
.VAR16 (VAR16[7:0]),
.VAR2 (VAR2[7:0]),
.VAR3 (VAR3),
.VAR1 (VAR1),
.VAR19 (VAR19),
.VAR18 (VAR18),
.VAR11 (VAR11),
.VAR15 (VAR15[7:0]),
.VAR12 (VAR12));
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/clkdlyinv5sd1/sky130_fd_sc_ls__clkdlyinv5sd1.blackbox.v | 1,323 | module MODULE1 (
VAR4,
VAR2
);
output VAR4;
input VAR2;
supply1 VAR6;
supply0 VAR5;
supply1 VAR1 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a22oi/sky130_fd_sc_hdll__a22oi_2.v | 2,368 | module MODULE1 (
VAR9 ,
VAR1 ,
VAR5 ,
VAR3 ,
VAR6 ,
VAR7,
VAR11,
VAR4 ,
VAR2
);
output VAR9 ;
input VAR1 ;
input VAR5 ;
input VAR3 ;
input VAR6 ;
input VAR7;
input VAR11;
input VAR4 ;
input VAR2 ;
VAR10 VAR8 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR4(VAR4),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR9 ,
VAR1,
VAR5,
VAR3,
VAR6
);
output VAR9 ;
input VAR1;
input VAR5;
input VAR3;
input VAR6;
supply1 VAR7;
supply0 VAR11;
supply1 VAR4 ;
supply0 VAR2 ;
VAR10 VAR8 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6)
);
endmodule | apache-2.0 |
JohnOrlando/gnuradio-bitshark | gr-sounder/src/fpga/lib/dac_interface.v | 1,737 | module MODULE1(VAR5,VAR12,VAR4,VAR15,VAR11,VAR13,VAR8,VAR3);
input VAR5;
input VAR12;
input VAR4;
input VAR15;
input [13:0] VAR11;
input [13:0] VAR13;
output [13:0] VAR8;
output VAR3;
wire VAR2;
reg VAR9;
reg [13:0] VAR8;
VAR10 VAR6(.VAR14(VAR12),.VAR7(VAR5),.VAR1(VAR2));
always @(posedge VAR2)
VAR9 <= VAR5;
always @(posedge VAR2)
VAR8 <= VAR9 ? VAR11 : VAR13;
assign VAR3 = VAR9;
assign VAR3 = VAR15;
endmodule | gpl-3.0 |
olgirard/openmsp430 | fpga/actel_m1a3pl_dev_kit/bench/verilog/DAC121S101.v | 3,762 | module MODULE1 (
VAR9,
din, VAR2, VAR3 );
output [11:0] VAR9;
input din; input VAR2; input VAR3;
reg VAR1;
always @ (negedge VAR2)
VAR1 <= VAR3;
wire VAR6 = ~VAR3 & VAR1;
reg [3:0] VAR5;
wire VAR7 = (VAR5==4'hf);
always @ (negedge VAR2)
if (VAR3) VAR5 <= 4'hf;
else if (VAR6) VAR5 <= 4'he;
else if (~VAR7) VAR5 <= VAR5-1;
wire VAR4 = VAR3 & ~VAR1 & VAR7;
reg [15:0] VAR8;
always @ (negedge VAR2)
VAR8 <= {VAR8[14:0], din};
reg [11:0] VAR9;
always @ (negedge VAR2)
if (VAR4)
VAR9 <= VAR8[11:0];
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/and4/sky130_fd_sc_hdll__and4.behavioral.pp.v | 1,855 | module MODULE1 (
VAR4 ,
VAR3 ,
VAR12 ,
VAR1 ,
VAR14 ,
VAR6,
VAR7,
VAR11 ,
VAR5
);
output VAR4 ;
input VAR3 ;
input VAR12 ;
input VAR1 ;
input VAR14 ;
input VAR6;
input VAR7;
input VAR11 ;
input VAR5 ;
wire VAR8 ;
wire VAR2;
and VAR10 (VAR8 , VAR3, VAR12, VAR1, VAR14 );
VAR13 VAR15 (VAR2, VAR8, VAR6, VAR7);
buf VAR9 (VAR4 , VAR2 );
endmodule | apache-2.0 |
alexforencich/verilog-uart | example/VCU108/fpga/rtl/fpga_core.v | 3,480 | module MODULE1 (
input wire clk,
input wire rst,
input wire VAR22,
input wire VAR24,
input wire VAR27,
input wire VAR11,
input wire VAR5,
input wire [7:0] VAR16,
output wire [7:0] VAR20,
input wire VAR23,
output wire VAR2,
output wire VAR29,
input wire VAR26
);
reg [7:0] VAR13;
reg VAR14;
wire VAR31;
wire [7:0] VAR15;
wire VAR28;
reg VAR18;
assign VAR29 = 1'b1;
VAR9
VAR6 (
.clk(clk),
.rst(rst),
.VAR19(VAR13),
.VAR3(VAR14),
.VAR30(VAR31),
.VAR7(VAR15),
.VAR8(VAR28),
.VAR1(VAR18),
.VAR10(VAR23),
.VAR32(VAR2),
.VAR25(),
.VAR17(),
.VAR12(),
.VAR21(),
.VAR4(125000000/(9600*8))
);
assign VAR20 = VAR13;
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR13 <= 0;
VAR14 <= 0;
VAR18 <= 0;
end else begin
if (VAR14) begin
VAR18 <= 0;
if (VAR31) begin
VAR14 <= 0;
end
end else begin
VAR18 <= 1;
if (VAR28) begin
VAR18 <= ~VAR18;
VAR13 <= VAR15;
VAR14 <= 1;
end
end
end
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/iso1p/sky130_fd_sc_lp__iso1p.pp.symbol.v | 1,267 | module MODULE1 (
input VAR3 ,
output VAR5 ,
input VAR7,
input VAR2,
input VAR6 ,
input VAR4 ,
input VAR1
);
endmodule | apache-2.0 |
iafnan/es2-hardwaresecurity | or1200/rtl/verilog/or1200/or1200_dpram_256x32.v | 6,489 | module MODULE1(
VAR26, VAR36, VAR15, VAR10, VAR34, VAR20,
VAR35, VAR2, VAR18, VAR32, VAR25, VAR8
);
parameter VAR19 = 8;
parameter VAR28 = 32;
input VAR26; input VAR36; input VAR15; input VAR10; input [VAR19-1:0] VAR34; output [VAR28-1:0] VAR20; input VAR35; input VAR2; input VAR18; input VAR32; input [VAR19-1:0] VAR25; input [VAR28-1:0] VAR8;
VAR24 VAR29(
.VAR38(VAR26),
.VAR23(VAR36),
.VAR7(VAR34),
.VAR17(16'h0000),
.VAR41(VAR15),
.VAR27(1'b0),
.VAR1(VAR20[15:0]),
.VAR3(VAR35),
.VAR6(VAR2),
.VAR14(VAR25),
.VAR5(VAR8[15:0]),
.VAR31(VAR18),
.VAR21(VAR32),
.VAR13()
);
VAR24 VAR16(
.VAR38(VAR26),
.VAR23(VAR36),
.VAR7(VAR34),
.VAR17(16'h0000),
.VAR41(VAR15),
.VAR27(1'b0),
.VAR1(VAR20[31:16]),
.VAR3(VAR35),
.VAR6(VAR2),
.VAR14(VAR25),
.VAR5(VAR8[31:16]),
.VAR31(VAR18),
.VAR21(VAR32),
.VAR13()
);
VAR39 VAR37(
.VAR38(VAR26),
.VAR11(VAR36),
.VAR7({1'b0, VAR34}),
.VAR17(32'h00000000),
.VAR33(4'h0),
.VAR41(VAR15),
.VAR27(1'b0),
.VAR1(VAR20),
.VAR40(),
.VAR3(VAR35),
.VAR9(VAR2),
.VAR14({1'b0, VAR25}),
.VAR5(VAR8),
.VAR30(4'h0),
.VAR31(VAR18),
.VAR21(VAR32),
.VAR13(),
.VAR22()
);
reg [VAR28-1:0] VAR4 [(1<<VAR19)-1:0]; reg [VAR19-1:0] VAR12;
assign VAR20 = (VAR10) ? VAR4[VAR12] : {VAR28{1'b0}};
always @(posedge VAR26 or posedge VAR36)
if (VAR36)
VAR12 <= {VAR19{1'b0}};
else if (VAR15)
VAR12 <= VAR34;
always @(posedge VAR35)
if (VAR18 && VAR32)
VAR4[VAR25] <= VAR8; | gpl-3.0 |
csturton/wirepatch | system/hardware/cores/dbg_if/dbg_wb.v | 33,670 | module MODULE1(
VAR48,
VAR106,
VAR4,
VAR89,
VAR17,
VAR73,
VAR37,
VAR15,
VAR62,
VAR76,
VAR77,
VAR45,
VAR128, VAR20, VAR42, VAR25, VAR68, VAR11,
VAR132, VAR39, VAR124, VAR52, VAR27, VAR56
);
input VAR48;
input VAR106;
output VAR4;
input VAR89;
input VAR17;
input VAR73;
input VAR37;
input VAR15;
output VAR62;
output VAR76;
input VAR77;
input VAR45;
output [31:0] VAR128;
output [31:0] VAR20;
input [31:0] VAR42;
output VAR25;
output VAR68;
output [3:0] VAR11;
output VAR132;
input VAR39;
output VAR124;
input VAR52;
output [2:0] VAR27;
output [1:0] VAR56;
reg VAR25;
reg VAR4;
reg [31:0] VAR91, VAR1;
reg [31:0] VAR35;
reg [3:0] VAR57;
reg VAR118;
reg [VAR117 -1 :0] VAR24;
wire enable;
wire VAR99;
reg [VAR86 -1:0] VAR83;
wire VAR129;
reg VAR112;
reg VAR102;
reg [5:0] VAR61;
wire VAR100;
reg VAR79;
reg VAR90;
reg [VAR84 -1:0] VAR9;
wire VAR114;
reg VAR104;
reg VAR44;
reg [VAR98:0] VAR87;
reg [VAR40:0] VAR46;
wire VAR3;
reg VAR64;
reg VAR72;
reg [VAR5 -1:0] VAR10;
reg [VAR107 -1:0] VAR95;
reg [VAR55 -1:0] VAR59;
reg [VAR55:0] VAR136;
reg VAR133;
reg VAR23;
reg VAR96;
reg VAR19;
reg VAR63;
reg VAR81;
reg VAR93;
reg VAR67;
reg VAR123;
reg VAR21;
wire VAR92;
wire byte, VAR49, VAR36;
reg VAR53, VAR88, VAR126;
reg [VAR119 -1:0] VAR6;
reg [VAR85 -1:0] VAR71;
reg VAR127, VAR101, VAR78;
reg VAR51, VAR70, VAR134;
reg VAR38;
reg VAR130;
reg VAR138;
reg VAR26;
reg VAR47;
reg VAR54;
reg VAR137;
reg VAR32, VAR82;
reg VAR110;
reg VAR105;
reg VAR33, VAR58;
reg VAR12, VAR113, VAR74, VAR7;
wire [31:0] VAR8;
wire VAR14;
wire VAR66;
reg [1:0] VAR22;
reg [2:0] VAR115;
wire VAR18;
wire VAR2;
reg [7:0] VAR16 [0:3];
reg [2:0] VAR65;
reg VAR116;
reg VAR122;
reg [VAR80 -1: 0] VAR60;
wire VAR41;
reg VAR121;
wire VAR94;
wire VAR31;
wire VAR34;
wire VAR13;
wire VAR120;
wire VAR69;
wire VAR29;
assign enable = VAR37 & VAR89;
assign VAR62 = enable & VAR114 & (~VAR92);
assign VAR76 = enable & VAR92;
assign VAR41 = (VAR60 == VAR111) && VAR129;
assign VAR94 = (VAR60 == VAR28) && VAR129;
assign VAR31 = (VAR60 == VAR43) && VAR129;
assign VAR34 = (VAR10 == VAR30 || VAR10 == VAR50 || VAR10 == VAR97);
assign VAR13 = (VAR10 == VAR103 || VAR10 == VAR125 || VAR10 == VAR75);
assign VAR120 = (VAR10 == VAR30 || VAR10 == VAR103);
assign VAR69 = (VAR10 == VAR50 || VAR10 == VAR125);
assign VAR29 = (VAR10 == VAR97 || VAR10 == VAR75);
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR22 <= 2'h0;
end
else if (VAR73)
VAR22 <= 2'h0;
else if (VAR41 && VAR34 && VAR66) VAR22 <= VAR22 + 1'b1;
else if (VAR41 && VAR34 && byte && (!VAR53))
VAR22 <= VAR22 + 1'd1;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR105 <= 1'b0;
VAR24 <= {VAR117{1'b0}};
end
else if (VAR31 && VAR66) begin
VAR24[VAR5 + VAR107 + VAR55 -1:0] <= {VAR10, VAR95, VAR59};
end
else if (VAR34 && VAR41 && VAR66 && !VAR138) begin
VAR24[31:0] <= VAR8[31:0];
VAR105 <= 1'b1;
end
else if (VAR34 && VAR41 && VAR114 && !VAR138 && VAR82)
begin
VAR24[31:0] <= VAR8[31:0];
VAR105 <= 1'b1;
end
else if (VAR34 && VAR41 && VAR114 && !VAR138) begin
if (VAR10 == VAR30)
begin
if(byte & (~VAR53))
begin
case (VAR22) 2'b00 : VAR24[31:24] <= VAR8[31:24];
2'b01 : VAR24[31:24] <= VAR8[23:16];
2'b10 : VAR24[31:24] <= VAR8[15:8];
2'b11 : VAR24[31:24] <= VAR8[7:0];
endcase
VAR105 <= 1'b1;
end
else
begin
if (enable) VAR24[31:24] <= {VAR24[30:24], 1'b0};
VAR105 <= 1'b0;
end
end
else if (VAR10 == VAR50)
begin
if(VAR49 & (~VAR88))
begin
if (VAR22[1])
VAR24[31:16] <= VAR8[15:0];
end
else
VAR24[31:16] <= VAR8[31:16];
VAR105 <= 1'b1;
end
else
begin
if (enable) VAR24[31:16] <= {VAR24[30:16], 1'b0};
VAR105 <= 1'b0;
end
end
else if (VAR10 == VAR97)
begin
if(VAR36 & (~VAR126))
begin
VAR24[31:0] <= VAR8[31:0];
VAR105 <= 1'b1;
end
else
begin
if (enable) VAR24[31:0] <= {VAR24[30:0], 1'b0};
VAR105 <= 1'b0;
end
end
end
else if (enable && (!VAR100))
begin
VAR24 <= {VAR24[VAR117 -2:0], VAR106};
end
end
assign VAR99 = enable & (~VAR129);
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR83 <= {VAR86{1'b0}};
end
else if (VAR73)
VAR83 <= {VAR86{1'b0}};
else if (VAR99)
VAR83 <= VAR83 + VAR86'd1;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR60 <= {VAR80{1'b0}};
end
else if (VAR73)
VAR60 <= {VAR80{1'b0}};
else if (VAR83 == (VAR80 -1))
VAR60 <= {VAR24[VAR80-2 :0], VAR106};
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR121 <= 1'b0;
end
else
VAR121 <= VAR41;
end
always @ (enable or VAR129 or VAR100 or VAR94 or VAR31 or VAR114)
begin
if (enable && (!VAR100))
begin
if (VAR129 && VAR94)
VAR102 = 1'b1;
end
else if (VAR114 && VAR31)
VAR102 = 1'b1;
end
else
VAR102 = 1'b0;
end
else
VAR102 = 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR61 <= 6'd0;
end
else if (VAR73)
VAR61 <= 6'd0;
else if (VAR102)
VAR61 <= VAR61 + 6'd1;
end
always @ (enable or VAR3 or VAR129 or VAR41 or VAR13 or VAR34 or VAR114)
begin
if (enable && (!VAR3))
begin
if (VAR129 && VAR41 && VAR13)
VAR44 = 1'b1;
end
else if (VAR114 && VAR41 && VAR34)
VAR44 = 1'b1;
end
else
VAR44 = 1'b0;
end
else
VAR44 = 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR87 <= {VAR98+1{1'b0}};
end
else if (VAR73)
VAR87 <= {VAR98+1{1'b0}};
else if (VAR44)
VAR87 <= VAR87 + 1;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR46 <= {VAR40+1{1'b0}};
end
else if (VAR73)
VAR46 <= VAR59 + 1;
end
always @ (enable or VAR114 or VAR31 or VAR94 or VAR41 or VAR100 or VAR3 or VAR13 or VAR34 or VAR129)
begin
if (enable && (!VAR114) && VAR129)
begin
if (VAR100 && VAR94)
VAR90 = 1'b1;
end
else if (VAR3 && VAR41 && VAR13)
VAR90 = 1'b1;
end
else if (VAR129 && (VAR41 && VAR34 || VAR31))
VAR90 = 1'b1;
else
VAR90 = 1'b0;
end
else
VAR90 = 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR9 <= {VAR84{1'b0}};
end
else if(VAR90)
VAR9 <= VAR9 + 1;
else if (VAR73)
VAR9 <= {VAR84{1'b0}};
end
assign VAR129 = VAR83 == VAR109;
assign VAR100 = VAR61 == VAR117;
assign VAR114 = VAR9 == VAR84'd32;
assign VAR66 = VAR9 == VAR84'd31;
assign VAR3 = (VAR87 == {VAR46, 3'b000});
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR104 <= 1'b0;
VAR112 <= 1'b0;
VAR64 <= 1'b0;
VAR79 <= 1'b0;
end
else
begin
VAR104 <= VAR114;
VAR112 <= VAR129;
VAR64 <= VAR3;
VAR79 <= VAR100;
end
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR6 <= {VAR119{1'b0}};
end
else if (VAR73)
VAR6 <= {VAR119{1'b0}};
else if (VAR21)
VAR6 <= VAR6 + VAR119'd1;
end
always @ (enable or VAR92 or VAR114 or VAR31 or VAR94 or VAR41 or VAR13 or VAR34 or VAR3 or VAR100)
begin
if (enable && (!VAR92))
begin
if (VAR114 && VAR94)
VAR21 = 1'b1;
end
else if (VAR114 && VAR41 && VAR13)
VAR21 = 1'b1;
end
else if (VAR3 && VAR41 && VAR34)
VAR21 = 1'b1;
else if (VAR100 && VAR31)
VAR21 = 1'b1;
else
VAR21 = 1'b0;
end
else
VAR21 = 1'b0;
end
assign VAR92 = VAR6 == VAR85;
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR10 <= {VAR5{1'b0}};
VAR95 <= {VAR107{1'b0}};
VAR59 <= {VAR55{1'b0}};
VAR12 <= 1'b0;
end
else if(VAR114 && (!VAR104) && VAR15 && VAR94)
begin
VAR10 <= VAR24[VAR5 + VAR107 + VAR55 -1 : VAR107 + VAR55];
VAR95 <= VAR24[VAR107 + VAR55 -1 : VAR55];
VAR59 <= VAR24[VAR55 -1:0];
VAR12 <= 1'b1;
end
else if(VAR32) begin
VAR95 <= VAR35;
end
else
VAR12 <= 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR72 <= 1'b0;
end
else if(VAR114 & (~VAR104))
VAR72 <= VAR15;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR136 <= {1'b0, {VAR55{1'b0}}};
end
else if(VAR73)
VAR136 <= VAR59 + 1;
else if (VAR133)
begin
case (VAR10) VAR30 :
if (VAR136 > 'd1)
VAR136 <= VAR136 - 1;
end
else
VAR136 <= {1'b0, {VAR55{1'b0}}};
if (VAR136 > 'd2)
VAR136 <= VAR136 - 2;
else
VAR136 <= {1'b0, {VAR55{1'b0}}};
if (VAR136 > 'd4)
VAR136 <= VAR136 - 4;
else
VAR136 <= {1'b0, {VAR55{1'b0}}};
default: VAR136 <= {1'VAR131, {VAR55{1'VAR131}}};
endcase
end
end
assign VAR14 = !(|VAR136);
assign byte = VAR87[2:0] == 3'd7;
assign VAR49 = VAR87[3:0] == 4'd15;
assign VAR36 = VAR87[4:0] == 5'd31;
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR53 <= 1'b0;
VAR88 <= 1'b0;
VAR126 <= 1'b0;
end
else
begin
VAR53 <= byte;
VAR88 <= VAR49;
VAR126 <= VAR36;
end
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR81 <= 1'b0;
VAR91 <= 32'h0;
end
else if (VAR41 && VAR13)
begin
case (VAR10) VAR103 : begin
if (VAR53)
begin
VAR81 <= 1'b1;
VAR91 <= {4{VAR24[7:0]}};
end
else
begin
VAR81 <= 1'b0;
end
end
if (VAR88)
begin
VAR81 <= 1'b1;
VAR91 <= {2{VAR24[15:0]}};
end
else
begin
VAR81 <= 1'b0;
end
end
if (VAR126)
begin
VAR81 <= 1'b1;
VAR91 <= VAR24[31:0];
end
else
begin
VAR81 <= 1'b0;
end
end
default: begin
end
endcase
end
else
VAR81 <= 1'b0;
end
always @ (posedge VAR45)
begin
VAR1 <= VAR91;
end
assign VAR20 = VAR1;
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR133 <= 1'b0;
end
else if (VAR41 && (!VAR121) && VAR34) VAR133 <= 1'b1;
else if ((!VAR133) && VAR41 && VAR34 && (!VAR14) && (!VAR18) && (!VAR23))
VAR133 <= 1'b1;
else
VAR133 <= 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR23 <= 1'b0;
end
else if (VAR73 || VAR32 && (!VAR82))
VAR23 <= 1'b0;
else if (VAR133)
VAR23 <= 1'b1;
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
begin
VAR96 <= 1'b0;
VAR19 <= 1'b0;
VAR63 <= 1'b0;
VAR93 <= 1'b0;
VAR67 <= 1'b0;
VAR123 <= 1'b0;
VAR113 <= 1'b0;
VAR74 <= 1'b0;
VAR7 <= 1'b0;
end
else
begin
VAR96 <= VAR133;
VAR19 <= VAR96;
VAR63 <= VAR19;
VAR93 <= VAR81;
VAR67 <= VAR93;
VAR123 <= VAR67;
VAR113 <= VAR12;
VAR74 <= VAR113;
VAR7 <= VAR74;
end
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR25 <= 1'b0;
end
else if ((VAR67 && (!VAR123)) || (VAR19 && (!VAR63)))
VAR25 <= 1'b1;
else if (VAR39 || VAR52)
VAR25 <= 1'b0;
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR35 <= 32'd0;
end
else if (VAR74 && (!VAR7)) VAR35 <= VAR95;
else if (VAR39)
begin
if ((VAR10 == VAR103) || (VAR10 == VAR30))
VAR35 <= VAR35 + 32'd1;
end
else if ((VAR10 == VAR125) || (VAR10 == VAR50))
VAR35 <= VAR35 + 32'd2;
else
VAR35 <= VAR35 + 32'd4;
end
end
assign VAR128 = VAR35;
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR57[3:0] <= 4'h0;
end
else if ((VAR67 && (!VAR123)))
begin
case ({VAR35[1:0], VAR120, VAR69, VAR29}) {2'd0, 3'b100} : VAR57[3:0] <= 4'h8;
{2'd0, 3'b010} : VAR57[3:0] <= 4'hC;
{2'd0, 3'b001} : VAR57[3:0] <= 4'hF;
{2'd1, 3'b100} : VAR57[3:0] <= 4'h4;
{2'd2, 3'b100} : VAR57[3:0] <= 4'h2;
{2'd2, 3'b010} : VAR57[3:0] <= 4'h3;
{2'd3, 3'b100} : VAR57[3:0] <= 4'h1;
default: VAR57[3:0] <= 4'VAR135;
endcase
end
end
assign VAR11 = VAR57;
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR118 <= 1'b0;
end
else if ((VAR67 && (!VAR123)))
VAR118 <= 1'b1;
else if (VAR39 || VAR52)
VAR118 <= 1'b0;
end
assign VAR132 = VAR118;
assign VAR124 = 1'b0;
assign VAR68 = VAR25;
assign VAR27 = 3'h0; assign VAR56 = 2'h0;
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR26 <= 1'b0;
end
else if (VAR39 || VAR52)
VAR26 <= 1'b1;
else if (VAR47)
VAR26 <= 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR137 <= 1'b0;
VAR32 <= 1'b0;
VAR82 <= 1'b0;
end
else
begin
VAR137 <= VAR26;
VAR32 <= VAR137;
VAR82 <= VAR32;
end
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
begin
VAR54 <= 1'b0;
VAR47 <= 1'b0;
end
else
begin
VAR54 <= VAR32;
VAR47 <= VAR54;
end
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR130 <= 1'b0;
end
else if (VAR47)
VAR130 <= 1'b0;
else if (VAR25)
VAR130 <= 1'b1;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR110 <= 1'b0;
VAR138 <= 1'b0;
VAR33 <= 1'b0;
VAR58 <= 1'b0;
end
else
begin
VAR110 <= VAR130;
VAR138 <= VAR110;
VAR33 <= VAR73;
VAR58 <= VAR33;
end
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR127 <= 1'b0;
end
else if(VAR52)
VAR127 <= 1'b1;
else if(VAR58) VAR127 <= 1'b0;
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR51 <= 1'b0;
end
else if(VAR67 && (!VAR123) && VAR25)
VAR51 <= 1'b1;
else if(VAR58) VAR51 <= 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR38 <= 1'b0;
end
else if(VAR105 && VAR2 && (!VAR3))
VAR38 <= 1'b1;
else if(VAR73) VAR38 <= 1'b0;
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR101 <= 1'b0;
VAR78 <= 1'b0;
VAR70 <= 1'b0;
VAR134 <= 1'b0;
end
else
begin
VAR101 <= VAR127;
VAR78 <= VAR101;
VAR70 <= VAR51;
VAR134 <= VAR70;
end
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
begin
VAR116 <= 1'b0;
VAR122 <= 1'b0;
end
else
begin
VAR116 <= VAR37;
VAR122 <= ~VAR116;
end
end
always @ (posedge VAR45 or posedge VAR77)
begin
if (VAR77)
VAR65 <= 3'h0;
end
else if(VAR122)
VAR65 <= 3'h0;
else if (VAR39)
begin
if (VAR10 == VAR30)
VAR65 <= VAR65 + 3'd1;
end
else if (VAR10 == VAR50)
VAR65 <= VAR65 + 3'd2;
end
end
always @ (posedge VAR45)
begin
if (VAR39)
begin
case (VAR57) 4'b1000 : VAR16[VAR65[1:0]] <= VAR42[31:24]; 4'b0100 : VAR16[VAR65[1:0]] <= VAR42[23:16]; 4'b0010 : VAR16[VAR65[1:0]] <= VAR42[15:08]; 4'b0001 : VAR16[VAR65[1:0]] <= VAR42[07:00];
4'b1100 : begin
VAR16[VAR65[1:0]] <= VAR42[31:24];
VAR16[VAR65[1:0]+1'b1] <= VAR42[23:16];
end
4'b0011 : begin
VAR16[VAR65[1:0]] <= VAR42[15:08];
VAR16[VAR65[1:0]+1'b1] <= VAR42[07:00];
end
endcase
end
end
assign VAR8 = {VAR16[0], VAR16[1], VAR16[2], VAR16[3]};
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
VAR115 <= 3'h0;
end
else if (VAR73)
VAR115 <= 3'h0;
else if (VAR32 && (!VAR82) && (!VAR105) && (!VAR18)) begin
case (VAR10) VAR30 : VAR115 <= VAR115 + 3'd1;
default: VAR115 <= 3'VAR108;
endcase
end
else if (!(VAR32 && (!VAR82)) && VAR105 && (!VAR2)) begin
case (VAR10) VAR30 : VAR115 <= VAR115 - 3'd1;
default: VAR115 <= 3'VAR108;
endcase
end
end
assign VAR18 = VAR115 == 3'h4;
assign VAR2 = VAR115 == 3'h0;
always @ (VAR17 or VAR138 or VAR114 or VAR104 or VAR94 or
VAR31 or VAR41 or VAR13 or VAR34 or VAR15
or VAR3 or VAR24 or VAR64 or VAR72 or VAR21 or VAR71
or VAR100 or VAR79)
begin
if (VAR17)
begin
VAR4 = VAR138;
end
else if (VAR114 && (!VAR104) && (VAR94 || VAR41 && VAR13 ))
begin
VAR4 = ~VAR15;
end
else if (VAR41 && VAR34 && VAR114 && (!VAR3))
begin
VAR4 = VAR24[31];
end
else if (VAR41 && VAR34 && VAR3 && (!VAR64))
begin
VAR4 = ~VAR72;
end
else if (VAR31 && VAR100 && (!VAR79))
begin
VAR4 = ~VAR72;
end
else if (VAR31 && VAR114 && (!VAR100))
begin
VAR4 = VAR24[VAR5 + VAR107 + VAR55 -1];
end
else if (VAR21)
begin
VAR4 = VAR71[3];
end
else
begin
VAR4 = 1'b0;
end
end
always @ (posedge VAR48 or posedge VAR77)
begin
if (VAR77)
begin
VAR71 <= {VAR85{1'b0}};
end
else if(VAR114 && (!VAR104) && (!(VAR41 && VAR34)))
begin
VAR71 <= {1'b0, VAR78, VAR134, VAR15};
end
else if (VAR3 && (!VAR64) && VAR41 && VAR34)
begin
VAR71 <= {1'b0, VAR78, VAR38, VAR72};
end
else if (VAR100 && (!VAR100) && VAR31)
begin
VAR71 <= {1'b0, 1'b0, 1'b0, VAR72};
end
else if (VAR89 && (!VAR92))
begin
VAR71 <= {VAR71[VAR85 -2:0], VAR71[VAR85 -1]};
end
end
endmodule | mit |
scalable-networks/ext | uhd/fpga/usrp2/extramfifo/refill_randomizer.v | 2,021 | module MODULE1
(
input clk,
input rst,
input VAR8,
output VAR3
);
wire VAR4;
reg VAR7;
wire VAR1;
reg [6:0] VAR5;
reg [6:0] VAR2;
reg VAR6;
always @(posedge clk)
VAR7 <= VAR8;
assign VAR1 = VAR7 & ~VAR8;
always @(posedge clk)
if (rst)
VAR5 <= 7'b1;
else
if (VAR1)
VAR5 <= {VAR5[5:0],VAR4};
assign VAR4 = ^(VAR5 & 7'h41);
always @(posedge clk)
if (rst)
begin
VAR2 <= 1;
VAR6 <= 1;
end
else if (VAR1)
begin
VAR2 <= VAR5;
VAR6 <= 1;
end
else if (VAR2 == 1)
begin
VAR2 <= 1;
VAR6 <= 0;
end
else
begin
VAR2 <= VAR2 - 1;
VAR6 <= 1;
end
assign VAR3 = (VAR8 == 1) || (VAR7 == 1) || VAR6;
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/dfstp/sky130_fd_sc_ls__dfstp_4.v | 2,273 | module MODULE1 (
VAR6 ,
VAR10 ,
VAR4 ,
VAR3,
VAR8 ,
VAR9 ,
VAR5 ,
VAR1
);
output VAR6 ;
input VAR10 ;
input VAR4 ;
input VAR3;
input VAR8 ;
input VAR9 ;
input VAR5 ;
input VAR1 ;
VAR7 VAR2 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR6 ,
VAR10 ,
VAR4 ,
VAR3
);
output VAR6 ;
input VAR10 ;
input VAR4 ;
input VAR3;
supply1 VAR8;
supply0 VAR9;
supply1 VAR5 ;
supply0 VAR1 ;
VAR7 VAR2 (
.VAR6(VAR6),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
Fabeltranm/FPGA-Game-D1 | HW/RTL/08ULTRASONIDO/Version_01/011J1G2/hdl/j1soc.v | 3,153 | module MODULE1#(
parameter VAR32 = "../VAR35/VAR13/VAR34.VAR18" )
(VAR25, VAR10,
VAR17, VAR31,VAR21,VAR14,VAR12,VAR1);
input VAR17, VAR31;
output VAR25;
output VAR10;
output VAR21;
output VAR14;
output VAR12;
input VAR1;
wire VAR23; wire VAR20; wire [15:0] VAR24; reg [15:0] VAR27; wire [15:0] VAR9;
reg [1:5]VAR29;
wire [15:0] VAR19;
wire [15:0] VAR15;
wire VAR28; wire [15:0] VAR26;
wire [15:0] VAR3;
VAR34 #(VAR32) VAR11(VAR17, VAR31, VAR27, VAR23, VAR20, VAR24, VAR9);
VAR22 VAR2 (.clk(VAR17), .rst(VAR31), .din(VAR9), .VAR29(VAR29[2]), .addr(VAR24[3:0]), .rd(VAR23), .wr(VAR20), .dout(VAR19) );
VAR8 VAR4 (.clk(VAR17), .rst(VAR31), .din(VAR9), .VAR29(VAR29[3]), .addr(VAR24[3:0]), .rd(VAR23), .wr(VAR20), .dout(VAR15));
VAR7 VAR6 (.clk(VAR17), .rst(VAR31), .din(VAR9), .VAR29(VAR29[4]), .addr(VAR24[3:0]), .rd(VAR23), .wr(VAR20), .dout(VAR28), .VAR25(VAR25), .VAR10(VAR10));
VAR16 VAR30 ( .clk(VAR17) , .rst(VAR31) , .din(VAR9) , .VAR29(VAR29[1]) , .addr(VAR24[3:0]) , .rd(VAR23) , .wr(VAR20), .dout(VAR3), .VAR12(VAR12), .VAR1(VAR1) );
VAR5 VAR33(.clk(VAR17), .din(VAR9), .VAR29(VAR29[5]), .addr(VAR24[7:0]), .rd(VAR23), .wr(VAR20), .dout(VAR26));
always @*
begin
case (VAR24[15:8])
8'h64: VAR29= 7'b10000; 8'h67: VAR29= 7'b01000; 8'h68: VAR29= 7'b00100; 8'h69: VAR29= 7'b00010; 8'h70: VAR29= 7'b00001; default: VAR29= 3'b000;
endcase
end
always @*
begin
case (VAR29)
5'b10000: VAR27 = VAR3;
5'b0001000: VAR27 = VAR19;
5'b0000100: VAR27 = VAR15;
5'b0000010: VAR27 = VAR28;
5'b0000001: VAR27 = VAR26;
default: VAR27 = 16'h0666;
endcase
end
endmodule | gpl-3.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/tq/addr.v | 26,442 | module MODULE1(
clk,
rst,
enable,
VAR6,
counter,
VAR38,
VAR37 ,
VAR101 ,
VAR50 ,
VAR7 ,
VAR123 ,
VAR62 ,
VAR3 ,
VAR53 ,
VAR81 ,
VAR11 ,
VAR105,
VAR128,
VAR17,
VAR108,
VAR60,
VAR68,
VAR75,
VAR31,
VAR125,
VAR28,
VAR95,
VAR119,
VAR110,
VAR2,
VAR25,
VAR10,
VAR49,
VAR83,
VAR94,
VAR27,
VAR100,
VAR71,
VAR47 ,
VAR106 ,
VAR42 ,
VAR92 ,
VAR18 ,
VAR30 ,
VAR126 ,
VAR93 ,
VAR43 ,
VAR124 ,
VAR66,
VAR76,
VAR79,
VAR72,
VAR22,
VAR82,
VAR13,
VAR86,
VAR120,
VAR45,
VAR121,
VAR48,
VAR34,
VAR97,
VAR52,
VAR44,
VAR104,
VAR80,
VAR99,
VAR63,
VAR33,
VAR16
);
input clk;
input rst;
input VAR6;
input enable;
input [4:0] counter;
input [1:0] VAR38;
output reg [4:0] VAR37 ;
output reg [4:0] VAR101 ;
output reg [4:0] VAR50 ;
output reg [4:0] VAR7 ;
output reg [4:0] VAR123 ;
output reg [4:0] VAR62 ;
output reg [4:0] VAR3 ;
output reg [4:0] VAR53 ;
output reg [4:0] VAR81 ;
output reg [4:0] VAR11 ;
output reg [4:0] VAR105;
output reg [4:0] VAR128;
output reg [4:0] VAR17;
output reg [4:0] VAR108;
output reg [4:0] VAR60;
output reg [4:0] VAR68;
output reg [4:0] VAR75;
output reg [4:0] VAR31;
output reg [4:0] VAR125;
output reg [4:0] VAR28;
output reg [4:0] VAR95;
output reg [4:0] VAR119;
output reg [4:0] VAR110;
output reg [4:0] VAR2;
output reg [4:0] VAR25;
output reg [4:0] VAR10;
output reg [4:0] VAR49;
output reg [4:0] VAR83;
output reg [4:0] VAR94;
output reg [4:0] VAR27;
output reg [4:0] VAR100;
output reg [4:0] VAR71;
output reg [4:0] VAR47 ;
output reg [4:0] VAR106 ;
output reg [4:0] VAR42 ;
output reg [4:0] VAR92 ;
output reg [4:0] VAR18 ;
output reg [4:0] VAR30 ;
output reg [4:0] VAR126 ;
output reg [4:0] VAR93 ;
output reg [4:0] VAR43 ;
output reg [4:0] VAR124 ;
output reg [4:0] VAR66;
output reg [4:0] VAR76;
output reg [4:0] VAR79;
output reg [4:0] VAR72;
output reg [4:0] VAR22;
output reg [4:0] VAR82;
output reg [4:0] VAR13;
output reg [4:0] VAR86;
output reg [4:0] VAR120;
output reg [4:0] VAR45;
output reg [4:0] VAR121;
output reg [4:0] VAR48;
output reg [4:0] VAR34;
output reg [4:0] VAR97;
output reg [4:0] VAR52;
output reg [4:0] VAR44;
output reg [4:0] VAR104;
output reg [4:0] VAR80;
output reg [4:0] VAR99;
output reg [4:0] VAR63;
output reg [4:0] VAR33;
output reg [4:0] VAR16;
parameter VAR1=2'b00;
parameter VAR26=2'b01;
parameter VAR8=2'b10;
parameter VAR35=2'b11;
wire [2:0] VAR12;
wire [2:0] VAR23;
wire [2:0] VAR20;
wire [2:0] VAR90;
wire [2:0] VAR96;
wire [2:0] VAR36;
wire [2:0] VAR46;
wire [2:0] VAR89;
wire [3:0] VAR73 ;
wire [3:0] VAR84 ;
wire [3:0] VAR65 ;
wire [3:0] VAR122 ;
wire [3:0] VAR24 ;
wire [3:0] VAR40 ;
wire [3:0] VAR64 ;
wire [3:0] VAR118 ;
wire [3:0] VAR15 ;
wire [3:0] VAR70 ;
wire [3:0] VAR67;
wire [3:0] VAR88;
wire [3:0] VAR19;
wire [3:0] VAR116;
wire [3:0] VAR109;
wire [3:0] VAR102;
wire [4:0] VAR54 ;
wire [4:0] VAR39 ;
wire [4:0] VAR69 ;
wire [4:0] VAR41 ;
wire [4:0] VAR114 ;
wire [4:0] VAR21 ;
wire [4:0] VAR32 ;
wire [4:0] VAR55 ;
wire [4:0] VAR51 ;
wire [4:0] VAR103 ;
wire [4:0] VAR58;
wire [4:0] VAR9;
wire [4:0] VAR112;
wire [4:0] VAR57;
wire [4:0] VAR61;
wire [4:0] VAR5;
wire [4:0] VAR59;
wire [4:0] VAR115;
wire [4:0] VAR85;
wire [4:0] VAR87;
wire [4:0] VAR77;
wire [4:0] VAR111;
wire [4:0] VAR107;
wire [4:0] VAR74;
wire [4:0] VAR29;
wire [4:0] VAR14;
wire [4:0] VAR4;
wire [4:0] VAR56;
wire [4:0] VAR91;
wire [4:0] VAR98;
wire [4:0] VAR127;
wire [4:0] VAR113;
reg VAR78;
reg VAR117;
assign VAR54 =5'd0 +((counter[2:0]-3'd2)<<2);
assign VAR39 =5'd1 +((counter[2:0]-3'd2)<<2);
assign VAR69 =5'd4 +((counter[2:0]-3'd2)<<2);
assign VAR41 =5'd5 +((counter[2:0]-3'd2)<<2);
assign VAR114 =5'd8 +((counter[2:0]-3'd2)<<2);
assign VAR21 =5'd9 +((counter[2:0]-3'd2)<<2);
assign VAR32 =5'd12+((counter[2:0]-3'd2)<<2);
assign VAR55 =5'd13+((counter[2:0]-3'd2)<<2);
assign VAR51 =5'd16+((counter[2:0]-3'd2)<<2);
assign VAR103 =5'd17+((counter[2:0]-3'd2)<<2);
assign VAR58=5'd20+((counter[2:0]-3'd2)<<2);
assign VAR9=5'd21+((counter[2:0]-3'd2)<<2);
assign VAR112=5'd24+((counter[2:0]-3'd2)<<2);
assign VAR57=5'd25+((counter[2:0]-3'd2)<<2);
assign VAR61=5'd28+((counter[2:0]-3'd2)<<2);
assign VAR5=5'd29+((counter[2:0]-3'd2)<<2);
assign VAR59=5'd2 +((counter[2:0]-3'd2)<<2);
assign VAR115=5'd3 +((counter[2:0]-3'd2)<<2);
assign VAR85=5'd6 +((counter[2:0]-3'd2)<<2);
assign VAR87=5'd7 +((counter[2:0]-3'd2)<<2);
assign VAR77=5'd10+((counter[2:0]-3'd2)<<2);
assign VAR111=5'd11+((counter[2:0]-3'd2)<<2);
assign VAR107=5'd14+((counter[2:0]-3'd2)<<2);
assign VAR74=5'd15+((counter[2:0]-3'd2)<<2);
assign VAR29=5'd18+((counter[2:0]-3'd2)<<2);
assign VAR14=5'd19+((counter[2:0]-3'd2)<<2);
assign VAR4=5'd22+((counter[2:0]-3'd2)<<2);
assign VAR56=5'd23+((counter[2:0]-3'd2)<<2);
assign VAR91=5'd26+((counter[2:0]-3'd2)<<2);
assign VAR98=5'd27+((counter[2:0]-3'd2)<<2);
assign VAR127=5'd30+((counter[2:0]-3'd2)<<2);
assign VAR113=5'd31+((counter[2:0]-3'd2)<<2);
assign VAR73 =4'd0 -(counter[2:0]<<1);
assign VAR84 =4'd1 -(counter[2:0]<<1);
assign VAR65 =4'd2 -(counter[2:0]<<1);
assign VAR122 =4'd3 -(counter[2:0]<<1);
assign VAR24 =4'd4 -(counter[2:0]<<1);
assign VAR40 =4'd5 -(counter[2:0]<<1);
assign VAR64 =4'd6 -(counter[2:0]<<1);
assign VAR118 =4'd7 -(counter[2:0]<<1);
assign VAR15 =4'd8 -(counter[2:0]<<1);
assign VAR70 =4'd9 -(counter[2:0]<<1);
assign VAR67=4'd10-(counter[2:0]<<1);
assign VAR88=4'd11-(counter[2:0]<<1);
assign VAR19=4'd12-(counter[2:0]<<1);
assign VAR116=4'd13-(counter[2:0]<<1);
assign VAR109=4'd14-(counter[2:0]<<1);
assign VAR102=4'd15-(counter[2:0]<<1);
assign VAR12 =3'd0 - counter[2:0];
assign VAR23 =3'd1 - counter[2:0];
assign VAR20 =3'd2 - counter[2:0];
assign VAR90 =3'd3 - counter[2:0];
assign VAR96 =3'd4 - counter[2:0];
assign VAR36 =3'd5 - counter[2:0];
assign VAR46 =3'd6 - counter[2:0];
assign VAR89 =3'd7 - counter[2:0];
always@(posedge clk or negedge rst)
if(!rst)
VAR78<=1'b0;
else
VAR78<=VAR6;
always@(posedge clk or negedge rst)
if(!rst)
VAR117<=1'b0;
else
VAR117<=VAR78;
always@(posedge clk or negedge rst)
if(!rst)
begin
VAR37 <=5'd0 ;
VAR101 <=5'd1 ;
VAR50 <=5'd2 ;
VAR7 <=5'd3 ;
VAR123 <=5'd4 ;
VAR62 <=5'd5 ;
VAR3 <=5'd6 ;
VAR53 <=5'd7 ;
VAR81 <=5'd8 ;
VAR11 <=5'd9 ;
VAR105<=5'd10;
VAR128<=5'd11;
VAR17<=5'd12;
VAR108<=5'd13;
VAR60<=5'd14;
VAR68<=5'd15;
VAR75<=5'd16;
VAR31<=5'd17;
VAR125<=5'd18;
VAR28<=5'd19;
VAR95<=5'd20;
VAR119<=5'd21;
VAR110<=5'd22;
VAR2<=5'd23;
VAR25<=5'd24;
VAR10<=5'd25;
VAR49<=5'd26;
VAR83<=5'd27;
VAR94<=5'd28;
VAR27<=5'd29;
VAR100<=5'd30;
VAR71<=5'd31;
end
else
if(enable)
begin
if(VAR117)
case(VAR38)
VAR26:
if(!counter[0])
begin
VAR37 <=5'd0 ;
VAR101 <=5'd1 ;
VAR50 <=5'd2 ;
VAR7 <=5'd3 ;
VAR123 <=5'd16;
VAR62 <=5'd17;
VAR3 <=5'd18;
VAR53 <=5'd19;
VAR81 <=5'd4 ;
VAR11 <=5'd5 ;
VAR105<=5'd6 ;
VAR128<=5'd7 ;
VAR17<=5'd20;
VAR108<=5'd21;
VAR60<=5'd22;
VAR68<=5'd23;
VAR75<=5'd8 ;
VAR31<=5'd9 ;
VAR125<=5'd10;
VAR28<=5'd11;
VAR95<=5'd24;
VAR119<=5'd25;
VAR110<=5'd26;
VAR2<=5'd27;
VAR25<=5'd12;
VAR10<=5'd13;
VAR49<=5'd14;
VAR83<=5'd15;
VAR94<=5'd28;
VAR27<=5'd29;
VAR100<=5'd30;
VAR71<=5'd31;
end
else
begin
VAR37 <=5'd16;
VAR101 <=5'd17;
VAR50 <=5'd18;
VAR7 <=5'd19;
VAR123 <=5'd0;
VAR62 <=5'd1;
VAR3 <=5'd2;
VAR53 <=5'd3;
VAR81 <=5'd20;
VAR11 <=5'd21;
VAR105<=5'd22;
VAR128<=5'd23;
VAR17<=5'd4;
VAR108<=5'd5;
VAR60<=5'd6;
VAR68<=5'd7;
VAR75<=5'd24;
VAR31<=5'd25;
VAR125<=5'd26;
VAR28<=5'd27;
VAR95<=5'd8 ;
VAR119<=5'd9 ;
VAR110<=5'd10;
VAR2<=5'd11;
VAR25<=5'd28;
VAR10<=5'd29;
VAR49<=5'd30;
VAR83<=5'd31;
VAR94<=5'd12;
VAR27<=5'd13;
VAR100<=5'd14;
VAR71<=5'd15;
end
VAR8:begin
VAR37 <=VAR54 ;
VAR101 <=VAR39 ;
VAR50 <=VAR69 ;
VAR7 <=VAR41 ;
VAR123 <=VAR114 ;
VAR62 <=VAR21 ;
VAR3 <=VAR32 ;
VAR53 <=VAR55 ;
VAR81 <=VAR51 ;
VAR11 <=VAR103 ;
VAR105<=VAR58;
VAR128<=VAR9;
VAR17<=VAR112;
VAR108<=VAR57;
VAR60<=VAR61;
VAR68<=VAR5;
VAR75<=VAR59;
VAR31<=VAR115;
VAR125<=VAR85;
VAR28<=VAR87;
VAR95<=VAR77;
VAR119<=VAR111;
VAR110<=VAR107;
VAR2<=VAR74;
VAR25<=VAR29;
VAR10<=VAR14;
VAR49<=VAR4;
VAR83<=VAR56;
VAR94<=VAR91;
VAR27<=VAR98;
VAR100<=VAR127;
VAR71<=VAR113;
end
VAR35:begin
VAR37 <=5'd30 +counter[4:0];
VAR101 <=5'd31 +counter[4:0];
VAR50 <=5'd0 +counter[4:0];
VAR7 <=5'd1 +counter[4:0];
VAR123 <=5'd2 +counter[4:0];
VAR62 <=5'd3 +counter[4:0];
VAR3 <=5'd4 +counter[4:0];
VAR53 <=5'd5 +counter[4:0];
VAR81 <=5'd6 +counter[4:0];
VAR11 <=5'd7 +counter[4:0];
VAR105<=5'd8 +counter[4:0];
VAR128<=5'd9 +counter[4:0];
VAR17<=5'd10+counter[4:0];
VAR108<=5'd11+counter[4:0];
VAR60<=5'd12+counter[4:0];
VAR68<=5'd13+counter[4:0];
VAR75<=5'd14+counter[4:0];
VAR31<=5'd15+counter[4:0];
VAR125<=5'd16+counter[4:0];
VAR28<=5'd17+counter[4:0];
VAR95<=5'd18+counter[4:0];
VAR119<=5'd19+counter[4:0];
VAR110<=5'd20+counter[4:0];
VAR2<=5'd21+counter[4:0];
VAR25<=5'd22+counter[4:0];
VAR10<=5'd23+counter[4:0];
VAR49<=5'd24+counter[4:0];
VAR83<=5'd25+counter[4:0];
VAR94<=5'd26+counter[4:0];
VAR27<=5'd27+counter[4:0];
VAR100<=5'd28+counter[4:0];
VAR71<=5'd29+counter[4:0];
end
endcase
end
else
if(!VAR6)
case(VAR38)
VAR1:begin
VAR37 <=5'd0 ;
VAR101 <=5'd8 ;
VAR50 <=5'd16 ;
VAR7 <=5'd24;
VAR123 <=5'd4 ;
VAR62 <=5'd5 ;
VAR3 <=5'd6 ;
VAR53 <=5'd7;
VAR81 <=5'd1 ;
VAR11 <=5'd9 ;
VAR105 <=5'd17 ;
VAR128 <=5'd25;
VAR17 <=5'd12;
VAR108 <=5'd13 ;
VAR60 <=5'd14 ;
VAR68 <=5'd15;
VAR75 <=5'd2 ;
VAR31 <=5'd10 ;
VAR125<=5'd18;
VAR28<=5'd26;
VAR95 <=5'd20 ;
VAR119 <=5'd21 ;
VAR110<=5'd22;
VAR2<=5'd23;
VAR25<=5'd3 ;
VAR10<=5'd11 ;
VAR49<=5'd19;
VAR83<=5'd27;
VAR94<=5'd28 ;
VAR27<=5'd29 ;
VAR100<=5'd30;
VAR71<=5'd31;
end
VAR26:
if(!counter[0])
begin
VAR37 <=5'd0 ;
VAR101 <=5'd8 ;
VAR50 <=5'd16;
VAR7 <=5'd24;
VAR123 <=5'd1 ;
VAR62 <=5'd9 ;
VAR3 <=5'd17;
VAR53 <=5'd25;
VAR81 <=5'd2 ;
VAR11 <=5'd10;
VAR105<=5'd18;
VAR128<=5'd26;
VAR17<=5'd3 ;
VAR108<=5'd11;
VAR60<=5'd19;
VAR68<=5'd27;
VAR75<=5'd4 ;
VAR31<=5'd12;
VAR125<=5'd20;
VAR28<=5'd28;
VAR95<=5'd5 ;
VAR119<=5'd13;
VAR110<=5'd21;
VAR2<=5'd29;
VAR25<=5'd6 ;
VAR10<=5'd14;
VAR49<=5'd22;
VAR83<=5'd30;
VAR94<=5'd7 ;
VAR27<=5'd15;
VAR100<=5'd23;
VAR71<=5'd31;
end
else
begin
VAR37 <=5'd4 ;
VAR101 <=5'd12;
VAR50 <=5'd20;
VAR7 <=5'd28;
VAR123 <=5'd5 ;
VAR62 <=5'd13;
VAR3 <=5'd21;
VAR53 <=5'd29;
VAR81 <=5'd6 ;
VAR11 <=5'd14;
VAR105<=5'd22;
VAR128<=5'd30;
VAR17<=5'd7 ;
VAR108<=5'd15;
VAR60<=5'd23;
VAR68<=5'd31;
VAR75<=5'd0 ;
VAR31<=5'd8 ;
VAR125<=5'd16;
VAR28<=5'd24;
VAR95<=5'd1 ;
VAR119<=5'd9 ;
VAR110<=5'd17;
VAR2<=5'd25;
VAR25<=5'd2 ;
VAR10<=5'd10;
VAR49<=5'd18;
VAR83<=5'd26;
VAR94<=5'd3 ;
VAR27<=5'd11;
VAR100<=5'd19;
VAR71<=5'd27;
end
VAR8:begin
VAR37 <=VAR73;
VAR101 <=VAR73+5'd16;
VAR50 <=VAR84;
VAR7 <=VAR84+5'd16;
VAR123 <=VAR65;
VAR62 <=VAR65+5'd16;
VAR3 <=VAR122;
VAR53 <=VAR122+5'd16;
VAR81 <=VAR24;
VAR11 <=VAR24+5'd16;
VAR105<=VAR40;
VAR128<=VAR40+5'd16;
VAR17<=VAR64;
VAR108<=VAR64+5'd16;
VAR60<=VAR118;
VAR68<=VAR118+5'd16;
VAR75<=VAR15;
VAR31<=VAR15+5'd16;
VAR125<=VAR70;
VAR28<=VAR70+5'd16;
VAR95<=VAR67;
VAR119<=VAR67+5'd16;
VAR110<=VAR88;
VAR2<=VAR88+5'd16;
VAR25<=VAR19;
VAR10<=VAR19+5'd16;
VAR49<=VAR116;
VAR83<=VAR116+5'd16;
VAR94<=VAR109;
VAR27<=VAR109+5'd16;
VAR100<=VAR102;
VAR71<=VAR102+5'd16;
end
VAR35:begin
VAR37 <=5'd0 -counter[4:0];
VAR101 <=5'd1 -counter[4:0];
VAR50 <=5'd2 -counter[4:0];
VAR7 <=5'd3 -counter[4:0];
VAR123 <=5'd4 -counter[4:0];
VAR62 <=5'd5 -counter[4:0];
VAR3 <=5'd6 -counter[4:0];
VAR53 <=5'd7 -counter[4:0];
VAR81 <=5'd8 -counter[4:0];
VAR11 <=5'd9 -counter[4:0];
VAR105<=5'd10-counter[4:0];
VAR128<=5'd11-counter[4:0];
VAR17<=5'd12-counter[4:0];
VAR108<=5'd13-counter[4:0];
VAR60<=5'd14-counter[4:0];
VAR68<=5'd15-counter[4:0];
VAR75<=5'd16-counter[4:0];
VAR31<=5'd17-counter[4:0];
VAR125<=5'd18-counter[4:0];
VAR28<=5'd19-counter[4:0];
VAR95<=5'd20-counter[4:0];
VAR119<=5'd21-counter[4:0];
VAR110<=5'd22-counter[4:0];
VAR2<=5'd23-counter[4:0];
VAR25<=5'd24-counter[4:0];
VAR10<=5'd25-counter[4:0];
VAR49<=5'd26-counter[4:0];
VAR83<=5'd27-counter[4:0];
VAR94<=5'd28-counter[4:0];
VAR27<=5'd29-counter[4:0];
VAR100<=5'd30-counter[4:0];
VAR71<=5'd31-counter[4:0];
end
endcase
end
else
begin
VAR37 <=5'd0 ;
VAR101 <=5'd1 ;
VAR50 <=5'd2 ;
VAR7 <=5'd3 ;
VAR123 <=5'd4 ;
VAR62 <=5'd5 ;
VAR3 <=5'd6 ;
VAR53 <=5'd7 ;
VAR81 <=5'd8 ;
VAR11 <=5'd9 ;
VAR105<=5'd10;
VAR128<=5'd11;
VAR17<=5'd12;
VAR108<=5'd13;
VAR60<=5'd14;
VAR68<=5'd15;
VAR75<=5'd16;
VAR31<=5'd17;
VAR125<=5'd18;
VAR28<=5'd19;
VAR95<=5'd20;
VAR119<=5'd21;
VAR110<=5'd22;
VAR2<=5'd23;
VAR25<=5'd24;
VAR10<=5'd25;
VAR49<=5'd26;
VAR83<=5'd27;
VAR94<=5'd28;
VAR27<=5'd29;
VAR100<=5'd30;
VAR71<=5'd31;
end
always@(posedge clk or negedge rst)
if(!rst)
begin
VAR47 <=5'd0;
VAR106 <=5'd0;
VAR42 <=5'd0;
VAR92 <=5'd0;
VAR18 <=5'd0;
VAR30 <=5'd0;
VAR126 <=5'd0;
VAR93 <=5'd0;
VAR43 <=5'd0;
VAR124 <=5'd0;
VAR66<=5'd0;
VAR76<=5'd0;
VAR79<=5'd0;
VAR72<=5'd0;
VAR22<=5'd0;
VAR82<=5'd0;
VAR13<=5'd0;
VAR86<=5'd0;
VAR120<=5'd0;
VAR45<=5'd0;
VAR121<=5'd0;
VAR48<=5'd0;
VAR34<=5'd0;
VAR97<=5'd0;
VAR52<=5'd0;
VAR44<=5'd0;
VAR104<=5'd0;
VAR80<=5'd0;
VAR99<=5'd0;
VAR63<=5'd0;
VAR33<=5'd0;
VAR16<=5'd0;
end
else
if(enable)
begin
if(!VAR6)
case(VAR38)
VAR26:
if(!counter[0])
begin
VAR47<=5'd0;
VAR106<=5'd0;
VAR42<=5'd0;
VAR92<=5'd0;
VAR18<=5'd0;
VAR30<=5'd0;
VAR126<=5'd0;
VAR93<=5'd0;
VAR43<=5'd0;
VAR124<=5'd0;
VAR66<=5'd0;
VAR76<=5'd0;
VAR79<=5'd0;
VAR72<=5'd0;
VAR22<=5'd0;
VAR82<=5'd0;
VAR13<=5'd1;
VAR86<=5'd1;
VAR120<=5'd1;
VAR45<=5'd1;
VAR121<=5'd1;
VAR48<=5'd1;
VAR34<=5'd1;
VAR97<=5'd1;
VAR52<=5'd1;
VAR44<=5'd1;
VAR104<=5'd1;
VAR80<=5'd1;
VAR99<=5'd1;
VAR63<=5'd1;
VAR33<=5'd1;
VAR16<=5'd1;
end
else
begin
VAR47<=5'd1;
VAR106<=5'd1;
VAR42<=5'd1;
VAR92<=5'd1;
VAR18<=5'd1;
VAR30<=5'd1;
VAR126<=5'd1;
VAR93<=5'd1;
VAR43<=5'd1;
VAR124<=5'd1;
VAR66<=5'd1;
VAR76<=5'd1;
VAR79<=5'd1;
VAR72<=5'd1;
VAR22<=5'd1;
VAR82<=5'd1;
VAR13<=5'd0;
VAR86<=5'd0;
VAR120<=5'd0;
VAR45<=5'd0;
VAR121<=5'd0;
VAR48<=5'd0;
VAR34<=5'd0;
VAR97<=5'd0;
VAR52<=5'd0;
VAR44<=5'd0;
VAR104<=5'd0;
VAR80<=5'd0;
VAR99<=5'd0;
VAR63<=5'd0;
VAR33<=5'd0;
VAR16<=5'd0;
end
VAR8:begin
VAR47 <=VAR12;
VAR106 <=VAR12;
VAR42 <=VAR12;
VAR92 <=VAR12;
VAR18 <=VAR23;
VAR30 <=VAR23;
VAR126 <=VAR23;
VAR93 <=VAR23;
VAR43 <=VAR20;
VAR124 <=VAR20;
VAR66<=VAR20;
VAR76<=VAR20;
VAR79<=VAR90;
VAR72<=VAR90;
VAR22<=VAR90;
VAR82<=VAR90;
VAR13<=VAR96;
VAR86<=VAR96;
VAR120<=VAR96;
VAR45<=VAR96;
VAR121<=VAR36;
VAR48<=VAR36;
VAR34<=VAR36;
VAR97<=VAR36;
VAR52<=VAR46;
VAR44<=VAR46;
VAR104<=VAR46;
VAR80<=VAR46;
VAR99<=VAR89;
VAR63<=VAR89;
VAR33<=VAR89;
VAR16<=VAR89;
end
VAR35:begin
VAR47 <=5'd0 -counter[4:0];
VAR106 <=5'd1 -counter[4:0];
VAR42 <=5'd2 -counter[4:0];
VAR92 <=5'd3 -counter[4:0];
VAR18 <=5'd4 -counter[4:0];
VAR30 <=5'd5 -counter[4:0];
VAR126 <=5'd6 -counter[4:0];
VAR93 <=5'd7 -counter[4:0];
VAR43 <=5'd8 -counter[4:0];
VAR124 <=5'd9 -counter[4:0];
VAR66<=5'd10-counter[4:0];
VAR76<=5'd11-counter[4:0];
VAR79<=5'd12-counter[4:0];
VAR72<=5'd13-counter[4:0];
VAR22<=5'd14-counter[4:0];
VAR82<=5'd15-counter[4:0];
VAR13<=5'd16-counter[4:0];
VAR86<=5'd17-counter[4:0];
VAR120<=5'd18-counter[4:0];
VAR45<=5'd19-counter[4:0];
VAR121<=5'd20-counter[4:0];
VAR48<=5'd21-counter[4:0];
VAR34<=5'd22-counter[4:0];
VAR97<=5'd23-counter[4:0];
VAR52<=5'd24-counter[4:0];
VAR44<=5'd25-counter[4:0];
VAR104<=5'd26-counter[4:0];
VAR80<=5'd27-counter[4:0];
VAR99<=5'd28-counter[4:0];
VAR63<=5'd29-counter[4:0];
VAR33<=5'd30-counter[4:0];
VAR16<=5'd31-counter[4:0];
end
endcase
end
else
case(VAR38)
VAR26:
if(!counter[0])
begin
VAR47 <=5'd0;
VAR106 <=5'd0;
VAR42 <=5'd0;
VAR92 <=5'd0;
VAR18 <=5'd0;
VAR30 <=5'd0;
VAR126 <=5'd0;
VAR93 <=5'd0;
VAR43 <=5'd0;
VAR124 <=5'd0;
VAR66<=5'd0;
VAR76<=5'd0;
VAR79<=5'd0;
VAR72<=5'd0;
VAR22<=5'd0;
VAR82<=5'd0;
VAR13<=5'd0;
VAR86<=5'd0;
VAR120<=5'd0;
VAR45<=5'd0;
VAR121<=5'd0;
VAR48<=5'd0;
VAR34<=5'd0;
VAR97<=5'd0;
VAR52<=5'd0;
VAR44<=5'd0;
VAR104<=5'd0;
VAR80<=5'd0;
VAR99<=5'd0;
VAR63<=5'd0;
VAR33<=5'd0;
VAR16<=5'd0;
end
else
begin
VAR47 <=5'd1;
VAR106 <=5'd1;
VAR42 <=5'd1;
VAR92 <=5'd1;
VAR18 <=5'd1;
VAR30 <=5'd1;
VAR126 <=5'd1;
VAR93 <=5'd1;
VAR43 <=5'd1;
VAR124 <=5'd1;
VAR66<=5'd1;
VAR76<=5'd1;
VAR79<=5'd1;
VAR72<=5'd1;
VAR22<=5'd1;
VAR82<=5'd1;
VAR13<=5'd1;
VAR86<=5'd1;
VAR120<=5'd1;
VAR45<=5'd1;
VAR121<=5'd1;
VAR48<=5'd1;
VAR34<=5'd1;
VAR97<=5'd1;
VAR52<=5'd1;
VAR44<=5'd1;
VAR104<=5'd1;
VAR80<=5'd1;
VAR99<=5'd1;
VAR63<=5'd1;
VAR33<=5'd1;
VAR16<=5'd1;
end
VAR8:begin
VAR47 <=counter[2:0];
VAR106 <=counter[2:0];
VAR42 <=counter[2:0];
VAR92 <=counter[2:0];
VAR18 <=counter[2:0];
VAR30 <=counter[2:0];
VAR126 <=counter[2:0];
VAR93 <=counter[2:0];
VAR43 <=counter[2:0];
VAR124 <=counter[2:0];
VAR66<=counter[2:0];
VAR76<=counter[2:0];
VAR79<=counter[2:0];
VAR72<=counter[2:0];
VAR22<=counter[2:0];
VAR82<=counter[2:0];
VAR13<=counter[2:0];
VAR86<=counter[2:0];
VAR120<=counter[2:0];
VAR45<=counter[2:0];
VAR121<=counter[2:0];
VAR48<=counter[2:0];
VAR34<=counter[2:0];
VAR97<=counter[2:0];
VAR52<=counter[2:0];
VAR44<=counter[2:0];
VAR104<=counter[2:0];
VAR80<=counter[2:0];
VAR99<=counter[2:0];
VAR63<=counter[2:0];
VAR33<=counter[2:0];
VAR16<=counter[2:0];
end
VAR35:begin
VAR47 <=counter[4:0];
VAR106 <=counter[4:0];
VAR42 <=counter[4:0];
VAR92 <=counter[4:0];
VAR18 <=counter[4:0];
VAR30 <=counter[4:0];
VAR126 <=counter[4:0];
VAR93 <=counter[4:0];
VAR43 <=counter[4:0];
VAR124 <=counter[4:0];
VAR66<=counter[4:0];
VAR76<=counter[4:0];
VAR79<=counter[4:0];
VAR72<=counter[4:0];
VAR22<=counter[4:0];
VAR82<=counter[4:0];
VAR13<=counter[4:0];
VAR86<=counter[4:0];
VAR120<=counter[4:0];
VAR45<=counter[4:0];
VAR121<=counter[4:0];
VAR48<=counter[4:0];
VAR34<=counter[4:0];
VAR97<=counter[4:0];
VAR52<=counter[4:0];
VAR44<=counter[4:0];
VAR104<=counter[4:0];
VAR80<=counter[4:0];
VAR99<=counter[4:0];
VAR63<=counter[4:0];
VAR33<=counter[4:0];
VAR16<=counter[4:0];
end
endcase
end
else
begin
VAR47 <=5'd0;
VAR106 <=5'd0;
VAR42 <=5'd0;
VAR92 <=5'd0;
VAR18 <=5'd0;
VAR30 <=5'd0;
VAR126 <=5'd0;
VAR93 <=5'd0;
VAR43 <=5'd0;
VAR124 <=5'd0;
VAR66<=5'd0;
VAR76<=5'd0;
VAR79<=5'd0;
VAR72<=5'd0;
VAR22<=5'd0;
VAR82<=5'd0;
VAR13<=5'd0;
VAR86<=5'd0;
VAR120<=5'd0;
VAR45<=5'd0;
VAR121<=5'd0;
VAR48<=5'd0;
VAR34<=5'd0;
VAR97<=5'd0;
VAR52<=5'd0;
VAR44<=5'd0;
VAR104<=5'd0;
VAR80<=5'd0;
VAR99<=5'd0;
VAR63<=5'd0;
VAR33<=5'd0;
VAR16<=5'd0;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dfxtp/sky130_fd_sc_lp__dfxtp.pp.blackbox.v | 1,279 | module MODULE1 (
VAR5 ,
VAR7 ,
VAR1 ,
VAR3,
VAR6,
VAR4 ,
VAR2
);
output VAR5 ;
input VAR7 ;
input VAR1 ;
input VAR3;
input VAR6;
input VAR4 ;
input VAR2 ;
endmodule | apache-2.0 |
UGent-HES/ConnectionRouter | vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_078.v | 1,521 | module MODULE1 (
VAR14,
VAR6
);
input [31:0] VAR14;
output [31:0]
VAR6;
wire [31:0]
VAR9,
VAR10,
VAR3,
VAR12,
VAR8,
VAR2,
VAR7,
VAR4,
VAR11;
assign VAR9 = VAR14;
assign VAR2 = VAR9 << 4;
assign VAR12 = VAR3 << 2;
assign VAR8 = VAR9 + VAR12;
assign VAR7 = VAR8 + VAR2;
assign VAR3 = VAR10 - VAR9;
assign VAR10 = VAR9 << 9;
assign VAR11 = VAR4 - VAR3;
assign VAR4 = VAR7 << 2;
assign VAR6 = VAR11;
endmodule
module MODULE2(
VAR14,
VAR6,
clk
);
input [31:0] VAR14;
output [31:0] VAR6;
reg [31:0] VAR6;
input clk;
reg [31:0] VAR1;
wire [30:0] VAR5;
always @(posedge clk) begin
VAR1 <= VAR14;
VAR6 <= VAR5;
end
MODULE1 MODULE1(
.VAR14(VAR1),
.VAR6(VAR5)
);
endmodule | mit |
cheehieu/qm-fir-digital-filter-core | ISAAC/qmfir/qmfir_uart/qmfir_240MHz/ISE_project/iReg.v | 11,333 | module MODULE1
(
VAR29, VAR33, VAR4, VAR2, VAR32, VAR21,
clk, VAR3, VAR5, VAR27, VAR34, VAR23, VAR15
);
parameter VAR13 = 15;
parameter VAR1 = 8'h60;
input clk; input VAR3; input [15:0] VAR5;
input [13:0] VAR27;
input VAR34;
input VAR23;
input VAR15;
output [15:0] VAR29;
output [15:0] VAR33;
output [15:0] VAR4;
output [15:0] VAR2;
output [15:0] VAR32;
output [15:0] VAR21;
reg VAR12; reg VAR10; reg VAR14; reg VAR18; reg [15:0] VAR4; reg [7:0] VAR19; reg [7:0] VAR25; reg VAR16;
reg [10:0] VAR9;
reg [15:0] VAR21;
reg VAR30;
reg [6:0] VAR20;
reg VAR6;
reg VAR28;
wire VAR7;
wire VAR31;
wire VAR26;
wire VAR8;
wire VAR22;
wire VAR17;
assign VAR7 = VAR31 & VAR5[VAR13];
assign VAR31 = (VAR27[2:0] == 3'h1) & VAR34;
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR12 <= 1'b0;
else if (VAR31 & VAR5[10])
VAR12 <= VAR5[VAR13];
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR10 <= 1'b0;
else if (VAR31 & VAR5[9])
VAR10 <= VAR5[VAR13];
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR14 <= 1'b0;
else if (VAR9[10] == 1'b1) VAR14 <= 1'b1;
else if (VAR31 & VAR5[2])
VAR14 <= VAR5[VAR13];
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR28 <= 1'b0;
else if (VAR31 & VAR5[3])
VAR28 <= VAR5[VAR13];
else if (VAR18 | (VAR21[11:0] == 12'b111111111110))
VAR28 <= 1'b0;
else
VAR28 <= VAR28;
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR16 <= 1'b0;
else
VAR16 <= VAR23;
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR18 <= 1'b0;
else if (VAR16 & (VAR25[7:0] == VAR19[7:0])) VAR18 <= 1'b1;
else if (VAR31 & VAR5[1])
VAR18 <= VAR5[VAR13];
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR30 <= 1'b0;
else if (VAR31 & VAR5[0])
VAR30 <= VAR5[VAR13];
assign VAR29[15:0] = {VAR7, 4'd0, VAR12, VAR10, 5'd0, VAR28,
VAR14, VAR18, VAR30};
assign VAR26 = (VAR27[2:0] == 3'h2) & VAR34;
always @ (posedge clk or posedge VAR30)
if (VAR30 != 1'b0)
VAR9[10:0] <= 11'd0;
else if (VAR14 == 1'b1)
VAR9[10] <= 1'b0;
else
VAR9[10:0] <= VAR9[10:0] + VAR23;
assign VAR33[15:0] = {6'd0, VAR9[9:0]};
assign VAR8 = (VAR27[2:0] == 3'h3) & VAR34;
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR4[15:0] <= 16'd0;
else if (VAR8)
VAR4[15:0] <= VAR5[15:0];
else
VAR4[15:0] <= VAR4[15:0] + VAR15;
assign VAR22 = (VAR27[2:0] == 3'h4) & VAR34;
assign VAR24 = VAR22 & VAR5[7];
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR20[6:0] <= 7'h41; else if (VAR24)
VAR20[6:0] <= VAR5[6:0];
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR6 <= 1'b0;
else if (VAR22 )
VAR6 <= VAR5[14];
assign VAR2[15:0] = {1'b0, VAR6, 6'd0, VAR24, VAR20[6:0]};
assign VAR17 = (VAR27[2:0] == 3'h5) & VAR34;
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR19[7:0] <= VAR1;
else if (VAR17)
VAR19[7:0] <= VAR5[15:8];
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR25[7:0] <= 8'd0;
else if (VAR17)
VAR25[7:0] <= VAR5[7:0];
else
VAR25[7:0] <= VAR25[7:0] + VAR23;
assign VAR32[15:0] = {VAR19[7:0], VAR25[7:0]};
assign VAR11 = (VAR27[2:0] == 3'h6) & VAR34;
always @ (posedge clk or posedge VAR3)
if (VAR3 != 1'b0)
VAR21[15:0] <= 16'd0;
else if (VAR11)
VAR21[15:0] <= VAR5[15:0];
else if (VAR28)
VAR21[15:0] <= VAR21[15:0] + 1;
endmodule | gpl-2.0 |
vad-rulezz/megabot | fusesoc/orpsoc-cores/trunk/cores/wb_avalon_bridge/verilog/avalon_to_wb_bridge.v | 2,994 | module MODULE1 #(
parameter VAR20 = 32, parameter VAR6 = 32 )(
input clk,
input rst,
input [VAR6-1:0] VAR17,
input [VAR20/8-1:0] VAR19,
input VAR3,
output [VAR20-1:0] VAR11,
input [7:0] VAR12,
input VAR10,
input [VAR20-1:0] VAR7,
output VAR18,
output VAR15,
output [VAR6-1:0] VAR14,
output [VAR20-1:0] VAR25,
output [VAR20/8-1:0] VAR9,
output VAR2,
output VAR8,
output VAR21,
output [2:0] VAR24,
output [1:0] VAR16,
input [VAR20-1:0] VAR13,
input VAR23,
input VAR22,
input VAR5
);
reg VAR1;
always @(posedge clk)
if (rst)
VAR1 <= 0;
else if (VAR23 | VAR22)
VAR1 <= 0;
else if (VAR3)
VAR1 <= 1;
reg VAR26;
reg [VAR20-1:0] VAR4;
always @(posedge clk) begin
VAR26 <= (VAR23 | VAR22) & VAR1;
VAR4 <= VAR13;
end
assign VAR14 = VAR17;
assign VAR25 = VAR7;
assign VAR9 = VAR19;
assign VAR2 = VAR10;
assign VAR8 = VAR1 | VAR10;
assign VAR21 = VAR1 | VAR10;
assign VAR24 = 3'b111; assign VAR16 = 2'b00;
assign VAR18 = !(VAR23 | VAR22);
assign VAR15 = VAR26;
assign VAR11 = VAR4;
endmodule | gpl-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/ctu/common/rtl/bw_clk_cl_ctu_jbus.v | 2,735 | module MODULE1(
VAR2, VAR13, VAR4, VAR9,
VAR10, VAR11, VAR5, VAR6, VAR7, VAR14, VAR1, VAR8
);
input VAR8; input VAR1; input VAR14; input VAR7; input VAR6; input VAR5; input VAR11; input VAR10;
output VAR9; output VAR4; output VAR13; output VAR2; VAR12 VAR3 (
.VAR4(VAR4),
.VAR9(VAR9),
.VAR13(VAR13),
.VAR2 (VAR2),
.VAR7(VAR7),
.VAR14(VAR14),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR10 (VAR10),
.VAR11 (VAR11));
endmodule | gpl-2.0 |
kkiningh/cs231n-project | src/rtl/MultiplyAccumulateCell.v | 1,397 | module MODULE1 #(
parameter VAR13 = 8,
parameter VAR4 = 8,
parameter VAR1 = 16
) (
input VAR11,
input reset,
input [VAR13-1:0] VAR3,
input [VAR1-1:0] VAR5,
input VAR8,
output [VAR13-1:0] VAR9,
output [VAR1-1:0] VAR2,
input [VAR4-1:0] VAR7,
input VAR10
);
reg [VAR13-1:0] VAR12;
reg [VAR4-1:0] VAR6;
reg [VAR1-1:0] VAR14;
always @(posedge VAR11) begin
if (reset) begin
VAR12 <= {VAR13{1'b0}};
VAR6 <= {VAR4{1'b0}};
VAR14 <= {VAR1{1'b0}};
end else begin
if (VAR10) begin
VAR6 <= VAR7;
end else
if (!VAR8) begin
VAR12 <= VAR3;
VAR14 <= VAR5;
end
end
end
assign VAR9 = VAR12;
assign VAR2 = VAR12 * VAR6 + VAR14; | mit |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v | 2,808 | if (VAR24 == VAR19 && VAR42 == VAR7) \
begin: VAR16 \
VAR20 VAR38 \
(.VAR10 (VAR48) \
,.VAR46 (~VAR43) \
,.VAR2 (1'b0) \
,.VAR3 (~VAR33) \
,.VAR31 (VAR30) \
,.VAR29 (VAR41) \
,.VAR17 (VAR28) \
,.VAR13 (VAR36) \
); \
end
module MODULE1 #(parameter VAR40(VAR42)
, parameter VAR40(VAR24)
, parameter VAR21=VAR8(VAR24)
, parameter VAR18=1'b0
)
(input VAR22
, input VAR1
, input VAR33
, input VAR43
, input [VAR21-1:0] VAR30
, input [VAR42-1:0] VAR41
, input [VAR42-1:0] VAR36
, output [VAR42-1:0] VAR28
);
wire VAR48;
VAR45 VAR26
(.VAR22( VAR22 )
,.VAR47( VAR33 )
,.VAR25( ~VAR18 )
,.VAR4( VAR48 )
);
if (VAR24 == 64 && VAR42 == 7)
begin: VAR16
logic [7:0] VAR5;
VAR39 VAR38
(.VAR10 (VAR48)
,.VAR46 (~VAR43)
,.VAR2 (1'b0)
,.VAR3 (~VAR33)
,.VAR31 (VAR30)
,.VAR29 ({1'b0, VAR41})
,.VAR17 (VAR5)
,.VAR13 ({1'b0, VAR36})
);
assign VAR28 = VAR5[6:0];
end
else
begin: VAR15
VAR32
,.VAR24(VAR24)
) VAR12
(.VAR22 (VAR48)
,.VAR1
,.VAR41
,.VAR30
,.VAR33
,.VAR36
,.VAR43
,.VAR28
);
end
VAR27 @(posedge VAR48)
if (VAR33 === 1)
assert ((VAR1 === 'VAR44) || (VAR1 === 1'b1) || (VAR30 < VAR24))
else ("VAR37 address %VAR9 VAR34 %VAR11 VAR14 VAR23 %VAR9 (VAR1 = %VAR35, VAR33 = %VAR35, VAR48=%VAR35)\VAR6", VAR30, VAR24, VAR1, VAR33, VAR48);
begin | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o2bb2a/sky130_fd_sc_ls__o2bb2a.pp.blackbox.v | 1,400 | module MODULE1 (
VAR3 ,
VAR8,
VAR7,
VAR1 ,
VAR9 ,
VAR6,
VAR5,
VAR4 ,
VAR2
);
output VAR3 ;
input VAR8;
input VAR7;
input VAR1 ;
input VAR9 ;
input VAR6;
input VAR5;
input VAR4 ;
input VAR2 ;
endmodule | apache-2.0 |
CospanDesign/nysa-verilog | verilog/wishbone/slave/wb_nh_lcd/rtl/nh_lcd.v | 4,975 | module MODULE1 #(
parameter VAR11 = 12
)(
input rst,
input clk,
output [31:0] VAR6,
input VAR21,
input VAR41,
input VAR38,
input VAR14,
input VAR33,
input VAR16,
input VAR36,
input [7:0] VAR46,
output [7:0] VAR51,
output VAR4,
input VAR12,
input VAR43,
input VAR35,
input [31:0] VAR45,
output [1:0] VAR2,
input [1:0] VAR20,
input VAR5,
output [23:0] VAR53,
input [31:0] VAR7,
output VAR50,
output VAR47,
output VAR42,
output VAR22,
inout [7:0] VAR49,
output VAR13,
output VAR8,
input VAR26,
output VAR54
);
wire [7:0] VAR10;
wire [7:0] VAR1;
wire VAR31;
wire VAR48;
wire [7:0] VAR27;
wire VAR18;
wire VAR15;
wire VAR3;
wire VAR24;
wire [7:0] VAR29;
wire VAR32;
wire VAR17;
wire VAR28;
VAR52 VAR44 (
.rst (rst ),
.clk (clk ),
.VAR21 (VAR21 ),
.VAR33 (VAR33 ),
.VAR16 (VAR16 ),
.VAR36 (VAR36 ),
.VAR46 (VAR46 ),
.VAR51 (VAR51 ),
.VAR39 (VAR15 ),
.VAR4 (VAR4 ),
.VAR30 (VAR18 ),
.VAR25 (VAR31 ),
.VAR9 (VAR48 ),
.VAR34 (VAR27 ),
.VAR40 (VAR1 )
);
VAR37 #(
.VAR11 (VAR11 )
)VAR23(
.rst (rst ),
.clk (clk ),
.VAR6 (VAR6 ),
.VAR21 (VAR21 ),
.VAR14 (VAR14 ),
.VAR45 (VAR45 ),
.VAR2 (VAR2 ),
.VAR20 (VAR20 ),
.VAR5 (VAR5 ),
.VAR53 (VAR53 ),
.VAR7 (VAR7 ),
.VAR30 (VAR24 ),
.VAR34 (VAR29 ),
.VAR40 (VAR1 ),
.VAR25 (VAR32 ),
.VAR9 (VAR17 ),
.VAR39 (VAR28 ),
.VAR26 (VAR26 )
);
assign VAR50 = VAR12;
assign VAR54 = VAR21;
assign VAR8 = ~VAR41;
assign VAR13 = ~VAR35;
assign VAR1 = VAR49;
assign VAR47 = (VAR38) ? VAR24 : VAR18;
assign VAR42 = (VAR38) ? ~VAR32 : ~VAR31;
assign VAR22 = (VAR38) ? ~VAR17 : ~VAR48;
assign VAR3 = (VAR38) ? VAR28 : VAR15;
assign VAR49 = (VAR3) ? (VAR38) ?
VAR29 : VAR27 :
8'VAR19;
endmodule | mit |
takeshineshiro/fpga_linear_128 | mult30_9.v | 4,723 | module MODULE1 (
VAR16,
VAR4,
VAR9,
VAR17);
input VAR16;
input [29:0] VAR4;
input [8:0] VAR9;
output [38:0] VAR17;
wire [38:0] VAR1;
wire [38:0] VAR17 = VAR1[38:0];
VAR8 VAR11 (
.VAR4 (VAR4),
.VAR9 (VAR9),
.VAR16 (VAR16),
.VAR17 (VAR1),
.VAR13 (1'b0),
.VAR10 (1'b1),
.sum (1'b0));
VAR11.VAR19 = "VAR5=5",
VAR11.VAR2 = 1,
VAR11.VAR3 = "VAR7",
VAR11.VAR14 = "VAR18",
VAR11.VAR12 = 30,
VAR11.VAR6 = 9,
VAR11.VAR15 = 39;
endmodule | mit |
DougFirErickson/parallella-hw | fpga/old/hdl/elink-gold/axi_slave.v | 12,945 | module MODULE1 (
VAR77, VAR30, VAR43, VAR46, VAR52, VAR65, VAR16, VAR15,
VAR19, VAR60, VAR2, VAR21, VAR74, VAR31,
VAR78, VAR13, VAR54,
VAR27, VAR72, VAR62,
VAR44, VAR9,
VAR17, VAR7, reset, VAR6, VAR50, VAR76, VAR23, VAR5, VAR59,
VAR71, VAR55, VAR32, VAR45, VAR61, VAR33, VAR8, VAR57, VAR20,
VAR58, VAR37, VAR10, VAR68, VAR14, VAR64, VAR63, VAR4,
VAR73, VAR70, VAR39, VAR26, VAR67,
VAR11, VAR3, VAR51,
VAR35, VAR79, VAR1,
VAR22, VAR25, VAR69
);
parameter VAR56 = 12; parameter VAR80 = 32; parameter VAR81 = 32;
input VAR17; input VAR7; input reset; input VAR6;
input [VAR56-1:0] VAR50; input [VAR80-1:0] VAR76; input [3:0] VAR23; input [2:0] VAR5; input [1:0] VAR59; input [1:0] VAR71; input [3:0] VAR55; input [2:0] VAR32; input VAR45;
input [VAR56-1:0] VAR61; input [VAR81-1:0] VAR33; input [3:0] VAR8; input VAR57; input VAR20;
input VAR58;
input [VAR56-1:0] VAR37; input [VAR80-1:0] VAR10; input [3:0] VAR68; input [2:0] VAR14; input [1:0] VAR64; input [1:0] VAR63; input [3:0] VAR4; input [2:0] VAR73; input VAR70;
input VAR39;
input VAR26;
input VAR67;
input [1:0] VAR11;
input [3:0] VAR3;
input [31:0] VAR51;
input [31:0] VAR35;
input [31:0] VAR79;
input VAR1;
input VAR22;
output VAR77; output VAR30;
output VAR43;
output VAR46;
output [VAR56-1:0] VAR52; output [1:0] VAR65; output VAR16;
output VAR15;
output [VAR56-1:0] VAR19; output [VAR81-1:0] VAR60; output [1:0] VAR2; output VAR21; output VAR74;
output VAR31;
output VAR78;
output [1:0] VAR13;
output [3:0] VAR54;
output [31:0] VAR27;
output [31:0] VAR72;
output [31:0] VAR62;
output VAR44;
output VAR9;
input [3:0] VAR25; input [3:0] VAR69;
reg VAR77;
wire VAR41;
wire VAR48;
wire [1:0] VAR42;
wire [3:0] VAR28;
wire [31:0] VAR47;
wire [31:0] VAR66;
wire [31:0] VAR49;
wire VAR36;
wire VAR75;
wire [1:0] VAR53;
wire [3:0] VAR18;
wire [31:0] VAR38;
wire [31:0] VAR40;
wire [31:0] VAR24;
wire VAR29;
assign VAR9 = 1'b0;
assign VAR30 = 1'b1;
always @ (posedge VAR7 or posedge reset)
if(reset)
VAR77 <= 1'b1;
else
VAR77 <= VAR6;
assign VAR29 = VAR22 | VAR41;
assign VAR31 = VAR41 | VAR36;
assign VAR78 = VAR41 ? VAR48 :
VAR75;
assign VAR13[1:0] = VAR41 ?
VAR42[1:0] :
VAR53[1:0];
assign VAR54[3:0] = VAR41 ?
VAR28[3:0] :
VAR18[3:0];
assign VAR27[31:0] = VAR41 ?
VAR47[31:0] :
VAR38[31:0];
assign VAR72[31:0] = VAR41 ?
VAR66[31:0] :
VAR40[31:0];
assign VAR62[31:0] = VAR41 ?
VAR49[31:0] :
VAR24[31:0];
VAR34 VAR34(
.VAR43 (VAR43),
.VAR46 (VAR46),
.VAR52 (VAR52[VAR56-1:0]),
.VAR65 (VAR65[1:0]),
.VAR16 (VAR16),
.VAR31 (VAR41), .VAR78 (VAR48), .VAR13(VAR42[1:0]), .VAR54(VAR28[3:0]), .VAR27 (VAR47[31:0]), .VAR72 (VAR66[31:0]), .VAR62 (VAR49[31:0]), .VAR17 (VAR17),
.VAR7 (VAR7),
.reset (reset),
.VAR50 (VAR50[VAR56-1:0]),
.VAR76 (VAR76[VAR80-1:0]),
.VAR23 (VAR23[3:0]),
.VAR5 (VAR5[2:0]),
.VAR59 (VAR59[1:0]),
.VAR71 (VAR71[1:0]),
.VAR55 (VAR55[3:0]),
.VAR32 (VAR32[2:0]),
.VAR45 (VAR45),
.VAR61 (VAR61[VAR56-1:0]),
.VAR33 (VAR33[VAR81-1:0]),
.VAR8 (VAR8[3:0]),
.VAR57 (VAR57),
.VAR20 (VAR20),
.VAR58 (VAR58),
.VAR1(VAR1));
VAR12 VAR12(
.VAR15 (VAR15),
.VAR19 (VAR19[VAR56-1:0]),
.VAR60 (VAR60[VAR81-1:0]),
.VAR2 (VAR2[1:0]),
.VAR21 (VAR21),
.VAR74 (VAR74),
.VAR31 (VAR36), .VAR78 (VAR75), .VAR13(VAR53[1:0]), .VAR54(VAR18[3:0]), .VAR27 (VAR38[31:0]), .VAR72 (VAR40[31:0]), .VAR62 (VAR24[31:0]), .VAR44 (VAR44), .VAR17 (VAR17),
.VAR7 (VAR7),
.reset (reset),
.VAR37 (VAR37[VAR56-1:0]),
.VAR10 (VAR10[VAR80-1:0]),
.VAR68 (VAR68[3:0]),
.VAR14 (VAR14[2:0]),
.VAR64 (VAR64[1:0]),
.VAR63 (VAR63[1:0]),
.VAR4 (VAR4[3:0]),
.VAR73 (VAR73[2:0]),
.VAR70 (VAR70),
.VAR39 (VAR39),
.VAR26 (VAR26),
.VAR67 (VAR67),
.VAR11(VAR11[1:0]),
.VAR3(VAR3[3:0]),
.VAR51(VAR51[31:0]),
.VAR35(VAR35[31:0]),
.VAR79 (VAR79[31:0]),
.VAR22(VAR29));
endmodule | gpl-3.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/cmp/rtl/sctag_scbuf_rptr0.v | 7,720 | module MODULE1 (
VAR9, VAR22,
VAR1, VAR42,
VAR19, VAR20,
VAR34, VAR46,
VAR25, VAR16,
VAR4, VAR41,
VAR15, VAR44,
VAR27, VAR35,
VAR31, VAR10,
VAR2, VAR32,
VAR50, VAR18,
VAR29, VAR24,
VAR12,
VAR48, VAR43,
VAR21, VAR40,
VAR5, VAR3,
VAR6, VAR30,
VAR45, VAR8,
VAR49, VAR37,
VAR47, VAR11,
VAR7, VAR36,
VAR39, VAR33,
VAR38, VAR14,
VAR28, VAR13,
VAR23, VAR17,
VAR26
);
input VAR48;
input [2:0] VAR43 ;
input [15:0] VAR21 ;
input [2:0] VAR40 ;
input VAR5;
input [77:0] VAR3;
input [2:0] VAR6;
input VAR30;
input [3:0] VAR45;
input [2:0] VAR8;
input VAR49;
input [2:0] VAR37;
input [15:0] VAR47;
input [1:0] VAR11;
input VAR7;
input [1:0] VAR36;
input VAR39;
input [14:0] VAR33;
input VAR38;
input [3:0] VAR14;
input VAR28;
output VAR9;
output [2:0] VAR22;
output [15:0] VAR1;
output [2:0] VAR42;
output VAR19;
output [77:0] VAR20;
output [2:0] VAR34;
output VAR46;
output [3:0] VAR25;
output [2:0] VAR16;
output VAR4;
output [2:0] VAR41;
output [15:0] VAR15;
output [1:0] VAR44;
output VAR27;
output [1:0] VAR35;
output VAR31;
output [14:0] VAR10;
output VAR2;
output [3:0] VAR32;
output VAR50;
input VAR13;
input VAR23;
input VAR17;
input VAR26;
output VAR18;
output VAR29;
output VAR24;
output VAR12;
wire VAR9;
wire [2:0] VAR22;
wire [15:0] VAR1;
wire [2:0] VAR42;
wire VAR19;
wire [77:0] VAR20;
wire [2:0] VAR34;
wire VAR46;
wire [3:0] VAR25;
wire [2:0] VAR16;
wire VAR4;
wire [2:0] VAR41;
wire [15:0] VAR15;
wire [1:0] VAR44;
wire VAR27;
wire [1:0] VAR35;
wire VAR31;
wire [14:0] VAR10;
wire VAR2;
wire [3:0] VAR32;
wire VAR50;
wire VAR18;
wire VAR29;
wire VAR24;
wire VAR12;
assign VAR9 = VAR48;
assign VAR22 = VAR43;
assign VAR1 = VAR21;
assign VAR42 = VAR40;
assign VAR19 = VAR5;
assign VAR20 = VAR3;
assign VAR34 = VAR6;
assign VAR46 = VAR30;
assign VAR25 = VAR45;
assign VAR16 = VAR8;
assign VAR4 = VAR49;
assign VAR41 = VAR37;
assign VAR15 = VAR47;
assign VAR44 = VAR11;
assign VAR27 = VAR7;
assign VAR35 = VAR36;
assign VAR31 = VAR39;
assign VAR10 = VAR33;
assign VAR2 = VAR38;
assign VAR32 = VAR14;
assign VAR50 = VAR28;
assign VAR18 = VAR13;
assign VAR29 = VAR23;
assign VAR24 = VAR17;
assign VAR12 = VAR26;
endmodule | gpl-2.0 |
stanford-ppl/spatial-lang | spatial/core/resources/chiselgen/template-level/fringeDE1SoC/CS_bak/synthesis/submodules/altera_up_video_scaler_shrink.v | 7,628 | module MODULE1 (
clk,
reset,
VAR13,
VAR6,
VAR10,
VAR21,
VAR16,
VAR23,
VAR27,
VAR15,
VAR24,
VAR4
);
parameter VAR22 = 15; parameter VAR7 = 9; parameter VAR26 = 9;
parameter VAR9 = 640;
parameter VAR1 = 4'b0101;
parameter VAR25 = 4'b0000;
input clk;
input reset;
input [VAR22: 0] VAR13;
input VAR6;
input VAR10;
input VAR21;
input VAR16;
output VAR23;
output reg [VAR22: 0] VAR27;
output reg VAR15;
output reg VAR24;
output reg VAR4;
wire VAR14;
wire VAR8;
wire VAR18;
reg VAR17;
reg [VAR22: 0] VAR3;
reg VAR5;
reg VAR2;
reg valid;
reg [VAR7: 0] VAR19;
reg [VAR26: 0] VAR12;
reg [ 3: 0] VAR11;
reg [ 3: 0] VAR20;
always @(posedge clk)
begin
if (reset)
begin
VAR27 <= 'h0;
VAR15 <= 1'b0;
VAR24 <= 1'b0;
VAR4 <= 1'b0;
end
else if (VAR18)
begin
VAR27 <= VAR3;
VAR15 <= VAR5;
VAR24 <= VAR2;
VAR4 <= valid;
end
else if (VAR16 & VAR4)
begin
VAR27 <= 'h0;
VAR15 <= 1'b0;
VAR24 <= 1'b0;
VAR4 <= 1'b0;
end
end
always @(posedge clk)
if (reset)
VAR17 <= 1'b0;
else if (VAR8)
VAR17 <= 1'b0;
else if (VAR23)
VAR17 <= VAR17 | VAR6;
always @(posedge clk)
begin
if (reset)
begin
VAR3 <= 'h0;
VAR5 <= 1'b0;
VAR2 <= 1'b0;
valid <= 1'b0;
end
else if (VAR8)
begin
VAR3 <= VAR13;
VAR5 <= VAR6 | VAR17;
VAR2 <= VAR10;
valid <= VAR21;
end
else if (VAR23)
VAR2 <= VAR2 | VAR10;
end
always @(posedge clk)
begin
if (reset)
VAR19 <= 'h0;
end
else if (VAR23)
begin
if (VAR6 | (VAR19 == (VAR9 - 1)))
VAR19 <= 'h0;
end
else
VAR19 <= VAR19 + 1;
end
end
always @(posedge clk)
begin
if (reset)
VAR12 <= 'h0;
end
else if (VAR23)
begin
if (VAR6)
VAR12 <= 'h0;
end
else if (VAR19 == (VAR9 - 1))
VAR12 <= VAR12 + 1;
end
end
always @(posedge clk)
begin
if (reset)
VAR11 <= 4'b0000;
end
else if (VAR23)
begin
if (VAR6)
VAR11 <= VAR1;
end
else if (VAR19 == (VAR9 - 1))
VAR11 <= VAR1;
else
VAR11 <= {VAR11[2:0], VAR11[3]};
end
end
always @(posedge clk)
begin
if (reset)
VAR20 <= 4'b0000;
end
else if (VAR23)
begin
if (VAR6)
VAR20 <= VAR25;
end
else if (VAR19 == (VAR9 - 1))
VAR20 <= {VAR20[2:0], VAR20[3]};
end
end
assign VAR23 = VAR21 & (VAR14 | ~valid | VAR18);
assign VAR14 = VAR11[0] | VAR20[0];
assign VAR8 = VAR23 & ~VAR14;
assign VAR18 = ~VAR4 & VAR21 & ~VAR14;
endmodule | mit |
Saucyz/explode | Hardware/Mod2/nios_system/synthesis/submodules/altera_up_av_config_auto_init_ob_audio.v | 6,858 | module MODULE1 (
VAR12,
VAR1
);
parameter VAR3 = 9'h01A;
parameter VAR4 = 9'h01A;
parameter VAR9 = 9'h07B;
parameter VAR7 = 9'h07B;
parameter VAR11 = 9'h0F8;
parameter VAR6 = 9'h006;
parameter VAR13 = 9'h000;
parameter VAR2 = 9'h001;
parameter VAR5 = 9'h002;
parameter VAR10 = 9'h001;
input [ 5: 0] VAR12;
output [26: 0] VAR1;
reg [23: 0] VAR8;
assign VAR1 = {VAR8[23:16], 1'b0,
VAR8[15: 8], 1'b0,
VAR8[ 7: 0], 1'b0};
always @(*)
begin
case (VAR12)
0 : VAR8 <= {8'h34, 7'h0, VAR3};
1 : VAR8 <= {8'h34, 7'h1, VAR4};
2 : VAR8 <= {8'h34, 7'h2, VAR9};
3 : VAR8 <= {8'h34, 7'h3, VAR7};
4 : VAR8 <= {8'h34, 7'h4, VAR11};
5 : VAR8 <= {8'h34, 7'h5, VAR6};
6 : VAR8 <= {8'h34, 7'h6, VAR13};
7 : VAR8 <= {8'h34, 7'h7, VAR2};
8 : VAR8 <= {8'h34, 7'h8, VAR5};
9 : VAR8 <= {8'h34, 7'h9, VAR10};
default : VAR8 <= {8'h00, 7'h0, 9'h000};
endcase
end
endmodule | mit |
DreamSourceLab/DSLogic-hdl | src/uart/baud_gen.v | 1,851 | module MODULE1
(
VAR2, reset,
VAR4, VAR1, VAR3
);
input VAR2; input reset; output VAR4; input [11:0] VAR1; input [15:0] VAR3;
reg VAR4;
reg [15:0] counter;
always @ (posedge VAR2 or posedge reset)
begin
if (reset)
counter <= 16'b0;
end
else if (counter >= VAR3)
counter <= counter - VAR3;
else
counter <= counter + VAR1;
end
always @ (posedge VAR2 or posedge reset)
begin
if (reset)
VAR4 <= 1'b0;
end
else if (counter >= VAR3)
VAR4 <= 1'b1;
else
VAR4 <= 1'b0;
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o21bai/sky130_fd_sc_lp__o21bai.functional.pp.v | 2,174 | module MODULE1 (
VAR17 ,
VAR2 ,
VAR4 ,
VAR9,
VAR8,
VAR11,
VAR6 ,
VAR15
);
output VAR17 ;
input VAR2 ;
input VAR4 ;
input VAR9;
input VAR8;
input VAR11;
input VAR6 ;
input VAR15 ;
wire VAR10 ;
wire VAR3 ;
wire VAR12 ;
wire VAR18;
not VAR1 (VAR10 , VAR9 );
or VAR7 (VAR3 , VAR4, VAR2 );
nand VAR5 (VAR12 , VAR10, VAR3 );
VAR14 VAR16 (VAR18, VAR12, VAR8, VAR11);
buf VAR13 (VAR17 , VAR18 );
endmodule | apache-2.0 |
ILoveSpeccy/Aeon-Lite | cores/radio-86rk/src/radio86rk.v | 6,695 | module MODULE1(
input VAR93,
inout [15:0] VAR141, output [17:0] VAR41, output VAR84, output VAR45, output VAR136, output VAR31, output VAR19,
output VAR43,
output VAR123,
output VAR131,
output VAR102,
output [3:0] VAR86,
output [3:0] VAR35,
output [3:0] VAR30,
input VAR60,
input VAR145,
input VAR44, output VAR137, output VAR69, output VAR110 );
reg VAR49;
reg VAR81 = 1'b0;
wire VAR47;
wire VAR120;
wire VAR100;
wire[7:0] VAR48;
wire[7:0] VAR13;
wire[7:0] VAR37;
wire[7:0] VAR91;
reg[3:0] VAR127;
reg VAR119;
wire reset = ~VAR119;
always @(posedge VAR93) begin
if (!VAR120 && VAR127==4'd14)
VAR119 <= 1'b1;
end
else begin
VAR119 <= 1'b0;
VAR127 <= VAR127+4'd1;
end
end
wire VAR5 = 0;
wire[7:0] VAR144 = VAR5 ? VAR141[15:8] : VAR141[7:0];
wire[7:0] VAR16;
assign VAR141[7:0] = VAR136| VAR5 ? 8'VAR113 : VAR118;
assign VAR141[15:8] = 8'VAR113; assign VAR41 = VAR116 ? {3'b000,VAR90[14:0]} : {3'b000,VAR56[14:0]};
assign VAR84 = VAR116 ? 1'b0 : ~VAR5;
assign VAR45 = VAR116 ? 1'b0 : VAR5;
assign VAR136 = VAR116 ? 1'b1 : VAR55|VAR56[15]|VAR129;
assign VAR19 = ~(VAR116|VAR115);
assign VAR31 = 0;
VAR58 VAR50(.VAR134(VAR93), .VAR6({VAR56[11]|VAR49,VAR56[10:0]}), .VAR28(VAR16));
wire[15:0] VAR56;
wire[7:0] VAR118;
wire VAR105;
wire VAR115;
wire VAR55;
wire VAR142;
wire VAR22;
wire VAR95;
reg[7:0] VAR143;
always @(*)
casex (VAR56[15:13])
3'VAR130: VAR143 = VAR49 ? VAR16 : VAR144;
3'b100: VAR143 = VAR48;
3'b101: VAR143 = VAR117;
3'b110: VAR143 = VAR140;
3'b111: VAR143 = VAR16;
endcase
wire VAR111 = VAR56[15:13]!=3'b100|VAR55;
wire VAR68 = VAR56[15:13]!=3'b101|VAR55;
wire VAR59 = VAR56[15:13]!=3'b110|VAR55;
wire VAR126 = VAR56[15:13]!=3'b110|~VAR115;
wire VAR88 = VAR56[15:13]!=3'b111|VAR55;
reg VAR38;
reg[10:0] VAR27;
wire VAR36 = VAR132;
wire VAR132 = VAR38^VAR27[10];
always @(posedge VAR93) begin
VAR27 <= VAR27 + 11'd41;
VAR38 <= VAR38^VAR132;
VAR49 <= reset|(VAR49&~VAR56[15]);
end
VAR7 VAR25(.clk(VAR93), .VAR62(VAR36 & VAR129==0), .reset(reset),
.VAR94(VAR143), .addr(VAR56), .sync(VAR105), .rd(VAR115), .VAR104(VAR55),
.VAR29(VAR142), .VAR97(VAR22), .VAR24(VAR118), .VAR92(VAR95));
wire[7:0] VAR140;
wire[3:0] VAR125;
wire[6:0] VAR98;
wire[15:0] VAR90;
wire[3:0] VAR65;
wire[7:0] VAR67;
wire[1:0] VAR10;
wire[1:0] VAR71;
wire VAR12,VAR51,VAR66;
wire VAR33,VAR53,VAR133,VAR77;
wire VAR61,VAR75,VAR121,VAR108;
wire VAR116 = ~VAR108;
VAR20 VAR73(.clk(VAR93), .VAR62(VAR12), .reset(reset),
.VAR139(VAR56[3:0]), .VAR94(VAR118), .VAR40({1'b0,VAR51,2'b00}), .VAR96(VAR88), .VAR89(1'b1),
.VAR129(VAR129), .VAR138(VAR129), .VAR82(VAR65), .VAR24(VAR67), .VAR23(VAR90),
.VAR78(VAR61), .VAR83(VAR75), .VAR135(VAR121), .VAR114(VAR108) );
VAR9 VAR85(.clk(VAR93), .VAR62(VAR12),
.VAR139(VAR56[0]), .VAR94(VAR118), .VAR96(VAR59), .VAR89(VAR126),
.VAR106(VAR102), .VAR63(VAR131), .VAR82(VAR65[2]), .VAR46(VAR144), .VAR40(VAR51), .irq(VAR66),
.VAR24(VAR140), .VAR4(VAR125), .VAR122(VAR98), .VAR1(VAR33), .VAR79(VAR53),
.VAR57(VAR133), .VAR3(VAR77), .VAR32(VAR10), .VAR2(VAR71) );
VAR34 VAR128(.VAR74(VAR93), .VAR21(VAR131), .VAR87(VAR102), .VAR11(VAR12),
.VAR15(VAR86), .VAR103(VAR35), .VAR26(VAR30), .VAR4(VAR125), .VAR46(VAR98),
.VAR79(VAR53), .VAR1(VAR33), .VAR57(VAR133), .VAR100(VAR100) );
wire[7:0] VAR80;
wire[2:0] VAR112;
VAR52 VAR64(.clk(VAR93), .reset(reset), .VAR42(VAR60), .VAR76(VAR145),
.addr(~VAR13), .VAR24(VAR80), .VAR120(VAR120), .VAR100(VAR100), .VAR14(VAR112));
VAR17 VAR124(.clk(VAR93), .reset(reset), .addr(VAR56[1:0]), .VAR18(VAR111),
.VAR94(VAR118), .VAR24(VAR48), .VAR70(VAR13), .VAR109(VAR13),
.VAR146(~VAR80), .VAR72(VAR37), .VAR39({~VAR112,VAR81,VAR91[3:0]}), .VAR8(VAR91));
assign VAR43 = VAR91[0]^VAR95;
assign VAR123 = VAR91[0]^VAR95;
reg VAR54;
reg VAR101;
reg VAR107;
reg[6:0] VAR99;
wire[7:0] VAR117 = {VAR99, VAR44};
assign VAR137 = ~VAR54;
assign VAR69 = VAR107;
assign VAR110 = VAR101;
always @(posedge VAR93 or posedge reset) begin
if (reset) begin
VAR54 <= 1'b0;
VAR101 <= 1'b0;
VAR107 <= 1'h1;
end else begin
if (VAR56[0]==1'b0 && ~VAR68) VAR54 <= VAR118[0];
if (VAR56[0]==1'b1 && ~VAR68) begin
if (VAR101) VAR99 <= {VAR99[5:0],VAR44};
VAR107 <= VAR118[7];
VAR101 <= 1'b0;
end
if (VAR115) VAR101 <= 1'b1;
end
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/and2b/sky130_fd_sc_lp__and2b_lp.v | 2,144 | module MODULE2 (
VAR9 ,
VAR7 ,
VAR4 ,
VAR3,
VAR6,
VAR1 ,
VAR2
);
output VAR9 ;
input VAR7 ;
input VAR4 ;
input VAR3;
input VAR6;
input VAR1 ;
input VAR2 ;
VAR8 VAR5 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR9 ,
VAR7,
VAR4
);
output VAR9 ;
input VAR7;
input VAR4 ;
supply1 VAR3;
supply0 VAR6;
supply1 VAR1 ;
supply0 VAR2 ;
VAR8 VAR5 (
.VAR9(VAR9),
.VAR7(VAR7),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nor4/sky130_fd_sc_hd__nor4_1.v | 2,275 | module MODULE2 (
VAR4 ,
VAR10 ,
VAR11 ,
VAR2 ,
VAR9 ,
VAR3,
VAR1,
VAR5 ,
VAR8
);
output VAR4 ;
input VAR10 ;
input VAR11 ;
input VAR2 ;
input VAR9 ;
input VAR3;
input VAR1;
input VAR5 ;
input VAR8 ;
VAR7 VAR6 (
.VAR4(VAR4),
.VAR10(VAR10),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR4,
VAR10,
VAR11,
VAR2,
VAR9
);
output VAR4;
input VAR10;
input VAR11;
input VAR2;
input VAR9;
supply1 VAR3;
supply0 VAR1;
supply1 VAR5 ;
supply0 VAR8 ;
VAR7 VAR6 (
.VAR4(VAR4),
.VAR10(VAR10),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR9(VAR9)
);
endmodule | apache-2.0 |
peteasa/parallella-fpga | AdiHDLLib/library/common/ad_jesd_align.v | 3,712 | module MODULE1 (
VAR2,
VAR7,
VAR6,
VAR5,
VAR8);
input VAR2;
input [ 3:0] VAR7;
input [31:0] VAR6;
output VAR5;
output [31:0] VAR8;
reg [31:0] VAR4 = 'd0;
reg [ 3:0] VAR3 = 'd0;
reg VAR5 = 'd0;
reg VAR1 = 'd0;
reg [31:0] VAR8 = 'd0;
always @(posedge VAR2) begin
VAR4 <= VAR6;
VAR1 <= VAR7;
if (VAR7 != 4'h0) begin
VAR3 <= VAR7;
end
VAR5 <= |VAR1;
if (VAR3[0] == 1'b1) begin
VAR8 <= VAR6;
end else if (VAR3[1] == 1'b1) begin
VAR8 <= {VAR6[ 7:0], VAR4[31: 8]};
end else if (VAR3[2] == 1'b1) begin
VAR8 <= {VAR6[15:0], VAR4[31:16]};
end else if (VAR3[3] == 1'b1) begin
VAR8 <= {VAR6[23:0], VAR4[31:24]};
end else begin
VAR8 <= 32'd0;
end
end
endmodule | lgpl-3.0 |
omicronns/studies-sys-rek | lab2/counter/src/counter.v | 1,066 | module MODULE1 #(
parameter VAR5 = 0,
parameter VAR2 = 0
)(
input clk,
input VAR3,
input VAR1,
output [VAR5 - 1:0] out
);
reg[VAR5 - 1:0] VAR4 = 0;
always@(posedge clk)
begin
if(VAR1)
VAR4[VAR5 - 1:0] <= 0;
end
else
begin
if(VAR3)
VAR4 <= (VAR4 + 1) % VAR2;
end
else
VAR4 <= VAR4;
end
end
assign out = VAR4;
endmodule | mit |
bangonkali/quartus-sockit | soc_system/synthesis/submodules/soc_system_master_secure_p2b_adapter.v | 1,339 | module MODULE1 (
input clk,
input VAR1,
output reg VAR12,
input VAR11,
input [ 7: 0] VAR3,
input VAR6,
input VAR2,
input VAR7,
output reg VAR10,
output reg [ 7: 0] VAR5,
output reg VAR9,
output reg VAR8,
output reg [ 7: 0] VAR13
);
reg VAR4 = 0;
always @* begin
VAR12 = VAR7;
VAR10 = VAR11;
VAR5 = VAR3;
VAR9 = VAR6;
VAR8 = VAR2;
VAR13 = 0;
VAR13 = VAR4;
end
endmodule | mit |
GSejas/Karatsuba_FPU | Resultados/CORDIC/CORDIC_Arch3_Vivado/CORDIC_Arch3_Vivado.srcs/sources_1/imports/Floating-Point-Unit-master/Coprocesador_CORDIC_RTL/FPU_Interface_and_NaN/NaN_mod_64.v | 1,642 | module MODULE1
(
input wire [1:0] VAR4,
input wire [63:0] VAR2,
input wire [63:0] VAR1,
output reg VAR3
);
always @*
begin
case(VAR4)
2'b00:
begin
if((VAR2 == 64'h7ff0000000000000) && (VAR1 == 64'h7ff0000000000000))
VAR3 = 1'b1;
end
else if((VAR2 == 64'hfff0000000000000) && (VAR1 == 64'hfff0000000000000))
VAR3 = 1'b1;
end
else if((VAR2 == 64'h7ff0000000000000) && (VAR1 == 64'hfff0000000000000))
VAR3 = 1'b1;
else if((VAR2 == 64'hfff0000000000000) && (VAR1 == 64'h7ff0000000000000))
VAR3 = 1'b1;
else
VAR3 = 1'b0;
end
2'b01:
begin
if(VAR2 == 64'h7ff0000000000000)
VAR3 = 1'b1;
end
else if(VAR2 == 64'hfff0000000000000)
VAR3 = 1'b1;
else
VAR3 = 1'b0;
end
2'b10:
begin
if((VAR2 == 64'h0000000000000000) && (VAR1 == 64'h7ff0000000000000))
VAR3 = 1'b1;
end
else if((VAR2 == 64'h0000000000000000) && (VAR1 == 64'hfff0000000000000))
VAR3 = 1'b1;
else if((VAR2 == 64'hfff0000000000000) && (VAR1 == 64'h0000000000000000))
VAR3 = 1'b1;
else if((VAR2 == 64'h7ff0000000000000) && (VAR1 == 64'h0000000000000000))
VAR3 = 1'b1;
else
VAR3 = 1'b0;
end
default:
VAR3 = 1'b0;
endcase
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/fill_diode/sky130_fd_sc_hs__fill_diode.behavioral.pp.v | 1,178 | module MODULE1 (
VAR2,
VAR4,
VAR3 ,
VAR1
);
input VAR2;
input VAR4;
input VAR3 ;
input VAR1 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/dfbbp/sky130_fd_sc_ls__dfbbp.pp.blackbox.v | 1,465 | module MODULE1 (
VAR7 ,
VAR6 ,
VAR9 ,
VAR4 ,
VAR2 ,
VAR10,
VAR5 ,
VAR3 ,
VAR1 ,
VAR8
);
output VAR7 ;
output VAR6 ;
input VAR9 ;
input VAR4 ;
input VAR2 ;
input VAR10;
input VAR5 ;
input VAR3 ;
input VAR1 ;
input VAR8 ;
endmodule | apache-2.0 |
cynngah/virtualsynthesizer | ram30x4.v | 7,042 | module MODULE1 (
address,
VAR12,
VAR4,
VAR2,
VAR10);
input [4:0] address;
input VAR12;
input [3:0] VAR4;
input VAR2;
output [3:0] VAR10;
tri1 VAR12;
wire [3:0] VAR51;
wire [3:0] VAR10 = VAR51[3:0];
VAR33 VAR28 (
.VAR6 (address),
.VAR20 (VAR12),
.VAR23 (VAR4),
.VAR26 (VAR2),
.VAR35 (VAR51),
.VAR54 (1'b0),
.VAR37 (1'b0),
.VAR50 (1'b1),
.VAR44 (1'b0),
.VAR53 (1'b0),
.VAR46 (1'b1),
.VAR29 (1'b1),
.VAR15 (1'b1),
.VAR3 (1'b1),
.VAR22 (1'b1),
.VAR18 (1'b1),
.VAR30 (1'b1),
.VAR13 (1'b1),
.VAR40 (),
.VAR27 (),
.VAR16 (1'b1),
.VAR42 (1'b1),
.VAR9 (1'b0));
VAR28.VAR31 = "VAR38",
VAR28.VAR32 = "VAR38",
VAR28.VAR11 = "VAR48 VAR24",
VAR28.VAR5 = "VAR21=VAR8",
VAR28.VAR47 = "VAR33",
VAR28.VAR39 = 30,
VAR28.VAR25 = "VAR34",
VAR28.VAR36 = "VAR17",
VAR28.VAR7 = "VAR19",
VAR28.VAR45 = "VAR49",
VAR28.VAR43 = "VAR52",
VAR28.VAR41 = 5,
VAR28.VAR14 = 4,
VAR28.VAR1 = 1;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/einvn/sky130_fd_sc_ls__einvn.blackbox.v | 1,280 | module MODULE1 (
VAR1 ,
VAR6 ,
VAR7
);
output VAR1 ;
input VAR6 ;
input VAR7;
supply1 VAR2;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/ENCLab/Tiger4SharedKES_v1_0_0/8069a058/src/d_KES_top.v | 38,577 | module MODULE1
(
input wire VAR66,
input wire VAR106,
input wire VAR104,
input wire [3:0] VAR80,
input wire VAR94,
input wire VAR148,
input wire VAR12,
input wire VAR55,
input wire VAR33,
output reg VAR92,
output wire VAR168,
output wire VAR145,
output reg [3:0] VAR26,
output reg VAR36,
output reg VAR28,
output reg [VAR135-1:0] VAR39,
input wire [VAR47*(VAR105*2-1)-1:0] VAR158,
output wire [VAR47-1:0] VAR120,
output wire [VAR47-1:0] VAR133,
output wire [VAR47-1:0] VAR43,
output wire [VAR47-1:0] VAR30,
output wire [VAR47-1:0] VAR40,
output wire [VAR47-1:0] VAR152,
output wire [VAR47-1:0] VAR23,
output wire [VAR47-1:0] VAR155,
output wire [VAR47-1:0] VAR179,
output wire [VAR47-1:0] VAR166,
output wire [VAR47-1:0] VAR2,
output wire [VAR47-1:0] VAR50,
output wire [VAR47-1:0] VAR69,
output wire [VAR47-1:0] VAR136,
output wire [VAR47-1:0] VAR38
);
parameter [11:0] VAR157 = 12'b000000000000;
parameter [11:0] VAR150 = 12'b000000000001;
parameter VAR31 = 7;
parameter VAR76 = 7'b0000001; parameter VAR57 = 7'b0000010; parameter VAR142 = 7'b0000100;
parameter VAR102 = 7'b0001000;
parameter VAR137 = 7'b0010000;
parameter VAR119 = 7'b0100000;
parameter VAR182 = 7'b1000000;
wire [VAR47-1:0] VAR162;
wire [VAR47-1:0] VAR118;
wire [VAR47-1:0] VAR128;
wire [VAR47-1:0] VAR62;
wire [VAR47-1:0] VAR4;
wire [VAR47-1:0] VAR79;
wire [VAR47-1:0] VAR67;
wire [VAR47-1:0] VAR6;
wire [VAR47-1:0] VAR130;
wire [VAR47-1:0] VAR53;
wire [VAR47-1:0] VAR176;
wire [VAR47-1:0] VAR180;
wire [VAR47-1:0] VAR73;
wire [VAR47-1:0] VAR123;
wire [VAR47-1:0] VAR34;
wire VAR175; wire VAR147; wire VAR68; wire VAR143; wire VAR9; wire VAR81; wire VAR83; wire VAR15; wire VAR96; wire VAR177; wire VAR163; wire VAR41; wire VAR49; wire VAR44; wire VAR131; wire VAR52;
reg [(VAR105*2*VAR47)-1:0] VAR174;
reg [VAR31-1:0] VAR82;
reg [VAR31-1:0] VAR126;
reg [VAR140-1:0] VAR127;
reg VAR25;
wire VAR59;
wire VAR45;
wire [VAR105:0] VAR184;
wire VAR18;
wire [VAR47-1:0] VAR61;
wire [VAR47-1:0] VAR88;
reg [VAR47-1:0] VAR21;
reg [VAR47-1:0] VAR107;
wire VAR124;
reg VAR8;
reg VAR95;
wire [VAR105+1:0] VAR139;
reg [VAR105+1:0] VAR114;
reg VAR100;
reg [VAR135-1:0] VAR17;
reg VAR7;
wire [VAR47-1:0] VAR22;
wire [VAR47-1:0] VAR113;
reg VAR161;
reg VAR160;
assign VAR59 = (VAR106) & (VAR25);
assign VAR45 = (VAR127 == VAR129);
assign VAR168 = (VAR39 == 4'b1110);
assign VAR145 = (VAR82 == VAR76);
assign VAR88[VAR47-1:0] = (VAR124)? (VAR61[VAR47-1:0]):(VAR21[VAR47-1:0]);
assign VAR184[VAR105:0] = { (|VAR120[VAR47-1:0]) , (|VAR133[VAR47-1:0]) , (|VAR43[VAR47-1:0]) , (|VAR30[VAR47-1:0]) , (|VAR40[VAR47-1:0]) , (|VAR152[VAR47-1:0]) , (|VAR23[VAR47-1:0]) , (|VAR155[VAR47-1:0]) , (|VAR179[VAR47-1:0]) , (|VAR166[VAR47-1:0]) , (|VAR2[VAR47-1:0]) , (|VAR50[VAR47-1:0]) , (|VAR69[VAR47-1:0]) , (|VAR136[VAR47-1:0]) , (|VAR38[VAR47-1:0]) };
assign VAR61 = VAR162[VAR47-1:0]^ VAR118[VAR47-1:0]^ VAR128[VAR47-1:0]^ VAR62[VAR47-1:0]^ VAR4[VAR47-1:0]^ VAR79[VAR47-1:0]^ VAR67[VAR47-1:0]^ VAR6[VAR47-1:0]^ VAR130[VAR47-1:0]^ VAR53[VAR47-1:0]^ VAR176[VAR47-1:0]^ VAR180[VAR47-1:0]^ VAR73[VAR47-1:0]^ VAR123[VAR47-1:0]^ VAR34[VAR47-1:0] ;
assign VAR139[VAR105+1:0] = { VAR52, VAR131, VAR44, VAR49, VAR41, VAR163, VAR177, VAR96, VAR15, VAR83, VAR81, VAR9, VAR143, VAR68, VAR147, VAR175};
assign VAR18 = |(VAR61[VAR47-1:0]);
always @ ( VAR17, VAR127 )
begin
if ( VAR17 > VAR127 ) begin
VAR7 <= 1'b0;
end else begin
VAR7 <= 1'b1;
end
end
assign VAR124 = (VAR18) & (VAR7);
assign VAR22[VAR47-1:0] = VAR174[(VAR105*2 )*VAR47-1:(VAR105*2-1)*VAR47];
assign VAR113[VAR47-1:0] = VAR174[(VAR105*2-1)*VAR47-1:(VAR105*2-2)*VAR47];
always @ (posedge VAR66)
begin
if ((VAR106) || (VAR104)) begin
VAR82 <= VAR76;
end else begin
VAR82 <= VAR126;
end
end
always @ ( * )
begin
if (VAR168) begin
VAR126 <= VAR76;
end else begin
case (VAR82)
VAR76: begin
VAR126 <= (VAR94)? VAR57:VAR76;
end
VAR57: begin
VAR126 <= (VAR160)? VAR137:VAR142;
end
VAR142: begin
VAR126 <= VAR102;
end
VAR102: begin
VAR126 <= (VAR45)? ((VAR12)? (VAR182): (VAR119)):(VAR142);
end
VAR137: begin
VAR126 <= (VAR12)? (VAR182): (VAR137);
end
VAR119: begin
VAR126 <= (VAR12)? (VAR182): (VAR119);
end
VAR182: begin
VAR126 <= VAR76;
end
default: begin
VAR126 <= VAR76;
end
endcase
end
end
always @ (posedge VAR66)
begin
if ((VAR106) || (VAR104))
VAR92 <= 0;
end
else begin
case (VAR126)
VAR182:
VAR92 <= 1'b1;
default:
VAR92 <= 0;
endcase
end
end
always @ (posedge VAR66)
begin
if ((VAR106) || (VAR104)) begin
VAR127 <= 0;
VAR174[(VAR105*2*VAR47)-1:0] <= 0;
VAR25 <= 0;
VAR114 <= 0;
VAR8 <= 0;
VAR95 <= 0;
VAR21[VAR47-1:0] <= VAR150[VAR47-1:0];
VAR107[VAR47-1:0] <= 0;
end
else begin
case (VAR126)
VAR76: begin
VAR127 <= 0;
VAR174[(VAR105*2*VAR47)-1:0] <= 0;
VAR25 <= 0;
VAR114 <= 0;
VAR8 <= 0;
VAR95 <= 0;
VAR21[VAR47-1:0] <= VAR150[VAR47-1:0];
VAR107[VAR47-1:0] <= 0;
end
VAR57: begin
VAR127 <= 0;
VAR174[(VAR105*2*VAR47)-1:0] <= { VAR150[VAR47-1:0], VAR158[VAR47*(VAR105*2-1)-1:0] };
VAR25 <= 1;
VAR114[VAR105+1:0] <= VAR139[VAR105+1:0];
VAR8 <= 1;
VAR95 <= 0;
VAR21[VAR47-1:0] <= VAR88[VAR47-1:0];
VAR107[VAR47-1:0] <= 0;
end
VAR142: begin
VAR127 <= VAR127 + 1;
VAR174[(VAR105*2*VAR47)-1:0] <= VAR174[(VAR105*2*VAR47)-1:0];
VAR25 <= 1;
VAR114[VAR105+1:0] <= VAR139[VAR105+1:0];
VAR8 <= 0;
VAR95 <= 1;
VAR21[VAR47-1:0] <= VAR88[VAR47-1:0];
VAR107[VAR47-1:0] <= VAR21[VAR47-1:0];
end
VAR102: begin
VAR127 <= VAR127;
VAR174[(VAR105*2*VAR47)-1:0] <= (VAR174[(VAR105*2*VAR47)-1:0]) << (VAR47*2);
VAR25 <= 1;
VAR114[VAR105+1:0] <= VAR139[VAR105+1:0];
VAR8 <= 1;
VAR95 <= 0;
VAR21[VAR47-1:0] <= VAR88[VAR47-1:0];
VAR107 <= 0;
end
VAR137: begin
VAR127 <= 0;
VAR174[(VAR105*2*VAR47)-1:0] <= 0;
VAR25 <= 0;
VAR114 <= 0;
VAR8 <= 0;
VAR95 <= 0;
VAR21[VAR47-1:0] <= VAR150[VAR47-1:0];
VAR107[VAR47-1:0] <= 0;
end
VAR119: begin
VAR127 <= VAR127;
VAR174[(VAR105*2*VAR47)-1:0] <= VAR174[(VAR105*2*VAR47)-1:0];
VAR25 <= 1;
VAR114[VAR105+1:0] <= VAR114[VAR105+1:0];
VAR8 <= 0;
VAR95 <= 0;
VAR21[VAR47-1:0] <= VAR21[VAR47-1:0];
VAR107 <= 0;
end
VAR182: begin
VAR127 <= VAR127;
VAR174[(VAR105*2*VAR47)-1:0] <= VAR174[(VAR105*2*VAR47)-1:0];
VAR25 <= 1;
VAR114[VAR105+1:0] <= VAR114[VAR105+1:0];
VAR8 <= 0;
VAR95 <= 0;
VAR21[VAR47-1:0] <= VAR21[VAR47-1:0];
VAR107 <= 0;
end
default: begin
end
endcase
end
end
always @ (posedge VAR66)
begin
if ((VAR106) || (VAR104)) begin
VAR160 <= 0;
VAR36 <= 0;
VAR26 <= 0;
VAR28 <= 0;
VAR161 <= 0;
end
else begin
case (VAR126)
VAR76: begin
VAR160 <= 0;
VAR36 <= 0;
VAR26 <= VAR26;
VAR28 <= 0;
VAR161 <= 0;
end
VAR57: begin
VAR160 <= VAR148;
VAR36 <= VAR55;
VAR26 <= VAR80;
VAR28 <= 0;
VAR161 <= VAR33;
end
VAR182: begin
VAR160 <= VAR160;
VAR36 <= VAR36;
VAR26 <= VAR26;
VAR28 <= VAR161;
VAR161 <= VAR161;
end
default: begin
VAR160 <= VAR160;
VAR36 <= VAR36;
VAR26 <= VAR26;
VAR28 <= 0;
VAR161 <= VAR161;
end
endcase
end
end
always @ (posedge VAR66)
begin
if ((VAR106) || (VAR104)) begin
VAR39 <= 0;
end
else
case (VAR126)
VAR182: begin
casez (VAR184[VAR105:0])
15'b1?????????????1: begin
VAR39 <= 4'b1110;
end
15'b1????????????10: begin
VAR39 <= 4'b1101;
end
15'b1???????????100: begin
VAR39 <= 4'b1100;
end
15'b1??????????1000: begin
VAR39 <= 4'b1011;
end
15'b1?????????10000: begin
VAR39 <= 4'b1010;
end
15'b1????????100000: begin
VAR39 <= 4'b1001;
end
15'b1???????1000000: begin
VAR39 <= 4'b1000;
end
15'b1??????10000000: begin
VAR39 <= 4'b0111;
end
15'b1?????100000000: begin
VAR39 <= 4'b0110;
end
15'b1????1000000000: begin
VAR39 <= 4'b0101;
end
15'b1???10000000000: begin
VAR39 <= 4'b0100;
end
15'b1??100000000000: begin
VAR39 <= 4'b0011;
end
15'b1?1000000000000: begin
VAR39 <= 4'b0010;
end
15'b110000000000000: begin
VAR39 <= 4'b0001;
end
default: begin
VAR39 <= 4'b0000;
end
endcase
end
default:
VAR39 <= 0;
endcase
end
always @ (posedge VAR66, negedge VAR59)
begin
if ((!VAR59) || (VAR104)) begin
VAR100 <= 0;
VAR17[VAR135-1:0] <= 0;
end else begin
VAR100 <= (VAR45)? VAR114[VAR105+1]:1'b0;
casez (VAR114[VAR105+1:0])
16'b000000000000000?: begin
VAR17[VAR135 - 1:0] <= 4'b0000;
end
16'b000000000000001?: begin
VAR17[VAR135 - 1:0] <= 4'b0001;
end
16'b00000000000001??: begin
VAR17[VAR135 - 1:0] <= 4'b0010;
end
16'b0000000000001???: begin
VAR17[VAR135 - 1:0] <= 4'b0011;
end
16'b000000000001????: begin
VAR17[VAR135 - 1:0] <= 4'b0100;
end
16'b00000000001?????: begin
VAR17[VAR135 - 1:0] <= 4'b0101;
end
16'b0000000001??????: begin
VAR17[VAR135 - 1:0] <= 4'b0110;
end
16'b000000001???????: begin
VAR17[VAR135 - 1:0] <= 4'b0111;
end
16'b00000001????????: begin
VAR17[VAR135 - 1:0] <= 4'b1000;
end
16'b0000001?????????: begin
VAR17[VAR135 - 1:0] <= 4'b1001;
end
16'b000001??????????: begin
VAR17[VAR135 - 1:0] <= 4'b1010;
end
16'b00001???????????: begin
VAR17[VAR135 - 1:0] <= 4'b1011;
end
16'b0001????????????: begin
VAR17[VAR135 - 1:0] <= 4'b1100;
end
16'b001?????????????: begin
VAR17[VAR135 - 1:0] <= 4'b1101;
end
16'b01??????????????: begin
VAR17[VAR135 - 1:0] <= 4'b1110;
end
16'b1???????????????: begin
VAR17[VAR135 - 1:0] <= 4'b1111;
end
default: begin
VAR17[VAR135 - 1:0] <= 4'b0000;
end
endcase
end
end
wire [VAR47-1:0] VAR87;
wire [VAR47-1:0] VAR24;
wire [VAR47-1:0] VAR146;
wire [VAR47-1:0] VAR164;
wire [VAR47-1:0] VAR116;
wire [VAR47-1:0] VAR117;
wire [VAR47-1:0] VAR170;
wire [VAR47-1:0] VAR60;
wire [VAR47-1:0] VAR84;
wire [VAR47-1:0] VAR51;
wire [VAR47-1:0] VAR65;
wire [VAR47-1:0] VAR154;
wire [VAR47-1:0] VAR112;
VAR35 VAR144 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR87[VAR47 - 1:0]),
.VAR125(VAR38[VAR47-1:0]),
.VAR109(VAR34[VAR47 - 1:0]));
VAR35 VAR32 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR24[VAR47 - 1:0]),
.VAR125(VAR136[VAR47-1:0]),
.VAR109(VAR123[VAR47 - 1:0]));
VAR48 VAR64 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR146[VAR47 - 1:0]),
.VAR125(VAR69[VAR47-1:0]),
.VAR58(VAR87[VAR47 - 1:0]),
.VAR109(VAR73[VAR47 - 1:0]));
VAR48 VAR5 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR164[VAR47 - 1:0]),
.VAR125(VAR50[VAR47-1:0]),
.VAR58(VAR24[VAR47 - 1:0]),
.VAR109(VAR180[VAR47 - 1:0]));
VAR48 VAR181 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR116[VAR47 - 1:0]),
.VAR125(VAR2[VAR47-1:0]),
.VAR58(VAR146[VAR47 - 1:0]),
.VAR109(VAR176[VAR47 - 1:0]));
VAR48 VAR54 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR117[VAR47 - 1:0]),
.VAR125(VAR166[VAR47-1:0]),
.VAR58(VAR164[VAR47 - 1:0]),
.VAR109(VAR53[VAR47 - 1:0]));
VAR48 VAR19 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR170[VAR47 - 1:0]),
.VAR125(VAR179[VAR47-1:0]),
.VAR58(VAR116[VAR47 - 1:0]),
.VAR109(VAR130[VAR47 - 1:0]));
VAR48 VAR11 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR60[VAR47 - 1:0]),
.VAR125(VAR155[VAR47-1:0]),
.VAR58(VAR117[VAR47 - 1:0]),
.VAR109(VAR6[VAR47 - 1:0]));
VAR48 VAR171 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR84[VAR47 - 1:0]),
.VAR125(VAR23[VAR47-1:0]),
.VAR58(VAR170[VAR47 - 1:0]),
.VAR109(VAR67[VAR47 - 1:0]));
VAR48 VAR159 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR51[VAR47 - 1:0]),
.VAR125(VAR152[VAR47-1:0]),
.VAR58(VAR60[VAR47 - 1:0]),
.VAR109(VAR79[VAR47 - 1:0]));
VAR48 VAR71 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR65[VAR47 - 1:0]),
.VAR125(VAR40[VAR47-1:0]),
.VAR58(VAR84[VAR47 - 1:0]),
.VAR109(VAR4[VAR47 - 1:0]));
VAR48 VAR141 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR154[VAR47 - 1:0]),
.VAR125(VAR30[VAR47-1:0]),
.VAR58(VAR51[VAR47 - 1:0]),
.VAR109(VAR62[VAR47 - 1:0]));
VAR48 VAR77 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR112[VAR47 - 1:0]),
.VAR125(VAR43[VAR47-1:0]),
.VAR58(VAR65[VAR47 - 1:0]),
.VAR109(VAR128[VAR47 - 1:0]));
VAR48 VAR108 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR22[VAR47 - 1:0]),
.VAR125(VAR133[VAR47-1:0]),
.VAR58(VAR154[VAR47 - 1:0]),
.VAR109(VAR118[VAR47 - 1:0]));
VAR48 VAR138 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR90(VAR8),
.VAR86(VAR113[VAR47 - 1:0]),
.VAR125(VAR120[VAR47-1:0]),
.VAR58(VAR112[VAR47 - 1:0]),
.VAR109(VAR162[VAR47 - 1:0]));
wire [VAR47-1:0] VAR132;
wire [VAR47-1:0] VAR3;
wire [VAR47-1:0] VAR156;
wire [VAR47-1:0] VAR111;
wire [VAR47-1:0] VAR10;
wire [VAR47-1:0] VAR183;
wire [VAR47-1:0] VAR78;
wire [VAR47-1:0] VAR85;
wire [VAR47-1:0] VAR37;
wire [VAR47-1:0] VAR56;
wire [VAR47-1:0] VAR1;
wire [VAR47-1:0] VAR91;
wire [VAR47-1:0] VAR97;
wire [VAR47-1:0] VAR20;
wire [VAR47-1:0] VAR99;
VAR14 VAR122 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR72(VAR132[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR13(VAR52));
VAR110 VAR70 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR136[VAR47-1:0]),
.VAR72(VAR3[VAR47-1:0]),
.VAR42(VAR156[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR38[VAR47-1:0]),
.VAR13(VAR131),
.VAR134(VAR132[VAR47-1:0]));
VAR110 VAR169 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR69[VAR47-1:0]),
.VAR72(VAR156[VAR47-1:0]),
.VAR42(VAR111[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR136[VAR47-1:0]),
.VAR13(VAR44),
.VAR134(VAR3[VAR47-1:0]));
VAR110 VAR173 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR50[VAR47-1:0]),
.VAR72(VAR111[VAR47-1:0]),
.VAR42(VAR10[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR69[VAR47-1:0]),
.VAR13(VAR49),
.VAR134(VAR156[VAR47-1:0]));
VAR110 VAR93 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR2[VAR47-1:0]),
.VAR72(VAR10[VAR47-1:0]),
.VAR42(VAR183[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR50[VAR47-1:0]),
.VAR13(VAR41),
.VAR134(VAR111[VAR47-1:0]));
VAR110 VAR29 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR166[VAR47-1:0]),
.VAR72(VAR183[VAR47-1:0]),
.VAR42(VAR78[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR2[VAR47-1:0]),
.VAR13(VAR163),
.VAR134(VAR10[VAR47-1:0]));
VAR110 VAR27 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR179[VAR47-1:0]),
.VAR72(VAR78[VAR47-1:0]),
.VAR42(VAR85[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR166[VAR47-1:0]),
.VAR13(VAR177),
.VAR134(VAR183[VAR47-1:0]));
VAR110 VAR167 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR155[VAR47-1:0]),
.VAR72(VAR85[VAR47-1:0]),
.VAR42(VAR37[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR179[VAR47-1:0]),
.VAR13(VAR96),
.VAR134(VAR78[VAR47-1:0]));
VAR110 VAR101 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR23[VAR47-1:0]),
.VAR72(VAR37[VAR47-1:0]),
.VAR42(VAR56[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR155[VAR47-1:0]),
.VAR13(VAR15),
.VAR134(VAR85[VAR47-1:0]));
VAR110 VAR98 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR152[VAR47-1:0]),
.VAR72(VAR56[VAR47-1:0]),
.VAR42(VAR1[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR23[VAR47-1:0]),
.VAR13(VAR83),
.VAR134(VAR37[VAR47-1:0]));
VAR110 VAR149 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR40[VAR47-1:0]),
.VAR72(VAR1[VAR47-1:0]),
.VAR42(VAR91[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR152[VAR47-1:0]),
.VAR13(VAR81),
.VAR134(VAR56[VAR47-1:0]));
VAR110 VAR151 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR30[VAR47-1:0]),
.VAR72(VAR91[VAR47-1:0]),
.VAR42(VAR97[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR40[VAR47-1:0]),
.VAR13(VAR9),
.VAR134(VAR1[VAR47-1:0]));
VAR110 VAR63 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR43[VAR47-1:0]),
.VAR72(VAR97[VAR47-1:0]),
.VAR42(VAR20[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR30[VAR47-1:0]),
.VAR13(VAR143),
.VAR134(VAR91[VAR47-1:0]));
VAR110 VAR121 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR133[VAR47-1:0]),
.VAR72(VAR20[VAR47-1:0]),
.VAR42(VAR99[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR43[VAR47-1:0]),
.VAR13(VAR68),
.VAR134(VAR97[VAR47-1:0]));
VAR89 VAR74 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR153(VAR120[VAR47-1:0]),
.VAR72(VAR99[VAR47-1:0]),
.VAR178(VAR61[VAR47-1:0]),
.VAR16(VAR107[VAR47-1:0]),
.VAR172(VAR124),
.VAR165(VAR133[VAR47-1:0]),
.VAR13(VAR147),
.VAR134(VAR20[VAR47-1:0]));
VAR46 VAR75 (
.VAR66(VAR66),
.VAR103(VAR59),
.VAR104(VAR104),
.VAR115(VAR95),
.VAR16(VAR107[VAR47-1:0]),
.VAR165(VAR120[VAR47-1:0]),
.VAR13(VAR175),
.VAR134(VAR99[VAR47-1:0]));
endmodule | gpl-3.0 |
sh-chris110/chris | FPGA/chris.convolution.ok/Qsys/soc_design/synthesis/submodules/soc_design_mm_interconnect_0_avalon_st_adapter.v | 6,164 | module MODULE1 #(
parameter VAR25 = 18,
parameter VAR20 = 0,
parameter VAR9 = 18,
parameter VAR14 = 0,
parameter VAR5 = 0,
parameter VAR17 = 0,
parameter VAR6 = 1,
parameter VAR13 = 1,
parameter VAR23 = 0,
parameter VAR18 = 18,
parameter VAR21 = 0,
parameter VAR1 = 1,
parameter VAR22 = 0,
parameter VAR19 = 1,
parameter VAR4 = 1,
parameter VAR11 = 0
) (
input wire VAR3, input wire VAR10, input wire [17:0] VAR12, input wire VAR7, output wire VAR15, output wire [17:0] VAR8, output wire VAR16, input wire VAR2, output wire [0:0] VAR24 );
generate
if (VAR25 != 18)
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | gpl-2.0 |
alexforencich/xfcp | lib/eth/lib/axis/rtl/axis_frame_join.v | 11,255 | module MODULE1 #
(
parameter VAR50 = 4,
parameter VAR13 = 8,
parameter VAR5 = 1,
parameter VAR30 = 16
)
(
input wire clk,
input wire rst,
input wire [VAR50*VAR13-1:0] VAR52,
input wire [VAR50-1:0] VAR23,
output wire [VAR50-1:0] VAR26,
input wire [VAR50-1:0] VAR48,
input wire [VAR50-1:0] VAR3,
output wire [VAR13-1:0] VAR54,
output wire VAR29,
input wire VAR9,
output wire VAR58,
output wire VAR56,
input wire [VAR30-1:0] VAR16,
output wire VAR24
);
parameter VAR42 = VAR39(VAR50);
parameter VAR7 = (VAR30 + VAR13 - 1) / VAR13;
parameter VAR22 = VAR39(VAR7);
localparam [1:0]
VAR38 = 2'd0,
VAR36 = 2'd1,
VAR37 = 2'd2;
reg [1:0] VAR2 = VAR38, VAR51;
reg [VAR22-1:0] VAR41 = {VAR22{1'b0}}, VAR40;
reg [VAR42-1:0] VAR45 = {VAR42{1'b0}}, VAR47;
reg VAR1 = 1'b0, VAR18;
reg VAR49 = 1'b0, VAR28;
reg [VAR50-1:0] VAR11 = {VAR50{1'b0}}, VAR14;
reg [VAR13-1:0] VAR10;
reg VAR21;
reg VAR15 = 1'b0;
reg VAR27;
reg VAR8;
wire VAR55;
assign VAR26 = VAR11;
assign VAR24 = VAR1;
wire [VAR13-1:0] VAR6 = VAR52[VAR45*VAR13 +: VAR13];
wire VAR35 = VAR23[VAR45];
wire VAR43 = VAR48[VAR45];
wire VAR53 = VAR3[VAR45];
always @* begin
VAR51 = VAR38;
VAR40 = VAR41;
VAR47 = VAR45;
VAR14 = {VAR50{1'b0}};
VAR10 = 8'd0;
VAR21 = 1'b0;
VAR27 = 1'b0;
VAR8 = 1'b0;
VAR28 = VAR49;
case (VAR2)
VAR38: begin
VAR40 = {VAR22{1'b0}};
VAR47 = {VAR42{1'b0}};
VAR28 = 1'b0;
if (VAR5) begin
VAR14 = 1'b0;
end else begin
VAR14 = VAR55;
end
if (VAR23) begin
if (VAR5) begin
if (VAR15) begin
VAR40 = 1;
VAR10 = VAR16;
VAR21 = 1'b1;
end
VAR51 = VAR36;
end else begin
if (VAR15) begin
VAR10 = VAR52;
VAR21 = 1'b1;
end
VAR51 = VAR37;
end
end else begin
VAR51 = VAR38;
end
end
VAR36: begin
if (VAR15) begin
VAR51 = VAR36;
VAR40 = VAR41 + 1;
VAR21 = 1'b1;
VAR10 = VAR16 >> VAR41*VAR13;
if (VAR41 == VAR7-1) begin
VAR14 = VAR55 << 0;
VAR51 = VAR37;
end
end else begin
VAR51 = VAR36;
end
end
VAR37: begin
VAR14 = VAR55 << VAR45;
if (VAR35 && VAR15) begin
VAR51 = VAR37;
VAR10 = VAR6;
VAR21 = VAR35;
if (VAR43) begin
VAR47 = VAR45 + 1;
VAR28 = VAR28 | VAR53;
VAR14 = {VAR50{1'b0}};
if (VAR50 == 1 || VAR45 == VAR50-1) begin
VAR27 = 1'b1;
VAR8 = VAR28;
VAR51 = VAR38;
end else begin
VAR14 = VAR55 << VAR47;
end
end
end else begin
VAR51 = VAR37;
end
end
endcase
end
always @(posedge clk) begin
if (rst) begin
VAR2 <= VAR38;
VAR41 <= {VAR22{1'b0}};
VAR45 <= {VAR42{1'b0}};
VAR11 <= {VAR50{1'b0}};
VAR49 <= 1'b0;
VAR1 <= 1'b0;
end else begin
VAR2 <= VAR51;
VAR41 <= VAR40;
VAR45 <= VAR47;
VAR11 <= VAR14;
VAR49 <= VAR28;
VAR1 <= VAR51 != VAR38;
end
end
reg [VAR13-1:0] VAR20 = {VAR13{1'b0}};
reg VAR32 = 1'b0, VAR33;
reg VAR31 = 1'b0;
reg VAR25 = 1'b0;
reg [VAR13-1:0] VAR12 = {VAR13{1'b0}};
reg VAR44 = 1'b0, VAR34;
reg VAR19 = 1'b0;
reg VAR17 = 1'b0;
reg VAR4;
reg VAR46;
reg VAR57;
assign VAR54 = VAR20;
assign VAR29 = VAR32;
assign VAR58 = VAR31;
assign VAR56 = VAR25;
assign VAR55 = VAR9 || (!VAR44 && (!VAR32 || !VAR21));
always @* begin
VAR33 = VAR32;
VAR34 = VAR44;
VAR4 = 1'b0;
VAR46 = 1'b0;
VAR57 = 1'b0;
if (VAR15) begin
if (VAR9 || !VAR32) begin
VAR33 = VAR21;
VAR4 = 1'b1;
end else begin
VAR34 = VAR21;
VAR46 = 1'b1;
end
end else if (VAR9) begin
VAR33 = VAR44;
VAR34 = 1'b0;
VAR57 = 1'b1;
end
end
always @(posedge clk) begin
if (rst) begin
VAR32 <= 1'b0;
VAR15 <= 1'b0;
VAR44 <= 1'b0;
end else begin
VAR32 <= VAR33;
VAR15 <= VAR55;
VAR44 <= VAR34;
end
if (VAR4) begin
VAR20 <= VAR10;
VAR31 <= VAR27;
VAR25 <= VAR8;
end else if (VAR57) begin
VAR20 <= VAR12;
VAR31 <= VAR19;
VAR25 <= VAR17;
end
if (VAR46) begin
VAR12 <= VAR10;
VAR19 <= VAR27;
VAR17 <= VAR8;
end
end
endmodule | mit |
nishtahir/arty-blaze | src/bd/system/ip/system_microblaze_0_axi_intc_0/system_microblaze_0_axi_intc_0_stub.v | 2,521 | module MODULE1(VAR12, VAR22, VAR21,
VAR9, VAR14, VAR23, VAR19, VAR15, VAR7,
VAR2, VAR5, VAR10, VAR8, VAR18, VAR17,
VAR6, VAR16, VAR24, VAR11, VAR20, VAR4, VAR13, irq,
VAR3, VAR1)
;
input VAR12;
input VAR22;
input [8:0]VAR21;
input VAR9;
output VAR14;
input [31:0]VAR23;
input [3:0]VAR19;
input VAR15;
output VAR7;
output [1:0]VAR2;
output VAR5;
input VAR10;
input [8:0]VAR8;
input VAR18;
output VAR17;
output [31:0]VAR6;
output [1:0]VAR16;
output VAR24;
input VAR11;
input [6:0]VAR20;
input VAR4;
input VAR13;
output irq;
input [1:0]VAR3;
output [31:0]VAR1;
endmodule | apache-2.0 |
tdene/synth_opt_adders | src/pptrees/mappings/asap7sc7p5t_28_R.v | 4,458 | module MODULE21
(
VAR18, VAR25
);
output VAR18;
input VAR25;
VAR13 MODULE21(.VAR18(VAR18), .VAR25(VAR25));
endmodule
module MODULE20
(
VAR18, VAR25
);
output VAR18;
input VAR25;
VAR20 MODULE20(.VAR33(VAR18), .VAR25(VAR25));
endmodule
module MODULE15
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
VAR10 MODULE15(.VAR18(VAR18), .VAR25(VAR25), .VAR7(VAR7));
endmodule
module MODULE17
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
VAR28 MODULE17(.VAR18(VAR18), .VAR25(VAR25), .VAR7(VAR7));
endmodule
module MODULE18
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
VAR23 MODULE18(.VAR33(VAR18), .VAR25(VAR25), .VAR7(VAR7));
endmodule
module MODULE22
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
VAR22 MODULE22(.VAR33(VAR18), .VAR25(VAR25), .VAR7(VAR7));
endmodule
module MODULE27
(
VAR18, VAR25, VAR7, VAR34
);
output VAR18;
input VAR25, VAR7, VAR34;
VAR26 MODULE27(.VAR18(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34));
endmodule
module MODULE10
(
VAR18, VAR25, VAR7, VAR34
);
output VAR18;
input VAR25, VAR7, VAR34;
VAR4 MODULE10(.VAR18(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34));
endmodule
module MODULE12
(
VAR18, VAR25, VAR7, VAR34
);
output VAR18;
input VAR25, VAR7, VAR34;
VAR14 MODULE12(.VAR33(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34));
endmodule
module MODULE13
(
VAR18, VAR25, VAR7, VAR34
);
output VAR18;
input VAR25, VAR7, VAR34;
VAR2 MODULE13(.VAR33(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34));
endmodule
module MODULE11
(
VAR18, VAR25, VAR7, VAR34, VAR11
);
output VAR18;
input VAR25, VAR7, VAR34, VAR11;
VAR31 MODULE11(.VAR18(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34), .VAR11(VAR11));
endmodule
module MODULE9
(
VAR18, VAR25, VAR7, VAR34, VAR11
);
output VAR18;
input VAR25, VAR7, VAR34, VAR11;
VAR38 MODULE9(.VAR18(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34), .VAR11(VAR11));
endmodule
module MODULE7
(
VAR18, VAR25, VAR7, VAR34, VAR11
);
output VAR18;
input VAR25, VAR7, VAR34, VAR11;
VAR1 MODULE7(.VAR33(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34), .VAR11(VAR11));
endmodule
module MODULE8
(
VAR18, VAR25, VAR7, VAR34, VAR11
);
output VAR18;
input VAR25, VAR7, VAR34, VAR11;
VAR24 MODULE8(.VAR33(VAR18), .VAR25(VAR25), .VAR7(VAR7), .VAR34(VAR34), .VAR11(VAR11));
endmodule
module MODULE2
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
wire VAR32;
VAR13 MODULE21(.VAR18(VAR32), .VAR25(VAR25));
VAR10 MODULE15(.VAR18(VAR18), .VAR25(VAR32), .VAR7(VAR7));
endmodule
module MODULE14
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
wire VAR32;
VAR13 MODULE21(.VAR18(VAR32), .VAR25(VAR25));
VAR10 MODULE17(.VAR18(VAR18), .VAR25(VAR32), .VAR7(VAR7));
endmodule
module MODULE19
(
VAR18, VAR3, VAR39, VAR36
);
output VAR18;
input VAR3, VAR39, VAR36;
VAR6 MODULE19(.VAR33(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36));
endmodule
module MODULE1
(
VAR18, VAR3, VAR39, VAR36
);
output VAR18;
input VAR3, VAR39, VAR36;
VAR15 MODULE1(.VAR33(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36));
endmodule
module MODULE6
(
VAR18, VAR3, VAR39, VAR36
);
output VAR18;
input VAR3, VAR39, VAR36;
VAR16 MODULE6(.VAR18(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36));
endmodule
module MODULE23
(
VAR18, VAR3, VAR39, VAR36
);
output VAR18;
input VAR3, VAR39, VAR36;
VAR37 MODULE23(.VAR33(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36));
endmodule
module MODULE4
(
VAR18, VAR3, VAR39, VAR36, VAR30
);
output VAR18;
input VAR3, VAR39, VAR36, VAR30;
VAR8 MODULE4(.VAR33(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36), .VAR9(VAR30));
endmodule
module MODULE28
(
VAR18, VAR3, VAR39, VAR36, VAR30
);
output VAR18;
input VAR3, VAR39, VAR36, VAR30;
VAR19 MODULE28(.VAR33(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36), .VAR9(VAR30));
endmodule
module MODULE24
(
VAR18, VAR3, VAR39, VAR36, VAR30
);
output VAR18;
input VAR3, VAR39, VAR36, VAR30;
VAR21 MODULE24(.VAR18(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36), .VAR9(VAR30));
endmodule
module MODULE25
(
VAR18, VAR3, VAR39, VAR36, VAR30
);
output VAR18;
input VAR3, VAR39, VAR36, VAR30;
VAR12 MODULE25(.VAR18(VAR18), .VAR39(VAR3), .VAR5(VAR39), .VAR30(VAR36), .VAR9(VAR30));
endmodule
module MODULE5
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
VAR17 MODULE5(.VAR33(VAR18), .VAR25(VAR25), .VAR7(VAR7));
endmodule
module MODULE16
(
VAR18, VAR25, VAR7
);
output VAR18;
input VAR25, VAR7;
VAR29 MODULE16(.VAR18(VAR18), .VAR25(VAR25), .VAR7(VAR7));
endmodule
module MODULE26
(
VAR18, VAR35, VAR25, VAR7
);
output VAR18;
input VAR35, VAR25, VAR7;
wire VAR32, VAR27;
VAR13 MODULE21(.VAR18(VAR32), .VAR25(VAR35));
VAR21 MODULE24(.VAR18(VAR27), .VAR39(VAR35), .VAR5(VAR39), .VAR30(VAR32), .VAR9(VAR30));
VAR13 MODULE21(.VAR18(VAR18), .VAR25(VAR27));
endmodule
module MODULE3
(
VAR18, VAR35, VAR25, VAR7
);
output VAR18;
input VAR35, VAR25, VAR7;
wire VAR32;
VAR13 MODULE21(.VAR18(VAR32), .VAR25(VAR35));
VAR21 MODULE24(.VAR18(VAR18), .VAR39(VAR35), .VAR5(VAR39), .VAR30(VAR32), .VAR9(VAR30));
endmodule | apache-2.0 |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/hls_tutorial_lab1/hls_tutorial_lab1.srcs/sources_1/bd/zybo_zynq_design/ip/zybo_zynq_design_hls_macc_0_0/synth/zybo_zynq_design_hls_macc_0_0.v | 10,152 | module MODULE1 (
VAR6,
VAR20,
VAR19,
VAR17,
VAR18,
VAR5,
VAR23,
VAR15,
VAR4,
VAR9,
VAR1,
VAR10,
VAR21,
VAR22,
VAR8,
VAR7,
VAR3,
VAR2,
VAR16,
interrupt
);
input wire [5 : 0] VAR6;
input wire VAR20;
output wire VAR19;
input wire [31 : 0] VAR17;
input wire [3 : 0] VAR18;
input wire VAR5;
output wire VAR23;
output wire [1 : 0] VAR15;
output wire VAR4;
input wire VAR9;
input wire [5 : 0] VAR1;
input wire VAR10;
output wire VAR21;
output wire [31 : 0] VAR22;
output wire [1 : 0] VAR8;
output wire VAR7;
input wire VAR3;
input wire VAR2;
input wire VAR16;
output wire interrupt;
VAR13 #(
.VAR14(6),
.VAR12(32)
) VAR11 (
.VAR6(VAR6),
.VAR20(VAR20),
.VAR19(VAR19),
.VAR17(VAR17),
.VAR18(VAR18),
.VAR5(VAR5),
.VAR23(VAR23),
.VAR15(VAR15),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR21(VAR21),
.VAR22(VAR22),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR16(VAR16),
.interrupt(interrupt)
);
endmodule | mit |
nikhilghanathe/HLS-for-EMTF | verilog/sp_find_segment_stn1.v | 1,037 | module MODULE1 (
VAR8,
VAR2,
VAR6,
VAR3,
VAR4,
VAR7,
VAR1,
VAR5
);
input [11:0] VAR8;
input [6:0] VAR2;
input [6:0] VAR6;
input [3:0] VAR3;
output [11:0] VAR4;
output [3:0] VAR7;
output [6:0] VAR1;
output [6:0] VAR5;
assign VAR4 = VAR8;
assign VAR7 = VAR3;
assign VAR1 = VAR2;
assign VAR5 = VAR6;
endmodule | apache-2.0 |
peteasa/parallella-fpga | AdiHDLLib/library/common/ad_gt_common.v | 9,872 | module MODULE1 (
VAR89,
VAR168,
VAR88,
VAR94,
VAR137,
VAR192,
VAR76,
VAR31,
VAR55,
VAR28,
VAR38,
VAR169);
parameter integer VAR122 = 0;
parameter integer VAR179 = 1;
parameter integer VAR165 = 2;
parameter [26:0] VAR59 = 27'h06801C1;
parameter integer VAR56 = 1'b1;
parameter [ 9:0] VAR65 = 10'b0000110000;
input VAR89;
input VAR168;
output VAR88;
output VAR94;
output VAR137;
input VAR192;
input VAR76;
input [11:0] VAR31;
input VAR55;
input [15:0] VAR28;
output [15:0] VAR38;
output VAR169;
generate
if (VAR179 == 0) begin
assign VAR88 = 1'd0;
assign VAR94 = 1'd0;
assign VAR137 = 1'd0;
assign VAR38 = 16'd0;
assign VAR169 = 1'd0;
end
if ((VAR179 == 1) && (VAR122 == 0)) begin
VAR23 #(
.VAR21 ("VAR49"),
.VAR71 (3'b001),
.VAR37 ("3.0"),
.VAR138 (64'h0000040000001000),
.VAR1 (32'h00000000),
.VAR59 (VAR59),
.VAR63 (4'b0000),
.VAR148 (6'b010000),
.VAR98 (1'b0),
.VAR109 (10'b0000011111),
.VAR156 (1'b0),
.VAR82 (1'b0),
.VAR65 (VAR65),
.VAR95 (1'b0),
.VAR56 (VAR56),
.VAR172 (24'h000006),
.VAR48 (16'h21E8),
.VAR58 (4'b1111),
.VAR165 (VAR165))
VAR105 (
.VAR19 (VAR192),
.VAR140 (VAR76),
.VAR97 (VAR31[7:0]),
.VAR186 (VAR55),
.VAR149 (VAR28),
.VAR79 (VAR38),
.VAR189 (VAR169),
.VAR183 (1'd0),
.VAR81 (1'd0),
.VAR153 (1'd0),
.VAR4 (VAR89),
.VAR151 (1'd0),
.VAR17 (1'd0),
.VAR75 (1'd0),
.VAR16 (),
.VAR173 (VAR88),
.VAR147 (VAR94),
.VAR30 (),
.VAR54 (),
.VAR107 (VAR137),
.VAR100 (VAR192),
.VAR68 (1'd1),
.VAR167 (1'd0),
.VAR40 (1'd0),
.VAR110 (),
.VAR66 (3'b001),
.VAR114 (VAR168),
.VAR146 (16'b0000000000000000),
.VAR128 (5'b11111),
.VAR14 (1'd1),
.VAR160 (1'd1),
.VAR193 (1'd1),
.VAR180 (5'b00000),
.VAR24 (8'b00000000),
.VAR44 (1'd1));
end
if ((VAR179 == 1) && (VAR122 == 1)) begin
VAR176 #(
.VAR21 ("VAR49"),
.VAR37 (2),
.VAR170 (1'b1),
.VAR161 (1'b0),
.VAR39 (1'b0),
.VAR136 (1'b0),
.VAR130 (1'b0),
.VAR96 (1'b0),
.VAR132 (16'b0000000000000000),
.VAR35 (16'b0000000000000000),
.VAR124 (16'b0000000000000000),
.VAR61 (16'b0000000001000000),
.VAR141 (16'b0000000000000000),
.VAR131 (16'b0000000000000000),
.VAR9 (16'b0000000000000000),
.VAR3 (16'b0000000000000100),
.VAR99 (16'b0011000000011100),
.VAR34 (16'b0000000000011000),
.VAR36 (16'b0000000000011000),
.VAR8 (16'b0000000001001000),
.VAR102 (16'b0000000001001000),
.VAR83 (16'b0000000100100000),
.VAR103 (16'b0000000000001001),
.VAR108 (16'b0000000000000000),
.VAR47 (16'b0010010111101000),
.VAR191 (16'b0010010111101000),
.VAR157 (16'b0000000000000000),
.VAR41 (16'b0000000000000000),
.VAR50 (16'b0000000000000000),
.VAR135 (16'b0011000000011100),
.VAR134 (16'b0000000000011000),
.VAR182 (16'b0000000000011000),
.VAR20 (16'b0000000001000000),
.VAR25 (16'b0000000001000000),
.VAR27 (16'b0000000100100000),
.VAR64 (16'b0000000000001001),
.VAR187 (16'b0000000000000000),
.VAR45 (16'b0010010111101000),
.VAR80 (16'b0010010111101000),
.VAR152 (16'b0000000000000000),
.VAR106 (16'b0000000000000000),
.VAR74 (16'b0000000000000000),
.VAR46 (16'b0000000000000000),
.VAR129 (16'b0000000000000000),
.VAR181 (16'b0000000000000000),
.VAR185 (16'b0000000000000000),
.VAR184 (16'b0000000000000000),
.VAR86 (16'b0000000000000000),
.VAR177 (16'b0000000000000000),
.VAR166 (16'b0000000000000000),
.VAR111 (2'b00),
.VAR125 (2'b00),
.VAR126 (8'b00000000),
.VAR142 (8'b00000000),
.VAR113 (9'b000000000),
.VAR51 (9'b000000000),
.VAR91 (9'b000000000),
.VAR139 (9'b000000000),
.VAR163 (10'b0000000000),
.VAR10 (10'b0000011111),
.VAR115 (10'b1111111111),
.VAR52 (10'b1111111111),
.VAR5 (10'b0000010101),
.VAR112 (10'b0000011111),
.VAR121 (10'b1111111111),
.VAR67 (10'b1111111111),
.VAR62 (10'b0000010101),
.VAR171 (VAR65),
.VAR87 (80),
.VAR22 (VAR165),
.VAR77 (VAR65),
.VAR119 (80),
.VAR194 (VAR165))
VAR195 (
.VAR14 (1'd1),
.VAR160 (1'd1),
.VAR193 (1'd1),
.VAR180 (5'b11111),
.VAR154 (1'd1),
.VAR97 (VAR31[8:0]),
.VAR19 (VAR192),
.VAR149 (VAR28),
.VAR140 (VAR76),
.VAR186 (VAR55),
.VAR85 (1'd0),
.VAR73 (1'd0),
.VAR13 (1'd0),
.VAR57 (1'd0),
.VAR90 (1'd0),
.VAR7 (1'd0),
.VAR104 (VAR89),
.VAR127 (1'd0),
.VAR120 (1'd0),
.VAR32 (1'd0),
.VAR12 (1'd0),
.VAR29 (1'd0),
.VAR117 (1'd0),
.VAR174 (1'd0),
.VAR53 (8'd0),
.VAR2 (8'd0),
.VAR146 (8'd0),
.VAR128 (5'd0),
.VAR93 (5'd0),
.VAR43 (8'd0),
.VAR164 (1'd0),
.VAR158 (1'd0),
.VAR123 (VAR192),
.VAR33 (1'd1),
.VAR72 (1'd0),
.VAR6 (3'b001),
.VAR11 (VAR168),
.VAR143 (1'd0),
.VAR60 (1'd0),
.VAR175 (1'd0),
.VAR42 (1'd0),
.VAR162 (1'd1),
.VAR101 (3'b001),
.VAR144 (1'd1),
.VAR44 (1'd1),
.VAR79 (VAR38),
.VAR189 (VAR169),
.VAR190 (),
.VAR159 (),
.VAR78 (),
.VAR118 (),
.VAR69 (),
.VAR133 (VAR137),
.VAR15 (VAR88),
.VAR26 (VAR94),
.VAR155 (),
.VAR188 (),
.VAR178 (),
.VAR150 (),
.VAR116 (),
.VAR70 (),
.VAR92 (),
.VAR145 (),
.VAR18 (),
.VAR84 ());
end
endgenerate
endmodule | lgpl-3.0 |
alexforencich/xfcp | lib/eth/example/HXT100G/fpga/rtl/eth_gth_phy_quad.v | 17,237 | module MODULE1 (
input wire VAR144,
input wire VAR45,
input wire VAR75,
input wire VAR214,
output wire VAR268,
input wire VAR151,
output wire VAR17,
input wire VAR55,
input wire VAR137,
output wire VAR263,
output wire VAR165,
input wire VAR226,
input wire VAR63,
output wire VAR122,
output wire VAR21,
input wire VAR110,
input wire VAR41,
output wire VAR78,
output wire VAR33,
input wire VAR155,
input wire VAR168,
output wire VAR157,
output wire VAR31,
input wire VAR224,
input wire VAR100,
input wire [63:0] VAR220,
input wire [7:0] VAR80,
output wire [63:0] VAR246,
output wire [7:0] VAR150,
input wire [63:0] VAR180,
input wire [7:0] VAR85,
output wire [63:0] VAR198,
output wire [7:0] VAR23,
input wire [63:0] VAR189,
input wire [7:0] VAR194,
output wire [63:0] VAR8,
output wire [7:0] VAR234,
input wire [63:0] VAR183,
input wire [7:0] VAR245,
output wire [63:0] VAR53,
output wire [7:0] VAR67,
input wire VAR222,
input wire VAR102,
input wire VAR132,
input wire VAR46,
input wire VAR154,
input wire VAR128,
input wire VAR35,
input wire VAR32
);
wire [63:0] VAR70;
wire [7:0] VAR39;
wire [63:0] VAR18;
wire [7:0] VAR142;
wire [63:0] VAR164;
wire [7:0] VAR264;
wire [63:0] VAR59;
wire [7:0] VAR228;
wire [63:0] VAR65;
wire [7:0] VAR252;
wire [63:0] VAR261;
wire [7:0] VAR190;
wire [63:0] VAR103;
wire [7:0] VAR187;
wire [63:0] VAR29;
wire [7:0] VAR7;
wire VAR271;
wire VAR206;
wire VAR141;
wire [20:0] VAR256;
wire [15:0] VAR101;
wire [15:0] VAR118;
wire VAR202;
wire VAR119;
wire [20:0] VAR123;
wire [15:0] VAR200;
wire VAR47;
wire VAR83;
wire VAR99;
wire VAR188;
wire [20:0] VAR71;
wire [15:0] VAR285;
wire VAR87;
wire VAR130;
wire VAR218;
wire VAR86;
wire [20:0] VAR166;
wire [15:0] VAR120;
wire VAR48;
wire VAR173;
wire VAR239;
wire VAR5;
wire [20:0] VAR244;
wire [15:0] VAR235;
wire VAR265;
wire VAR81;
wire VAR177;
VAR262 VAR193
(
.VAR232(VAR55),
.VAR195(VAR137),
.VAR172(VAR177)
);
wire VAR162;
wire VAR247;
wire VAR282;
wire VAR25;
wire VAR117;
wire VAR61;
wire VAR90;
wire VAR277;
VAR254 #(
.VAR42("VAR237")
)
VAR114
(
.VAR212(1'b1),
.VAR60(1'b0),
.VAR232(VAR162),
.VAR172(VAR247)
);
VAR254 #(
.VAR42("VAR237")
)
VAR9
(
.VAR212(1'b1),
.VAR60(1'b0),
.VAR232(VAR282),
.VAR172(VAR25)
);
VAR49 VAR15
(
.VAR232(VAR117),
.VAR172(VAR61)
);
VAR49 VAR115
(
.VAR232(VAR90),
.VAR172(VAR277)
);
wire VAR170;
wire VAR184;
wire VAR278;
wire VAR2;
wire VAR283;
wire VAR209;
wire VAR98;
wire VAR19;
wire VAR174 = VAR170 & VAR184;
wire VAR217 = VAR278 & VAR2;
wire VAR272 = VAR283 & VAR209;
wire VAR249 = VAR98 & VAR19;
reg VAR77 = 0;
assign VAR17 = VAR77;
always @(posedge VAR144) begin
VAR77 <= VAR174 & VAR217 & VAR272 & VAR249;
end
wire VAR124;
wire VAR108 = VAR17 & VAR124;
wire VAR16 = {VAR81, VAR173, VAR130, VAR83};
VAR104 #
(
.VAR57(0)
)
VAR210
(
.VAR243 (VAR170),
.VAR125 (VAR184),
.VAR185 (VAR278),
.VAR136 (VAR2),
.VAR197 (VAR283),
.VAR267 (VAR209),
.VAR191 (VAR98),
.VAR230 (VAR19),
.VAR84 (),
.VAR13 (1'b0),
.VAR258 (1'b0),
.VAR51 (1'b0),
.VAR92 (1'b0),
.VAR287 (1'b0),
.VAR227 (1'b0),
.VAR11 (1'b0),
.VAR37 (1'b0),
.VAR203 (1'b0),
.VAR275 (1'b0),
.VAR54 (1'b0),
.VAR213 (1'b0),
.VAR250 ({VAR102 | (~VAR17), 1'b0}),
.VAR215 ({VAR46 | (~VAR17), 1'b0}),
.VAR205 ({VAR128 | (~VAR17), 1'b0}),
.VAR216 ({VAR32 | (~VAR17), 1'b0}),
.VAR43 ({VAR222 | (~VAR17), 1'b0}),
.VAR159 ({VAR132 | (~VAR17), 1'b0}),
.VAR140 ({VAR154 | (~VAR17), 1'b0}),
.VAR163 ({VAR35 | (~VAR17), 1'b0}),
.VAR196 (1'b0),
.VAR171 (1'b0),
.VAR208 (1'b0),
.VAR147 (1'b0),
.VAR52 (),
.VAR88 (),
.VAR133 (),
.VAR126 (),
.VAR281 (VAR142),
.VAR76 (VAR228),
.VAR44 (VAR190),
.VAR89 (VAR7),
.VAR251 (),
.VAR279 (),
.VAR146 (),
.VAR1 (),
.VAR257 (VAR18),
.VAR240 (VAR59),
.VAR111 (VAR261),
.VAR270 (VAR29),
.VAR259 (VAR63),
.VAR211 (VAR41),
.VAR93 (VAR168),
.VAR3 (VAR100),
.VAR62 (VAR226),
.VAR192 (VAR110),
.VAR96 (VAR155),
.VAR6 (VAR224),
.VAR149 (VAR247),
.VAR97 (VAR25),
.VAR12 (VAR61),
.VAR138 (VAR277),
.VAR266 (VAR162),
.VAR106 (VAR282),
.VAR160 (VAR117),
.VAR286 (VAR90),
.VAR4 (16'h0000),
.VAR14 (VAR75),
.VAR50 (1'b0),
.VAR158 (16'h0000),
.VAR28 (VAR108),
.VAR82 (),
.VAR153 (),
.VAR94 (1'b0),
.VAR40 (),
.VAR199 (),
.VAR26 (1'b0),
.VAR276 (VAR151),
.VAR223 (VAR16),
.VAR231 (VAR256[20:16]),
.VAR273 (VAR141),
.VAR274 (VAR101),
.VAR167 (VAR256[15:0]),
.VAR248 (VAR271),
.VAR219 (VAR206),
.VAR204 (VAR118),
.VAR221 (VAR177),
.VAR181 (1'b0),
.VAR72 (1'b0),
.VAR91 (1'b0),
.VAR229 (1'b0),
.VAR66 (VAR39),
.VAR69 (VAR264),
.VAR186 (VAR252),
.VAR238 (VAR187),
.VAR280 (),
.VAR135 (),
.VAR27 (),
.VAR269 (),
.VAR127 (VAR70),
.VAR255 (VAR164),
.VAR79 (VAR65),
.VAR182 (VAR103),
.VAR161 (VAR165),
.VAR58 (VAR21),
.VAR179 (VAR33),
.VAR260 (VAR31),
.VAR201 (VAR263),
.VAR156 (VAR122),
.VAR112 (VAR78),
.VAR145 (VAR157),
.VAR139 (VAR144),
.VAR152 (VAR144),
.VAR207 (VAR144),
.VAR284 (VAR144),
.VAR225 (VAR268),
.VAR148 (),
.VAR38 (),
.VAR134 ()
);
wire [535:0] VAR30;
assign VAR30[14:1] = 0;
assign VAR30[79:17] = 0;
assign VAR30[109:84] = 0;
assign VAR30[175:170] = 0;
assign VAR30[239:234] = 0;
assign VAR30[269:246] = 0;
assign VAR30[511:272] = 0;
assign VAR30[515:513] = 0;
assign VAR30[517:517] = 0;
assign VAR30[0] = 0; assign VAR30[15] = 0; assign VAR30[16] = 0; assign VAR30[83:80] = 0; assign VAR30[110] = 0; assign VAR30[111] = 0; assign VAR30[169:112] = 0; assign VAR30[233:176] = 0; assign VAR30[240] = 0; assign VAR30[241] = 0; assign VAR30[242] = 0; assign VAR30[243] = 0; assign VAR30[244] = 0; assign VAR30[245] = 0; assign VAR30[271:270] = 0; assign VAR30[512] = 0; assign VAR30[516] = 0; assign VAR30[518] = 0; assign VAR30[519] = 0; assign VAR30[535:520] = 0;
VAR116
VAR236
(
.reset(VAR45),
.VAR144(VAR144),
.VAR113(VAR247),
.VAR105(VAR220),
.VAR253(VAR80),
.VAR20(VAR246),
.VAR36(VAR150),
.VAR30(VAR30),
.VAR143(),
.VAR75(VAR75),
.VAR175(VAR47),
.VAR64(VAR83),
.VAR68(VAR202),
.VAR95(VAR119),
.VAR74(VAR123),
.VAR169(VAR141),
.VAR176(VAR101),
.VAR109(VAR200),
.VAR24(VAR70),
.VAR107(VAR39),
.VAR131(VAR18),
.VAR10(VAR142),
.VAR121(1'b1),
.VAR178(1'b0),
.VAR242()
);
VAR116
VAR22
(
.reset(VAR45),
.VAR144(VAR144),
.VAR113(VAR25),
.VAR105(VAR180),
.VAR253(VAR85),
.VAR20(VAR198),
.VAR36(VAR23),
.VAR30(VAR30),
.VAR143(),
.VAR75(VAR75),
.VAR175(VAR87),
.VAR64(VAR130),
.VAR68(VAR99),
.VAR95(VAR188),
.VAR74(VAR71),
.VAR169(VAR141),
.VAR176(VAR101),
.VAR109(VAR285),
.VAR24(VAR164),
.VAR107(VAR264),
.VAR131(VAR59),
.VAR10(VAR228),
.VAR121(1'b1),
.VAR178(1'b0),
.VAR242()
);
VAR116
VAR241
(
.reset(VAR45),
.VAR144(VAR144),
.VAR113(VAR61),
.VAR105(VAR189),
.VAR253(VAR194),
.VAR20(VAR8),
.VAR36(VAR234),
.VAR30(VAR30),
.VAR143(),
.VAR75(VAR75),
.VAR175(VAR48),
.VAR64(VAR173),
.VAR68(VAR218),
.VAR95(VAR86),
.VAR74(VAR166),
.VAR169(VAR141),
.VAR176(VAR101),
.VAR109(VAR120),
.VAR24(VAR65),
.VAR107(VAR252),
.VAR131(VAR261),
.VAR10(VAR190),
.VAR121(1'b1),
.VAR178(1'b0),
.VAR242()
);
VAR116
VAR233
(
.reset(VAR45),
.VAR144(VAR144),
.VAR113(VAR277),
.VAR105(VAR183),
.VAR253(VAR245),
.VAR20(VAR53),
.VAR36(VAR67),
.VAR30(VAR30),
.VAR143(),
.VAR75(VAR75),
.VAR175(VAR265),
.VAR64(VAR81),
.VAR68(VAR239),
.VAR95(VAR5),
.VAR74(VAR244),
.VAR169(VAR141),
.VAR176(VAR101),
.VAR109(VAR235),
.VAR24(VAR103),
.VAR107(VAR187),
.VAR131(VAR29),
.VAR10(VAR7),
.VAR121(1'b1),
.VAR178(1'b0),
.VAR242()
);
VAR73
VAR34
(
.VAR75(VAR75),
.reset(VAR214),
.VAR202(VAR202),
.VAR119(VAR119),
.VAR123(VAR123),
.VAR200(VAR200),
.VAR47(VAR47),
.VAR83(VAR83),
.VAR99(VAR99),
.VAR188(VAR188),
.VAR71(VAR71),
.VAR285(VAR285),
.VAR87(VAR87),
.VAR130(VAR130),
.VAR218(VAR218),
.VAR86(VAR86),
.VAR166(VAR166),
.VAR120(VAR120),
.VAR48(VAR48),
.VAR173(VAR173),
.VAR239(VAR239),
.VAR5(VAR5),
.VAR244(VAR244),
.VAR235(VAR235),
.VAR265(VAR265),
.VAR81(VAR81),
.VAR271(VAR271),
.VAR206(VAR206),
.VAR256(VAR256),
.VAR118(VAR118),
.VAR129(1'b0),
.VAR56(),
.VAR108(VAR124)
);
endmodule | mit |
CospanDesign/nysa-verilog | verilog/wishbone/slave/wb_fpga_nes/rtl/cpu/apu/apu_noise.v | 5,851 | module MODULE1
(
input wire VAR25, input wire VAR27, input wire VAR19, input wire VAR24, input wire VAR13, input wire VAR5, input wire [1:0] VAR8, input wire [7:0] din, input wire VAR42, output wire [3:0] VAR15, output wire VAR31 );
wire VAR2;
wire VAR29;
wire [3:0] VAR12;
VAR1 VAR41(
.VAR25(VAR25),
.VAR27(VAR27),
.VAR5(VAR5),
.VAR11(din[5:0]),
.VAR16(VAR2),
.VAR20(VAR29),
.VAR34(VAR12)
);
assign VAR2 = VAR42 && (VAR8 == 2'b00);
assign VAR29 = VAR42 && (VAR8 == 2'b11);
reg [10:0] VAR23;
wire [10:0] VAR30;
wire VAR22;
always @(posedge VAR25)
begin
if (VAR27)
VAR23 <= 11'h000;
end
else
VAR23 <= VAR30;
end
VAR39 #(.VAR40(12)) VAR14(
.VAR25(VAR25),
.VAR27(VAR27),
.VAR7(VAR24),
.VAR37(1'b0),
.VAR35({ VAR23, 1'b0 }),
.VAR6(VAR22)
);
assign VAR30 = (!VAR42 || (VAR8 != 2'b10)) ? VAR23 :
(din[3:0] == 4'h0) ? 11'h002 :
(din[3:0] == 4'h1) ? 11'h004 :
(din[3:0] == 4'h2) ? 11'h008 :
(din[3:0] == 4'h3) ? 11'h010 :
(din[3:0] == 4'h4) ? 11'h020 :
(din[3:0] == 4'h5) ? 11'h030 :
(din[3:0] == 4'h6) ? 11'h040 :
(din[3:0] == 4'h7) ? 11'h050 :
(din[3:0] == 4'h8) ? 11'h065 :
(din[3:0] == 4'h9) ? 11'h07F :
(din[3:0] == 4'hA) ? 11'h0BE :
(din[3:0] == 4'hB) ? 11'h0FE :
(din[3:0] == 4'hC) ? 11'h17D :
(din[3:0] == 4'hD) ? 11'h1FC :
(din[3:0] == 4'hE) ? 11'h3F9 :
11'h7F2;
reg [14:0] VAR36;
wire [14:0] VAR26;
reg VAR18;
wire VAR28;
always @(posedge VAR25)
begin
if (VAR27)
begin
VAR36 <= 15'h0001;
VAR18 <= 1'b0;
end
else
begin
VAR36 <= VAR26;
VAR18 <= VAR28;
end
end
assign VAR26 = (VAR22) ? { VAR36[0] ^ ((VAR18) ? VAR36[6] : VAR36[1]), VAR36[14:1] } :
VAR36;
assign VAR28 = (VAR42 && (VAR8 == 2'b10)) ? din[7] : VAR18;
reg VAR33;
wire VAR10;
always @(posedge VAR25)
begin
if (VAR27)
VAR33 <= 1'b0;
end
else
VAR33 <= VAR10;
end
assign VAR10 = (VAR42 && (VAR8 == 2'b00)) ? din[5] : VAR33;
wire VAR9;
wire VAR38;
VAR4 VAR44(
.VAR25(VAR25),
.VAR27(VAR27),
.VAR19(VAR19),
.VAR43(VAR33),
.VAR21(VAR13),
.VAR17(din[7:3]),
.VAR32(VAR9),
.VAR3(VAR38)
);
assign VAR9 = VAR42 && (VAR8 == 2'b11);
assign VAR15 = (VAR36[0] && VAR38) ? VAR12 : 4'h0;
assign VAR31 = VAR38;
endmodule | mit |
tmatsuya/milkymist-ml401 | cores/tmu2/rtl/tmu2_decay.v | 2,060 | module MODULE1 #(
parameter VAR12 = 26
) (
input VAR1,
input VAR23,
output VAR22,
input [5:0] VAR6,
input VAR8,
input [15:0] VAR26,
input VAR7,
output VAR20,
input [15:0] VAR24,
input [VAR12-1-1:0] VAR4,
output VAR14,
input VAR2,
output [15:0] VAR13,
output reg [VAR12-1-1:0] VAR5
);
wire en;
reg VAR19;
reg VAR3;
always @(posedge VAR1) begin
if(VAR23) begin
VAR19 <= 1'b0;
VAR3 <= 1'b0;
end else if(en) begin
VAR19 <= VAR7 & ((VAR24 != VAR26) | ~VAR8);
VAR3 <= VAR19;
end
end
reg [VAR12-1-1:0] VAR25;
wire [4:0] VAR17 = VAR24[15:11];
wire [5:0] VAR9 = VAR24[10:5];
wire [4:0] VAR15 = VAR24[4:0];
reg [10:0] VAR21;
reg [11:0] VAR10;
reg [10:0] b1;
reg [10:0] VAR18;
reg [11:0] VAR11;
reg [10:0] VAR16;
always @(posedge VAR1) begin
if(en) begin
VAR25 <= VAR4;
VAR5 <= VAR25;
VAR21 <= ({1'b0, VAR6} + 7'd1)*VAR17;
VAR10 <= ({1'b0, VAR6} + 7'd1)*VAR9;
b1 <= ({1'b0, VAR6} + 7'd1)*VAR15;
VAR18 <= VAR21;
VAR11 <= VAR10;
VAR16 <= b1;
end
end
assign VAR13 = {VAR18[10:6], VAR11[11:6], VAR16[10:6]};
assign VAR22 = VAR19 | VAR3;
assign VAR20 = ~VAR3 | VAR2;
assign en = ~VAR3 | VAR2;
assign VAR14 = VAR3;
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/a2111o/sky130_fd_sc_ms__a2111o_4.v | 2,448 | module MODULE2 (
VAR11 ,
VAR6 ,
VAR10 ,
VAR7 ,
VAR8 ,
VAR2 ,
VAR4,
VAR3,
VAR1 ,
VAR9
);
output VAR11 ;
input VAR6 ;
input VAR10 ;
input VAR7 ;
input VAR8 ;
input VAR2 ;
input VAR4;
input VAR3;
input VAR1 ;
input VAR9 ;
VAR5 VAR12 (
.VAR11(VAR11),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR11 ,
VAR6,
VAR10,
VAR7,
VAR8,
VAR2
);
output VAR11 ;
input VAR6;
input VAR10;
input VAR7;
input VAR8;
input VAR2;
supply1 VAR4;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR9 ;
VAR5 VAR12 (
.VAR11(VAR11),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR2(VAR2)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o22a/sky130_fd_sc_ms__o22a.behavioral.v | 1,607 | module MODULE1 (
VAR15 ,
VAR8,
VAR1,
VAR9,
VAR5
);
output VAR15 ;
input VAR8;
input VAR1;
input VAR9;
input VAR5;
supply1 VAR6;
supply0 VAR12;
supply1 VAR7 ;
supply0 VAR2 ;
wire VAR14 ;
wire VAR3 ;
wire VAR10;
or VAR4 (VAR14 , VAR1, VAR8 );
or VAR13 (VAR3 , VAR5, VAR9 );
and VAR11 (VAR10, VAR14, VAR3);
buf VAR16 (VAR15 , VAR10 );
endmodule | apache-2.0 |
bluespec/Flute | src_SSITH_P2/xilinx_ip/hdl/mkDM_GPR_Tap.v | 7,754 | module MODULE1(VAR1,
VAR59,
VAR51,
VAR54,
VAR55,
VAR20,
VAR13,
VAR4,
VAR53,
VAR2,
VAR33,
VAR17,
VAR31,
VAR65,
VAR71,
VAR42,
VAR68);
input VAR1;
input VAR59;
input VAR51;
output [69 : 0] VAR54;
output VAR55;
input [64 : 0] VAR20;
input VAR13;
output VAR4;
input [69 : 0] VAR53;
input VAR2;
output VAR33;
input VAR17;
output [64 : 0] VAR31;
output VAR65;
input VAR71;
output [426 : 0] VAR42;
output VAR68;
wire [426 : 0] VAR42;
wire [69 : 0] VAR54;
wire [64 : 0] VAR31;
wire VAR55,
VAR4,
VAR33,
VAR65,
VAR68;
wire [69 : 0] VAR21, VAR67;
wire VAR18,
VAR26,
VAR39,
VAR63,
VAR70;
wire [69 : 0] VAR14, VAR56;
wire VAR8,
VAR44,
VAR27,
VAR22,
VAR48;
wire [64 : 0] VAR49, VAR29;
wire VAR58, VAR11, VAR12, VAR30, VAR62;
wire [426 : 0] VAR64, VAR25;
wire VAR40,
VAR43,
VAR69,
VAR19,
VAR7;
wire VAR36,
VAR5,
VAR6,
VAR41,
VAR57,
VAR50,
VAR38,
VAR23,
VAR72,
VAR16,
VAR3,
VAR35;
assign VAR54 = VAR56 ;
assign VAR55 = VAR27 ;
assign VAR5 = VAR27 ;
assign VAR23 = VAR51 ;
assign VAR4 = VAR62 ;
assign VAR6 = VAR62 ;
assign VAR72 = VAR13 ;
assign VAR33 = VAR70 ;
assign VAR41 = VAR70 ;
assign VAR16 = VAR2 ;
assign VAR31 = VAR29 ;
assign VAR65 = VAR12 ;
assign VAR57 = VAR12 ;
assign VAR3 = VAR17 ;
assign VAR42 = VAR25 ;
assign VAR68 = VAR69 ;
assign VAR50 = VAR69 ;
assign VAR35 = VAR71 ;
VAR60 #(.VAR66(32'd70), .VAR37(32'd1)) VAR9(.VAR24(VAR59),
.VAR1(VAR1),
.VAR34(VAR21),
.VAR46(VAR63),
.VAR28(VAR26),
.VAR52(VAR18),
.VAR10(VAR67),
.VAR61(VAR70),
.VAR32(VAR39));
VAR60 #(.VAR66(32'd70), .VAR37(32'd1)) VAR15(.VAR24(VAR59),
.VAR1(VAR1),
.VAR34(VAR14),
.VAR46(VAR22),
.VAR28(VAR44),
.VAR52(VAR8),
.VAR10(VAR56),
.VAR61(VAR48),
.VAR32(VAR27));
VAR60 #(.VAR66(32'd65), .VAR37(32'd1)) VAR45(.VAR24(VAR59),
.VAR1(VAR1),
.VAR34(VAR49),
.VAR46(VAR30),
.VAR28(VAR11),
.VAR52(VAR58),
.VAR10(VAR29),
.VAR61(VAR62),
.VAR32(VAR12));
VAR60 #(.VAR66(32'd427), .VAR37(32'd1)) VAR47(.VAR24(VAR59),
.VAR1(VAR1),
.VAR34(VAR64),
.VAR46(VAR19),
.VAR28(VAR43),
.VAR52(VAR40),
.VAR10(VAR25),
.VAR61(VAR7),
.VAR32(VAR69));
assign VAR36 =
VAR39 && VAR48 &&
(!VAR67[69] || VAR7) ;
assign VAR38 = VAR36 ;
assign VAR21 = VAR53 ;
assign VAR63 = VAR2 ;
assign VAR26 = VAR36 ;
assign VAR18 = 1'b0 ;
assign VAR14 = VAR67 ;
assign VAR22 = VAR36 ;
assign VAR44 = VAR51 ;
assign VAR8 = 1'b0 ;
assign VAR49 = VAR20 ;
assign VAR30 = VAR13 ;
assign VAR11 = VAR17 ;
assign VAR58 = 1'b0 ;
assign VAR64 =
{ 102'h035555555555555554AAAAAAAA,
VAR67[68:0],
256'hAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA } ;
assign VAR19 = VAR38 && VAR67[69] ;
assign VAR43 = VAR71 ;
assign VAR40 = 1'b0 ;
endmodule | apache-2.0 |
orbancedric/DeepGate | src/core/weight_RAM.v | 3,693 | module MODULE1 #(
parameter VAR21 = 4,
parameter VAR19 = VAR21,
parameter VAR11 = 1,
parameter VAR7 = 3,
parameter VAR20 = VAR12(VAR22(VAR18(VAR21*VAR7)/8))
)(
input VAR8,
input VAR14,
input VAR15,
input [7:0] VAR2,
output reg VAR10 = 1'b1,
output reg [VAR21*VAR7 - 1'b1:0] VAR6 = 0
);
localparam VAR17 = VAR19/VAR11;
localparam VAR9 = (VAR21*VAR7) % 8 == 0 ? 7 : ((VAR21*VAR7) % 8) - 1;
reg [VAR17 == 1 ? 0 : VAR13(VAR17 - 1'b1) - 1'b1 : 0] VAR3 = 0;
reg [VAR17 == 1 ? 0 : VAR13(VAR17 - 1'b1) - 1'b1 : 0] VAR1 = 0;
reg [VAR21*VAR7 - 1'b1 : 0] buffer = 0;
reg [VAR21*VAR7 - 1'b1 : 0] VAR16 [VAR17 - 1'b1:0];
reg [VAR20 == 1 ? 0 : VAR13(VAR20 - 1) : 0] VAR5 = 0;
reg VAR4 = 0; | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nand4bb/sky130_fd_sc_hd__nand4bb_2.v | 2,334 | module MODULE1 (
VAR9 ,
VAR1 ,
VAR6 ,
VAR2 ,
VAR4 ,
VAR3,
VAR7,
VAR8 ,
VAR11
);
output VAR9 ;
input VAR1 ;
input VAR6 ;
input VAR2 ;
input VAR4 ;
input VAR3;
input VAR7;
input VAR8 ;
input VAR11 ;
VAR10 VAR5 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR11(VAR11)
);
endmodule
module MODULE1 (
VAR9 ,
VAR1,
VAR6,
VAR2 ,
VAR4
);
output VAR9 ;
input VAR1;
input VAR6;
input VAR2 ;
input VAR4 ;
supply1 VAR3;
supply0 VAR7;
supply1 VAR8 ;
supply0 VAR11 ;
VAR10 VAR5 (
.VAR9(VAR9),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
andrewandrepowell/axiplasma | hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_mig_7series_0_0/mig_wrap_mig_7series_0_0_stub.v | 4,374 | module MODULE1(VAR46, VAR19, VAR10, VAR25,
VAR38, VAR37, VAR36, VAR42, VAR1, VAR29, VAR43, VAR58, VAR50,
VAR39, VAR60, VAR20, VAR23, VAR41, VAR18, VAR30, VAR4,
VAR17, VAR55, VAR12, VAR15, VAR34, VAR35, VAR9,
VAR47, VAR28, VAR61, VAR27, VAR11, VAR16,
VAR44, VAR52, VAR3, VAR7, VAR51, VAR26, VAR33,
VAR53, VAR54, VAR32, VAR6, VAR59, VAR24,
VAR40, VAR14, VAR13, VAR5, VAR31, VAR22,
VAR2, VAR21, VAR8, VAR49, VAR56, VAR48, VAR45,
VAR62, VAR57)
;
inout [15:0]VAR46;
inout [1:0]VAR19;
inout [1:0]VAR10;
output [12:0]VAR25;
output [2:0]VAR38;
output VAR37;
output VAR36;
output VAR42;
output [0:0]VAR1;
output [0:0]VAR29;
output [0:0]VAR43;
output [0:0]VAR58;
output [1:0]VAR50;
output [0:0]VAR39;
input VAR60;
input VAR20;
output VAR23;
output VAR41;
output VAR18;
input VAR30;
output VAR4;
output VAR17;
output VAR55;
input [3:0]VAR12;
input [31:0]VAR15;
input [7:0]VAR34;
input [2:0]VAR35;
input [1:0]VAR9;
input [0:0]VAR47;
input [3:0]VAR28;
input [2:0]VAR61;
input [3:0]VAR27;
input VAR11;
output VAR16;
input [31:0]VAR44;
input [3:0]VAR52;
input VAR3;
input VAR7;
output VAR51;
input VAR26;
output [3:0]VAR33;
output [1:0]VAR53;
output VAR54;
input [3:0]VAR32;
input [31:0]VAR6;
input [7:0]VAR59;
input [2:0]VAR24;
input [1:0]VAR40;
input [0:0]VAR14;
input [3:0]VAR13;
input [2:0]VAR5;
input [3:0]VAR31;
input VAR22;
output VAR2;
input VAR21;
output [3:0]VAR8;
output [31:0]VAR49;
output [1:0]VAR56;
output VAR48;
output VAR45;
output VAR62;
input VAR57;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a32o/sky130_fd_sc_hdll__a32o.functional.v | 1,588 | module MODULE1 (
VAR1 ,
VAR5,
VAR6,
VAR9,
VAR8,
VAR12
);
output VAR1 ;
input VAR5;
input VAR6;
input VAR9;
input VAR8;
input VAR12;
wire VAR11 ;
wire VAR7 ;
wire VAR4;
and VAR13 (VAR11 , VAR9, VAR5, VAR6 );
and VAR10 (VAR7 , VAR8, VAR12 );
or VAR2 (VAR4, VAR7, VAR11);
buf VAR3 (VAR1 , VAR4 );
endmodule | apache-2.0 |
xuefei1/ElectronicEngineControl | db/ip/niosII_system/submodules/niosII_system_de0_nano_adc_0.v | 5,886 | module MODULE1 (
VAR1,
reset,
read,
write,
VAR4,
VAR19,
address,
VAR17,
VAR3,
VAR7,
VAR11,
VAR12
);
parameter VAR5 = 8'd16;
parameter VAR16 = 4'd4;
input VAR1, reset, read, write;
input [31:0] VAR19;
input [2:0] address;
output reg [31:0] VAR4;
output reg VAR17;
input VAR12;
output VAR3, VAR7, VAR11;
reg VAR13;
reg [11:0] VAR2 [7:0];
reg VAR6;
wire VAR10;
wire [11:0] VAR15 [7:0];
VAR14 VAR8 (VAR1, reset, VAR13, VAR3, VAR7, VAR11, VAR12, VAR10, VAR15[0],
VAR15[1], VAR15[2], VAR15[3], VAR15[4], VAR15 [5], VAR15[6], VAR15[7]);
always @(*)
begin
VAR4 =0;
VAR17 =0;
if (write && VAR10) VAR17=1;
if (read) begin
if (VAR13&&!VAR6)
VAR17=1;
end
else
VAR4 = {address,17'b0, VAR2[address]};
end
end
always @ (posedge VAR1)
begin
if (reset)
VAR13<=1'b0;
end
else if (VAR10)
VAR13<=1'b0;
else if (write && address == 3'b0)
VAR13<=1'b1;
else if (VAR6)
VAR13<=1'b1;
end
always @ (posedge VAR1)
if (VAR10) begin
VAR2[0] <= VAR15[0];
VAR2[1] <= VAR15[1];
VAR2[2] <= VAR15[2];
VAR2[3] <= VAR15[3];
VAR2[4] <= VAR15[4];
VAR2[5] <= VAR15[5];
VAR2[6] <= VAR15[6];
VAR2[7] <= VAR15[7];
end
always @(posedge VAR1)
if (write && address == 3'd1)
VAR6 <= VAR19[0];
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a31oi/sky130_fd_sc_ls__a31oi_1.v | 2,350 | module MODULE1 (
VAR2 ,
VAR8 ,
VAR1 ,
VAR5 ,
VAR6 ,
VAR11,
VAR10,
VAR4 ,
VAR7
);
output VAR2 ;
input VAR8 ;
input VAR1 ;
input VAR5 ;
input VAR6 ;
input VAR11;
input VAR10;
input VAR4 ;
input VAR7 ;
VAR9 VAR3 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR2 ,
VAR8,
VAR1,
VAR5,
VAR6
);
output VAR2 ;
input VAR8;
input VAR1;
input VAR5;
input VAR6;
supply1 VAR11;
supply0 VAR10;
supply1 VAR4 ;
supply0 VAR7 ;
VAR9 VAR3 (
.VAR2(VAR2),
.VAR8(VAR8),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR6(VAR6)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/and4bb/sky130_fd_sc_lp__and4bb.blackbox.v | 1,330 | module MODULE1 (
VAR2 ,
VAR7,
VAR5,
VAR1 ,
VAR4
);
output VAR2 ;
input VAR7;
input VAR5;
input VAR1 ;
input VAR4 ;
supply1 VAR9;
supply0 VAR8;
supply1 VAR6 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.