repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
EliasVansteenkiste/ConnectionRouter | vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_3L_004bits.v | 1,917 | module MODULE2 (
clk,
VAR8, VAR3, VAR25, VAR29, VAR34, VAR14, VAR27, VAR6,
sum,
);
input clk;
input [VAR30+0-1:0] VAR8, VAR3, VAR25, VAR29, VAR34, VAR14, VAR27, VAR6;
output [VAR30 :0] sum;
reg [VAR30 :0] sum;
wire [VAR30+3-1:0] VAR15;
wire [VAR30+2-1:0] VAR21, VAR9;
wire [VAR30+1-1:0] VAR5, VAR31, VAR19, VAR24;
reg [VAR30+0-1:0] VAR1, VAR10, VAR13, VAR22, VAR16, VAR11, VAR2, VAR33;
MODULE1 VAR26(VAR21, VAR9, VAR15 );
MODULE1 VAR18(VAR5, VAR31, VAR21 );
MODULE1 VAR17(VAR19, VAR24, VAR9 );
MODULE1 VAR7(VAR1, VAR10, VAR5);
MODULE1 VAR28(VAR13, VAR22, VAR31);
MODULE1 VAR23(VAR16, VAR11, VAR19);
MODULE1 VAR20(VAR2, VAR33, VAR24);
always @(posedge clk) begin
VAR1 <= VAR8;
VAR10 <= VAR3;
VAR13 <= VAR25;
VAR22 <= VAR29;
VAR16 <= VAR34;
VAR11 <= VAR14;
VAR2 <= VAR27;
VAR33 <= VAR6;
sum <= VAR15;
sum <= VAR21;
end
endmodule
module MODULE1(VAR12,VAR4,sum);
parameter VAR32 = 0;
input [VAR30+VAR32-1:0] VAR12;
input [VAR30+VAR32-1:0] VAR4;
output [VAR30+VAR32:0] sum;
assign sum = VAR12 + VAR4;
endmodule | mit |
adamgreig/bladeRF | hdl/fpga/ip/opencores/i2c/bench/verilog/wb_master_model.v | 5,566 | module MODULE1(clk, rst, VAR7, din, dout, VAR6, VAR5, VAR3, sel, ack, VAR1, VAR8);
parameter VAR2 = 32;
parameter VAR9 = 32;
input clk, rst;
output [VAR9 -1:0] VAR7;
input [VAR2 -1:0] din;
output [VAR2 -1:0] dout;
output VAR6, VAR5;
output VAR3;
output [VAR2/8 -1:0] sel;
input ack, VAR1, VAR8;
reg [VAR9 -1:0] VAR7;
reg [VAR2 -1:0] dout;
reg VAR6, VAR5;
reg VAR3;
reg [VAR2/8 -1:0] sel;
reg [VAR2 -1:0] VAR4;
begin
begin
begin
begin | gpl-2.0 |
tmolteno/TART | hardware/FPGA/ddrmem/iobs_flow.v | 3,081 | module MODULE1 (
VAR17,
VAR20,
VAR27,
VAR2,
VAR24,
VAR16,
VAR8
);
input VAR17;
input VAR20;
input [1:0] VAR27;
input [1:0] VAR2;
output [1:0] VAR24;
output [1:0] VAR16;
inout [1:0] VAR8;
reg [1:0] VAR16 = 2'b11;
reg VAR12 = 0;
wire VAR6;
wire [1:0] VAR5;
assign VAR24 = VAR10;
assign VAR6 = VAR12 | VAR2;
always @(posedge VAR17)
begin
if (VAR20)
VAR16 <= VAR27;
end
always @(posedge VAR17)
begin
if (!VAR20)
VAR12 <= 1'b0;
end
else
VAR12 <= .1 VAR2;
end
VAR14 VAR9 (
.VAR4 (VAR17),
.VAR28 (~VAR17),
.VAR26 (1'b1),
.VAR25 (1'b0),
.VAR3 (1'b1),
.VAR19 (~VAR12 & ~VAR20),
.VAR15 (1'b0),
.VAR7 (VAR5 [0])
);
VAR14 VAR18 (
.VAR4 (VAR17),
.VAR28 (~VAR17),
.VAR26 (1'b1),
.VAR25 (1'b0),
.VAR3 (1'b1),
.VAR19 (~VAR12 & ~VAR20),
.VAR15 (1'b0),
.VAR7 (VAR5 [1])
);
VAR23 VAR13 (
.VAR22 (VAR2 [0] & VAR20),
.VAR1 (VAR5 [0]),
.VAR11 (VAR8 [0])
);
VAR23 VAR21 (
.VAR22 (VAR2 [1] & VAR20),
.VAR1 (VAR5 [1]),
.VAR11 (VAR8 [1])
);
endmodule | lgpl-3.0 |
mistryalok/Zedboard | learning/opencv_hls/xapp1167_vivado/sw/fast-corner/prj/solution1/impl/ip/hdl/verilog/FIFO_image_filter_p_dst_rows_V_channel.v | 3,019 | module MODULE1 (
clk,
VAR16,
VAR11,
VAR5,
VAR9);
parameter VAR4 = 32'd12;
parameter VAR21 = 32'd2;
parameter VAR10 = 32'd3;
input clk;
input [VAR4-1:0] VAR16;
input VAR11;
input [VAR21-1:0] VAR5;
output [VAR4-1:0] VAR9;
reg[VAR4-1:0] VAR27 [0:VAR10-1];
integer VAR3;
always @ (posedge clk)
begin
if (VAR11)
begin
for (VAR3=0;VAR3<VAR10-1;VAR3=VAR3+1)
VAR27[VAR3+1] <= VAR27[VAR3];
VAR27[0] <= VAR16;
end
end
assign VAR9 = VAR27[VAR5];
endmodule
module MODULE2 (
clk,
reset,
VAR2,
VAR25,
VAR26,
VAR12,
VAR8,
VAR20,
VAR23,
VAR18);
parameter VAR24 = "VAR14";
parameter VAR4 = 32'd12;
parameter VAR21 = 32'd2;
parameter VAR10 = 32'd3;
input clk;
input reset;
output VAR2;
input VAR25;
input VAR26;
output[VAR4 - 1:0] VAR12;
output VAR8;
input VAR20;
input VAR23;
input[VAR4 - 1:0] VAR18;
wire[VAR21 - 1:0] VAR13 ;
wire[VAR4 - 1:0] VAR19, VAR15;
reg[VAR21:0] VAR1 = {(VAR21+1){1'b1}};
reg VAR7 = 0, VAR6 = 1;
assign VAR2 = VAR7;
assign VAR8 = VAR6;
assign VAR19 = VAR18;
assign VAR12 = VAR15;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR1 <= ~{VAR21+1{1'b0}};
VAR7 <= 1'b0;
VAR6 <= 1'b1;
end
else begin
if (((VAR26 & VAR25) == 1 & VAR7 == 1) &&
((VAR23 & VAR20) == 0 | VAR6 == 0))
begin
VAR1 <= VAR1 -1;
if (VAR1 == 0)
VAR7 <= 1'b0;
VAR6 <= 1'b1;
end
else if (((VAR26 & VAR25) == 0 | VAR7 == 0) &&
((VAR23 & VAR20) == 1 & VAR6 == 1))
begin
VAR1 <= VAR1 +1;
VAR7 <= 1'b1;
if (VAR1 == VAR10-2)
VAR6 <= 1'b0;
end
end
end
assign VAR13 = VAR1[VAR21] == 1'b0 ? VAR1[VAR21-1:0]:{VAR21{1'b0}};
assign VAR22 = (VAR23 & VAR20) & VAR6;
MODULE1
.VAR4(VAR4),
.VAR21(VAR21),
.VAR10(VAR10))
VAR17 (
.clk(clk),
.VAR16(VAR19),
.VAR11(VAR22),
.VAR5(VAR13),
.VAR9(VAR15));
endmodule | gpl-3.0 |
SI-RISCV/e200_opensource | rtl/e203/perips/sirv_qspi_media_2.v | 15,080 | module MODULE1(
input VAR70,
input reset,
output VAR10,
input VAR111,
output VAR115,
output VAR20,
input VAR53,
output VAR113,
output VAR64,
input VAR160,
output VAR50,
output VAR138,
input VAR16,
output VAR38,
output VAR126,
output VAR99,
input [11:0] VAR8,
input VAR83,
input VAR141,
input [7:0] VAR58,
input [7:0] VAR6,
input [7:0] VAR129,
input [7:0] VAR79,
input VAR22,
input VAR52,
output VAR77,
input VAR93,
input [7:0] VAR147,
output VAR26,
output [7:0] VAR54,
input [7:0] VAR29,
input [1:0] VAR153,
input VAR36,
input VAR7,
input VAR143,
input VAR121,
input VAR33,
output VAR145
);
wire VAR125;
wire VAR130;
wire VAR94;
wire VAR27;
wire VAR101;
wire VAR146;
wire VAR51;
wire VAR57;
wire VAR165;
wire VAR74;
wire VAR62;
wire VAR30;
wire VAR3;
wire VAR9;
wire VAR40;
wire VAR103;
wire [11:0] VAR159;
wire VAR39;
wire VAR116;
wire [1:0] VAR55;
wire VAR117;
wire VAR118;
wire VAR15;
wire VAR156;
wire VAR151;
wire VAR73;
wire [7:0] VAR19;
wire [7:0] VAR155;
wire VAR13;
wire [7:0] VAR154;
reg VAR11;
reg [31:0] VAR134;
reg VAR127;
reg [31:0] VAR123;
reg VAR140;
reg [31:0] VAR88;
wire [1:0] VAR12;
wire [1:0] VAR106;
wire [1:0] VAR24;
wire [1:0] VAR69;
wire VAR61;
wire VAR148;
wire VAR168;
reg VAR161;
reg [31:0] VAR133;
reg VAR158;
reg [31:0] VAR124;
wire VAR71;
wire VAR90;
wire VAR67;
wire VAR78;
wire VAR56;
wire VAR167;
reg [1:0] state;
reg [31:0] VAR82;
wire VAR5;
wire [1:0] VAR114;
wire [7:0] VAR110;
wire [1:0] VAR122;
wire VAR85;
wire VAR25;
wire [1:0] VAR136;
wire VAR163;
wire VAR72;
wire VAR65;
wire [1:0] VAR43;
wire [7:0] VAR49;
wire [1:0] VAR32;
wire VAR75;
wire VAR162;
wire VAR66;
wire VAR31;
wire VAR100;
wire VAR47;
wire VAR149;
wire VAR107;
wire VAR95;
wire [7:0] VAR86;
wire VAR63;
wire VAR45;
wire VAR108;
wire VAR2;
wire VAR44;
wire [7:0] VAR120;
wire VAR104;
wire VAR42;
wire VAR92;
wire [7:0] VAR87;
wire [1:0] VAR34;
wire VAR89;
wire VAR109;
wire VAR18;
wire VAR81;
wire VAR119;
wire VAR142;
wire VAR97;
wire VAR60;
wire VAR102;
wire VAR137;
wire VAR131;
wire [1:0] VAR14;
wire VAR37;
wire [7:0] VAR144;
wire [1:0] VAR150;
wire VAR105;
wire [1:0] VAR152;
wire [1:0] VAR84;
wire [1:0] VAR35;
wire [1:0] VAR21;
wire VAR4;
wire VAR28;
wire VAR80;
wire [1:0] VAR41;
wire [7:0] VAR135;
wire VAR112;
wire VAR139;
wire VAR132;
wire VAR98;
wire [1:0] VAR166;
VAR48 VAR91 (
.VAR70(VAR125),
.reset(VAR130),
.VAR10(VAR94),
.VAR111(VAR27),
.VAR115(VAR101),
.VAR20(VAR146),
.VAR53(VAR51),
.VAR113(VAR57),
.VAR64(VAR165),
.VAR160(VAR74),
.VAR50(VAR62),
.VAR138(VAR30),
.VAR16(VAR3),
.VAR38(VAR9),
.VAR126(VAR40),
.VAR99(VAR103),
.VAR8(VAR159),
.VAR83(VAR39),
.VAR141(VAR116),
.VAR46(VAR55),
.VAR128(VAR117),
.VAR76(VAR118),
.VAR23(VAR15),
.VAR96(VAR156),
.VAR68(VAR151),
.VAR17(VAR73),
.VAR164(VAR19),
.VAR59(VAR155),
.VAR1(VAR13),
.VAR157(VAR154)
);
assign VAR10 = VAR94;
assign VAR115 = VAR101;
assign VAR20 = VAR146;
assign VAR113 = VAR57;
assign VAR64 = VAR165;
assign VAR50 = VAR62;
assign VAR138 = VAR30;
assign VAR38 = VAR9;
assign VAR126 = VAR40;
assign VAR99 = VAR127;
assign VAR77 = VAR81;
assign VAR26 = VAR13;
assign VAR54 = VAR154;
assign VAR145 = VAR158;
assign VAR125 = VAR70;
assign VAR130 = reset;
assign VAR27 = VAR111;
assign VAR51 = VAR53;
assign VAR74 = VAR160;
assign VAR3 = VAR16;
assign VAR159 = VAR8;
assign VAR39 = VAR83;
assign VAR116 = VAR141;
assign VAR55 = VAR153;
assign VAR117 = VAR36;
assign VAR118 = VAR7;
assign VAR156 = VAR37;
assign VAR151 = VAR89;
assign VAR73 = VAR112;
assign VAR19 = VAR135;
assign VAR155 = VAR147;
assign VAR12 = {{1'd0}, VAR143};
assign VAR106 = VAR12 << VAR22;
assign VAR24 = {{1'd0}, VAR52};
assign VAR69 = VAR24 ^ VAR106;
assign VAR61 = VAR69[0];
assign VAR148 = VAR61;
assign VAR168 = VAR148 != VAR127;
assign VAR71 = VAR33 == 1'h0;
assign VAR90 = VAR168 & VAR71;
assign VAR67 = VAR161 | VAR90;
assign VAR78 = VAR121 & VAR158;
assign VAR56 = VAR161 | VAR78;
assign VAR167 = VAR79 == 8'h0;
assign VAR5 = 2'h0 == state;
assign VAR114 = VAR15 ? 2'h2 : state;
assign VAR110 = VAR67 ? VAR6 : VAR29;
assign VAR122 = VAR67 ? VAR114 : state;
assign VAR85 = VAR67 == 1'h0;
assign VAR25 = VAR15 & VAR156;
assign VAR136 = VAR25 ? 2'h1 : VAR122;
assign VAR163 = VAR85 ? 1'h0 : 1'h1;
assign VAR72 = VAR85 ? VAR93 : 1'h1;
assign VAR65 = VAR85 ? VAR15 : 1'h0;
assign VAR43 = VAR85 ? VAR136 : VAR122;
assign VAR49 = VAR158 ? VAR110 : VAR29;
assign VAR32 = VAR158 ? VAR43 : state;
assign VAR75 = VAR158 ? VAR163 : 1'h1;
assign VAR162 = VAR158 ? VAR85 : 1'h0;
assign VAR66 = VAR158 ? VAR72 : 1'h1;
assign VAR31 = VAR158 ? VAR65 : 1'h0;
assign VAR100 = VAR158 == 1'h0;
assign VAR47 = VAR100 & VAR93;
assign VAR149 = VAR15 ? 1'h1 : VAR158;
assign VAR107 = VAR15 ? VAR143 : VAR140;
assign VAR95 = VAR15 ? VAR148 : VAR127;
assign VAR86 = VAR47 ? VAR58 : VAR49;
assign VAR63 = VAR47 ? VAR149 : VAR158;
assign VAR45 = VAR47 ? VAR107 : VAR140;
assign VAR108 = VAR47 ? VAR95 : VAR127;
assign VAR2 = VAR93 == 1'h0;
assign VAR44 = VAR100 & VAR2;
assign VAR120 = VAR44 ? 8'h0 : VAR86;
assign VAR104 = VAR44 ? 1'h1 : VAR162;
assign VAR42 = VAR44 ? VAR22 : VAR11;
assign VAR92 = VAR44 ? VAR52 : VAR108;
assign VAR87 = VAR5 ? VAR120 : VAR29;
assign VAR34 = VAR5 ? VAR32 : state;
assign VAR89 = VAR5 ? VAR75 : 1'h1;
assign VAR109 = VAR5 ? VAR104 : 1'h0;
assign VAR18 = VAR5 ? VAR66 : 1'h1;
assign VAR81 = VAR5 ? VAR31 : 1'h0;
assign VAR119 = VAR5 ? VAR63 : VAR158;
assign VAR142 = VAR5 ? VAR45 : VAR140;
assign VAR97 = VAR5 ? VAR92 : VAR127;
assign VAR60 = VAR5 ? VAR42 : VAR11;
assign VAR102 = 2'h1 == state;
assign VAR137 = VAR167 == 1'h0;
assign VAR131 = VAR15 | VAR167;
assign VAR14 = VAR131 ? 2'h0 : VAR34;
assign VAR37 = VAR102 ? VAR137 : VAR18;
assign VAR144 = VAR102 ? VAR79 : VAR87;
assign VAR150 = VAR102 ? VAR14 : VAR34;
assign VAR105 = 2'h2 == state;
assign VAR152 = {{1'd0}, VAR140};
assign VAR84 = VAR152 << VAR11;
assign VAR35 = {{1'd0}, VAR127};
assign VAR21 = VAR35 ^ VAR84;
assign VAR4 = VAR21[0];
assign VAR28 = VAR4;
assign VAR80 = VAR15 ? VAR28 : VAR97;
assign VAR41 = VAR15 ? 2'h0 : VAR150;
assign VAR135 = VAR105 ? VAR129 : VAR144;
assign VAR112 = VAR105 ? 1'h1 : VAR109;
assign VAR139 = VAR105 ? 1'h0 : VAR119;
assign VAR132 = VAR105 ? 1'h0 : VAR56;
assign VAR98 = VAR105 ? VAR80 : VAR97;
assign VAR166 = VAR105 ? VAR41 : VAR150;
always @(posedge VAR70 or posedge reset)
if(reset) begin
VAR11 <= 2'b0;
VAR127 <= 1'b1;
VAR140 <= 1'b0;
end
else begin
if (VAR5) begin
if (VAR44) begin
VAR11 <= VAR22;
end
end
if (VAR105) begin
if (VAR15) begin
VAR127 <= VAR28;
end else begin
if (VAR5) begin
if (VAR44) begin
VAR127 <= VAR52;
end else begin
if (VAR47) begin
if (VAR15) begin
VAR127 <= VAR148;
end
end
end
end
end
end else begin
if (VAR5) begin
if (VAR44) begin
VAR127 <= VAR52;
end else begin
if (VAR47) begin
if (VAR15) begin
VAR127 <= VAR148;
end
end
end
end
end
if (VAR5) begin
if (VAR47) begin
if (VAR15) begin
VAR140 <= VAR143;
end
end
end
end
always @(posedge VAR70 or posedge reset)
if (reset) begin
VAR161 <= 1'h0;
end else begin
if (VAR105) begin
VAR161 <= 1'h0;
end else begin
VAR161 <= VAR56;
end
end
always @(posedge VAR70 or posedge reset)
if (reset) begin
VAR158 <= 1'h0;
end else begin
if (VAR105) begin
VAR158 <= 1'h0;
end else begin
if (VAR5) begin
if (VAR47) begin
if (VAR15) begin
VAR158 <= 1'h1;
end
end
end
end
end
always @(posedge VAR70 or posedge reset)
if (reset) begin
state <= 2'h0;
end else begin
if (VAR105) begin
if (VAR15) begin
state <= 2'h0;
end else begin
if (VAR102) begin
if (VAR131) begin
state <= 2'h0;
end else begin
if (VAR5) begin
if (VAR158) begin
if (VAR85) begin
if (VAR25) begin
state <= 2'h1;
end else begin
if (VAR67) begin
if (VAR15) begin
state <= 2'h2;
end
end
end
end else begin
if (VAR67) begin
if (VAR15) begin
state <= 2'h2;
end
end
end
end
end
end
end else begin
if (VAR5) begin
if (VAR158) begin
if (VAR85) begin
if (VAR25) begin
state <= 2'h1;
end else begin
if (VAR67) begin
if (VAR15) begin
state <= 2'h2;
end
end
end
end else begin
if (VAR67) begin
if (VAR15) begin
state <= 2'h2;
end
end
end
end
end
end
end
end else begin
if (VAR102) begin
if (VAR131) begin
state <= 2'h0;
end else begin
if (VAR5) begin
if (VAR158) begin
if (VAR85) begin
if (VAR25) begin
state <= 2'h1;
end else begin
state <= VAR122;
end
end else begin
state <= VAR122;
end
end
end
end
end else begin
if (VAR5) begin
if (VAR158) begin
if (VAR85) begin
if (VAR25) begin
state <= 2'h1;
end else begin
state <= VAR122;
end
end else begin
state <= VAR122;
end
end
end
end
end
end
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/o2bb2a/sky130_fd_sc_hs__o2bb2a.functional.v | 2,063 | module MODULE1 (
VAR5,
VAR15,
VAR16 ,
VAR9,
VAR17,
VAR4 ,
VAR10
);
input VAR5;
input VAR15;
output VAR16 ;
input VAR9;
input VAR17;
input VAR4 ;
input VAR10 ;
wire VAR10 VAR13 ;
wire VAR10 VAR14 ;
wire VAR6 ;
wire VAR3;
nand VAR11 (VAR13 , VAR17, VAR9 );
or VAR12 (VAR14 , VAR10, VAR4 );
and VAR8 (VAR6 , VAR13, VAR14 );
VAR7 VAR1 (VAR3, VAR6, VAR5, VAR15);
buf VAR2 (VAR16 , VAR3 );
endmodule | apache-2.0 |
EPiCS/soundgates | hardware/design/reference/cf_lib/edk/pcores/axi_fft_v1_00_a/hdl/verilog/axi_fft.v | 9,091 | module MODULE1 (
VAR41,
VAR46,
VAR13,
VAR94,
VAR78,
VAR95,
VAR115,
VAR25,
VAR4,
VAR107,
VAR89,
VAR73,
VAR99,
VAR37,
VAR70,
VAR22,
VAR48,
VAR75,
VAR51,
VAR119,
VAR61,
VAR65,
VAR50,
VAR98,
VAR113,
VAR57,
VAR35,
VAR15,
VAR88,
VAR8,
VAR21,
VAR91);
parameter VAR55 = 0;
parameter VAR103 = 0;
parameter VAR74 = 0;
parameter VAR26 = 10;
parameter VAR97 = 32'hffff;
parameter VAR56 = 32'hffffffff;
parameter VAR60 = 32'h00000000;
input VAR41;
input VAR46;
input [15:0] VAR13;
input [ 1:0] VAR94;
input VAR78;
output VAR95;
output VAR115;
output [63:0] VAR25;
output [ 7:0] VAR4;
output VAR107;
input VAR89;
input VAR73;
input VAR99;
input VAR37;
input [31:0] VAR70;
output VAR22;
input VAR48;
input [31:0] VAR75;
input [ 3:0] VAR51;
output VAR119;
output VAR61;
output [ 1:0] VAR65;
input VAR50;
input VAR98;
input [31:0] VAR113;
output VAR57;
output VAR35;
output [31:0] VAR15;
output [ 1:0] VAR88;
input VAR8;
output VAR21;
output [63:0] VAR91;
wire VAR77;
wire VAR18;
wire clk;
wire VAR30;
wire [15:0] VAR28;
wire VAR105;
wire VAR116;
wire VAR80;
wire [63:0] VAR112;
wire VAR39;
wire VAR117;
wire VAR47;
wire [15:0] VAR27;
wire VAR114;
wire VAR68;
wire [15:0] VAR92;
wire VAR86;
wire VAR2;
wire [31:0] VAR109;
wire VAR87;
wire [19:0] VAR62;
wire VAR96;
wire [31:0] VAR118;
wire VAR33;
wire VAR64;
wire [13:0] VAR101;
wire [31:0] VAR44;
wire [31:0] VAR121;
wire VAR31;
assign VAR77 = VAR99;
assign VAR18 = VAR73;
assign clk = VAR41;
assign VAR30 = VAR46;
assign VAR28 = VAR13;
assign VAR105 = VAR78;
assign VAR95 = VAR116;
assign VAR115 = VAR80;
assign VAR25 = VAR112;
assign VAR4 = 8'hff;
assign VAR107 = VAR39;
assign VAR117 = VAR89;
VAR76 VAR79 (
.clk (clk),
.VAR102 (VAR30),
.VAR11 (VAR28),
.VAR17 (VAR105),
.VAR85 (VAR116),
.VAR5 (VAR47),
.VAR7 (VAR27),
.VAR24 (VAR114),
.VAR72 (VAR68),
.VAR49 (VAR92),
.VAR40 (VAR86));
VAR32 #(.VAR74(VAR74)) VAR29 (
.clk (clk),
.VAR5 (VAR47),
.VAR7 (VAR27),
.VAR24 (VAR114),
.VAR72 (VAR68),
.VAR90 (VAR80),
.VAR100 (VAR112),
.VAR66 (VAR39),
.VAR16 (VAR117),
.VAR120 (VAR2),
.VAR36 (VAR109),
.VAR19 (VAR87),
.VAR38 (VAR62),
.VAR63 (VAR96),
.VAR43 (VAR118));
VAR84 #(.VAR26(VAR26)) VAR10 (
.clk (clk),
.VAR102 (VAR30),
.VAR11 (VAR28),
.VAR17 (VAR105),
.VAR85 (VAR116),
.VAR5 (VAR47),
.VAR7 (VAR27),
.VAR24 (VAR114),
.VAR72 (VAR68),
.VAR120 (VAR2),
.VAR36 (VAR109),
.VAR19 (VAR87),
.VAR21 (VAR21),
.VAR91 (VAR91));
VAR69 VAR42 (
.clk (clk),
.rst (),
.VAR63 (VAR96),
.VAR43 (VAR118),
.VAR40 (VAR86),
.VAR49 (VAR92),
.VAR38 (VAR62),
.VAR77 (VAR77),
.VAR18 (VAR18),
.VAR81 (VAR33),
.VAR83 (VAR64),
.VAR3 (VAR101),
.VAR9 (VAR44),
.VAR1 (VAR121),
.VAR45 (VAR31));
VAR110 #(
.VAR111 (VAR56),
.VAR108 (VAR60))
VAR54 (
.VAR77 (VAR77),
.VAR18 (VAR18),
.VAR104 (VAR37),
.VAR20 (VAR70),
.VAR122 (VAR22),
.VAR12 (VAR48),
.VAR14 (VAR75),
.VAR6 (VAR51),
.VAR67 (VAR119),
.VAR52 (VAR61),
.VAR106 (VAR65),
.VAR23 (VAR50),
.VAR71 (VAR98),
.VAR59 (VAR113),
.VAR53 (VAR57),
.VAR93 (VAR35),
.VAR82 (VAR88),
.VAR34 (VAR15),
.VAR58 (VAR8),
.VAR81 (VAR33),
.VAR83 (VAR64),
.VAR3 (VAR101),
.VAR9 (VAR44),
.VAR1 (VAR121),
.VAR45 (VAR31));
endmodule | mit |
GSejas/Dise-o-ASIC-FPGA-FPU | Literature_KOA/ecp/ks116.v | 10,408 | module MODULE1(VAR2, VAR5, VAR12);
input wire [115:0] VAR2;
input wire [115:0] VAR5;
output wire [230:0] VAR12;
wire [114:0] VAR7;
wire [114:0] VAR11;
wire [114:0] VAR1;
wire [57:0] VAR8;
wire [57:0] VAR6;
VAR10 VAR3(VAR2[57:0], VAR5[57:0], VAR11);
VAR10 VAR9(VAR2[115:58], VAR5[115:58], VAR7);
assign VAR8[57:0] = VAR2[115:58] ^ VAR2[57:0];
assign VAR6[57:0] = VAR5[115:58] ^ VAR5[57:0];
VAR10 VAR4(VAR8, VAR6, VAR1);
assign VAR12[00] = VAR11[00];
assign VAR12[01] = VAR11[01];
assign VAR12[02] = VAR11[02];
assign VAR12[03] = VAR11[03];
assign VAR12[04] = VAR11[04];
assign VAR12[05] = VAR11[05];
assign VAR12[06] = VAR11[06];
assign VAR12[07] = VAR11[07];
assign VAR12[08] = VAR11[08];
assign VAR12[09] = VAR11[09];
assign VAR12[10] = VAR11[10];
assign VAR12[11] = VAR11[11];
assign VAR12[12] = VAR11[12];
assign VAR12[13] = VAR11[13];
assign VAR12[14] = VAR11[14];
assign VAR12[15] = VAR11[15];
assign VAR12[16] = VAR11[16];
assign VAR12[17] = VAR11[17];
assign VAR12[18] = VAR11[18];
assign VAR12[19] = VAR11[19];
assign VAR12[20] = VAR11[20];
assign VAR12[21] = VAR11[21];
assign VAR12[22] = VAR11[22];
assign VAR12[23] = VAR11[23];
assign VAR12[24] = VAR11[24];
assign VAR12[25] = VAR11[25];
assign VAR12[26] = VAR11[26];
assign VAR12[27] = VAR11[27];
assign VAR12[28] = VAR11[28];
assign VAR12[29] = VAR11[29];
assign VAR12[30] = VAR11[30];
assign VAR12[31] = VAR11[31];
assign VAR12[32] = VAR11[32];
assign VAR12[33] = VAR11[33];
assign VAR12[34] = VAR11[34];
assign VAR12[35] = VAR11[35];
assign VAR12[36] = VAR11[36];
assign VAR12[37] = VAR11[37];
assign VAR12[38] = VAR11[38];
assign VAR12[39] = VAR11[39];
assign VAR12[40] = VAR11[40];
assign VAR12[41] = VAR11[41];
assign VAR12[42] = VAR11[42];
assign VAR12[43] = VAR11[43];
assign VAR12[44] = VAR11[44];
assign VAR12[45] = VAR11[45];
assign VAR12[46] = VAR11[46];
assign VAR12[47] = VAR11[47];
assign VAR12[48] = VAR11[48];
assign VAR12[49] = VAR11[49];
assign VAR12[50] = VAR11[50];
assign VAR12[51] = VAR11[51];
assign VAR12[52] = VAR11[52];
assign VAR12[53] = VAR11[53];
assign VAR12[54] = VAR11[54];
assign VAR12[55] = VAR11[55];
assign VAR12[56] = VAR11[56];
assign VAR12[57] = VAR11[57];
assign VAR12[58] = VAR11[58] ^ VAR7[00] ^ VAR11[00] ^ VAR1[00];
assign VAR12[59] = VAR11[59] ^ VAR7[01] ^ VAR11[01] ^ VAR1[01];
assign VAR12[60] = VAR11[60] ^ VAR7[02] ^ VAR11[02] ^ VAR1[02];
assign VAR12[61] = VAR11[61] ^ VAR7[03] ^ VAR11[03] ^ VAR1[03];
assign VAR12[62] = VAR11[62] ^ VAR7[04] ^ VAR11[04] ^ VAR1[04];
assign VAR12[63] = VAR11[63] ^ VAR7[05] ^ VAR11[05] ^ VAR1[05];
assign VAR12[64] = VAR11[64] ^ VAR7[06] ^ VAR11[06] ^ VAR1[06];
assign VAR12[65] = VAR11[65] ^ VAR7[07] ^ VAR11[07] ^ VAR1[07];
assign VAR12[66] = VAR11[66] ^ VAR7[08] ^ VAR11[08] ^ VAR1[08];
assign VAR12[67] = VAR11[67] ^ VAR7[09] ^ VAR11[09] ^ VAR1[09];
assign VAR12[68] = VAR11[68] ^ VAR7[10] ^ VAR11[10] ^ VAR1[10];
assign VAR12[69] = VAR11[69] ^ VAR7[11] ^ VAR11[11] ^ VAR1[11];
assign VAR12[70] = VAR11[70] ^ VAR7[12] ^ VAR11[12] ^ VAR1[12];
assign VAR12[71] = VAR11[71] ^ VAR7[13] ^ VAR11[13] ^ VAR1[13];
assign VAR12[72] = VAR11[72] ^ VAR7[14] ^ VAR11[14] ^ VAR1[14];
assign VAR12[73] = VAR11[73] ^ VAR7[15] ^ VAR11[15] ^ VAR1[15];
assign VAR12[74] = VAR11[74] ^ VAR7[16] ^ VAR11[16] ^ VAR1[16];
assign VAR12[75] = VAR11[75] ^ VAR7[17] ^ VAR11[17] ^ VAR1[17];
assign VAR12[76] = VAR11[76] ^ VAR7[18] ^ VAR11[18] ^ VAR1[18];
assign VAR12[77] = VAR11[77] ^ VAR7[19] ^ VAR11[19] ^ VAR1[19];
assign VAR12[78] = VAR11[78] ^ VAR7[20] ^ VAR11[20] ^ VAR1[20];
assign VAR12[79] = VAR11[79] ^ VAR7[21] ^ VAR11[21] ^ VAR1[21];
assign VAR12[80] = VAR11[80] ^ VAR7[22] ^ VAR11[22] ^ VAR1[22];
assign VAR12[81] = VAR11[81] ^ VAR7[23] ^ VAR11[23] ^ VAR1[23];
assign VAR12[82] = VAR11[82] ^ VAR7[24] ^ VAR11[24] ^ VAR1[24];
assign VAR12[83] = VAR11[83] ^ VAR7[25] ^ VAR11[25] ^ VAR1[25];
assign VAR12[84] = VAR11[84] ^ VAR7[26] ^ VAR11[26] ^ VAR1[26];
assign VAR12[85] = VAR11[85] ^ VAR7[27] ^ VAR11[27] ^ VAR1[27];
assign VAR12[86] = VAR11[86] ^ VAR7[28] ^ VAR11[28] ^ VAR1[28];
assign VAR12[87] = VAR11[87] ^ VAR7[29] ^ VAR11[29] ^ VAR1[29];
assign VAR12[88] = VAR11[88] ^ VAR7[30] ^ VAR11[30] ^ VAR1[30];
assign VAR12[89] = VAR11[89] ^ VAR7[31] ^ VAR11[31] ^ VAR1[31];
assign VAR12[90] = VAR11[90] ^ VAR7[32] ^ VAR11[32] ^ VAR1[32];
assign VAR12[91] = VAR11[91] ^ VAR7[33] ^ VAR11[33] ^ VAR1[33];
assign VAR12[92] = VAR11[92] ^ VAR7[34] ^ VAR11[34] ^ VAR1[34];
assign VAR12[93] = VAR11[93] ^ VAR7[35] ^ VAR11[35] ^ VAR1[35];
assign VAR12[94] = VAR11[94] ^ VAR7[36] ^ VAR11[36] ^ VAR1[36];
assign VAR12[95] = VAR11[95] ^ VAR7[37] ^ VAR11[37] ^ VAR1[37];
assign VAR12[96] = VAR11[96] ^ VAR7[38] ^ VAR11[38] ^ VAR1[38];
assign VAR12[97] = VAR11[97] ^ VAR7[39] ^ VAR11[39] ^ VAR1[39];
assign VAR12[98] = VAR11[98] ^ VAR7[40] ^ VAR11[40] ^ VAR1[40];
assign VAR12[99] = VAR11[99] ^ VAR7[41] ^ VAR11[41] ^ VAR1[41];
assign VAR12[100] = VAR11[100] ^ VAR7[42] ^ VAR11[42] ^ VAR1[42];
assign VAR12[101] = VAR11[101] ^ VAR7[43] ^ VAR11[43] ^ VAR1[43];
assign VAR12[102] = VAR11[102] ^ VAR7[44] ^ VAR11[44] ^ VAR1[44];
assign VAR12[103] = VAR11[103] ^ VAR7[45] ^ VAR11[45] ^ VAR1[45];
assign VAR12[104] = VAR11[104] ^ VAR7[46] ^ VAR11[46] ^ VAR1[46];
assign VAR12[105] = VAR11[105] ^ VAR7[47] ^ VAR11[47] ^ VAR1[47];
assign VAR12[106] = VAR11[106] ^ VAR7[48] ^ VAR11[48] ^ VAR1[48];
assign VAR12[107] = VAR11[107] ^ VAR7[49] ^ VAR11[49] ^ VAR1[49];
assign VAR12[108] = VAR11[108] ^ VAR7[50] ^ VAR11[50] ^ VAR1[50];
assign VAR12[109] = VAR11[109] ^ VAR7[51] ^ VAR11[51] ^ VAR1[51];
assign VAR12[110] = VAR11[110] ^ VAR7[52] ^ VAR11[52] ^ VAR1[52];
assign VAR12[111] = VAR11[111] ^ VAR7[53] ^ VAR11[53] ^ VAR1[53];
assign VAR12[112] = VAR11[112] ^ VAR7[54] ^ VAR11[54] ^ VAR1[54];
assign VAR12[113] = VAR11[113] ^ VAR7[55] ^ VAR11[55] ^ VAR1[55];
assign VAR12[114] = VAR11[114] ^ VAR7[56] ^ VAR11[56] ^ VAR1[56];
assign VAR12[115] = VAR7[57] ^ VAR11[57] ^ VAR1[57];
assign VAR12[116] = VAR7[58] ^ VAR11[58] ^ VAR1[58] ^ VAR7[00];
assign VAR12[117] = VAR7[59] ^ VAR11[59] ^ VAR1[59] ^ VAR7[01];
assign VAR12[118] = VAR7[60] ^ VAR11[60] ^ VAR1[60] ^ VAR7[02];
assign VAR12[119] = VAR7[61] ^ VAR11[61] ^ VAR1[61] ^ VAR7[03];
assign VAR12[120] = VAR7[62] ^ VAR11[62] ^ VAR1[62] ^ VAR7[04];
assign VAR12[121] = VAR7[63] ^ VAR11[63] ^ VAR1[63] ^ VAR7[05];
assign VAR12[122] = VAR7[64] ^ VAR11[64] ^ VAR1[64] ^ VAR7[06];
assign VAR12[123] = VAR7[65] ^ VAR11[65] ^ VAR1[65] ^ VAR7[07];
assign VAR12[124] = VAR7[66] ^ VAR11[66] ^ VAR1[66] ^ VAR7[08];
assign VAR12[125] = VAR7[67] ^ VAR11[67] ^ VAR1[67] ^ VAR7[09];
assign VAR12[126] = VAR7[68] ^ VAR11[68] ^ VAR1[68] ^ VAR7[10];
assign VAR12[127] = VAR7[69] ^ VAR11[69] ^ VAR1[69] ^ VAR7[11];
assign VAR12[128] = VAR7[70] ^ VAR11[70] ^ VAR1[70] ^ VAR7[12];
assign VAR12[129] = VAR7[71] ^ VAR11[71] ^ VAR1[71] ^ VAR7[13];
assign VAR12[130] = VAR7[72] ^ VAR11[72] ^ VAR1[72] ^ VAR7[14];
assign VAR12[131] = VAR7[73] ^ VAR11[73] ^ VAR1[73] ^ VAR7[15];
assign VAR12[132] = VAR7[74] ^ VAR11[74] ^ VAR1[74] ^ VAR7[16];
assign VAR12[133] = VAR7[75] ^ VAR11[75] ^ VAR1[75] ^ VAR7[17];
assign VAR12[134] = VAR7[76] ^ VAR11[76] ^ VAR1[76] ^ VAR7[18];
assign VAR12[135] = VAR7[77] ^ VAR11[77] ^ VAR1[77] ^ VAR7[19];
assign VAR12[136] = VAR7[78] ^ VAR11[78] ^ VAR1[78] ^ VAR7[20];
assign VAR12[137] = VAR7[79] ^ VAR11[79] ^ VAR1[79] ^ VAR7[21];
assign VAR12[138] = VAR7[80] ^ VAR11[80] ^ VAR1[80] ^ VAR7[22];
assign VAR12[139] = VAR7[81] ^ VAR11[81] ^ VAR1[81] ^ VAR7[23];
assign VAR12[140] = VAR7[82] ^ VAR11[82] ^ VAR1[82] ^ VAR7[24];
assign VAR12[141] = VAR7[83] ^ VAR11[83] ^ VAR1[83] ^ VAR7[25];
assign VAR12[142] = VAR7[84] ^ VAR11[84] ^ VAR1[84] ^ VAR7[26];
assign VAR12[143] = VAR7[85] ^ VAR11[85] ^ VAR1[85] ^ VAR7[27];
assign VAR12[144] = VAR7[86] ^ VAR11[86] ^ VAR1[86] ^ VAR7[28];
assign VAR12[145] = VAR7[87] ^ VAR11[87] ^ VAR1[87] ^ VAR7[29];
assign VAR12[146] = VAR7[88] ^ VAR11[88] ^ VAR1[88] ^ VAR7[30];
assign VAR12[147] = VAR7[89] ^ VAR11[89] ^ VAR1[89] ^ VAR7[31];
assign VAR12[148] = VAR7[90] ^ VAR11[90] ^ VAR1[90] ^ VAR7[32];
assign VAR12[149] = VAR7[91] ^ VAR11[91] ^ VAR1[91] ^ VAR7[33];
assign VAR12[150] = VAR7[92] ^ VAR11[92] ^ VAR1[92] ^ VAR7[34];
assign VAR12[151] = VAR7[93] ^ VAR11[93] ^ VAR1[93] ^ VAR7[35];
assign VAR12[152] = VAR7[94] ^ VAR11[94] ^ VAR1[94] ^ VAR7[36];
assign VAR12[153] = VAR7[95] ^ VAR11[95] ^ VAR1[95] ^ VAR7[37];
assign VAR12[154] = VAR7[96] ^ VAR11[96] ^ VAR1[96] ^ VAR7[38];
assign VAR12[155] = VAR7[97] ^ VAR11[97] ^ VAR1[97] ^ VAR7[39];
assign VAR12[156] = VAR7[98] ^ VAR11[98] ^ VAR1[98] ^ VAR7[40];
assign VAR12[157] = VAR7[99] ^ VAR11[99] ^ VAR1[99] ^ VAR7[41];
assign VAR12[158] = VAR7[100] ^ VAR11[100] ^ VAR1[100] ^ VAR7[42];
assign VAR12[159] = VAR7[101] ^ VAR11[101] ^ VAR1[101] ^ VAR7[43];
assign VAR12[160] = VAR7[102] ^ VAR11[102] ^ VAR1[102] ^ VAR7[44];
assign VAR12[161] = VAR7[103] ^ VAR11[103] ^ VAR1[103] ^ VAR7[45];
assign VAR12[162] = VAR7[104] ^ VAR11[104] ^ VAR1[104] ^ VAR7[46];
assign VAR12[163] = VAR7[105] ^ VAR11[105] ^ VAR1[105] ^ VAR7[47];
assign VAR12[164] = VAR7[106] ^ VAR11[106] ^ VAR1[106] ^ VAR7[48];
assign VAR12[165] = VAR7[107] ^ VAR11[107] ^ VAR1[107] ^ VAR7[49];
assign VAR12[166] = VAR7[108] ^ VAR11[108] ^ VAR1[108] ^ VAR7[50];
assign VAR12[167] = VAR7[109] ^ VAR11[109] ^ VAR1[109] ^ VAR7[51];
assign VAR12[168] = VAR7[110] ^ VAR11[110] ^ VAR1[110] ^ VAR7[52];
assign VAR12[169] = VAR7[111] ^ VAR11[111] ^ VAR1[111] ^ VAR7[53];
assign VAR12[170] = VAR7[112] ^ VAR11[112] ^ VAR1[112] ^ VAR7[54];
assign VAR12[171] = VAR7[113] ^ VAR11[113] ^ VAR1[113] ^ VAR7[55];
assign VAR12[172] = VAR7[114] ^ VAR11[114] ^ VAR1[114] ^ VAR7[56];
assign VAR12[173] = VAR7[57];
assign VAR12[174] = VAR7[58];
assign VAR12[175] = VAR7[59];
assign VAR12[176] = VAR7[60];
assign VAR12[177] = VAR7[61];
assign VAR12[178] = VAR7[62];
assign VAR12[179] = VAR7[63];
assign VAR12[180] = VAR7[64];
assign VAR12[181] = VAR7[65];
assign VAR12[182] = VAR7[66];
assign VAR12[183] = VAR7[67];
assign VAR12[184] = VAR7[68];
assign VAR12[185] = VAR7[69];
assign VAR12[186] = VAR7[70];
assign VAR12[187] = VAR7[71];
assign VAR12[188] = VAR7[72];
assign VAR12[189] = VAR7[73];
assign VAR12[190] = VAR7[74];
assign VAR12[191] = VAR7[75];
assign VAR12[192] = VAR7[76];
assign VAR12[193] = VAR7[77];
assign VAR12[194] = VAR7[78];
assign VAR12[195] = VAR7[79];
assign VAR12[196] = VAR7[80];
assign VAR12[197] = VAR7[81];
assign VAR12[198] = VAR7[82];
assign VAR12[199] = VAR7[83];
assign VAR12[200] = VAR7[84];
assign VAR12[201] = VAR7[85];
assign VAR12[202] = VAR7[86];
assign VAR12[203] = VAR7[87];
assign VAR12[204] = VAR7[88];
assign VAR12[205] = VAR7[89];
assign VAR12[206] = VAR7[90];
assign VAR12[207] = VAR7[91];
assign VAR12[208] = VAR7[92];
assign VAR12[209] = VAR7[93];
assign VAR12[210] = VAR7[94];
assign VAR12[211] = VAR7[95];
assign VAR12[212] = VAR7[96];
assign VAR12[213] = VAR7[97];
assign VAR12[214] = VAR7[98];
assign VAR12[215] = VAR7[99];
assign VAR12[216] = VAR7[100];
assign VAR12[217] = VAR7[101];
assign VAR12[218] = VAR7[102];
assign VAR12[219] = VAR7[103];
assign VAR12[220] = VAR7[104];
assign VAR12[221] = VAR7[105];
assign VAR12[222] = VAR7[106];
assign VAR12[223] = VAR7[107];
assign VAR12[224] = VAR7[108];
assign VAR12[225] = VAR7[109];
assign VAR12[226] = VAR7[110];
assign VAR12[227] = VAR7[111];
assign VAR12[228] = VAR7[112];
assign VAR12[229] = VAR7[113];
assign VAR12[230] = VAR7[114];
endmodule | gpl-3.0 |
velizarefremov/Rijndael | shiftRows.v | 1,949 | module MODULE1(
output [127:0] VAR1, input [127:0] VAR3, input VAR2 );
assign VAR1[127:120] = VAR3[127:120];
assign VAR1[95:88] = VAR3[95:88];
assign VAR1[63:56] = VAR3[63:56];
assign VAR1[31:24] = VAR3[31:24];
assign VAR1[119:112] = (VAR2)?(VAR3[23:16]):(VAR3[87:80]);
assign VAR1[87:80] = (VAR2)?(VAR3[119:112]):(VAR3[55:48]);
assign VAR1[55:48] = (VAR2)?(VAR3[87:80]):(VAR3[23:16]);
assign VAR1[23:16] = (VAR2)?(VAR3[55:48]):(VAR3[119:112]);
assign VAR1[111:104] = VAR3[47:40];
assign VAR1[79:72] = VAR3[15:8];
assign VAR1[47:40] = VAR3[111:104];
assign VAR1[15:8] = VAR3[79:72];
assign VAR1[103:96] = (VAR2)?(VAR3[71:64]):(VAR3[7:0]);
assign VAR1[71:64] = (VAR2)?(VAR3[39:32]):(VAR3[103:96]);
assign VAR1[39:32] = (VAR2)?(VAR3[7:0]):(VAR3[71:64]);
assign VAR1[7:0] = (VAR2)?(VAR3[103:96]):(VAR3[39:32]);
endmodule | gpl-2.0 |
ckdur/mriscv_vivado_arty | mriscv_vivado.srcs/sources_1/imports/verilog/AXI_SP32B1024.v | 3,658 | module MODULE1(
input VAR5,
input VAR11,
input VAR6,
output VAR1,
input [32-1:0] VAR8,
input [3-1:0] VAR16,
input VAR24,
output VAR25,
input [32-1:0] VAR30,
input [4-1:0] VAR29,
output VAR7,
input VAR18,
input VAR20,
output VAR17,
input [32-1:0] VAR2,
input [3-1:0] VAR13,
output VAR22,
input VAR15,
output [32-1:0] VAR19,
input [31:0] VAR4,
output reg VAR26,
output reg VAR28,
output reg [9:0] VAR21,
output [31:0] VAR14
);
assign VAR1 = 1'b1;
assign VAR17 = 1'b1;
assign VAR25 = 1'b1;
reg [31:0] VAR12;
assign VAR19 = VAR4;
always @(negedge VAR5) begin
if (VAR11==1'b0) begin
VAR21 <= {10{1'b0}};
VAR12 <= {32{1'b0}};
end else begin
if(VAR6 == 1'b1) begin
VAR21 <= VAR8[9:0];
end else if(VAR20 == 1'b1) begin
VAR21 <= VAR2[9:0];
end
if(VAR24 == 1'b1) begin
VAR12 <= VAR30;
end
end
end
reg VAR3, VAR23;
assign VAR22 = VAR23;
always @(posedge VAR5) begin
if (VAR11==1'b0) begin
VAR3 <= 1'b0;
VAR23 <= 1'b0;
end else begin
if(VAR15 == 1'b1 && VAR3 == 1'b1 && VAR23 == 1'b1) begin
VAR3 <= 1'b0;
end else if(VAR20 == 1'b1) begin
VAR3 <= 1'b1;
end
if(VAR15 == 1'b1 && VAR3 == 1'b1 && VAR23 == 1'b1) begin
VAR23 <= 1'b0;
end else if(VAR3 == 1'b1) begin
VAR23 <= 1'b1;
end
end
end
reg VAR10, VAR27, VAR9;
assign VAR7 = VAR9;
always @(posedge VAR5) begin
if (VAR11==1'b0) begin
VAR10 <= 1'b0;
VAR27 <= 1'b0;
VAR9 <= 1'b0;
end else begin
if(VAR18 == 1'b1 && VAR10 == 1'b1 && VAR27 == 1'b1 && VAR9 == 1'b1) begin
VAR9 <= 1'b0;
end else if(VAR27 == 1'b1) begin
VAR9 <= 1'b1;
end else begin
VAR9 <= VAR9;
end
if(VAR18 == 1'b1 && VAR10 == 1'b1 && VAR27 == 1'b1 && VAR9 == 1'b1) begin
VAR10 <= 1'b0;
end else if(VAR6 == 1'b1) begin
VAR10 <= 1'b1;
end else begin
VAR10 <= VAR10;
end
if(VAR18 == 1'b1 && VAR10 == 1'b1 && VAR27 == 1'b1 && VAR9 == 1'b1) begin
VAR27 <= 1'b0;
end else if(VAR24 == 1'b1) begin
VAR27 <= 1'b1;
end else begin
VAR27 <= VAR27;
end
end
end
always @(negedge VAR5) begin
if (VAR11==1'b0) begin
VAR26 <= 1'b1;
VAR28 <= 1'b1;
end else begin
VAR26 <= ~(VAR3 | VAR10);
VAR28 <= ~VAR27;
end
end
assign VAR14[7:0] = VAR29[0]?VAR12[7:0] :VAR4[7:0];
assign VAR14[15:8] = VAR29[1]?VAR12[15:8] :VAR4[15:8];
assign VAR14[23:16] = VAR29[2]?VAR12[23:16]:VAR4[23:16];
assign VAR14[31:24] = VAR29[3]?VAR12[31:24]:VAR4[31:24];
endmodule | mit |
alexforencich/xfcp | lib/eth/rtl/lfsr.v | 16,134 | module MODULE1 #
(
parameter VAR14 = 31,
parameter VAR10 = 31'h10000001,
parameter VAR3 = "VAR18",
parameter VAR8 = 0,
parameter VAR17 = 0,
parameter VAR20 = 8,
parameter VAR5 = "VAR21"
)
(
input wire [VAR20-1:0] VAR7,
input wire [VAR14-1:0] VAR1,
output wire [VAR20-1:0] VAR12,
output wire [VAR14-1:0] VAR22
);
reg [VAR14-1:0] VAR13[VAR14-1:0];
reg [VAR20-1:0] VAR11[VAR14-1:0];
reg [VAR14-1:0] VAR4[VAR20-1:0];
reg [VAR20-1:0] VAR9[VAR20-1:0];
reg [VAR14-1:0] VAR15 = 0;
reg [VAR20-1:0] VAR2 = 0;
integer VAR6, VAR16, VAR19; | mit |
LSaldyt/qnp | output/vs/var13_multi.v | 1,141 | module MODULE1 (VAR18, VAR3, VAR7, VAR11, VAR9, VAR19, VAR6, VAR16, VAR4, VAR13, VAR2, VAR1, VAR8, valid);
input VAR18, VAR3, VAR7, VAR11, VAR9, VAR19, VAR6, VAR16, VAR4, VAR13, VAR2, VAR1, VAR8;
output valid;
wire [7:0] VAR14 = 8'd121;
wire [7:0] VAR12 = 8'd60;
wire [7:0] VAR10 = 8'd60;
wire [7:0] VAR17 =
VAR18 * 8'd4
+ VAR3 * 8'd8
+ VAR7 * 8'd0
+ VAR11 * 8'd20
+ VAR9 * 8'd10
+ VAR19 * 8'd12
+ VAR6 * 8'd18
+ VAR16 * 8'd14
+ VAR4 * 8'd6
+ VAR13 * 8'd15
+ VAR2 * 8'd30
+ VAR1 * 8'd8
+ VAR8 * 8'd16;
wire [7:0] VAR5 =
VAR18 * 8'd28
+ VAR3 * 8'd8
+ VAR7 * 8'd27
+ VAR11 * 8'd18
+ VAR9 * 8'd27
+ VAR19 * 8'd28
+ VAR6 * 8'd6
+ VAR16 * 8'd1
+ VAR4 * 8'd20
+ VAR13 * 8'd0
+ VAR2 * 8'd5
+ VAR1 * 8'd13
+ VAR8 * 8'd8;
wire [7:0] VAR15 =
VAR18 * 8'd27
+ VAR3 * 8'd27
+ VAR7 * 8'd4
+ VAR11 * 8'd4
+ VAR9 * 8'd0
+ VAR19 * 8'd24
+ VAR6 * 8'd4
+ VAR16 * 8'd20
+ VAR4 * 8'd12
+ VAR13 * 8'd15
+ VAR2 * 8'd5
+ VAR1 * 8'd2
+ VAR8 * 8'd9;
assign valid = ((VAR17 >= VAR14) && (VAR5 <= VAR12) && (VAR15 <= VAR10));
endmodule | mit |
olajep/oh | src/adi/hdl/library/xilinx/common/ad_serdes_clk.v | 4,858 | module MODULE1 #(
parameter VAR27 = 0,
parameter VAR4 = 1,
parameter VAR17 = 1,
parameter VAR43 = 8,
parameter VAR15 = 1,
parameter VAR30 = 1.667,
parameter VAR5 = 6,
parameter VAR20 = 12.000,
parameter VAR49 = 2.000,
parameter VAR9 = 6) (
input rst,
input VAR12,
input VAR10,
output clk,
output VAR13,
output VAR21,
output VAR37,
output [ 7:0] VAR28,
input VAR39,
input VAR52,
input VAR3,
input VAR24,
input [11:0] VAR25,
input [31:0] VAR22,
output [31:0] VAR45,
output VAR14,
output VAR31);
localparam VAR6 = (VAR4 == 1'b1) ? VAR43 / 2 : VAR43;
wire VAR50;
assign VAR37 = 'd0;
assign VAR28 = 'd0;
assign VAR45[31:16] = 'd0;
generate
if (VAR17 == 1) begin
VAR11 VAR29 (
.VAR44 (VAR12),
.VAR16 (VAR10),
.VAR35 (VAR50));
end else begin
VAR33 VAR46 (
.VAR35(VAR50),
.VAR44(VAR12));
end
endgenerate
generate
if (VAR15 == 1) begin
VAR32 #(
.VAR41 (VAR27),
.VAR30 (VAR30),
.VAR23 (VAR30),
.VAR5 (VAR5),
.VAR20 (VAR20),
.VAR49 (VAR49),
.VAR40 (0.0),
.VAR9 (VAR9),
.VAR34 (0.0),
.VAR26 (VAR49),
.VAR47 (90.0))
VAR8 (
.clk (VAR50),
.VAR54 (1'b0),
.VAR48 (1'b1),
.VAR18 (rst),
.VAR51 (clk),
.VAR19 (VAR13),
.VAR7 (VAR21),
.VAR39 (VAR39),
.VAR52 (VAR52),
.VAR3 (VAR3),
.VAR24 (VAR24),
.VAR25 (VAR25),
.VAR22 (VAR22[15:0]),
.VAR45 (VAR45[15:0]),
.VAR14 (VAR14),
.VAR31 (VAR31));
end
endgenerate
generate
if (VAR15 == 0) begin
VAR42 VAR1 (
.VAR44 (VAR50),
.VAR35 (clk));
VAR36 #(.VAR6(VAR6)) VAR53 (
.VAR38 (1'b0),
.VAR2 (1'b1),
.VAR44 (VAR50),
.VAR35 (VAR13));
assign VAR21 = clk;
assign VAR45[15:0] = 'd0;
assign VAR14 = 'd0;
assign VAR31 = 'd0;
end
endgenerate
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o41a/sky130_fd_sc_ls__o41a.symbol.v | 1,366 | module MODULE1 (
input VAR6,
input VAR9,
input VAR1,
input VAR2,
input VAR3,
output VAR5
);
supply1 VAR10;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR8 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | models/udp_dff_nsr_pp_pg_n/sky130_fd_sc_hdll__udp_dff_nsr_pp_pg_n.blackbox.v | 1,636 | module MODULE1 (
VAR2 ,
VAR5 ,
VAR1 ,
VAR4 ,
VAR3 ,
VAR7,
VAR8 ,
VAR6
);
output VAR2 ;
input VAR5 ;
input VAR1 ;
input VAR4 ;
input VAR3 ;
input VAR7;
input VAR8 ;
input VAR6 ;
endmodule | apache-2.0 |
silverneko/dsdl | lab2/Countdowner.v | 1,522 | module MODULE1(VAR3, VAR5, VAR4, VAR1);
input VAR3;
input [31:0] VAR5;
input [2:0] VAR4;
output [31:0] VAR1;
reg [31:0] counter;
reg [1:0] state;
reg [31:0] VAR2;
assign VAR1 = VAR2; | mit |
bangonkali/quartus-sockit | soc_system/synthesis/submodules/alt_vipitc131_common_to_binary.v | 1,178 | module MODULE1(
VAR9,
VAR10
);
parameter VAR6 = 3;
parameter VAR5 = 2;
input [VAR6-1:0] VAR9;
output [VAR5-1:0] VAR10;
generate
genvar VAR3, VAR7;
wire [(VAR6*VAR5)-1:0] VAR4;
wire [(VAR6*VAR5)-1:0] VAR2;
for(VAR7=0; VAR7<VAR6; VAR7=VAR7+1) begin : VAR8
assign VAR4[(VAR7*VAR5)+(VAR5-1):(VAR7*VAR5)] = (VAR9[VAR7]) ? VAR7 + 1 : 0;
end
for(VAR3=0; VAR3<VAR5; VAR3=VAR3+1) begin : VAR1
for(VAR7=0; VAR7<VAR6; VAR7=VAR7+1) begin : VAR8
assign VAR2[(VAR3*VAR6)+VAR7] = VAR4[(VAR7*VAR5)+VAR3];
end
assign VAR10[VAR3] = |VAR2[(VAR3*VAR6)+VAR6-1:VAR3*VAR6];
end
endgenerate
endmodule | mit |
BilkentCompGen/GateKeeper | FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/source/pcie3_7x_0_pipe_drp.v | 38,970 | module MODULE1 #
(
parameter VAR96 = "VAR125", parameter VAR37 = "3.0", parameter VAR18 = "VAR204", parameter VAR136 = "VAR32", parameter VAR126 = "VAR104", parameter VAR56 = "VAR204", parameter VAR33 = "VAR104", parameter VAR26 = 0, parameter VAR46 = 0, parameter VAR186 = 2'd1, parameter VAR105 = 5'd21
)
(
input VAR49,
input VAR179,
input VAR190,
input [ 1:0] VAR24,
input VAR141,
input VAR27,
input VAR171,
input [15:0] VAR12,
input VAR82,
output [ 8:0] VAR60,
output VAR174,
output [15:0] VAR115,
output VAR195,
output VAR44,
output [ 6:0] VAR69
);
reg VAR57;
reg [ 1:0] VAR134;
reg VAR73;
reg VAR144;
reg VAR203;
reg [15:0] VAR188;
reg VAR58;
reg VAR128;
reg [ 1:0] VAR70;
reg VAR95;
reg VAR183;
reg VAR48;
reg [15:0] VAR80;
reg VAR159;
reg [ 1:0] VAR108 = 2'd0;
reg [ 4:0] VAR11 = 5'd0;
reg VAR92 = 1'd0;
reg [ 8:0] VAR19 = 9'd0;
reg [15:0] VAR127 = 16'd0;
reg VAR22 = 1'd0;
reg [ 6:0] fsm = 7'd1;
localparam VAR71 = 9'h06F;
localparam VAR61 = 9'h088;
localparam VAR41 = 9'h088;
localparam VAR39 = 9'h06B;
localparam VAR52 = 9'h06B;
localparam VAR140 = 9'h011;
localparam VAR20 = 9'h011;
localparam VAR193 = 9'h01C;
localparam VAR109 = 9'h09D;
localparam VAR205 = 9'h059;
localparam VAR7 = 9'h059;
localparam VAR3 = 9'h044;
localparam VAR68 = 9'h019;
localparam VAR165 = 9'h0A7;
localparam VAR10 = 9'h01E;
localparam VAR64 = 9'h099;
localparam VAR124 = 9'h09A;
localparam VAR65 = 9'h0A8;
localparam VAR8 = 9'h0A9;
localparam VAR166 = 9'h0AA;
localparam VAR192 = 9'h0AB;
localparam VAR94 = 9'h0AC;
localparam VAR91 = 9'h0AD;
localparam VAR88 = 16'b1111111111111001; localparam VAR114 = 16'b1111111110001111; localparam VAR130 = 16'b1111111111111000; localparam VAR5 = 16'b1111111111111000; localparam VAR147 = 16'b1111111111101111; localparam VAR4 = 16'b1100011111111111; localparam VAR168 = 16'b1111011111111111; localparam VAR154 = 16'b1011111111111111; localparam VAR21 = 16'b1011111111111111; localparam VAR76 = 16'b1111111111111101; localparam VAR178 = 16'b1111111101111111; localparam VAR77 = 16'b1111111110111111; localparam VAR123 = 16'b1011111111111111; localparam VAR89 = 16'b1111111111100000; localparam VAR97 = 16'b1111011111111111; localparam VAR155 = 16'b1011111111111111; localparam VAR78 = 16'b0000000000000000; localparam VAR102 = 16'b0000000000000000; localparam VAR169 = 16'b0000000000000000; localparam VAR100 = 16'b0000000000000000; localparam VAR47 = 16'b0000000000000000; localparam VAR25 = 16'b0000000000000000; localparam VAR196 = 16'b1111111100000000; localparam VAR62 = 16'b0000000000000000; localparam VAR85 = 16'b1111111111111111; localparam VAR162 = 16'b1111111111111000;
localparam VAR79 = (VAR136 == "VAR148") ? 16'b0000000000100000 : 16'b0000000000010000; localparam VAR38 = (VAR136 == "VAR148") ? 16'b0000000000000010 : 16'b0000000000000001; localparam VAR175 = 16'b0000000000000011; localparam VAR145 = 16'b0000000000000000; localparam VAR15 = 16'b0001100000000000; localparam VAR53 = 16'b0000000000000000; localparam VAR119 = 16'b0100000000000000; localparam VAR90 = 16'b0000000000000010; localparam VAR43 = 16'b0000000000000000; localparam VAR197 = 16'b0000000000000000; localparam VAR93 = 16'b0100000000000000; localparam VAR163 = 16'b0000000000000001; localparam VAR106 = 16'b0000100000000000; localparam VAR149 = 16'b0100000000000000; localparam VAR200 = 16'b1000010010000000; localparam VAR118 = 16'b0000000000000001; localparam VAR51 = 16'b0000000000001000; localparam VAR199 = 16'b0000000000000000; localparam VAR158 = 16'h0020; localparam VAR129 = 16'h1020; localparam VAR31 = 16'h23FF; localparam VAR6 = 16'h0000; localparam VAR172 = 16'h8000; localparam VAR1 = 16'h0003; localparam VAR133 = 16'h0000; localparam VAR99 = 16'h0018; localparam VAR151 = 16'h8018; localparam VAR42 = 16'hC208; localparam VAR146 = 16'h2000; localparam VAR176 = 16'h07FE; localparam VAR87 = 16'h0020; localparam VAR84 = 16'h0000;
localparam VAR34 = 16'b0000000000000000; localparam VAR191 = 16'b0000000000000000; localparam VAR113 = 16'b0000000000000100; localparam VAR2 = 16'b0000000000010000; localparam VAR9 = 16'b0010000000000000; localparam VAR54 = 16'b0100000000000000; localparam VAR150 = 16'b0000000000000000; localparam VAR160 = 16'b0000000000000000; localparam VAR14 = 16'b0000000010000000; localparam VAR138 = 16'b0000000001000000; localparam VAR131 = 16'b0000000000000000; localparam VAR177 = 16'b0000000000000010; localparam VAR206 = 16'b0000000000000000; localparam VAR152 = 16'b0000000000000000; localparam VAR132 = 16'b0111000010000000; localparam VAR59 = 16'b0000000000011110; localparam VAR202 = 16'b0000000000001000; localparam VAR23 = 16'b0000000000000000; localparam VAR28 = 16'h0080; localparam VAR156 = 16'h1010; localparam VAR180 = 16'h0BFF; localparam VAR101 = 16'h0000; localparam VAR181 = 16'h8000; localparam VAR83 = 16'h000B; localparam VAR170 = 16'h0000; localparam VAR184 = 16'h0018; localparam VAR50 = 16'h8018; localparam VAR35 = 16'hC848; localparam VAR142 = 16'h1000; localparam VAR173 = 16'h07FE; localparam VAR135 = 16'h0FFE; localparam VAR29 = 16'h0010; localparam VAR122 = 16'h0000; localparam VAR103 = 16'h0002;
localparam VAR189 = 16'b0000000000000000; localparam VAR201 = 16'b0000000000000010; localparam VAR116 = 16'b0000000000000100;
localparam VAR98 = 16'b0000000000000000;
localparam VAR198 = 16'b0000100000000000;
wire [15:0] VAR107;
wire [15:0] VAR72;
wire [15:0] VAR139;
wire [15:0] VAR63;
wire [15:0] VAR194;
wire [15:0] VAR16;
wire [15:0] VAR110;
wire [15:0] VAR164;
wire [15:0] VAR86;
wire [15:0] VAR45;
wire [15:0] VAR30;
wire [15:0] VAR81;
wire [15:0] VAR55;
wire [15:0] VAR112;
wire [15:0] VAR167;
wire [15:0] VAR13;
wire [15:0] VAR17;
wire [15:0] VAR120;
wire [15:0] VAR75;
wire [15:0] VAR36;
wire [15:0] VAR137;
wire [15:0] VAR66;
wire [15:0] VAR111;
wire [15:0] VAR40;
wire [15:0] VAR67;
wire [15:0] VAR121;
wire [15:0] VAR117;
localparam VAR143 = 7'b0000001;
localparam VAR157 = 7'b0000010;
localparam VAR182 = 7'b0000100;
localparam VAR74 = 7'b0001000;
localparam VAR161 = 7'b0010000;
localparam VAR153 = 7'b0100000;
localparam VAR185 = 7'b1000000;
always @ (posedge VAR49)
begin
if (!VAR179)
begin
VAR57 <= 1'd0;
VAR134 <= 2'd0;
VAR73 <= 1'd0;
VAR144 <= 1'd0;
VAR188 <= 16'd0;
VAR58 <= 1'd0;
VAR203 <= 1'd0;
VAR128 <= 1'd0;
VAR70 <= 2'd0;
VAR95 <= 1'd0;
VAR183 <= 1'd0;
VAR80 <= 16'd0;
VAR159 <= 1'd0;
VAR48 <= 1'd0;
end
else
begin
VAR57 <= VAR190;
VAR134 <= VAR24;
VAR73 <= VAR141;
VAR144 <= VAR27;
VAR188 <= VAR12;
VAR58 <= VAR82;
VAR203 <= VAR171;
VAR128 <= VAR57;
VAR70 <= VAR134;
VAR95 <= VAR73;
VAR183 <= VAR144;
VAR80 <= VAR188;
VAR159 <= VAR58;
VAR48 <= VAR203;
end
end
assign VAR107 = (VAR70 == 2'd2) ? VAR34 : VAR79;
assign VAR72 = (VAR70 == 2'd2) ? VAR191 : VAR38;
assign VAR139 = (VAR70 == 2'd2) ? VAR113 : VAR175;
assign VAR63 = (VAR70 == 2'd2) ? VAR2 : VAR145;
assign VAR194 = (VAR70 == 2'd2) ? VAR9 : VAR15;
assign VAR16 = (VAR70 == 2'd2) ? VAR54 : VAR53;
assign VAR110 = ((VAR70 == 2'd2) || (VAR56 == "VAR204")) ? VAR150 : VAR119;
assign VAR164 = ((VAR70 == 2'd2) && (VAR33 == "VAR204")) ? VAR160 : VAR90;
assign VAR86 = ((VAR70 == 2'd2) || (VAR56 == "VAR204")) ? VAR14 : VAR43;
assign VAR45 = ((VAR70 == 2'd2) && (VAR33 == "VAR204")) ? VAR138 : VAR197;
assign VAR30 = (VAR70 == 2'd2) ? VAR131 : VAR93;
assign VAR81 = (VAR70 == 2'd2) ? VAR177 : VAR163;
assign VAR55 = (VAR70 == 2'd2) ? VAR206 : VAR106;
assign VAR112 = (VAR70 == 2'd2) ? VAR152 : VAR149;
assign VAR167 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? VAR202 : VAR132) :
((VAR96 == "VAR187") ? VAR51 : VAR200);
assign VAR13 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? VAR23 : VAR59) :
((VAR96 == "VAR187") ? VAR199 : VAR118);
assign VAR17 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? ((VAR18 == "VAR104") ? VAR50 : VAR184) : VAR28) :
((VAR96 == "VAR187") ? ((VAR18 == "VAR104") ? VAR151 : VAR99) : VAR158);
assign VAR120 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? VAR35 : VAR156) :
((VAR96 == "VAR187") ? VAR42 : VAR129);
assign VAR75 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? VAR142 : VAR180) :
((VAR96 == "VAR187") ? VAR146 : VAR31);
assign VAR36 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? ((VAR126 == "VAR104") ? VAR135 : VAR173) : ((VAR18 == "VAR104") ? VAR181 : VAR101)) :
((VAR96 == "VAR187") ? VAR176 : ((VAR18 == "VAR104") ? VAR181 : VAR101));
assign VAR137 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? VAR29 : VAR83) :
((VAR96 == "VAR187") ? VAR87 : VAR1);
assign VAR66 = (VAR70 == 2'd2) ? ((VAR96 == "VAR187") ? ((VAR126 == "VAR104") ? VAR103 : VAR122) : VAR170) :
((VAR96 == "VAR187") ? VAR84 : VAR133);
assign VAR111 = VAR189;
assign VAR40 = VAR26 ? VAR189 : VAR201;
assign VAR67 = VAR46 ? VAR189 : VAR116;
assign VAR121 = VAR40 | VAR67;
assign VAR117 = VAR183 ? VAR98 : VAR198;
always @ (posedge VAR49)
begin
if (!VAR179)
VAR108 <= 2'd0;
end
else
if ((fsm == VAR157) && (VAR108 < VAR186))
VAR108 <= VAR108 + 2'd1;
else if ((fsm == VAR157) && (VAR108 == VAR186))
VAR108 <= VAR108;
else
VAR108 <= 2'd0;
end
always @ (posedge VAR49)
begin
if (!VAR179)
begin
VAR19 <= 9'd0;
VAR127 <= 16'd0;
end
else
begin
case (VAR11)
5'd0:
begin
VAR19 <= VAR92 ? VAR71 :
VAR95 ? VAR140 : VAR61;
VAR127 <= VAR92 ? ((VAR80 & VAR88) | VAR111) :
VAR95 ? ((VAR80 & VAR168) | VAR117) :
((VAR80 & VAR114) | VAR107);
end
5'd1:
begin
VAR19 <= VAR92 ? VAR71 : VAR41;
VAR127 <= VAR92 ? ((VAR80 & VAR88) | VAR121) :
((VAR80 & VAR130) | VAR72);
end
5'd2 :
begin
VAR19 <= VAR39;
VAR127 <= (VAR80 & VAR5) | VAR139;
end
5'd3 :
begin
VAR19 <= VAR52;
VAR127 <= (VAR80 & VAR147) | VAR63;
end
5'd4 :
begin
VAR19 <= VAR140;
VAR127 <= (VAR80 & VAR4) | VAR194;
end
5'd5 :
begin
VAR19 <= VAR20;
VAR127 <= (VAR80 & VAR154) | VAR16;
end
5'd6 :
begin
VAR19 <= VAR193;
VAR127 <= (VAR80 & VAR21) | VAR110;
end
5'd7 :
begin
VAR19 <= VAR109;
VAR127 <= (VAR80 & VAR76) | VAR164;
end
5'd8 :
begin
VAR19 <= VAR205;
VAR127 <= (VAR80 & VAR178) | VAR86;
end
5'd9 :
begin
VAR19 <= VAR7;
VAR127 <= (VAR80 & VAR77) | VAR45;
end
5'd10 :
begin
VAR19 <= VAR3;
VAR127 <= (VAR80 & VAR123) | VAR30;
end
5'd11 :
begin
VAR19 <= VAR68;
VAR127 <= (VAR80 & VAR89) | VAR81;
end
5'd12 :
begin
VAR19 <= VAR165;
VAR127 <= (VAR80 & VAR97) | VAR55;
end
5'd13 :
begin
VAR19 <= VAR10;
VAR127 <= (VAR80 & VAR155) | VAR112;
end
5'd14 :
begin
VAR19 <= VAR64;
VAR127 <= (VAR80 & VAR78) | VAR167;
end
5'd15 :
begin
VAR19 <= VAR124;
VAR127 <= (VAR80 & VAR102) | VAR13;
end
5'd16 :
begin
VAR19 <= VAR65;
VAR127 <= (VAR80 & VAR169) | VAR17;
end
5'd17 :
begin
VAR19 <= VAR8;
VAR127 <= (VAR80 & VAR100) | VAR120;
end
5'd18 :
begin
VAR19 <= VAR166;
VAR127 <= (VAR80 & VAR47) | VAR75;
end
5'd19 :
begin
VAR19 <= VAR192;
VAR127 <= (VAR80 & VAR25) | VAR36;
end
5'd20 :
begin
VAR19 <= VAR94;
VAR127 <= (VAR80 & ((VAR96 == "VAR187") ? VAR62 : VAR196)) | VAR137;
end
5'd21 :
begin
VAR19 <= VAR91;
VAR127 <= (VAR80 & ((VAR96 == "VAR187") ? VAR162 : VAR85)) | VAR66;
end
default :
begin
VAR19 <= 9'd0;
VAR127 <= 16'd0;
end
endcase
end
end
always @ (posedge VAR49)
begin
if (!VAR179)
begin
fsm <= VAR143;
VAR11 <= 5'd0;
VAR92 <= 1'd0;
VAR22 <= 1'd0;
end
else
begin
case (fsm)
VAR143 :
begin
if (VAR48)
begin
fsm <= VAR157;
VAR11 <= 5'd0;
VAR92 <= 1'd0;
VAR22 <= 1'd0;
end
else if ((VAR128 && !VAR57) && ((VAR26 == 0) || (VAR46 == 0)) && (VAR37 == "1.0"))
begin
fsm <= VAR157;
VAR11 <= 5'd0;
VAR92 <= 1'd1;
VAR22 <= 1'd0;
end
else
begin
fsm <= VAR143;
VAR11 <= 5'd0;
VAR92 <= 1'd0;
VAR22 <= 1'd1;
end
end
VAR157 :
begin
fsm <= (VAR108 == VAR186) ? VAR182 : VAR157;
VAR11 <= VAR11;
VAR92 <= VAR92;
VAR22 <= 1'd0;
end
VAR182 :
begin
fsm <= VAR74;
VAR11 <= VAR11;
VAR92 <= VAR92;
VAR22 <= 1'd0;
end
VAR74 :
begin
fsm <= VAR159 ? VAR161 : VAR74;
VAR11 <= VAR11;
VAR92 <= VAR92;
VAR22 <= 1'd0;
end
VAR161 :
begin
fsm <= VAR153;
VAR11 <= VAR11;
VAR92 <= VAR92;
VAR22 <= 1'd0;
end
VAR153 :
begin
fsm <= VAR159 ? VAR185 : VAR153;
VAR11 <= VAR11;
VAR92 <= VAR92;
VAR22 <= 1'd0;
end
VAR185 :
begin
if ((VAR11 == VAR105) || (VAR92 && (VAR11 == 5'd1)) || (VAR95 && (VAR11 == 5'd0)))
begin
fsm <= VAR143;
VAR11 <= 5'd0;
VAR92 <= 1'd0;
VAR22 <= 1'd0;
end
else
begin
fsm <= VAR157;
VAR11 <= VAR11 + 5'd1;
VAR92 <= VAR92;
VAR22 <= 1'd0;
end
end
default :
begin
fsm <= VAR143;
VAR11 <= 5'd0;
VAR92 <= 1'd0;
VAR22 <= 1'd0;
end
endcase
end
end
assign VAR60 = VAR19;
assign VAR174 = (fsm == VAR182) || (fsm == VAR161);
assign VAR115 = VAR127;
assign VAR195 = (fsm == VAR161);
assign VAR44 = VAR22;
assign VAR69 = fsm;
endmodule | gpl-3.0 |
olajep/oh | src/adi/hdl/library/axi_dmac/src_axi_stream.v | 4,049 | module MODULE1 #(
parameter VAR39 = 3,
parameter VAR5 = 64,
parameter VAR3 = 24,
parameter VAR27 = 4)(
input VAR31,
input VAR33,
input enable,
output VAR40,
input [VAR39-1:0] VAR19,
output [VAR39-1:0] VAR47,
input VAR1,
output VAR26,
input VAR49,
output [VAR39+3-1:0] VAR45,
output VAR30,
input VAR18,
output [VAR27-1:0] VAR42,
output VAR15,
output [VAR39-1:0] VAR38,
output VAR25,
output VAR37,
input VAR34,
input [VAR5-1:0] VAR32,
input [0:0] VAR48,
input VAR10,
output VAR4,
output VAR23,
output [VAR5-1:0] VAR14,
output VAR22,
output VAR41,
input VAR2,
output VAR20,
input [VAR27-1:0] VAR43,
input VAR29,
input VAR35
);
assign VAR40 = enable;
VAR7 # (
.VAR39(VAR39),
.VAR36(VAR5),
.VAR27(VAR27),
.VAR13(1)
) VAR8 (
.clk(VAR31),
.VAR6(VAR33),
.VAR21(VAR4),
.VAR19(VAR19),
.VAR47(VAR47),
.VAR1(VAR1),
.VAR26(VAR26),
.VAR49(VAR49),
.VAR45(VAR45),
.VAR30(VAR30),
.VAR18(VAR18),
.VAR42(VAR42),
.VAR15(VAR15),
.VAR38(VAR38),
.VAR25(VAR25),
.VAR2(VAR2),
.VAR20(VAR20),
.VAR43(VAR43),
.VAR29(VAR29),
.VAR35(VAR35),
.VAR9(VAR34),
.VAR12(VAR37),
.VAR44(VAR32),
.VAR24(VAR10),
.VAR16(VAR48[0]),
.VAR17(VAR23),
.VAR46(VAR14),
.VAR11(VAR22),
.VAR28(VAR41)
);
endmodule | mit |
Vadman97/ImageAES | vga/decrypter.v | 2,886 | module MODULE1(
input clk,
input reset,
input [7:0] VAR17,
input VAR15,
input [63:0] VAR4,
output reg [14:0] VAR6,
output reg [7:0] VAR18,
output reg [14:0] VAR14,
output reg VAR11
);
wire [63:0] VAR22;
wire [63:0] VAR13;
wire VAR19;
reg VAR16, ack;
VAR3 VAR2 (
.VAR22(VAR22),
.VAR21(VAR4),
.VAR13(VAR13),
.VAR11(VAR19),
.clk(clk),
.reset(reset),
.enable(VAR16),
.ack(ack)
);
reg [7:0] VAR12 [7:0];
reg [7:0] VAR1 [7:0];
reg VAR10;
reg [2:0] VAR7;
reg [2:0] VAR20;
reg [14:0] counter;
reg [14:0] VAR5;
assign VAR22 = {VAR12[7], VAR12[6], VAR12[5], VAR12[4], VAR12[3], VAR12[2], VAR12[1], VAR12[0]};
always @(posedge clk) begin
if (reset) begin
VAR7 <= 0;
VAR20 <= 0;
counter <= 0;
VAR5 <= 0;
VAR16 <= 0;
VAR10 <= 0;
end else begin
if (VAR15) begin
VAR12[VAR7] <= VAR17;
if (VAR7 == 3'd7) begin
VAR16 <= 1;
ack <= 0;
end else begin
VAR16 <= 0;
ack <= 0;
end
if (VAR19) begin: VAR9
integer VAR8;
for (VAR8 = 0; VAR8 < 8; VAR8 = VAR8 + 1) begin
VAR1[VAR8] <= VAR13[8 * VAR8 +: 8];
end
ack <= 1;
VAR10 <= 1;
end
if (VAR10) begin
VAR14 <= VAR5;
VAR20 <= VAR20 + 1;
VAR5 <= VAR5 + 1;
if (VAR20 == 3'd7)
VAR10 <= 0;
end
VAR18 <= VAR1[3'd7 - VAR20];
VAR6 <= counter;
VAR7 <= VAR7 + 1;
counter <= counter + 1;
if (counter > (175 * 175) & VAR11)
VAR11 = 1'b1;
end
else
VAR11 = 1'b0;
end
end
end
endmodule | gpl-3.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/dffrsnq/gf180mcu_fd_sc_mcu7t5v0__dffrsnq_2.behavioral.v | 8,879 | module MODULE1( VAR45, VAR51, VAR50, VAR26, VAR18 );
input VAR45, VAR51, VAR26, VAR50;
output VAR18;
reg VAR34;
VAR37 VAR35(.VAR45(VAR45),.VAR51(VAR51),.VAR50(VAR50),.VAR26(VAR26),.VAR18(VAR18),.VAR34(VAR34));
VAR37 VAR42(.VAR45(VAR45),.VAR51(VAR51),.VAR50(VAR50),.VAR26(VAR26),.VAR18(VAR18),.VAR34(VAR34));
not VAR46(VAR36,VAR51);
and VAR20(VAR81,VAR26,VAR36);
and VAR39(VAR15,VAR50,VAR81);
and VAR22(VAR67,VAR26,VAR51);
and VAR3(VAR44,VAR50,VAR67);
and VAR86(VAR61,VAR50,VAR26);
buf VAR72(VAR41,VAR50);
not VAR62(VAR30,VAR45);
not VAR12(VAR10,VAR51);
and VAR32(VAR78,VAR10,VAR30);
and VAR82(VAR65,VAR50,VAR78);
not VAR80(VAR70,VAR45);
and VAR11(VAR60,VAR51,VAR70);
and VAR69(VAR5,VAR50,VAR60);
not VAR24(VAR29,VAR51);
and VAR33(VAR74,VAR29,VAR45);
and VAR17(VAR19,VAR50,VAR74);
and VAR79(VAR38,VAR51,VAR45);
and VAR6(VAR8,VAR50,VAR38);
not VAR88(VAR27,VAR45);
not VAR76(VAR13,VAR51);
and VAR16(VAR84,VAR13,VAR27);
not VAR83(VAR43,VAR45);
and VAR59(VAR25,VAR51,VAR43);
not VAR54(VAR68,VAR51);
and VAR63(VAR55,VAR68,VAR45);
and VAR14(VAR40,VAR51,VAR45);
buf VAR56(VAR52,VAR26);
not VAR85(VAR89,VAR45);
not VAR7(VAR31,VAR51);
and VAR64(VAR87,VAR31,VAR89);
and VAR77(VAR1,VAR26,VAR87);
not VAR75(VAR53,VAR45);
and VAR57(VAR23,VAR51,VAR53);
and VAR49(VAR47,VAR26,VAR23);
not VAR2(VAR28,VAR51);
and VAR4(VAR9,VAR28,VAR45);
and VAR48(VAR73,VAR26,VAR9);
and VAR66(VAR21,VAR51,VAR45);
and VAR58(VAR71,VAR26,VAR21); | apache-2.0 |
GSejas/Dise-o-ASIC-FPGA-FPU | ASIC_FLOW/ASIC_fpaddsub_arch2/integracion_fisica/front_end/db/SINGLE/FSM_Add_Subtract_syn.v | 5,547 | module MODULE1 ( clk, rst, VAR29, VAR151, VAR21,
VAR121, VAR27, VAR105, VAR85, VAR64,
VAR115, VAR113, VAR70, VAR124, VAR83, VAR103,
VAR90, VAR22, VAR95, VAR79, VAR147, VAR107,
VAR88, VAR120, VAR73, ready );
output [1:0] VAR147;
input clk, rst, VAR29, VAR151, VAR21, VAR121,
VAR27, VAR105;
output VAR85, VAR64, VAR115, VAR113, VAR70, VAR124,
VAR83, VAR103, VAR90, VAR22, VAR95, VAR79,
VAR107, VAR88, VAR120, VAR73, ready;
wire VAR155, VAR102, VAR163, VAR120, VAR109, VAR10, VAR16, VAR179, VAR108, VAR172, VAR100, VAR119, VAR139, VAR76,
VAR118, VAR173, VAR132, VAR169, VAR53, VAR8, VAR60, VAR74, VAR17, VAR81, VAR68, VAR149, VAR137, VAR112,
VAR93, VAR45, VAR7, VAR50, VAR135, VAR57, VAR178, VAR87, VAR130, VAR128, VAR26, VAR148, VAR138, VAR42,
VAR167, VAR175, VAR97, VAR61, VAR181, VAR117, VAR159, VAR140, VAR38;
wire [3:0] VAR143;
assign VAR79 = VAR120;
VAR75 VAR171 ( .VAR35(rst), .VAR46(VAR155) );
VAR158 \VAR125[2] ( .VAR67(VAR117), .VAR11(clk), .VAR56(VAR155), .VAR144(VAR143[2]),
.VAR157(VAR172) );
VAR158 \VAR125[3] ( .VAR67(VAR140), .VAR11(clk), .VAR56(VAR155), .VAR144(VAR143[3]),
.VAR157(VAR16) );
VAR158 \VAR125[1] ( .VAR67(VAR159), .VAR11(clk), .VAR56(VAR155), .VAR144(VAR143[1]),
.VAR157(VAR100) );
VAR158 \VAR125[0] ( .VAR67(VAR38), .VAR11(clk), .VAR56(VAR155), .VAR144(VAR143[0]),
.VAR157(VAR119) );
VAR51 VAR98 ( .VAR174(VAR148), .VAR82(VAR119), .VAR116(VAR143[1]), .VAR46(VAR8) );
VAR136 VAR80 ( .VAR35(VAR100), .VAR82(VAR143[3]), .VAR46(VAR61) );
VAR59 VAR6 ( .VAR35(VAR100), .VAR82(VAR16), .VAR116(VAR175), .VAR46(VAR87) );
VAR59 VAR40 ( .VAR35(VAR100), .VAR82(VAR16), .VAR116(VAR97), .VAR46(VAR137) );
VAR59 VAR58 ( .VAR35(VAR143[1]), .VAR82(VAR119), .VAR116(VAR148), .VAR46(VAR81) );
VAR59 VAR48 ( .VAR35(VAR143[1]), .VAR82(VAR175), .VAR116(VAR143[3]), .VAR46(VAR118) );
VAR30 VAR63 ( .VAR35(VAR128), .VAR82(VAR74), .VAR46(VAR90) );
VAR75 VAR161 ( .VAR35(VAR128), .VAR46(VAR88) );
VAR126 VAR91 ( .VAR168(VAR137), .VAR14(VAR139), .VAR62(VAR53), .VAR46(VAR7) );
VAR126 VAR94 ( .VAR168(VAR139), .VAR14(VAR87), .VAR62(VAR112), .VAR46(VAR113) );
VAR75 VAR134 ( .VAR35(VAR17), .VAR46(VAR120) );
VAR75 VAR9 ( .VAR35(VAR132), .VAR46(VAR179) );
VAR1 VAR141 ( .VAR35(VAR64), .VAR82(VAR85), .VAR116(VAR95), .VAR46(VAR149) );
VAR30 VAR65 ( .VAR35(VAR61), .VAR82(VAR175), .VAR46(VAR128) );
VAR30 VAR166 ( .VAR35(VAR97), .VAR82(VAR61), .VAR46(VAR74) );
VAR30 VAR41 ( .VAR35(VAR26), .VAR82(VAR81), .VAR46(VAR107) );
VAR30 VAR44 ( .VAR35(VAR137), .VAR82(VAR53), .VAR46(VAR124) );
VAR59 VAR43 ( .VAR35(VAR87), .VAR82(VAR130), .VAR116(VAR112), .VAR46(VAR115) );
VAR75 VAR111 ( .VAR35(VAR178), .VAR46(VAR64) );
VAR75 VAR5 ( .VAR35(VAR87), .VAR46(VAR163) );
VAR75 VAR78 ( .VAR35(VAR57), .VAR46(VAR73) );
VAR75 VAR101 ( .VAR35(VAR26), .VAR46(VAR22) );
VAR75 VAR142 ( .VAR35(VAR118), .VAR46(ready) );
VAR75 VAR20 ( .VAR35(VAR137), .VAR46(VAR10) );
VAR75 VAR34 ( .VAR35(VAR81), .VAR46(VAR109) );
VAR30 VAR160 ( .VAR35(VAR105), .VAR82(VAR8), .VAR46(VAR17) );
VAR146 VAR110 ( .VAR35(VAR27), .VAR82(VAR149), .VAR116(VAR138), .VAR67(VAR42), .VAR46(VAR70)
);
VAR96 VAR19 ( .VAR35(VAR167), .VAR82(VAR107), .VAR116(VAR90), .VAR67(VAR124), .VAR46(
VAR42) );
VAR177 VAR31 ( .VAR168(VAR163), .VAR14(VAR139), .VAR62(VAR97), .VAR47(VAR8), .VAR46(VAR138) );
VAR59 VAR72 ( .VAR35(VAR118), .VAR82(VAR57), .VAR116(VAR130), .VAR46(VAR167) );
VAR75 VAR39 ( .VAR35(VAR121), .VAR46(VAR139) );
VAR3 VAR176 ( .VAR174(VAR107), .VAR82(VAR27), .VAR46(VAR147[0]) );
VAR154 VAR162 ( .VAR168(VAR7), .VAR14(VAR113), .VAR62(VAR27), .VAR46(VAR103)
);
VAR164 VAR180 ( .VAR54(VAR22), .VAR71(VAR27), .VAR62(VAR81), .VAR46(
VAR147[1]) );
VAR177 VAR15 ( .VAR168(VAR87), .VAR14(VAR137), .VAR62(VAR139), .VAR47(VAR27), .VAR46(
VAR83) );
VAR145 VAR153 ( .VAR168(VAR64), .VAR14(VAR21), .VAR62(VAR95), .VAR46(VAR132) );
VAR36 VAR165 ( .VAR168(VAR151), .VAR14(VAR57), .VAR62(VAR29), .VAR49(VAR118), .VAR46(VAR60) );
VAR106 VAR69 ( .VAR174(VAR90), .VAR82(VAR112), .VAR116(VAR93), .VAR67(VAR45), .VAR46(VAR140) );
VAR145 VAR4 ( .VAR168(VAR8), .VAR14(VAR76), .VAR62(VAR109), .VAR46(VAR93) );
VAR177 VAR152 ( .VAR168(VAR143[3]), .VAR14(VAR60), .VAR62(VAR7), .VAR47(VAR179), .VAR46(VAR45)
);
VAR75 VAR18 ( .VAR35(VAR105), .VAR46(VAR76) );
VAR146 VAR150 ( .VAR35(VAR74), .VAR82(VAR17), .VAR116(VAR81), .VAR67(VAR68), .VAR46(VAR159) );
VAR13 VAR25 ( .VAR168(VAR10), .VAR14(VAR139), .VAR62(VAR143[1]), .VAR49(VAR60), .VAR47(VAR108),
.VAR46(VAR68) );
VAR75 VAR156 ( .VAR35(VAR149), .VAR46(VAR108) );
VAR86 VAR24 ( .VAR168(VAR50), .VAR14(VAR102), .VAR33(VAR135), .VAR62(VAR60), .VAR46(VAR38) );
VAR1 VAR84 ( .VAR35(VAR8), .VAR82(VAR73), .VAR116(VAR163), .VAR46(VAR135) );
VAR32 VAR122 ( .VAR54(VAR178), .VAR71(VAR21), .VAR62(VAR109), .VAR46(VAR50) );
VAR75 VAR23 ( .VAR35(VAR7), .VAR46(VAR102) );
VAR136 VAR28 ( .VAR35(VAR172), .VAR82(VAR143[0]), .VAR46(VAR175) );
VAR136 VAR89 ( .VAR35(VAR16), .VAR82(VAR143[2]), .VAR46(VAR148) );
VAR136 VAR37 ( .VAR35(VAR119), .VAR82(VAR172), .VAR46(VAR97) );
VAR1 VAR170 ( .VAR35(VAR143[2]), .VAR82(VAR143[3]), .VAR116(VAR143[1]), .VAR46(VAR181) );
VAR59 VAR77 ( .VAR35(VAR119), .VAR82(VAR100), .VAR116(VAR148), .VAR46(VAR26) );
VAR59 VAR129 ( .VAR35(VAR143[0]), .VAR82(VAR143[1]), .VAR116(VAR148), .VAR46(VAR112) );
VAR59 VAR12 ( .VAR35(VAR61), .VAR82(VAR172), .VAR116(VAR143[0]), .VAR46(VAR130) );
VAR30 VAR52 ( .VAR35(VAR181), .VAR82(VAR119), .VAR46(VAR57) );
VAR59 VAR104 ( .VAR35(VAR119), .VAR82(VAR172), .VAR116(VAR61), .VAR46(VAR178) );
VAR59 VAR131 ( .VAR35(VAR175), .VAR82(VAR100), .VAR116(VAR143[3]), .VAR46(VAR53) );
VAR127 VAR66 ( .VAR35(VAR97), .VAR82(VAR143[3]), .VAR116(VAR100), .VAR46(VAR95) );
VAR59 VAR123 ( .VAR35(VAR173), .VAR82(VAR132), .VAR116(VAR169), .VAR46(VAR117) );
VAR55 VAR133 ( .VAR174(VAR53), .VAR82(VAR115), .VAR116(VAR22), .VAR67(VAR8), .VAR46(VAR169) );
VAR2 VAR92 ( .VAR168(VAR10), .VAR14(VAR139), .VAR62(VAR143[2]), .VAR49(VAR60), .VAR46(VAR173) );
VAR99 VAR114 ( .VAR35(VAR181), .VAR82(VAR143[0]), .VAR46(VAR85) );
endmodule | gpl-3.0 |
bluespec/Flute | builds/RV32ACIMU_Flute_iverilog/Verilog_RTL/mkBoot_ROM.v | 57,603 | module MODULE1(VAR153,
VAR84,
VAR130,
VAR35,
VAR134,
VAR32,
VAR178,
VAR146,
VAR135,
VAR167,
VAR168,
VAR102,
VAR162,
VAR100,
VAR79,
VAR150,
VAR61,
VAR110,
VAR60,
VAR74,
VAR174,
VAR53,
VAR93,
VAR142,
VAR43,
VAR40,
VAR9,
VAR85,
VAR132,
VAR149,
VAR90,
VAR19,
VAR143,
VAR154,
VAR96,
VAR59,
VAR105,
VAR108,
VAR103,
VAR175,
VAR124,
VAR4,
VAR125,
VAR156,
VAR144);
input VAR153;
input VAR84;
input [63 : 0] VAR130;
input [63 : 0] VAR35;
input VAR134;
output VAR32;
input VAR178;
input [3 : 0] VAR146;
input [63 : 0] VAR135;
input [7 : 0] VAR167;
input [2 : 0] VAR168;
input [1 : 0] VAR102;
input VAR162;
input [3 : 0] VAR100;
input [2 : 0] VAR79;
input [3 : 0] VAR150;
input [3 : 0] VAR61;
output VAR110;
input VAR60;
input [63 : 0] VAR74;
input [7 : 0] VAR174;
input VAR53;
output VAR93;
output VAR142;
output [3 : 0] VAR43;
output [1 : 0] VAR40;
input VAR9;
input VAR85;
input [3 : 0] VAR132;
input [63 : 0] VAR149;
input [7 : 0] VAR90;
input [2 : 0] VAR19;
input [1 : 0] VAR143;
input VAR154;
input [3 : 0] VAR96;
input [2 : 0] VAR59;
input [3 : 0] VAR105;
input [3 : 0] VAR108;
output VAR103;
output VAR175;
output [3 : 0] VAR124;
output [63 : 0] VAR4;
output [1 : 0] VAR125;
output VAR156;
input VAR144;
wire [63 : 0] VAR4;
wire [3 : 0] VAR43, VAR124;
wire [1 : 0] VAR40, VAR125;
wire VAR32,
VAR103,
VAR110,
VAR142,
VAR156,
VAR175,
VAR93;
reg [63 : 0] VAR123;
wire [63 : 0] VAR131;
wire VAR38;
reg [63 : 0] VAR177;
wire [63 : 0] VAR55;
wire VAR49;
reg VAR14;
wire VAR44, VAR50;
wire [96 : 0] VAR109, VAR65;
wire VAR34,
VAR51,
VAR171,
VAR159,
VAR98;
wire [70 : 0] VAR82, VAR173;
wire VAR111,
VAR69,
VAR155,
VAR128,
VAR36;
wire [96 : 0] VAR10, VAR12;
wire VAR148,
VAR17,
VAR97,
VAR140,
VAR152;
wire [72 : 0] VAR46;
wire VAR141,
VAR116,
VAR16,
VAR25,
VAR80;
wire [5 : 0] VAR169, VAR72;
wire VAR71,
VAR63,
VAR83,
VAR129,
VAR121;
wire VAR67,
VAR47,
VAR68,
VAR73,
VAR58,
VAR104,
VAR64,
VAR66,
VAR18,
VAR157,
VAR41,
VAR39,
VAR95,
VAR1,
VAR76,
VAR133;
reg [31 : 0] VAR126;
reg [31 : 0] VAR106;
reg [31 : 0] VAR99;
reg [31 : 0] VAR52;
reg [31 : 0] VAR122;
reg [31 : 0] VAR89;
reg [31 : 0] VAR26;
reg [31 : 0] VAR107;
reg [63 : 0] VAR57;
reg [31 : 0] VAR5,
VAR6;
wire [63 : 0] VAR20, VAR139;
wire [1 : 0] VAR70;
wire VAR161,
VAR8;
assign VAR32 = 1'd1 ;
assign VAR68 = 1'd1 ;
assign VAR41 = VAR134 ;
assign VAR58 = 1'd1 ;
assign VAR95 = 1'd1 ;
assign VAR110 = VAR152 ;
assign VAR66 = 1'd1 ;
assign VAR133 = 1'd1 ;
assign VAR93 = VAR80 ;
assign VAR142 = VAR83 ;
assign VAR43 = VAR72[5:2] ;
assign VAR40 = VAR72[1:0] ;
assign VAR104 = 1'd1 ;
assign VAR1 = 1'd1 ;
assign VAR73 = 1'd1 ;
assign VAR39 = 1'd1 ;
assign VAR103 = VAR98 ;
assign VAR175 = VAR155 ;
assign VAR124 = VAR173[70:67] ;
assign VAR4 = VAR173[66:3] ;
assign VAR125 = VAR173[2:1] ;
assign VAR156 = VAR173[0] ;
assign VAR64 = 1'd1 ;
assign VAR76 = 1'd1 ;
VAR29 #(.VAR56(32'd97), .VAR137(32'd1)) VAR24(.VAR101(VAR84),
.VAR153(VAR153),
.VAR78(VAR109),
.VAR170(VAR159),
.VAR28(VAR51),
.VAR127(VAR34),
.VAR151(VAR65),
.VAR115(VAR98),
.VAR88(VAR171));
VAR29 #(.VAR56(32'd71), .VAR137(32'd1)) VAR42(.VAR101(VAR84),
.VAR153(VAR153),
.VAR78(VAR82),
.VAR170(VAR128),
.VAR28(VAR69),
.VAR127(VAR111),
.VAR151(VAR173),
.VAR115(VAR36),
.VAR88(VAR155));
VAR29 #(.VAR56(32'd97), .VAR137(32'd1)) VAR158(.VAR101(VAR84),
.VAR153(VAR153),
.VAR78(VAR10),
.VAR170(VAR140),
.VAR28(VAR17),
.VAR127(VAR148),
.VAR151(VAR12),
.VAR115(VAR152),
.VAR88(VAR97));
VAR29 #(.VAR56(32'd73), .VAR137(32'd1)) VAR48(.VAR101(VAR84),
.VAR153(VAR153),
.VAR78(VAR46),
.VAR170(VAR25),
.VAR28(VAR116),
.VAR127(VAR141),
.VAR151(),
.VAR115(VAR80),
.VAR88(VAR16));
VAR29 #(.VAR56(32'd6), .VAR137(32'd1)) VAR166(.VAR101(VAR84),
.VAR153(VAR153),
.VAR78(VAR169),
.VAR170(VAR129),
.VAR28(VAR63),
.VAR127(VAR71),
.VAR151(VAR72),
.VAR115(VAR121),
.VAR88(VAR83));
assign VAR67 =
VAR171 &&
VAR36 &&
VAR14 ;
assign VAR18 = VAR67 ;
assign VAR47 =
VAR97 &&
VAR16 &&
VAR121 &&
VAR14 ;
assign VAR157 = VAR47 ;
assign VAR131 = VAR130 ;
assign VAR38 = VAR134 ;
assign VAR55 = VAR35 ;
assign VAR49 = VAR134 ;
assign VAR44 = 1'd1 ;
assign VAR50 = VAR134 ;
assign VAR109 =
{ VAR132,
VAR149,
VAR90,
VAR19,
VAR143,
VAR154,
VAR96,
VAR59,
VAR105,
VAR108 } ;
assign VAR159 =
VAR85 && VAR98 ;
assign VAR51 = VAR67 ;
assign VAR34 = 1'b0 ;
assign VAR82 =
{ VAR65[96:93],
VAR139,
VAR70,
1'd1 } ;
assign VAR128 = VAR67 ;
assign VAR69 =
VAR144 && VAR155 ;
assign VAR111 = 1'b0 ;
assign VAR10 =
{ VAR146,
VAR135,
VAR167,
VAR168,
VAR102,
VAR162,
VAR100,
VAR79,
VAR150,
VAR61 } ;
assign VAR140 =
VAR178 && VAR152 ;
assign VAR17 = VAR47 ;
assign VAR148 = 1'b0 ;
assign VAR46 =
{ VAR74, VAR174, VAR53 } ;
assign VAR25 =
VAR60 && VAR80 ;
assign VAR116 = VAR47 ;
assign VAR141 = 1'b0 ;
assign VAR169 =
{ VAR12[96:93],
VAR8 ?
2'b10 :
2'b0 } ;
assign VAR129 = VAR47 ;
assign VAR63 =
VAR9 && VAR83 ;
assign VAR71 = 1'b0 ;
assign VAR161 =
VAR65[31:29] != 3'b0 ||
VAR123 > VAR65[92:29] ||
VAR65[92:29] >= VAR177 ;
assign VAR8 =
VAR12[31:29] != 3'b0 ||
VAR123 > VAR12[92:29] ||
VAR12[92:29] >= VAR177 ;
assign VAR20 =
VAR65[92:29] - VAR123 ;
assign VAR139 =
VAR161 ?
64'd0 :
VAR57 ;
assign VAR70 =
VAR161 ?
2'b10 :
2'b0 ;
always@(VAR20)
begin
case (VAR20)
64'd16,
64'd24,
64'd56,
64'd72,
64'd80,
64'd88,
64'd200,
64'd232,
64'd312,
64'd424,
64'd448,
64'd600,
64'd728,
64'd1136,
64'd1144,
64'd1152,
64'd1160,
64'd1168,
64'd1176,
64'd1184,
64'd1192,
64'd1200,
64'd1208,
64'd1216,
64'd1224,
64'd1232,
64'd1240,
64'd1248,
64'd1256,
64'd1264,
64'd1272,
64'd1280,
64'd1288,
64'd1296,
64'd1304,
64'd1312,
64'd1320,
64'd1328,
64'd1336,
64'd1344,
64'd1352,
64'd1360,
64'd1368,
64'd1376,
64'd1384,
64'd1392,
64'd1400,
64'd1408,
64'd1416,
64'd1424,
64'd1432,
64'd1440,
64'd1448,
64'd1456,
64'd1464,
64'd1472,
64'd1480,
64'd1488,
64'd1496,
64'd1504,
64'd1512,
64'd1520,
64'd1528,
64'd1536,
64'd1544,
64'd1552,
64'd1560,
64'd1568,
64'd1576,
64'd1584,
64'd1592,
64'd1600,
64'd1608,
64'd1616,
64'd1624,
64'd1632,
64'd1640,
64'd1648,
64'd1656,
64'd1664,
64'd1672,
64'd1680,
64'd1688,
64'd1696,
64'd1704,
64'd1712,
64'd1720,
64'd1728,
64'd1736,
64'd1744,
64'd1752,
64'd1760,
64'd1768,
64'd1776,
64'd1784,
64'd1792,
64'd1800,
64'd1808,
64'd1816,
64'd1824,
64'd1832,
64'd1840,
64'd1848,
64'd1856,
64'd1864,
64'd1872,
64'd1880,
64'd1888,
64'd1896,
64'd1904,
64'd1912,
64'd1920,
64'd1928,
64'd1936,
64'd1944,
64'd1952,
64'd1960,
64'd1968,
64'd1976,
64'd1984,
64'd1992,
64'd2000,
64'd2008,
64'd2016,
64'd2024,
64'd2032,
64'd2040,
64'd2048,
64'd2056,
64'd2064,
64'd2072,
64'd2080,
64'd2088,
64'd2096,
64'd2104,
64'd2112,
64'd2120,
64'd2128,
64'd2136,
64'd2144,
64'd2152,
64'd2160,
64'd2168,
64'd2176,
64'd2184,
64'd2192,
64'd2200,
64'd2208,
64'd2216,
64'd2224,
64'd2232,
64'd2240,
64'd2248,
64'd2256,
64'd2264,
64'd2272,
64'd2280,
64'd2288,
64'd2296,
64'd2304,
64'd2312,
64'd2320,
64'd2328,
64'd2336,
64'd2344,
64'd2352,
64'd2360,
64'd2368,
64'd2376,
64'd2384,
64'd2392,
64'd2400,
64'd2408,
64'd2416,
64'd2424,
64'd2432,
64'd2440,
64'd2448,
64'd2456,
64'd2464,
64'd2472,
64'd2480,
64'd2488,
64'd2496,
64'd2504,
64'd2512,
64'd2520,
64'd2528,
64'd2536,
64'd2544,
64'd2552,
64'd2560,
64'd2568,
64'd2576,
64'd2584,
64'd2592,
64'd2600,
64'd2608,
64'd2616,
64'd2624,
64'd2632,
64'd2640,
64'd2648,
64'd2656,
64'd2664,
64'd2672,
64'd2680,
64'd2688,
64'd2696,
64'd2704,
64'd2712,
64'd2720,
64'd2728,
64'd2736,
64'd2744,
64'd2752,
64'd2760,
64'd2768,
64'd2776,
64'd2784,
64'd2792,
64'd2800,
64'd2808,
64'd2816,
64'd2824,
64'd2832,
64'd2840,
64'd2848,
64'd2856,
64'd2864,
64'd2872,
64'd2880,
64'd2888,
64'd2896,
64'd2904,
64'd2912,
64'd2920,
64'd2928,
64'd2936,
64'd2944,
64'd2952,
64'd2960,
64'd2968,
64'd2976,
64'd2984,
64'd2992,
64'd3000,
64'd3008,
64'd3016,
64'd3024,
64'd3032,
64'd3040,
64'd3048,
64'd3056,
64'd3064,
64'd3072,
64'd3080,
64'd3088,
64'd3096,
64'd3104,
64'd3112,
64'd3120,
64'd3128,
64'd3136,
64'd3144,
64'd3152,
64'd3160,
64'd3168,
64'd3176,
64'd3184,
64'd3192,
64'd3200,
64'd3208,
64'd3216,
64'd3224,
64'd3232,
64'd3240,
64'd3248,
64'd3256,
64'd3264,
64'd3272,
64'd3280,
64'd3288,
64'd3296,
64'd3304,
64'd3312,
64'd3320,
64'd3328,
64'd3336,
64'd3344,
64'd3352,
64'd3360,
64'd3368,
64'd3376,
64'd3384,
64'd3392,
64'd3400,
64'd3408,
64'd3416,
64'd3424,
64'd3432,
64'd3440,
64'd3448,
64'd3456,
64'd3464,
64'd3472,
64'd3480,
64'd3488,
64'd3496,
64'd3504,
64'd3512,
64'd3520,
64'd3528,
64'd3536,
64'd3544,
64'd3552,
64'd3560,
64'd3568,
64'd3576,
64'd3584,
64'd3592,
64'd3600,
64'd3608,
64'd3616,
64'd3624,
64'd3632,
64'd3640,
64'd3648,
64'd3656,
64'd3664,
64'd3672,
64'd3680,
64'd3688,
64'd3696,
64'd3704,
64'd3712,
64'd3720,
64'd3728,
64'd3736,
64'd3744,
64'd3752,
64'd3760,
64'd3768,
64'd3776,
64'd3784,
64'd3792,
64'd3800,
64'd3808,
64'd3816,
64'd3824,
64'd3832,
64'd3840,
64'd3848,
64'd3856,
64'd3864,
64'd3872,
64'd3880,
64'd3888,
64'd3896,
64'd3904,
64'd3912,
64'd3920,
64'd3928,
64'd3936,
64'd3944,
64'd3952,
64'd3960,
64'd3968,
64'd3976,
64'd3984,
64'd3992,
64'd4000,
64'd4008,
64'd4016,
64'd4024,
64'd4032,
64'd4040,
64'd4048,
64'd4056,
64'd4064,
64'd4072,
64'd4080,
64'd4088:
VAR5 = 32'h0;
64'd32:
VAR5 =
32'h54040000;
64'd40:
VAR5 =
32'h88030000;
64'd48:
VAR5 =
32'h11000000;
64'd64:
VAR5 =
32'h50030000;
64'd96,
64'd112,
64'd208,
64'd224,
64'd240,
64'd432,
64'd488,
64'd872,
64'd888:
VAR5 =
32'h04000000;
64'd104, 64'd120, 64'd504, 64'd792, 64'd920:
VAR5 =
32'h02000000;
64'd128:
VAR5 =
32'h16000000;
64'd136:
VAR5 =
32'h62626375;
64'd144:
VAR5 =
32'h656B6970;
64'd152:
VAR5 =
32'h65642D65;
64'd160,
64'd264,
64'd280,
64'd296,
64'd336,
64'd360,
64'd384,
64'd456,
64'd552,
64'd592,
64'd608,
64'd624,
64'd672,
64'd704,
64'd760,
64'd816,
64'd840,
64'd880:
VAR5 =
32'h03000000;
64'd168:
VAR5 =
32'h26000000;
64'd176, 64'd640:
VAR5 =
32'h732C7261;
64'd184, 64'd648:
VAR5 =
32'h7261622D;
64'd192,
64'd216,
64'd400,
64'd440,
64'd496,
64'd512,
64'd584,
64'd744,
64'd752,
64'd912:
VAR5 =
32'h01000000;
64'd248, 64'd896:
VAR5 =
32'h80969800;
64'd256:
VAR5 =
32'h40757063;
64'd272:
VAR5 =
32'h3F000000;
64'd288, 64'd560, 64'd768, 64'd848:
VAR5 =
32'h4B000000;
64'd304:
VAR5 =
32'h4F000000;
64'd320:
VAR5 =
32'h06000000;
64'd328:
VAR5 =
32'h63736972;
64'd344:
VAR5 =
32'h56000000;
64'd352:
VAR5 =
32'h75616D69;
64'd368:
VAR5 =
32'h60000000;
64'd376:
VAR5 =
32'h76732C76;
64'd392:
VAR5 =
32'h69000000;
64'd408:
VAR5 =
32'h70757272;
64'd416:
VAR5 =
32'h6F72746E;
64'd464, 64'd632, 64'd712, 64'd824:
VAR5 =
32'h1B000000;
64'd472:
VAR5 =
32'h70632C76;
64'd480:
VAR5 =
32'h00006374;
64'd520:
VAR5 =
32'h38407972;
64'd528:
VAR5 =
32'h00303030;
64'd536:
VAR5 =
32'h07000000;
64'd544:
VAR5 =
32'h6F6D656D;
64'd568:
VAR5 =
32'h00000080;
64'd576:
VAR5 =
32'h00000010;
64'd616:
VAR5 =
32'h0F000000;
64'd656:
VAR5 =
32'h69730063;
64'd664:
VAR5 =
32'h7375622D;
64'd680:
VAR5 =
32'hA7000000;
64'd688:
VAR5 =
32'h6E696C63;
64'd696, 64'd808:
VAR5 =
32'h30303030;
64'd720:
VAR5 =
32'h6C632C76;
64'd736:
VAR5 =
32'h10000000;
64'd776:
VAR5 =
32'h00000002;
64'd784:
VAR5 =
32'h00000C00;
64'd800:
VAR5 =
32'h74726175;
64'd832:
VAR5 =
32'h61303535;
64'd856:
VAR5 =
32'h000000C0;
64'd864:
VAR5 =
32'h40000000;
64'd904:
VAR5 =
32'h08000000;
64'd928:
VAR5 =
32'h09000000;
64'd936:
VAR5 =
32'h73736572;
64'd944:
VAR5 =
32'h2300736C;
64'd952:
VAR5 =
32'h6C65632D;
64'd960:
VAR5 =
32'h61706D6F;
64'd968:
VAR5 =
32'h6F6D0065;
64'd976:
VAR5 =
32'h656D6974;
64'd984:
VAR5 =
32'h6572662D;
64'd992:
VAR5 =
32'h64007963;
64'd1000:
VAR5 =
32'h79745F65;
64'd1008:
VAR5 =
32'h73006765;
64'd1016:
VAR5 =
32'h69720073;
64'd1024:
VAR5 =
32'h00617369;
64'd1032:
VAR5 =
32'h65707974;
64'd1040:
VAR5 =
32'h662D6B63;
64'd1048:
VAR5 =
32'h79636E65;
64'd1056, 64'd1072:
VAR5 =
32'h72726574;
64'd1064:
VAR5 =
32'h6C6C6563;
64'd1080:
VAR5 =
32'h746E6F63;
64'd1088:
VAR5 =
32'h70007265;
64'd1096:
VAR5 =
32'h7200656C;
64'd1104:
VAR5 =
32'h6E690073;
64'd1112:
VAR5 =
32'h73747075;
64'd1120:
VAR5 =
32'h65646E65;
64'd1128:
VAR5 =
32'h68732D67;
default: VAR5 =
32'hAAAAAAAA;
endcase
end
always@(VAR20)
begin
case (VAR20)
64'd16:
VAR6 =
32'h00028067;
64'd24:
VAR6 =
32'h80000000;
64'd32:
VAR6 =
32'hEDFE0DD0;
64'd40:
VAR6 =
32'h38000000;
64'd48:
VAR6 =
32'h28000000;
64'd56, 64'd560, 64'd768, 64'd848:
VAR6 =
32'h10000000;
64'd64:
VAR6 =
32'hCC000000;
64'd72,
64'd80,
64'd104,
64'd216,
64'd296,
64'd568,
64'd576,
64'd672,
64'd680,
64'd776,
64'd784,
64'd840,
64'd856,
64'd864,
64'd1144,
64'd1152,
64'd1160,
64'd1168,
64'd1176,
64'd1184,
64'd1192,
64'd1200,
64'd1208,
64'd1216,
64'd1224,
64'd1232,
64'd1240,
64'd1248,
64'd1256,
64'd1264,
64'd1272,
64'd1280,
64'd1288,
64'd1296,
64'd1304,
64'd1312,
64'd1320,
64'd1328,
64'd1336,
64'd1344,
64'd1352,
64'd1360,
64'd1368,
64'd1376,
64'd1384,
64'd1392,
64'd1400,
64'd1408,
64'd1416,
64'd1424,
64'd1432,
64'd1440,
64'd1448,
64'd1456,
64'd1464,
64'd1472,
64'd1480,
64'd1488,
64'd1496,
64'd1504,
64'd1512,
64'd1520,
64'd1528,
64'd1536,
64'd1544,
64'd1552,
64'd1560,
64'd1568,
64'd1576,
64'd1584,
64'd1592,
64'd1600,
64'd1608,
64'd1616,
64'd1624,
64'd1632,
64'd1640,
64'd1648,
64'd1656,
64'd1664,
64'd1672,
64'd1680,
64'd1688,
64'd1696,
64'd1704,
64'd1712,
64'd1720,
64'd1728,
64'd1736,
64'd1744,
64'd1752,
64'd1760,
64'd1768,
64'd1776,
64'd1784,
64'd1792,
64'd1800,
64'd1808,
64'd1816,
64'd1824,
64'd1832,
64'd1840,
64'd1848,
64'd1856,
64'd1864,
64'd1872,
64'd1880,
64'd1888,
64'd1896,
64'd1904,
64'd1912,
64'd1920,
64'd1928,
64'd1936,
64'd1944,
64'd1952,
64'd1960,
64'd1968,
64'd1976,
64'd1984,
64'd1992,
64'd2000,
64'd2008,
64'd2016,
64'd2024,
64'd2032,
64'd2040,
64'd2048,
64'd2056,
64'd2064,
64'd2072,
64'd2080,
64'd2088,
64'd2096,
64'd2104,
64'd2112,
64'd2120,
64'd2128,
64'd2136,
64'd2144,
64'd2152,
64'd2160,
64'd2168,
64'd2176,
64'd2184,
64'd2192,
64'd2200,
64'd2208,
64'd2216,
64'd2224,
64'd2232,
64'd2240,
64'd2248,
64'd2256,
64'd2264,
64'd2272,
64'd2280,
64'd2288,
64'd2296,
64'd2304,
64'd2312,
64'd2320,
64'd2328,
64'd2336,
64'd2344,
64'd2352,
64'd2360,
64'd2368,
64'd2376,
64'd2384,
64'd2392,
64'd2400,
64'd2408,
64'd2416,
64'd2424,
64'd2432,
64'd2440,
64'd2448,
64'd2456,
64'd2464,
64'd2472,
64'd2480,
64'd2488,
64'd2496,
64'd2504,
64'd2512,
64'd2520,
64'd2528,
64'd2536,
64'd2544,
64'd2552,
64'd2560,
64'd2568,
64'd2576,
64'd2584,
64'd2592,
64'd2600,
64'd2608,
64'd2616,
64'd2624,
64'd2632,
64'd2640,
64'd2648,
64'd2656,
64'd2664,
64'd2672,
64'd2680,
64'd2688,
64'd2696,
64'd2704,
64'd2712,
64'd2720,
64'd2728,
64'd2736,
64'd2744,
64'd2752,
64'd2760,
64'd2768,
64'd2776,
64'd2784,
64'd2792,
64'd2800,
64'd2808,
64'd2816,
64'd2824,
64'd2832,
64'd2840,
64'd2848,
64'd2856,
64'd2864,
64'd2872,
64'd2880,
64'd2888,
64'd2896,
64'd2904,
64'd2912,
64'd2920,
64'd2928,
64'd2936,
64'd2944,
64'd2952,
64'd2960,
64'd2968,
64'd2976,
64'd2984,
64'd2992,
64'd3000,
64'd3008,
64'd3016,
64'd3024,
64'd3032,
64'd3040,
64'd3048,
64'd3056,
64'd3064,
64'd3072,
64'd3080,
64'd3088,
64'd3096,
64'd3104,
64'd3112,
64'd3120,
64'd3128,
64'd3136,
64'd3144,
64'd3152,
64'd3160,
64'd3168,
64'd3176,
64'd3184,
64'd3192,
64'd3200,
64'd3208,
64'd3216,
64'd3224,
64'd3232,
64'd3240,
64'd3248,
64'd3256,
64'd3264,
64'd3272,
64'd3280,
64'd3288,
64'd3296,
64'd3304,
64'd3312,
64'd3320,
64'd3328,
64'd3336,
64'd3344,
64'd3352,
64'd3360,
64'd3368,
64'd3376,
64'd3384,
64'd3392,
64'd3400,
64'd3408,
64'd3416,
64'd3424,
64'd3432,
64'd3440,
64'd3448,
64'd3456,
64'd3464,
64'd3472,
64'd3480,
64'd3488,
64'd3496,
64'd3504,
64'd3512,
64'd3520,
64'd3528,
64'd3536,
64'd3544,
64'd3552,
64'd3560,
64'd3568,
64'd3576,
64'd3584,
64'd3592,
64'd3600,
64'd3608,
64'd3616,
64'd3624,
64'd3632,
64'd3640,
64'd3648,
64'd3656,
64'd3664,
64'd3672,
64'd3680,
64'd3688,
64'd3696,
64'd3704,
64'd3712,
64'd3720,
64'd3728,
64'd3736,
64'd3744,
64'd3752,
64'd3760,
64'd3768,
64'd3776,
64'd3784,
64'd3792,
64'd3800,
64'd3808,
64'd3816,
64'd3824,
64'd3832,
64'd3840,
64'd3848,
64'd3856,
64'd3864,
64'd3872,
64'd3880,
64'd3888,
64'd3896,
64'd3904,
64'd3912,
64'd3920,
64'd3928,
64'd3936,
64'd3944,
64'd3952,
64'd3960,
64'd3968,
64'd3976,
64'd3984,
64'd3992,
64'd4000,
64'd4008,
64'd4016,
64'd4024,
64'd4032,
64'd4040,
64'd4048,
64'd4056,
64'd4064,
64'd4072,
64'd4080,
64'd4088:
VAR6 = 32'h0;
64'd88, 64'd256, 64'd688, 64'd800:
VAR6 =
32'h01000000;
64'd96,
64'd112,
64'd128,
64'd208,
64'd224,
64'd240,
64'd320,
64'd432,
64'd448,
64'd488,
64'd536,
64'd736,
64'd752,
64'd872,
64'd888,
64'd904:
VAR6 =
32'h03000000;
64'd120, 64'd232, 64'd464:
VAR6 =
32'h0F000000;
64'd136, 64'd328:
VAR6 =
32'h1B000000;
64'd144:
VAR6 =
32'h732C7261;
64'd152:
VAR6 =
32'h7261622D;
64'd160, 64'd336:
VAR6 =
32'h00000076;
64'd168:
VAR6 =
32'h12000000;
64'd176, 64'd640:
VAR6 =
32'h62626375;
64'd184, 64'd648:
VAR6 =
32'h656B6970;
64'd192:
VAR6 =
32'h00000065;
64'd200:
VAR6 =
32'h73757063;
64'd248:
VAR6 =
32'h2C000000;
64'd264, 64'd704, 64'd816:
VAR6 =
32'h00000030;
64'd272, 64'd288, 64'd392, 64'd600, 64'd616:
VAR6 =
32'h04000000;
64'd280:
VAR6 =
32'h00757063;
64'd304:
VAR6 =
32'h05000000;
64'd312:
VAR6 =
32'h79616B6F;
64'd344:
VAR6 =
32'h0A000000;
64'd352:
VAR6 =
32'h32337672;
64'd360:
VAR6 =
32'h00000073;
64'd368, 64'd920:
VAR6 =
32'h0B000000;
64'd376, 64'd472, 64'd720:
VAR6 =
32'h63736972;
64'd384:
VAR6 =
32'h00003233;
64'd400:
VAR6 =
32'h80969800;
64'd408:
VAR6 =
32'h65746E69;
64'd416:
VAR6 =
32'h6F632D74;
64'd424:
VAR6 =
32'h72656C6C;
64'd440:
VAR6 =
32'h79000000;
64'd456:
VAR6 =
32'h8A000000;
64'd480:
VAR6 =
32'h6E692D75;
64'd496:
VAR6 =
32'h9F000000;
64'd504, 64'd512, 64'd584, 64'd608, 64'd624, 64'd792, 64'd928:
VAR6 =
32'h02000000;
64'd520:
VAR6 =
32'h6F6D656D;
64'd528:
VAR6 =
32'h30303030;
64'd544:
VAR6 =
32'h3F000000;
64'd552:
VAR6 =
32'h00007972;
64'd592:
VAR6 =
32'h00636F73;
64'd632:
VAR6 =
32'h21000000;
64'd656:
VAR6 =
32'h6F732D65;
64'd664:
VAR6 =
32'h656C706D;
64'd696:
VAR6 =
32'h30324074;
64'd712:
VAR6 =
32'h0D000000;
64'd728:
VAR6 =
32'h30746E69;
64'd744, 64'd912:
VAR6 =
32'hAE000000;
64'd760:
VAR6 =
32'h07000000;
64'd808:
VAR6 =
32'h30306340;
64'd824:
VAR6 =
32'h09000000;
64'd832:
VAR6 =
32'h3631736E;
64'd880:
VAR6 =
32'hC2000000;
64'd896:
VAR6 =
32'h69000000;
64'd936:
VAR6 =
32'h64646123;
64'd944:
VAR6 =
32'h6C65632D;
64'd952:
VAR6 =
32'h657A6973;
64'd960:
VAR6 =
32'h6300736C;
64'd968:
VAR6 =
32'h6C626974;
64'd976:
VAR6 =
32'h006C6564;
64'd984:
VAR6 =
32'h65736162;
64'd992:
VAR6 =
32'h6E657571;
64'd1000:
VAR6 =
32'h63697665;
64'd1008:
VAR6 =
32'h72006570;
64'd1016:
VAR6 =
32'h75746174;
64'd1024:
VAR6 =
32'h2C766373;
64'd1032:
VAR6 =
32'h2D756D6D;
64'd1040:
VAR6 =
32'h6F6C6300;
64'd1048:
VAR6 =
32'h75716572;
64'd1056:
VAR6 =
32'h6E692300;
64'd1064, 64'd1080:
VAR6 =
32'h2D747075;
64'd1072:
VAR6 =
32'h6E690073;
64'd1088:
VAR6 =
32'h6C6C6F72;
64'd1096:
VAR6 =
32'h646E6168;
64'd1104:
VAR6 =
32'h65676E61;
64'd1112:
VAR6 =
32'h72726574;
64'd1120:
VAR6 =
32'h7478652D;
64'd1128:
VAR6 =
32'h65720064;
64'd1136:
VAR6 =
32'h00746669;
default: VAR6 =
32'hAAAAAAAA;
endcase
end
always@(VAR20 or
VAR5 or
VAR6)
begin
case (VAR20)
64'd0: VAR57 = 64'h0202859300000297;
64'd8: VAR57 = 64'h0182A283F1402573;
default: VAR57 =
{ VAR5,
VAR6 };
endcase
end
always@(posedge VAR153)
begin
if (VAR84 == VAR172)
begin
VAR14 <= VAR114 1'd0;
end
else
begin
if (VAR50)
VAR14 <= VAR114 VAR44;
end
if (VAR38)
VAR123 <= VAR114 VAR131;
if (VAR49) VAR177 <= VAR114 VAR55;
end
begin
VAR123 = 64'hAAAAAAAAAAAAAAAA;
VAR177 = 64'hAAAAAAAAAAAAAAAA;
VAR14 = 1'h0;
end
always@(negedge VAR153)
begin
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
begin
VAR126 = VAR30;
end
VAR122 = VAR126 / 32'd10;
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
VAR163("%0d: VAR117: VAR120.VAR7: VAR94 addr",
VAR122);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(" ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("VAR112 { ", "VAR15: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[96:93]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR37: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[92:29]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR119: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[28:21]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR31: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[20:18]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR22: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[17:16]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR165: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[15]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR3: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[14:11]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR33: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[10:8]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR86: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[7:4]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR45: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", VAR65[3:0]);
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
(", ", "VAR62: ");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("'VAR87%VAR87", 1'd0, " }");
if (VAR84 != VAR172)
if (VAR18 &&
VAR161)
("\VAR160");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
begin
VAR106 = VAR30;
end
VAR89 = VAR106 / 32'd10;
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
VAR163("%0d: VAR117: VAR120.VAR13: VAR94 addr",
VAR89);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(" ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("VAR81 { ", "VAR23: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[96:93]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR2: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[92:29]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR91: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[28:21]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR145: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[20:18]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR92: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[17:16]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR54: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[15]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR176: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[14:11]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR27: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[10:8]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR113: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[7:4]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR11: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", VAR12[3:0]);
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
(", ", "VAR77: ");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("'VAR87%VAR87", 1'd0, " }");
if (VAR84 != VAR172)
if (VAR157 &&
VAR8)
("\VAR160");
if (VAR84 != VAR172)
if (VAR134 && VAR130[2:0] != 3'd0)
begin
VAR99 = VAR30;
end
VAR26 = VAR99 / 32'd10;
if (VAR84 != VAR172)
if (VAR134 && VAR130[2:0] != 3'd0)
VAR163("%0d: VAR75: VAR120.VAR136: VAR147 0x%0h VAR21 not 4-VAR138-VAR164",
VAR26,
VAR130);
if (VAR84 != VAR172)
if (VAR134 && VAR35[2:0] != 3'd0)
begin
VAR52 = VAR30;
end
VAR107 = VAR52 / 32'd10;
if (VAR84 != VAR172)
if (VAR134 && VAR35[2:0] != 3'd0)
VAR163("%0d: VAR75: VAR120.VAR136: VAR118 0x%0h VAR21 not 4-VAR138-VAR164",
VAR107,
VAR35);
end
endmodule | apache-2.0 |
mshaklunov/mips_onemore | rtl/mips_instr_decoder.v | 32,722 | module MODULE1 #(parameter VAR127=32'h80000000)
(
input VAR58,
output VAR59,
output VAR148,
output VAR82,
output VAR132,
input[5:0] VAR62,
input[4:0] VAR137,
input[4:0] VAR141,
input[4:0] VAR6,
input[4:0] VAR74,
input[5:0] VAR118,
input VAR37,
input[31:0] VAR65,
input[31:0] VAR77,
input[63:0] VAR99,
input[31:0] VAR19,
output reg[2:0] VAR87,
output reg VAR55,
output reg VAR88,
output reg VAR139,
output reg VAR112,
output reg VAR119,
output reg[31:0] VAR57,
output reg[31:0] VAR75,
input signed[31:0] VAR136,
input[31:0] VAR35,
output reg[31:0] VAR26,
output reg[4:0] VAR151,
output reg VAR143,
input[31:0] VAR86,
input[31:0] VAR126,
output reg[1:0] VAR34,
output reg[31:0] VAR38,
output reg[31:0] VAR106,
input[31:2] VAR63,
input[31:2] VAR123,
output reg VAR114,
output reg[31:2] VAR142,
input VAR138,
input VAR108,
input VAR47,
input VAR13,
input[4:0] VAR17,
input[4:0] VAR95,
input[5:0] VAR144,
input[1:0] VAR51,
input[31:0] VAR128,
output reg VAR7,
output reg[31:2] VAR89,
output reg[31:0] VAR12,
output reg[3:0] VAR125,
output reg VAR113,
output reg VAR131,
input VAR71,
input VAR60,
input[31:2] VAR121,
input[31:0] VAR96,
output reg[4:0] VAR2,
output reg VAR103,
output reg[31:0] VAR66,
output reg VAR122,
output reg[3:0] VAR124,
output reg VAR1,
output reg VAR32,
output reg VAR45
);
localparam VAR102= 6'b000000;
localparam VAR69= 6'b000001;
localparam VAR104= 6'b000010;
localparam VAR3= 6'b000011;
localparam VAR120= 6'b000100;
localparam VAR41= 6'b000101;
localparam VAR93= 6'b000110;
localparam VAR146= 6'b000111;
localparam VAR67= 6'b001000;
localparam VAR8= 6'b001001;
localparam VAR46= 6'b100000;
localparam VAR53= 6'b100001;
localparam VAR24= 6'b100011;
localparam VAR133= 6'b100100;
localparam VAR116= 6'b100101;
localparam VAR18= 6'b101000;
localparam VAR110= 6'b101001;
localparam VAR54= 6'b101011;
localparam VAR29= 6'b000000;
localparam VAR76= 6'b000010;
localparam VAR98= 6'b000011;
localparam VAR150= 6'b000100;
localparam VAR48= 6'b000110;
localparam VAR30= 6'b000111;
localparam VAR64= 6'b011000;
localparam VAR97= 6'b011001;
localparam VAR72= 6'b011010;
localparam VAR43= 6'b011011;
localparam VAR109= 6'b010000;
localparam VAR80= 6'b010001;
localparam VAR117= 6'b010010;
localparam VAR10= 6'b010011;
localparam VAR83= 6'b100000;
localparam VAR14= 6'b100001;
localparam VAR56= 6'b100010;
localparam VAR15= 6'b100011;
localparam VAR40= 6'b100100;
localparam VAR107= 6'b100101;
localparam VAR92= 6'b100110;
localparam VAR5= 6'b100111;
localparam VAR20= 6'b101010;
localparam VAR70= 6'b101011;
localparam VAR79= 6'b001000;
localparam VAR61= 6'b001001;
localparam VAR52= 6'b001010;
localparam VAR101= 6'b001011;
localparam VAR50= 6'b001100;
localparam VAR11= 6'b001101;
localparam VAR145= 6'b001110;
localparam VAR31= 6'b001111;
localparam VAR78= 6'b010000;
localparam VAR28= 5'b00100;
localparam VAR111= 5'b00000;
localparam VAR49= {1'b1,19'd0,6'b011000};
wire[25:0] VAR44;
wire[15:0] VAR16;
wire[31:0] VAR90;
wire[31:0] VAR135;
wire VAR149;
wire VAR147;
wire VAR22;
wire VAR4;
wire VAR39;
wire VAR84;
wire VAR25;
wire VAR23;
wire VAR81;
wire VAR91;
localparam VAR42= 3'd0;
localparam VAR94= 3'd1;
localparam VAR27= 3'd2;
localparam VAR115= 3'd3;
localparam VAR9= 3'd4;
localparam VAR68= 3'd5;
localparam VAR33= 3'd6;
localparam VAR105= 4'd0;
localparam VAR129= 4'd4;
localparam VAR85= 4'd5;
localparam VAR130= 4'd10;
localparam VAR21= 4'd12;
assign VAR44= {VAR6,
VAR141,
VAR137,
VAR74,
VAR118};
assign VAR16= {VAR137,
VAR74,
VAR118};
assign VAR90= VAR16[15] ? {16'hFFFF,VAR16} :
{16'h0000,VAR16};
assign VAR135= VAR90<<2;
assign VAR149= !VAR59 & !VAR60;
assign VAR147= (VAR62==VAR46 |
VAR62==VAR53 |
VAR62==VAR24 |
VAR62==VAR133 |
VAR62==VAR116 |
VAR62==VAR18 |
VAR62==VAR110 |
VAR62==VAR54);
assign VAR22= VAR62==VAR102 &
VAR118==VAR29 &
VAR137==5'd0;
always @*
begin
VAR87= VAR42;
VAR55= 1'b0;
VAR88= 1'b0;
VAR139= 1'b0;
VAR57= VAR136;
VAR75= VAR35;
VAR112= 1'b0;
VAR119= 1'b0;
VAR151= VAR137;
VAR143= 1'b0;
VAR26= VAR19[31:0];
VAR34= 2'b00;
VAR38= VAR99[63:32];
VAR106= VAR99[31:0];
VAR114= 1'b0;
VAR142= VAR19[31:2];
VAR89= 30'd0;
VAR12= 32'd0;
VAR125= 4'b1111;
VAR113= 1'b0;
VAR7= 1'b0;
VAR131= 1'b0;
VAR2= VAR137;
VAR103= 1'b0;
VAR1= 1'b0;
VAR32= 1'b0;
VAR66= VAR35;
VAR122= 1'b0;
VAR124= VAR105;
VAR45= 1'b0;
if(VAR60) VAR134(VAR71, VAR58, VAR63);
if((!VAR149 | VAR147 | VAR22) &
VAR108 & !VAR13) VAR36 (VAR144,
VAR95,
VAR51,
VAR128);
case(VAR62)
VAR102:
begin
case(VAR118)
VAR29:
begin
VAR87= VAR94;
VAR57[4:0]= VAR74;
if(VAR149 & VAR137!=5'd0) VAR143= 1'b1;
end
VAR76:
begin
VAR87= VAR27;
VAR57[4:0]= VAR74;
if(VAR149) VAR143= 1'b1;
end
VAR98:
begin
VAR87= VAR115;
VAR57[4:0]= VAR74;
if(VAR149) VAR143= 1'b1;
end
VAR150:
begin
VAR87= VAR94;
if(VAR149) VAR143= 1'b1;
end
VAR48:
begin
VAR87= VAR27;
if(VAR149) VAR143= 1'b1;
end
VAR30:
begin
VAR87= VAR115;
if(VAR149) VAR143= 1'b1;
end
VAR67:
begin
VAR142= VAR136[31:2];
if(VAR149) VAR114= 1'b1;
end
VAR8:
begin
VAR142= VAR136[31:2];
if(VAR149)
begin
VAR114= 1'b1;
VAR143= 1'b1;
VAR26= {VAR63,2'd0};
end
end
VAR64:
begin
VAR55= VAR136[31];
VAR88= VAR35[31];
if(VAR149)
begin
VAR119= 1'b1;
if(VAR37) VAR34= 2'b11;
end
end
VAR97:
begin
if(VAR149)
begin
VAR119= 1'b1;
if(VAR37) VAR34= 2'b11;
end
end
VAR72:
begin
VAR55= VAR136[31];
VAR88= VAR35[31];
VAR38= VAR77;
VAR106= VAR65;
if(VAR149)
begin
VAR112= 1'b1;
if(VAR37) VAR34= 2'b11;
end
end
VAR43:
begin
VAR38= VAR77;
VAR106= VAR65;
if(VAR149)
begin
VAR112= 1'b1;
if(VAR37) VAR34= 2'b11;
end
end
VAR109:
begin
if(VAR149)
begin
VAR26= VAR86;
VAR143= 1'b1;
end
end
VAR80:
begin
VAR38= VAR136;
if(VAR149) VAR34= 2'b10;
end
VAR117:
begin
if(VAR149)
begin
VAR26= VAR126;
VAR143= 1'b1;
end
end
VAR10:
begin
VAR106= VAR136;
if(VAR149) VAR34= 2'b01;
end
VAR83:
begin
if(VAR149)
begin
if(VAR136[31]==VAR35[31] &
VAR19[31]!=VAR136[31]) VAR73(VAR71,
VAR58,
VAR63);
end
else VAR143= 1'b1;
end
end
VAR14:
begin
if(VAR149) VAR143= 1'b1;
end
VAR56:
begin
VAR88= 1'b1;
if(VAR149)
begin
if(VAR136[31]==(~VAR35[31]) &
VAR19[31]!=VAR136[31]) VAR73(VAR71,
VAR58,
VAR63);
end
else VAR143= 1'b1;
end
end
VAR15:
begin
VAR88= 1'b1;
if(VAR149) VAR143= 1'b1;
end
VAR40:
begin
VAR87= VAR9;
if(VAR149) VAR143= 1'b1;
end
VAR107:
begin
VAR87= VAR68;
if(VAR149) VAR143= 1'b1;
end
VAR92:
begin
VAR87=VAR33;
if(VAR149) VAR143= 1'b1;
end
VAR5:
begin
VAR87=VAR68;
VAR139= 1'b1;
if(VAR149) VAR143= 1'b1;
end
VAR20:
begin
VAR55= VAR136[31];
VAR88= ~VAR35[31];
if(VAR149)
begin
VAR26= (VAR136[31] & !VAR35[31]) |
(VAR136[31] & VAR35[31] &
!VAR19[31] & VAR19[31:0]!=0) |
(!VAR136[31] & !VAR35[31] &
VAR19[31]) ? 32'd1 : 32'd0;
VAR143= 1'b1;
end
end
VAR70:
begin
VAR55= VAR136[31];
VAR88= ~VAR35[31];
if(VAR149)
begin
VAR26= (!VAR136[31] & VAR35[31]) |
(VAR136[31] & VAR35[31] &
!VAR19[31] & VAR19[31:0]!=0) |
(!VAR136[31] & !VAR35[31] &
VAR19[31]) ? 32'd1 : 32'd0;
VAR143= 1'b1;
end
end
default: if(VAR149) VAR100(VAR71, VAR58, VAR63);
endcase
end
VAR69:
begin
VAR57= {VAR123,2'd0};
VAR75= VAR135;
if(VAR149 &
((VAR141==5'd0 & VAR136<32'VAR153) |
(VAR141==5'd1 & VAR136>=32'VAR153)))
VAR114= 1'b1;
end
VAR104:
begin
VAR142= {VAR63[31:28], VAR44};
if(VAR149) VAR114= 1'b1;
end
VAR3:
begin
VAR142= {VAR63[31:28], VAR44};
if(VAR149)
begin
VAR114= 1'b1;
VAR151= 5'd31;
VAR26= {VAR63,2'd0};
VAR143= 1'b1;
end
end
VAR120:
begin
VAR57= {VAR123,2'd0};
VAR75= VAR135;
if(VAR149 & VAR136==VAR35) VAR114= 1'b1;
end
VAR41:
begin
VAR57= {VAR123,2'd0};
VAR75= VAR135;
if(VAR149 & VAR136!=VAR35) VAR114= 1'b1;
end
VAR93:
begin
VAR57= {VAR123,2'd0};
VAR75= VAR135;
if(VAR149 & VAR136<=32'VAR153) VAR114= 1'b1;
end
VAR146:
begin
VAR57= {VAR123,2'd0};
VAR75= VAR135;
if(VAR149 & VAR136>32'VAR153) VAR114= 1'b1;
end
VAR79:
begin
VAR75= VAR90;
if(VAR149)
begin
VAR151= VAR141;
if(VAR136[31]==VAR90[31] &
VAR19[31]!=VAR136[31]) VAR73(VAR71,
VAR58,
VAR63);
end
else VAR143= 1'b1;
end
end
VAR61:
begin
VAR75= VAR90;
if(VAR149)
begin
VAR151= VAR141;
VAR143= 1'b1;
end
end
VAR52:
begin
VAR75= VAR90;
VAR55= VAR136[31];
VAR88= ~VAR90[31];
if(VAR149)
begin
VAR151= VAR141;
VAR26= (VAR136[31] & !VAR90[31]) |
(VAR136[31] & VAR90[31] &
!VAR19[31] & VAR19[31:0]!=32'd0) |
(!VAR136[31] & !VAR90[31] &
VAR19[31]) ? 32'd1 : 32'd0;
VAR143= 1'b1;
end
end
VAR101:
begin
VAR75= VAR90;
VAR55= VAR136[31];
VAR88= ~VAR90[31];
if(VAR149)
begin
VAR151= VAR141;
VAR26= (!VAR136[31] & VAR90[31]) |
(VAR136[31] & VAR90[31] &
!VAR19[31] & VAR19[31:0]!=32'd0) |
(!VAR136[31] & !VAR90[31] &
VAR19[31]) ? 32'd1 : 32'd0;
VAR143= 1'b1;
end
end
VAR50:
begin
VAR87= VAR9;
VAR75= {16'd0,VAR16};
if(VAR149)
begin
VAR151= VAR141;
VAR143= 1'b1;
end
end
VAR11:
begin
VAR87= VAR68;
VAR75= {16'd0,VAR16};
if(VAR149)
begin
VAR151= VAR141;
VAR143= 1'b1;
end
end
VAR145:
begin
VAR87= VAR33;
VAR75= {16'd0,VAR16};
if(VAR149)
begin
VAR151= VAR141;
VAR143= 1'b1;
end
end
VAR31:
begin
if(VAR149)
begin
VAR151= VAR141;
VAR26= {VAR16,16'd0};
VAR143= 1'b1;
end
end
VAR78:
begin
if(VAR149)
begin
if(VAR44==VAR49)
begin
VAR114= 1'b1;
VAR142= VAR121[31:2];
VAR32= 1'b1;
end
else case(VAR6)
VAR28: VAR103= 1'b1;
VAR111:
begin
VAR151= VAR141;
VAR143= 1'b1;
VAR26= VAR96;
end
default: VAR100(VAR71, VAR58, VAR63);
endcase
end
end
VAR46:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
if(VAR149) VAR7= 1'b1;
end
VAR53:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
if(VAR149)
begin
if(VAR19[0]) VAR152(VAR71, VAR58, VAR63);
end
else VAR7= 1'b1;
end
end
VAR24:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
if(VAR149)
begin
if(VAR19[1:0]!=2'b00) VAR152(VAR71,
VAR58,
VAR63);
end
else VAR7= 1'b1;
end
end
VAR133:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
if(VAR149) VAR7= 1'b1;
end
VAR116:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
if(VAR149)
begin
if(VAR19[0]) VAR152(VAR71, VAR58, VAR63);
end
else VAR7= 1'b1;
end
end
VAR18:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
VAR113= 1'b1;
if(VAR149)
begin
VAR7= 1'b1;
case(VAR19[1:0])
2'b00:
begin
VAR125=4'b0001;
VAR12[7:0]=VAR35[7:0];
end
2'b01:
begin
VAR125=4'b0010;
VAR12[15:8]=VAR35[7:0];
end
2'b10:
begin
VAR125=4'b0100;
VAR12[23:16]=VAR35[7:0];
end
2'b11:
begin
VAR125=4'b1000;
VAR12[31:24]=VAR35[7:0];
end
endcase
end
end
VAR110:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
VAR113= 1'b1;
if(VAR149)
begin
if(!VAR19[0])
begin
VAR7= 1'b1;
case(VAR19[1])
2'b0:
begin
VAR125= 4'b0011;
VAR12[15:0]= VAR35[15:0];
end
2'b1:
begin
VAR125= 4'b1100;
VAR12[31:16]= VAR35[15:0];
end
endcase
end
else VAR140(VAR71, VAR58, VAR63);
end
end
VAR54:
begin
VAR75= VAR90;
VAR89= VAR19[31:2];
VAR113= 1'b1;
if(VAR149)
begin
if(VAR19[1:0]==2'b00)
begin
VAR7= 1'b1;
VAR125= 4'b1111;
VAR12= VAR35;
end
else VAR140(VAR71, VAR58, VAR63);
end
end
default: if(VAR149) VAR100(VAR71, VAR58, VAR63);
endcase
end
task VAR134(input VAR71,
input VAR58,
input[31:0] VAR63);
begin
if(!VAR71)
begin
VAR122= 1'b1;
VAR2= 5'd14;
VAR103= 1'b1;
VAR1= 1'b1;
if(!VAR58) VAR66= {VAR63,2'd0};
end
else VAR66= {VAR63-30'd1,2'd0};
end
VAR131= 1'b1;
VAR114= 1'b1;
VAR142= VAR127[31:2];
end
endtask
task VAR73(input VAR71,
input VAR58,
input[31:0] VAR63);
begin
if(!VAR71)
begin
VAR122= 1'b1;
VAR124= VAR21;
VAR2= 5'd14;
VAR103= 1'b1;
VAR1= 1'b1;
if(!VAR58) VAR66= {VAR63,2'd0};
end
else VAR66= {VAR63-30'd1,2'd0};
end
VAR131= 1'b1;
VAR114= 1'b1;
VAR142= VAR127[31:2];
end
endtask
task VAR100(input VAR71,
input VAR58,
input[31:0] VAR63);
begin
if(!VAR71)
begin
VAR122= 1'b1;
VAR124= VAR130;
VAR2= 5'd14;
VAR103= 1'b1;
VAR1= 1'b1;
if(!VAR58) VAR66= {VAR63,2'd0};
end
else VAR66= {VAR63-30'd1,2'd0};
end
VAR131= 1'b1;
VAR114= 1'b1;
VAR142= VAR127[31:2];
end
endtask
task VAR152(input VAR71,
input VAR58,
input[31:0] VAR63);
begin
if(!VAR71)
begin
VAR122= 1'b1;
VAR124= VAR129;
VAR2= 5'd14;
VAR103= 1'b1;
VAR1= 1'b1;
if(!VAR58) VAR66= {VAR63,2'd0};
end
else VAR66= {VAR63-30'd1,2'd0};
VAR45= 1'b1;
end
VAR131= 1'b1;
VAR114= 1'b1;
VAR142= VAR127[31:2];
end
endtask
task VAR140(input VAR71,
input VAR58,
input[31:0] VAR63);
begin
if(!VAR71)
begin
VAR122= 1'b1;
VAR124= VAR85;
VAR2= 5'd14;
VAR103= 1'b1;
VAR1= 1'b1;
if(!VAR58) VAR66= {VAR63,2'd0};
end
else VAR66= {VAR63-30'd1,2'd0};
VAR45= 1'b1;
end
VAR131= 1'b1;
VAR114= 1'b1;
VAR142= VAR127[31:2];
end
endtask
task VAR36(input[5:0] VAR144,
input[4:0] VAR95,
input[1:0] VAR51,
input[31:0] VAR128);
begin
case(VAR144)
VAR46:
begin
VAR151=VAR95;
VAR143=1'b1;
case(VAR51)
2'b00:VAR26={{24{VAR128[7]}},VAR128[7:0]};
2'b01:VAR26={{24{VAR128[15]}},VAR128[15:8]};
2'b10:VAR26={{24{VAR128[23]}},VAR128[23:16]};
2'b11:VAR26={{24{VAR128[31]}},VAR128[31:24]};
endcase
end
VAR53:
begin
VAR151=VAR95;
VAR143=1'b1;
case(VAR51[1])
2'b0:VAR26={{16{VAR128[15]}},VAR128[15:0]};
2'b1:VAR26={{24{VAR128[31]}},VAR128[31:16]};
endcase
end
VAR24:
begin
VAR151=VAR95;
VAR143=1'b1;
VAR26=VAR128;
end
VAR133:
begin
VAR151=VAR95;
VAR143=1'b1;
case(VAR51)
2'b00:VAR26={{24{1'b0}},VAR128[7:0]};
2'b01:VAR26={{24{1'b0}},VAR128[15:8]};
2'b10:VAR26={{24{1'b0}},VAR128[23:16]};
2'b11:VAR26={{24{1'b0}},VAR128[31:24]};
endcase
end
VAR116:
begin
VAR151=VAR95;
VAR143=1'b1;
case(VAR51[1])
2'b0:VAR26={{16{1'b0}},VAR128[15:0]};
2'b1:VAR26={{24{1'b0}},VAR128[31:16]};
endcase
end
endcase
end
endtask
assign VAR4= ((VAR95!=5'd0 &
(VAR95==VAR141 |
VAR95==VAR137)) |
(VAR17!=5'd0 &
(VAR17==VAR141 |
VAR17==VAR137))) &
VAR62==VAR102 &
(VAR118==VAR29 |
VAR118==VAR76 |
VAR118==VAR98);
assign VAR84= ((VAR95!=5'd0 &
(VAR95==VAR141 |
VAR95==VAR6 |
VAR95==VAR137)) |
(VAR17!=5'd0 &
(VAR17==VAR141 |
VAR17==VAR6 |
VAR17==VAR137))) &
VAR62==VAR102 &
(VAR118==VAR150 |
VAR118==VAR48 |
VAR118==VAR30 |
VAR118==VAR83 |
VAR118==VAR14 |
VAR118==VAR56 |
VAR118==VAR15 |
VAR118==VAR40 |
VAR118==VAR107 |
VAR118==VAR92 |
VAR118==VAR5 |
VAR118==VAR20 |
VAR118==VAR70);
assign VAR39= ((VAR95!=5'd0 &
(VAR95==VAR141 |
VAR95==VAR6)) |
(VAR17!=5'd0 &
(VAR17==VAR141 |
VAR17==VAR6))) &
((VAR62==VAR102 &
(VAR118==VAR64 |
VAR118==VAR97 |
VAR118==VAR72 |
VAR118==VAR43)) |
VAR62==VAR79 |
VAR62==VAR61 |
VAR62==VAR52 |
VAR62==VAR101 |
VAR62==VAR50 |
VAR62==VAR11 |
VAR62==VAR145 |
VAR62==VAR31 |
VAR62==VAR120 |
VAR62==VAR41);
assign VAR25= ((VAR95!=5'd0 &
VAR95==VAR137) |
(VAR17!=5'd0 &
VAR17==VAR137)) &
VAR62==VAR102 &
(VAR118==VAR109 |
VAR118==VAR117);
assign VAR23= ((VAR95!=5'd0 &
VAR95==VAR6) |
(VAR17!=5'd0 &
VAR17==VAR6)) &
((VAR62==VAR102 &
(VAR118==VAR80 |
VAR118==VAR10)) |
VAR62==VAR46 |
VAR62==VAR53 |
VAR62==VAR24 |
VAR62==VAR133 |
VAR62==VAR116 |
VAR62==VAR69 |
VAR62==VAR93 |
VAR62==VAR146);
assign VAR91= VAR147 & ((VAR138) |
(VAR47 & !VAR108));
assign VAR59= (VAR108 & !VAR147 & !VAR22 &
(VAR144==VAR46 |
VAR144==VAR53 |
VAR144==VAR24 |
VAR144==VAR133 |
VAR144==VAR116)) |
VAR4 |
VAR84 |
VAR39 |
VAR25 |
VAR23 |
VAR91;
assign VAR148= (VAR62==VAR102 &
(VAR118==VAR67 |
VAR118==VAR8)) |
(VAR62==VAR69 &
((VAR141==5'd0 & VAR136<32'VAR153) |
(VAR141==5'd1 & VAR136>=32'VAR153)))|
VAR62==VAR104 |
VAR62==VAR3 |
(VAR62==VAR120 &
VAR136==VAR35) |
(VAR62==VAR41 &
VAR136!=VAR35) |
(VAR62==VAR93 &
VAR136<=32'VAR153)|
(VAR62==VAR146 &
VAR136>32'VAR153);
assign VAR132= VAR62==VAR78 &
VAR44==VAR49;
assign VAR82= VAR62==VAR102 &
(VAR118==VAR64 |
VAR118==VAR97 |
VAR118==VAR72 |
VAR118==VAR43);
endmodule | mit |
TAUTIC/VGA_Controller | VGA_Memory_Controller.v | 2,915 | module MODULE1
(
VAR9, VAR6, VAR1, VAR12, VAR4, VAR10, VAR8
);
input wire VAR9;
input wire [0:9] VAR6;
output reg [0:3] VAR1;
output reg [0:3] VAR12;
output reg [0:3] VAR4;
output reg [0:0] VAR10;
output reg [0:0] VAR8;
reg [0:10] VAR3;
reg [0:9] VAR2;
reg [0:0] VAR7;
reg [0:0] VAR5;
reg [0:0] VAR11;
reg [0:9] VAR13;
begin
begin
begin
begin
end
begin
begin
begin
begin | mit |
SI-RISCV/e200_opensource | rtl/e203/perips/sirv_spigpioport_1.v | 4,352 | module MODULE1(
input VAR15,
input reset,
input VAR20,
output VAR37,
input VAR6,
input VAR3,
output VAR53,
input VAR29,
input VAR35,
output VAR45,
input VAR8,
input VAR9,
output VAR51,
input VAR54,
input VAR28,
input VAR10,
input VAR23,
output VAR7,
output VAR1,
output VAR16,
output VAR25,
output VAR40,
input VAR22,
output VAR5,
output VAR38,
output VAR34,
output VAR12,
output VAR11,
input VAR19,
output VAR36,
output VAR42,
output VAR21,
output VAR30,
output VAR44,
input VAR52,
output VAR17,
output VAR4,
output VAR43,
output VAR26,
output VAR33,
input VAR24,
output VAR2,
output VAR50,
output VAR13,
output VAR18,
output VAR47,
input VAR32,
output VAR55,
output VAR48,
output VAR27,
output VAR41,
output VAR31
);
wire VAR14;
wire VAR39;
wire VAR46;
wire VAR49;
assign VAR37 = VAR22;
assign VAR53 = VAR19;
assign VAR45 = VAR52;
assign VAR51 = VAR24;
assign VAR7 = VAR20;
assign VAR1 = 1'h1;
assign VAR16 = 1'h0;
assign VAR25 = 1'h0;
assign VAR40 = 1'h0;
assign VAR5 = VAR6;
assign VAR38 = VAR3;
assign VAR34 = VAR14;
assign VAR12 = 1'h1;
assign VAR11 = 1'h0;
assign VAR36 = VAR29;
assign VAR42 = VAR35;
assign VAR21 = VAR39;
assign VAR30 = 1'h1;
assign VAR44 = 1'h0;
assign VAR17 = VAR8;
assign VAR4 = VAR9;
assign VAR43 = VAR46;
assign VAR26 = 1'h1;
assign VAR33 = 1'h0;
assign VAR2 = VAR54;
assign VAR50 = VAR28;
assign VAR13 = VAR49;
assign VAR18 = 1'h1;
assign VAR47 = 1'h0;
assign VAR55 = VAR10;
assign VAR48 = 1'h1;
assign VAR27 = 1'h0;
assign VAR41 = 1'h0;
assign VAR31 = 1'h0;
assign VAR14 = ~ VAR3;
assign VAR39 = ~ VAR35;
assign VAR46 = ~ VAR9;
assign VAR49 = ~ VAR28;
endmodule | apache-2.0 |
ffu/DSA-3.2.2 | usrp/fpga/inband_lib/rx_buffer_inband.v | 5,391 | module MODULE1
( input VAR21,
input VAR32,
input reset, input VAR30, output [15:0] VAR36,
input VAR41,
output wire VAR40,
output reg VAR55,
input wire [3:0] VAR58,
input wire [15:0] VAR70,
input wire [15:0] VAR24,
input wire [15:0] VAR15,
input wire [15:0] VAR12,
input wire [15:0] VAR20,
input wire [15:0] VAR73,
input wire [15:0] VAR26,
input wire [15:0] VAR62,
input VAR5,
input VAR28,
input VAR34,
input [6:0] VAR2,
input [31:0] VAR14,
input VAR69,
output wire [15:0] VAR52,
input VAR29,
input [15:0] VAR63,
input VAR16,
output reg VAR46,
input wire [31:0] VAR19, input wire [31:0] VAR50,
input wire [31:0] VAR18, input wire [31:0] VAR45,
input wire [1:0] VAR64
);
parameter VAR67 = 1;
genvar VAR54 ;
reg [8:0] VAR66;
always @(negedge VAR21)
if(VAR32)
VAR66 <= 9'd0;
else if(VAR41 & ~VAR66[8])
VAR66 <= VAR66 + 9'd1;
else
VAR66 <= VAR41 ? VAR66 : 9'b0;
reg [31:0] VAR75;
always @(posedge VAR5)
if (reset)
VAR75 <= 0;
else
VAR75 <= VAR75 + 1;
wire [11:0] VAR11;
wire [11:0] VAR9;
wire [15:0] VAR4;
wire [15:0] VAR37[0:VAR67];
wire VAR68;
wire VAR43;
reg sel;
reg wr;
always@(posedge VAR5)
begin
if(reset)
begin
sel<=1;
wr<=0;
end
else if(VAR28)
begin
sel<=0;
wr<=1;
end
else if(wr&~sel)
sel<=1;
end
else if(wr&sel)
wr<=0;
else
wr<=0;
end
assign VAR37[0] = (sel)?VAR24:VAR70;
assign VAR37[1] = (sel)?VAR12:VAR15;
VAR61 VAR65 (
.VAR33 ( reset ),
.VAR13 ( VAR4 ),
.VAR59 ( ~VAR21 ),
.VAR1 ( VAR41 & ~VAR66[8] ),
.VAR31 ( VAR5 ),
.VAR3 ( VAR68 ),
.VAR22 ( VAR36 ),
.VAR53 ( ),
.VAR11 ( VAR11 ),
.VAR51 ( ),
.VAR9 ( VAR9 ) );
assign VAR40 = (VAR11 >= 12'd256);
assign VAR43 = (VAR9 < 12'd760);
wire VAR27;
wire [15:0] VAR57;
wire [9:0] VAR10;
wire [VAR67:0] VAR48;
wire [3:0] VAR44;
wire [VAR67:0] VAR38;
VAR7 #(VAR67) VAR6 (
.VAR5 ( VAR5 ),
.reset ( reset ),
.VAR75 ( VAR75 ),
.VAR58 ( VAR67 ),
.VAR27 ( VAR27 ),
.VAR57 ( VAR57 ),
.VAR48 ( VAR48 ),
.VAR44 ( VAR44 ),
.VAR10 ( VAR10 ),
.VAR68 ( VAR68 ),
.VAR4 ( VAR4 ),
.VAR43 ( VAR43 ),
.VAR19(VAR19), .VAR50(VAR50),
.VAR18(VAR18),.VAR45(VAR45), .VAR52(VAR42),
.VAR39(VAR64));
always @(posedge VAR5)
if(reset)
VAR55 <= 1'b0;
else if(VAR38[0])
VAR55 <= 1'b1;
else if(VAR34)
VAR55 <= 1'b0;
wire [15:0]VAR71[VAR67:0];
assign VAR71[0] = VAR70;
wire VAR23;
always @(posedge VAR5)
if(reset)
VAR46 <= 1;
else if(VAR23)
VAR46 <= 1;
else if(VAR16)
VAR46 <= 0;
wire [15:0] VAR47 [0:VAR67];
wire [9:0] VAR49 [0:VAR67];
wire VAR72[0:VAR67];
generate for (VAR54 = 0 ; VAR54 < VAR67; VAR54 = VAR54 + 1)
begin : VAR8
wire VAR1;
assign VAR1 = (VAR44 == VAR54) & VAR27;
VAR60 VAR25 (
.VAR33 ( reset ),
.VAR74 ( VAR5 ),
.VAR13 ( VAR37[VAR54] ),
.VAR1 ( VAR1 ),
.VAR3 ( ~VAR38[VAR54] & wr),
.VAR72 (VAR72[VAR54]),
.VAR56 (VAR38[VAR54]),
.VAR22 ( VAR47[VAR54]),
.VAR49 ( VAR49[VAR54]),
.VAR17(VAR48[VAR54])
);
end
endgenerate
wire [7:0] VAR42;
VAR60 VAR35 (
.VAR33 ( reset ),
.VAR74 ( VAR5 ),
.VAR13 ( VAR63 ),
.VAR1 ( (VAR44 == VAR67) & VAR27 ),
.VAR3 ( VAR29 & VAR46),
.VAR72 ( VAR23),
.VAR56 ( VAR38[VAR67] ),
.VAR22 ( VAR47[VAR67]),
.VAR49 ( VAR49[VAR67] )
);
assign VAR48[VAR67] = VAR23 | VAR46;
assign VAR57 = VAR47[VAR44];
assign VAR10 = VAR49[VAR44];
assign VAR52 = {4'd0, VAR5, VAR28, VAR38[0], VAR38[1], sel, wr};
endmodule | gpl-3.0 |
BilkentCompGen/GateKeeper | FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/rx_engine_ultrascale.v | 10,604 | module MODULE1
( input VAR43,
input VAR10, input VAR50, output VAR22,
output VAR60,
input VAR41,
input VAR55,
input [VAR38-1:0] VAR56,
input [(VAR38/32)-1:0] VAR69,
input [VAR18-1:0] VAR39,
output VAR65,
input VAR29,
input VAR72,
input [VAR38-1:0] VAR49,
input [(VAR38/32)-1:0] VAR26,
input [VAR35-1:0] VAR15,
output VAR47,
output [VAR38-1:0] VAR19,
output VAR25,
output [(VAR38/32)-1:0] VAR33,
output VAR24,
output [VAR62(VAR38/32)-1:0] VAR40,
output VAR61,
output [VAR62(VAR38/32)-1:0] VAR54,
output [VAR45-1:0] VAR16,
output [VAR30-1:0] VAR73,
output [VAR5-1:0] VAR2,
output [VAR14-1:0] VAR32,
output [VAR67-1:0] VAR64,
output [VAR28-1:0] VAR71,
output [VAR42-1:0] VAR51,
output [VAR34-1:0] VAR63,
output VAR37,
output [VAR38-1:0] VAR57,
output VAR70,
output [(VAR38/32)-1:0] VAR59,
output VAR53,
output [VAR62(VAR38/32)-1:0] VAR20,
output VAR44,
output [VAR62(VAR38/32)-1:0] VAR21,
output [VAR30-1:0] VAR13,
output [VAR45-1:0] VAR31,
output [VAR9-1:0] VAR52,
output [VAR58-1:0] VAR1,
output [VAR5-1:0] VAR48,
output [VAR67-1:0] VAR11,
output [VAR23-1:0] VAR3,
output [VAR7-1:0] VAR36,
output [VAR66-1:0] VAR4,
output [VAR28-1:0] VAR27,
output VAR46
);
localparam VAR17 = 3;
VAR68
.VAR17 (VAR17))
VAR12
(
.VAR60 (VAR60),
.VAR47 (VAR47),
.VAR19 (VAR19[VAR38-1:0]),
.VAR25 (VAR25),
.VAR33 (VAR33[(VAR38/32)-1:0]),
.VAR24 (VAR24),
.VAR40 (VAR40[VAR62(VAR38/32)-1:0]),
.VAR61 (VAR61),
.VAR54 (VAR54[VAR62(VAR38/32)-1:0]),
.VAR16 (VAR16[VAR45-1:0]),
.VAR73 (VAR73[VAR30-1:0]),
.VAR2 (VAR2[VAR5-1:0]),
.VAR32 (VAR32[VAR14-1:0]),
.VAR64 (VAR64[VAR67-1:0]),
.VAR71 (VAR71[VAR28-1:0]),
.VAR51 (VAR51[VAR42-1:0]),
.VAR63 (VAR63[VAR34-1:0]),
.VAR37 (VAR37),
.VAR43 (VAR43),
.VAR10 (VAR10),
.VAR50 (VAR50),
.VAR29 (VAR29),
.VAR72 (VAR72),
.VAR49 (VAR49[VAR38-1:0]),
.VAR26 (VAR26[(VAR38/32)-1:0]),
.VAR15 (VAR15[VAR35-1:0]));
VAR8
.VAR38 (VAR38),
.VAR17 (VAR17))
VAR6
(
.VAR22 (VAR22),
.VAR65 (VAR65),
.VAR57 (VAR57[VAR38-1:0]),
.VAR70 (VAR70),
.VAR59 (VAR59[(VAR38/32)-1:0]),
.VAR53 (VAR53),
.VAR20 (VAR20[VAR62(VAR38/32)-1:0]),
.VAR44 (VAR44),
.VAR21 (VAR21[VAR62(VAR38/32)-1:0]),
.VAR13 (VAR13[VAR30-1:0]),
.VAR31 (VAR31[VAR45-1:0]),
.VAR52 (VAR52[VAR9-1:0]),
.VAR1 (VAR1[VAR58-1:0]),
.VAR48 (VAR48[VAR5-1:0]),
.VAR11 (VAR11[VAR67-1:0]),
.VAR3 (VAR3[VAR23-1:0]),
.VAR36 (VAR36[VAR7-1:0]),
.VAR4 (VAR4[VAR66-1:0]),
.VAR27 (VAR27[VAR28-1:0]),
.VAR46 (VAR46),
.VAR43 (VAR43),
.VAR10 (VAR10),
.VAR50 (VAR50),
.VAR41 (VAR41),
.VAR55 (VAR55),
.VAR56 (VAR56[VAR38-1:0]),
.VAR69 (VAR69[(VAR38/32)-1:0]),
.VAR39 (VAR39[VAR18-1:0]));
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/buf/sky130_fd_sc_hd__buf_12.v | 1,999 | module MODULE2 (
VAR8 ,
VAR6 ,
VAR7,
VAR2,
VAR1 ,
VAR5
);
output VAR8 ;
input VAR6 ;
input VAR7;
input VAR2;
input VAR1 ;
input VAR5 ;
VAR4 VAR3 (
.VAR8(VAR8),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR8,
VAR6
);
output VAR8;
input VAR6;
supply1 VAR7;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR5 ;
VAR4 VAR3 (
.VAR8(VAR8),
.VAR6(VAR6)
);
endmodule | apache-2.0 |
onchipuis/mriscv_vivado | mriscv_vivado.srcs/sources_1/new/AXI_BRAM.v | 5,229 | module MODULE1(
input VAR15, input VAR5,
input VAR59,
output VAR44,
input [32-1:0] VAR47,
input [3-1:0] VAR25,
input VAR51,
output VAR49,
input [32-1:0] VAR7,
input [4-1:0] VAR2,
output reg VAR12,
input VAR36,
input VAR41,
output VAR37,
input [32-1:0] VAR34,
input [3-1:0] VAR16,
output reg VAR58,
input VAR20,
output reg [32-1:0] VAR62,
output [12:0] VAR4,
output [2:0] VAR55,
output VAR43,
output VAR32,
output VAR39,
output [0:0] VAR48,
output [0:0] VAR57,
output [0:0] VAR10,
output [0:0] VAR33,
output [1:0] VAR3,
output [0:0] VAR6,
inout [15:0] VAR21,
inout [1:0] VAR17,
inout [1:0] VAR26
);
wire VAR18;
assign VAR18 = ~VAR5;
wire VAR38;
assign VAR38 = VAR15;
wire [26:0] VAR61;
wire [15:0] VAR24;
wire [15:0] VAR63;
reg VAR28; reg VAR9; reg VAR45; wire VAR56;
wire VAR42;
wire VAR8;
reg [31:0] VAR52, VAR53;
reg [31:0] VAR30;
reg [1:0] VAR54;
reg VAR13;
assign VAR44 = 1'b1;
assign VAR37 = 1'b1;
assign VAR49 = 1'b1;
always @(posedge VAR15)
begin : VAR50
if(VAR5 == 1'b0) begin
VAR52 <= 0;
VAR53 <= 0;
VAR30 <= 0;
VAR54 <= 2'b00;
VAR13 <= 1'b0;
end else begin
if(VAR12) begin VAR52 <= VAR52;
VAR54[0] <= 1'b0;
end else if(VAR59) begin
VAR52 <= VAR47;
VAR54[0] <= 1'b1;
end else begin
VAR52 <= VAR52;
VAR54[0] <= VAR54[0];
end
if(VAR12) begin VAR30 <= VAR30;
VAR54[1] <= 1'b0;
end else if(VAR51) begin
VAR30 <= VAR7;
VAR54[1] <= 1'b1;
end else begin
VAR30 <= VAR30;
VAR54[1] <= VAR54[1];
end
if(VAR58) begin VAR53 <= VAR53;
VAR13 <= 1'b0;
end else if(VAR41) begin
VAR53 <= VAR34;
VAR13 <= 1'b1;
end else begin
VAR53 <= VAR53;
VAR13 <= VAR13;
end
end
end
reg [3:0] state;
parameter VAR22 = 0, VAR31 = 1, VAR46 = 2;
always @(posedge VAR15)
if (VAR5 == 1'b0) begin
state <= VAR22;
for(VAR35 = 0; VAR35 < 8; VAR35 = VAR35 + 1)
VAR11[VAR35] <= 0;
VAR12 <= 1'b0;
VAR58 <= 1'b0;
VAR62 <= 0;
end else case (state)
VAR22 :
if (VAR13) begin
VAR62 <= {24'd0, VAR11[VAR53[4:2]]};
state <= VAR31;
VAR58 <= 1'b1;
end else if(VAR54 == 2'b11) begin
if(VAR52[4:2] == 3'h0 && VAR30[7:0] == 8'h0A)
VAR40 <= 1'b1;
end
else if(VAR52[4:2] == 3'h0 && VAR30[7:0] >= 8'd32) begin
if(VAR40) begin
VAR40 <= 1'b0;
for(VAR35 = 0; VAR35 < 8; VAR35 = VAR35 + 1)
VAR11[VAR35] <= 0;
end else begin
VAR11[0] <= VAR30[7:0];
for(VAR35 = 0; VAR35 < 7; VAR35 = VAR35 + 1)
VAR11[VAR35+1] <= VAR11[VAR35];
end
end else begin
VAR11[VAR52[4:2]] <= VAR30[7:0];
end
state <= VAR46;
VAR12 <= 1'b1;
end else begin
state <= state;
end
VAR31 :
if (VAR20 ==1) begin
VAR58 <= 1'b0;
state <= VAR22;
end else begin
state <= state;
end
VAR46 :
if (VAR36 ==1) begin
VAR12 <= 1'b0;
state <= VAR22;
end else begin
state <= state;
end
default: begin
state <= VAR22; end
endcase
reg [32-1:0] VAR27 [0:(2**24)-1];
reg [32-1:0] VAR23;
always @(posedge VAR15)
if (VAR5 == 1'b0) begin
VAR23 <= 0;
end else begin
if(VAR1) begin
VAR23 <= VAR27[VAR29];
if(VAR19) VAR27[VAR29] <= VAR14;
end
end
assign VAR60 = VAR23;
endmodule | mit |
jhennessy/parallella-hw-old | boards/archive/gen1.1/fpga/hdl/axi_master_wr.v | 13,262 | module MODULE1 (
VAR10, VAR16, VAR67, VAR62, VAR11, VAR30, VAR38, VAR54,
VAR73, VAR84, VAR51, VAR40, VAR14, VAR13, VAR79,
VAR85,
VAR8, VAR87, reset, VAR21, VAR42, VAR59, VAR83, VAR28,
VAR7, VAR33, VAR70,
VAR12, VAR4, VAR75,
VAR24
);
parameter VAR9 = 6; parameter VAR35 = 32; parameter VAR44 = 64; parameter VAR63 = VAR35+2; parameter VAR2 = 4; parameter VAR60 = 4; parameter VAR37 = VAR44+8; parameter VAR17 = 8;
input VAR8; input VAR87; input reset;
input VAR21;
input VAR42;
input [VAR9-1:0] VAR59; input [1:0] VAR83; input VAR28;
input VAR7;
input VAR33;
input [1:0] VAR70;
input [3:0] VAR12;
input [31:0] VAR4;
input [31:0] VAR75;
input [31:0] VAR24;
output [VAR9-1:0] VAR10; output [VAR35-1:0] VAR16; output [3:0] VAR67; output [2:0] VAR62; output [1:0] VAR11; output [1:0] VAR30; output [3:0] VAR38; output [2:0] VAR54; output VAR73;
output [VAR9-1:0] VAR84; output [VAR44-1:0] VAR51; output [VAR17-1:0] VAR40; output VAR14; output VAR13;
output VAR79;
output VAR85;
reg [33:0] VAR76;
reg [63:0] VAR22;
reg VAR65;
reg VAR31;
reg [VAR63-1:0] VAR27;
reg [63:0] VAR52;
reg [63:0] VAR36;
reg VAR61;
reg [VAR37-1:0] VAR6;
reg [7:0] VAR53;
reg [7:0] VAR64;
wire VAR19;
wire [VAR63-1:0] VAR41;
wire [VAR63-1:0] VAR74;
wire VAR43;
wire VAR50;
wire VAR34;
wire VAR45;
wire VAR86;
wire VAR57;
wire [2:0] VAR55;
wire VAR3;
wire VAR48;
wire VAR5;
wire [63:0] VAR1;
wire [63:0] VAR71;
wire [VAR37-1:0] VAR29;
wire [VAR37-1:0] VAR20;
wire VAR18;
wire VAR39;
wire VAR72;
wire VAR58;
wire VAR49;
wire [7:0] VAR68;
wire [7:0] VAR78;
wire VAR66;
assign VAR85 = VAR34 | VAR66;
assign VAR19 = VAR7 & VAR33 &
~VAR85;
always @ (posedge VAR87)
if (VAR19)
VAR76[33:0] <= {VAR4[31:0],VAR70[1:0]};
always @ (posedge VAR87)
if (VAR19)
VAR22[63:0] <= {VAR75[31:0],VAR24[31:0]};
always @ (posedge VAR87 or posedge reset)
if(reset)
VAR65 <= 1'b0;
else if(~VAR85)
VAR65 <= VAR19;
assign VAR41[VAR63-1:0] = VAR76[33:0];
assign VAR43 = VAR65 & ~VAR85;
assign VAR50 = ~VAR45 & (~VAR73 | VAR57);
assign VAR86 = VAR57 | ~VAR73;
VAR25 #(.VAR77(VAR63), .VAR26(VAR2)) VAR46(
.VAR47 (VAR74[VAR63-1:0]), .VAR82 (VAR45), .VAR15 (VAR34), .reset (reset),
.VAR80 (VAR87), .VAR81 (VAR8), .VAR56 (VAR43), .VAR32 (VAR41[VAR63-1:0]), .VAR69 (VAR50));
always @ (posedge VAR8 or posedge reset)
if(reset)
VAR31 <= 1'b1;
else if(VAR86)
VAR31 <= VAR45;
always @ (posedge VAR8)
if (VAR86)
VAR27[VAR63-1:0] <= VAR74[VAR63-1:0];
assign VAR10[VAR9-1:0] = {(VAR9){1'b0}};
assign VAR16[VAR35-1:0] = VAR27[VAR63-1:2];
assign VAR67[3:0] = 4'b0000;
assign VAR62[2:0] = {1'b0,VAR27[1:0]};
assign VAR11[1:0] = 2'b01;
assign VAR30[1:0] = 2'b00;
assign VAR38[3:0] = 4'b0000;
assign VAR54[2:0] = 3'b000; assign VAR73 = ~VAR31;
assign VAR57 = VAR73 & VAR21;
assign VAR55[2:0] = VAR76[4:2];
assign VAR3 = (VAR76[1:0] == 2'b00);
assign VAR48 = (VAR76[1:0] == 2'b01);
assign VAR5 = (VAR76[1:0] == 2'b10);
always @ (VAR55[2:0] or VAR22[7:0])
begin
casez (VAR55[2:0])
3'b000 : VAR52[63:0] = {{(56){1'b0}},VAR22[7:0] };
3'b001 : VAR52[63:0] = {{(48){1'b0}},VAR22[7:0],{( 8){1'b0}}};
3'b010 : VAR52[63:0] = {{(40){1'b0}},VAR22[7:0],{(16){1'b0}}};
3'b011 : VAR52[63:0] = {{(32){1'b0}},VAR22[7:0],{(24){1'b0}}};
3'b100 : VAR52[63:0] = {{(24){1'b0}},VAR22[7:0],{(32){1'b0}}};
3'b101 : VAR52[63:0] = {{(16){1'b0}},VAR22[7:0],{(40){1'b0}}};
3'b110 : VAR52[63:0] = {{(8){1'b0}},VAR22[7:0] ,{(48){1'b0}}};
3'b111 : VAR52[63:0] = { VAR22[7:0] ,{(56){1'b0}}};
default: VAR52[63:0] = {{(56){1'b0}},VAR22[7:0]};
endcase end
always @ (VAR55[2:1] or VAR22[15:0])
begin
casez (VAR55[2:1])
2'b00 : VAR36[63:0] = {{(48){1'b0}},VAR22[15:0]};
2'b01 : VAR36[63:0] = {{(32){1'b0}},VAR22[15:0],{(16){1'b0}}};
2'b10 : VAR36[63:0] = {{(16){1'b0}},VAR22[15:0],{(32){1'b0}}};
2'b11 : VAR36[63:0] = { VAR22[15:0],{(48){1'b0}}};
default: VAR36[63:0] = {{(48){1'b0}},VAR22[15:0]};
endcase end
assign VAR1[63:0] = VAR55[2] ? {VAR22[31:0],{(32){1'b0}}} :
{{(32){1'b0}},VAR22[31:0]};
assign VAR71[63:0] = VAR3 ? VAR52[63:0] :
VAR48 ? VAR36[63:0]:
VAR5 ? VAR1[63:0] :
VAR22[63:0];
always @ (VAR55[2:0])
begin
casez (VAR55[2:0])
3'b000 : VAR64[7:0] = 8'b00000001;
3'b001 : VAR64[7:0] = 8'b00000010;
3'b010 : VAR64[7:0] = 8'b00000100;
3'b011 : VAR64[7:0] = 8'b00001000;
3'b100 : VAR64[7:0] = 8'b00010000;
3'b101 : VAR64[7:0] = 8'b00100000;
3'b110 : VAR64[7:0] = 8'b01000000;
3'b111 : VAR64[7:0] = 8'b10000000;
default: VAR64[7:0] = 8'b00000001;
endcase end
always @ (VAR55[2:1])
begin
casez (VAR55[2:1])
2'b00 : VAR53[7:0] = 8'b00000011;
2'b01 : VAR53[7:0] = 8'b00001100;
2'b10 : VAR53[7:0] = 8'b00110000;
2'b11 : VAR53[7:0] = 8'b11000000;
default: VAR53[7:0] = 8'b00000011;
endcase end
assign VAR78[7:0] = VAR55[2] ? 8'b11110000 : 8'b00001111;
assign VAR68[7:0] = VAR3 ? VAR64[7:0] :
VAR48 ? VAR53[7:0]:
VAR5 ? VAR78[7:0] : {(8){1'b1}};
assign VAR29[VAR37-1:0] = {VAR71[63:0],VAR68[7:0]};
assign VAR18 = VAR65 & ~VAR85;
assign VAR39 = ~VAR72 & (~VAR13 | VAR49);
assign VAR58 = VAR49 | ~VAR13;
VAR25 #(.VAR77(VAR37), .VAR26(VAR60)) VAR23(
.VAR47 (VAR20[VAR37-1:0]), .VAR82 (VAR72), .VAR15 (VAR66), .reset (reset),
.VAR80 (VAR87), .VAR81 (VAR8), .VAR56 (VAR18), .VAR32 (VAR29[VAR37-1:0]), .VAR69 (VAR39));
always @ (posedge VAR8 or posedge reset)
if(reset)
VAR61 <= 1'b1;
else if(VAR58)
VAR61 <= VAR72;
always @ (posedge VAR8)
if (VAR58)
VAR6[VAR37-1:0] <= VAR20[VAR37-1:0];
assign VAR84[VAR9-1:0] = {(VAR9){1'b0}};
assign VAR51[VAR44-1:0] = VAR6[VAR37-1:8];
assign VAR40[VAR17-1:0] = VAR6[7:0];
assign VAR14 = 1'b1;
assign VAR13 = ~VAR61;
assign VAR49 = VAR13 & VAR42;
assign VAR79 = 1'b1;
endmodule | gpl-3.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/cmp/rtl/rep_jbi_sc2_2.v | 2,844 | module MODULE1(
VAR8, VAR14, VAR4,
VAR12, VAR16,
VAR1, VAR3,
VAR5, VAR11,
VAR17, VAR18, VAR2, VAR9,
VAR13, VAR10, VAR15,
VAR7, VAR6
);
output [31:0] VAR8;
output [31:0] VAR14;
output [6:0] VAR4;
output VAR12;
output VAR16;
output VAR1;
output VAR3;
output VAR5;
output VAR11;
input [31:0] VAR17;
input [31:0] VAR18;
input [6:0] VAR2;
input VAR9;
input VAR13;
input VAR10;
input VAR15;
input VAR7;
input VAR6;
assign VAR8 = VAR17 ;
assign VAR14 = VAR18 ;
assign VAR4[6:0] = VAR2[6:0] ;
assign VAR12 = VAR9 ;
assign VAR16 = VAR13 ;
assign VAR1 = VAR10 ;
assign VAR3 = VAR15 ;
assign VAR5 = VAR7;
assign VAR11 = VAR6 ;
endmodule | gpl-2.0 |
htogarcia/Microcontrolador-Calculadora | VGA Mouse/vga_controller.v | 2,489 | module MODULE1(
input wire clk, input wire [9:0] VAR11,
input wire [8:0] VAR40,
input wire [32:0] VAR26,
output wire [2:0] VAR13, output wire [2:0] VAR15, output wire [1:0] VAR32, output wire VAR24, output wire VAR27 );
wire [9:0] hc; wire [9:0] VAR33; wire [9:0] VAR19; wire [8:0] VAR5; wire [7:0] VAR2, VAR9; wire [4:0] VAR18; wire [7:0] VAR37; wire [1:0] VAR20;
wire [3:0] VAR14;
wire [2:0] VAR36;
wire [11:0] VAR25;
wire [4:0] VAR12;
wire [2:0] VAR7;
wire [3:0] VAR21;
VAR16 VAR29(
.clk(clk),
.VAR22(VAR2[7:5]),
.VAR38(VAR2[4:2]),
.VAR35(VAR2[1:0]),
.hc(hc),
.VAR33(VAR33),
.VAR24(VAR24),
.VAR27(VAR27),
.VAR13(VAR13),
.VAR15(VAR15),
.VAR32(VAR32)
);
VAR8 VAR10(
.VAR18(VAR18),
.VAR37(VAR37)
);
VAR23 VAR28(
.VAR20(VAR20),
.VAR36(VAR36),
.VAR14(VAR14),
.VAR25(VAR25)
);
VAR30 VAR34(
.VAR19(VAR19),
.VAR5(VAR5),
.VAR25(VAR25),
.VAR4(VAR26),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR21(VAR21),
.VAR6(VAR14),
.VAR20(VAR20),
.VAR36(VAR36),
.VAR2(VAR9)
);
VAR3 VAR17(
.VAR12(VAR12),
.VAR7(VAR7),
.VAR21(VAR21)
);
VAR1 VAR39(
.hc(hc),
.VAR33(VAR33),
.VAR11(VAR11),
.VAR40(VAR40),
.VAR31(VAR9),
.VAR37(VAR37),
.VAR19(VAR19),
.VAR5(VAR5),
.VAR18(VAR18),
.VAR2(VAR2)
);
endmodule | mit |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/oai33/gf180mcu_fd_sc_mcu7t5v0__oai33_1.behavioral.pp.v | 5,425 | module MODULE1( VAR5, VAR8, VAR2, VAR10, VAR12, VAR6, VAR1, VAR4, VAR7 );
input VAR12, VAR6, VAR1, VAR2, VAR8, VAR5;
inout VAR4, VAR7;
output VAR10;
VAR9 VAR3(.VAR5(VAR5),.VAR8(VAR8),.VAR2(VAR2),.VAR10(VAR10),.VAR12(VAR12),.VAR6(VAR6),.VAR1(VAR1),.VAR4(VAR4),.VAR7(VAR7));
VAR9 VAR11(.VAR5(VAR5),.VAR8(VAR8),.VAR2(VAR2),.VAR10(VAR10),.VAR12(VAR12),.VAR6(VAR6),.VAR1(VAR1),.VAR4(VAR4),.VAR7(VAR7)); | apache-2.0 |
peteasa/parallella-fpga | AdiHDLLib/library/prcfg/bist/prcfg_adc.v | 5,227 | module MODULE1 (
clk,
VAR25,
VAR12,
VAR1,
VAR6,
VAR23,
VAR19,
VAR28,
VAR2
);
localparam VAR16 = 8'hA1;
parameter VAR24 = 0;
input clk;
input [31:0] VAR25;
output [31:0] VAR12;
input VAR1;
input VAR6;
input [15:0] VAR23;
output VAR19;
output VAR28;
output [15:0] VAR2;
reg VAR19;
reg VAR28;
reg [15:0] VAR2;
reg [31:0] VAR12 = 0;
reg [15:0] VAR5 = 0;
reg [ 3:0] VAR8;
reg [ 3:0] VAR26;
wire VAR18;
wire [15:0] VAR21;
wire VAR13;
wire VAR15;
function [15:0] VAR10;
input [15:0] din;
reg [15:0] dout;
begin
dout[15] = din[14] ^ din[15];
dout[14] = din[13] ^ din[14];
dout[13] = din[12] ^ din[13];
dout[12] = din[11] ^ din[12];
dout[11] = din[10] ^ din[11];
dout[10] = din[ 9] ^ din[10];
dout[ 9] = din[ 8] ^ din[ 9];
dout[ 8] = din[ 7] ^ din[ 8];
dout[ 7] = din[ 6] ^ din[ 7];
dout[ 6] = din[ 5] ^ din[ 6];
dout[ 5] = din[ 4] ^ din[ 5];
dout[ 4] = din[ 3] ^ din[ 4];
dout[ 3] = din[ 2] ^ din[ 3];
dout[ 2] = din[ 1] ^ din[ 2];
dout[ 1] = din[ 0] ^ din[ 1];
dout[ 0] = din[14] ^ din[15] ^ din[ 0];
VAR10 = dout;
end
endfunction
assign VAR18 = VAR1 & VAR6;
always @(posedge clk) begin
VAR26 <= VAR25[3:0];
VAR8 <= VAR25[7:4];
end
always @(posedge clk) begin
if(VAR18 == 1'b1) begin
VAR5 <= VAR10(VAR21);
end
end
assign VAR21 = (VAR13 == 1'b1) ? VAR14 : VAR5;
VAR11 #(
.VAR22(32)
) VAR7 (
.VAR27(clk),
.VAR9(VAR18),
.VAR17(VAR14),
.VAR3(VAR5),
.VAR4(VAR13),
.VAR20(VAR15));
always @(posedge clk) begin
VAR19 <= VAR1;
VAR2 <= VAR23;
VAR28 <= VAR6;
end
always @(posedge clk) begin
if((VAR8 == 3'd2) && (VAR26 == VAR24)) begin
VAR12 <= {22'h0, VAR15, VAR13, VAR16};
end else begin
VAR12 <= {24'h0, VAR16};
end
end
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/busdrivernovlp2/sky130_fd_sc_lp__busdrivernovlp2.symbol.v | 1,423 | module MODULE1 (
input VAR2 ,
output VAR6 ,
input VAR7
);
supply1 VAR4;
supply0 VAR1;
supply1 VAR5 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
tta/gnuradio-tta | gr-radar-mono/src/fpga/lib/radar.v | 3,494 | module MODULE1(VAR47,VAR4,VAR32,VAR36,
VAR28,VAR17,VAR23,VAR38,
VAR50,VAR22,
VAR7,VAR19,VAR46,VAR40);
input VAR47; input [6:0] VAR4; input [31:0] VAR32; input VAR36;
output VAR28; output VAR17; output [13:0] VAR23; output [13:0] VAR38; output VAR40;
input [15:0] VAR50; input [15:0] VAR22; output VAR7; output [15:0] VAR19; output [15:0] VAR46;
wire reset; wire VAR15; wire VAR43; wire VAR3; wire VAR29; wire VAR48; wire VAR39; wire [15:0] VAR25;
wire [15:0] VAR2; wire [31:0] VAR51; wire [31:0] VAR8;
VAR16 VAR31
(.VAR47(VAR47),.VAR4(VAR4),.VAR32(VAR32),.VAR36(VAR36),
.VAR14(reset),.VAR28(VAR28),.VAR34(VAR43),
.VAR17(VAR17),.VAR12(VAR48),.VAR49(VAR39),
.VAR10(VAR2),.VAR41(VAR51),.VAR21(VAR8),.VAR42(VAR25),
.VAR40(VAR40));
VAR35 VAR5
( .VAR47(VAR47),.VAR27(reset),.VAR30(VAR48),.VAR24(VAR17),
.VAR9(VAR2),.VAR33(VAR51),.VAR6(VAR8),
.VAR26(VAR23),.VAR44(VAR38) );
VAR37 VAR20
( .VAR47(VAR47),.VAR27(reset),.VAR30(VAR39),.VAR52(VAR43),
.VAR1(VAR25),.VAR11(VAR50),.VAR13(VAR22),
.VAR7(VAR7),.VAR18(VAR19),.VAR45(VAR46) );
endmodule | gpl-3.0 |
P3Stor/P3Stor | ftl/Dynamic_Controller/code/Dynamic_Controller.v | 10,130 | module MODULE1( VAR78,VAR1,VAR23,VAR106,VAR84,
VAR43,VAR116,VAR111,VAR108,VAR24,VAR17,VAR10,VAR27,VAR118,VAR65,VAR59,
VAR107,VAR87,VAR105,VAR47,VAR112,VAR13,VAR54,VAR15,VAR72);
input VAR78;
input VAR1;
input VAR23;
input VAR106;
input VAR84;
input VAR43;
input [127:0] VAR116;
input VAR111;
input VAR108;
input [255:0] VAR24;
output VAR17;
output VAR10;
output [127:0] VAR27;
output VAR118;
input VAR65;
output [255:0] VAR59;
output VAR107;
output VAR87;
output VAR105;
output VAR47;
output VAR112;
output [7:0] VAR13;
input [7:0] VAR54;
inout [7:0] VAR15;
inout VAR72;
wire VAR71;
wire VAR2;
wire [2:0] VAR82;
wire [VAR14-4:0] VAR49;
wire VAR19;
wire [2:0] VAR81;
wire VAR34;
wire VAR76;
wire [VAR14-4:0] VAR103;
wire VAR22;
wire [2:0] VAR91;
wire [2:0] VAR80;
wire VAR40;
wire VAR48;
wire VAR35;
wire [15:0] VAR73;
wire VAR45;
wire [7:0] VAR95;
wire VAR32;
wire VAR25;
wire [3:0] VAR96;
wire VAR113;
wire [2:0] VAR20;
wire VAR58;
wire [127:0] VAR121;
wire [3:0] VAR37;
wire VAR109;
wire VAR50;
wire VAR114;
wire VAR97;
wire [7:0] VAR110;
wire VAR61;
wire [15:0] VAR4;
wire VAR21;
VAR66 VAR44(
.clk(VAR78),
.VAR9(VAR23),
.VAR106(VAR106),
.VAR1(VAR1),
.VAR43(VAR43),
.VAR116(VAR116),
.VAR10(VAR10),
.VAR111(VAR111),
.VAR27(VAR27),
.VAR108(VAR108),
.VAR24(VAR24),
.VAR17(VAR17),
.VAR65(VAR65),
.VAR59(VAR59),
.VAR118(VAR118),
.VAR63 (VAR25),
.VAR100 (VAR96),
.VAR7 (VAR113),
.VAR88 (VAR20),
.VAR120 (VAR58),
.VAR104 (VAR121),
.VAR122 (VAR37),
.VAR12(VAR109),
.VAR83(VAR50),
.VAR56(VAR114),
.VAR36(VAR97),
.VAR57 (VAR110),
.VAR77(VAR61),
.VAR11(VAR4),
.VAR115(VAR21)
);
VAR98 VAR30(.clk(VAR78),
.VAR1(VAR1),
.VAR63 (VAR25),
.VAR100 (VAR96),
.VAR7 (VAR113),
.VAR88 (VAR20),
.VAR120 (VAR58),
.VAR104 (VAR121),
.VAR122 (VAR37),
.VAR12(VAR109),
.VAR83(VAR50),
.VAR56(VAR114),
.VAR99 (VAR82),
.VAR53(VAR19),
.VAR3 (VAR81),
.VAR86 (VAR49),
.VAR5 (VAR71),
.VAR62(VAR2),
.VAR38 (VAR54)
);
VAR60 VAR55(
.VAR78(VAR78),
.VAR106(VAR106),
.VAR1(VAR1),
.VAR5(VAR34),
.VAR74(VAR71),
.VAR62(VAR76),
.VAR52(VAR2),
.VAR99(VAR82),
.VAR86(VAR49),
.VAR53(VAR19),
.VAR3(VAR81),
.VAR18(VAR80),
.VAR67(VAR103),
.VAR6(VAR22),
.VAR33(VAR91)
);
VAR94 VAR29(
.VAR8(VAR23),
.VAR106(VAR106),
.VAR84(VAR84),
.rst(VAR1),
.VAR99(VAR80),
.VAR53(VAR22),
.VAR3 (VAR91),
.VAR86 (VAR103),
.VAR5 (VAR34),
.VAR62(VAR76),
.VAR101 (VAR110),
.VAR70 (VAR97),
.VAR115(VAR21),
.VAR75 (VAR4),
.VAR79(VAR61),
.VAR68(VAR73),
.VAR92(VAR45),
.VAR51(VAR35),
.VAR28(VAR48),
.VAR64(VAR40),
.VAR26(VAR95),
.VAR90(VAR32),
.VAR38(VAR54),
.VAR16(VAR13),
.VAR89(VAR107),
.VAR69(VAR87),
.VAR93(VAR112),
.VAR117(VAR105),
.VAR42(VAR47)
);
VAR39 VAR31(.clk(VAR78),
.VAR9(VAR106),
.VAR84(VAR84),
.VAR1(VAR1),
.VAR64(VAR40),
.VAR46(VAR48), .VAR119(VAR35),
.VAR41(VAR73),
.VAR102(VAR45),
.VAR85(VAR95),
.VAR90(VAR32),
.VAR72(VAR72),
.VAR15(VAR15)
);
endmodule | gpl-2.0 |
scalable-networks/ext | uhd/fpga/usrp2/coregen/fifo_xlnx_16x19_2clk.v | 5,302 | module MODULE1(
din,
VAR73,
VAR36,
rst,
VAR65,
VAR58,
dout,
VAR24,
VAR70,
VAR71,
VAR94);
input [18 : 0] din;
input VAR73;
input VAR36;
input rst;
input VAR65;
input VAR58;
output [18 : 0] dout;
output VAR24;
output VAR70;
output [4 : 0] VAR71;
output [4 : 0] VAR94;
VAR35 #(
.VAR79(0),
.VAR104(0),
.VAR34(5),
.VAR40("VAR44"),
.VAR93(19),
.VAR6("0"),
.VAR52(19),
.VAR80(0),
.VAR49("VAR62"),
.VAR27(1),
.VAR102(0),
.VAR4(0),
.VAR66(0),
.VAR105(0),
.VAR87(0),
.VAR72(0),
.VAR97(0),
.VAR20(1),
.VAR64(0),
.VAR89(1),
.VAR63(0),
.VAR47(0),
.VAR25(0),
.VAR33(0),
.VAR5(1),
.VAR42(0),
.VAR39(2),
.VAR100(0),
.VAR92(2),
.VAR90("VAR44"),
.VAR8(1),
.VAR95(0),
.VAR17(0),
.VAR7(0),
.VAR16(1),
.VAR69("512x36"),
.VAR99(4),
.VAR98(5),
.VAR30(0),
.VAR14(15),
.VAR28(14),
.VAR59(0),
.VAR15(5),
.VAR50(16),
.VAR41(1),
.VAR22(4),
.VAR32(0),
.VAR103(1),
.VAR9(0),
.VAR76(0),
.VAR75(0),
.VAR13(1),
.VAR74(0),
.VAR106(0),
.VAR54(5),
.VAR56(16),
.VAR101(1),
.VAR84(4),
.VAR91(1))
VAR86 (
.VAR46(din),
.VAR37(VAR73),
.VAR61(VAR36),
.VAR3(rst),
.VAR83(VAR65),
.VAR67(VAR58),
.VAR10(dout),
.VAR53(VAR24),
.VAR85(VAR70),
.VAR81(VAR71),
.VAR48(VAR94),
.VAR11(),
.VAR38(),
.VAR51(),
.VAR21(),
.VAR88(),
.VAR96(),
.VAR60(),
.VAR82(),
.VAR68(),
.VAR31(),
.VAR2(),
.VAR23(),
.VAR19(),
.VAR77(),
.VAR12(),
.VAR78(),
.VAR26(),
.VAR43(),
.VAR55(),
.VAR45(),
.VAR18(),
.VAR57(),
.VAR1(),
.VAR29());
endmodule | gpl-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/controllerperipheralhdladi_pcore_axi_lite_module.v | 8,988 | module MODULE1
(
VAR48,
VAR17,
VAR64,
VAR36,
VAR3,
VAR32,
VAR22,
VAR40,
VAR10,
VAR49,
VAR37,
VAR13,
VAR23,
VAR33,
VAR60,
VAR53,
VAR26,
VAR56,
VAR4,
VAR54,
VAR28,
VAR42,
VAR39,
VAR50,
VAR46
);
input VAR48;
input VAR17; input [31:0] VAR64; input VAR36; input [31:0] VAR3; input [3:0] VAR32; input VAR22; input VAR40; input [31:0] VAR10; input VAR49; input VAR37; input [31:0] VAR13; output VAR23; output VAR33; output [1:0] VAR60; output VAR53; output VAR26; output [31:0] VAR56; output [1:0] VAR4; output VAR54; output [31:0] VAR28; output [13:0] VAR42; output VAR39; output VAR50; output VAR46;
wire reset;
wire VAR57;
wire VAR15; reg [7:0] VAR62; reg [7:0] VAR6; reg [7:0] VAR8; reg [7:0] VAR51; reg VAR21; reg VAR41; reg VAR2; reg VAR43; reg VAR11; reg VAR1; reg VAR45; reg VAR35; wire [1:0] VAR59; reg [31:0] VAR63; reg [31:0] VAR47; reg [31:0] VAR58; wire [13:0] VAR38; wire [13:0] VAR31; reg VAR14; wire VAR24; wire VAR44; wire VAR7; wire VAR25; wire VAR19; reg VAR12; reg VAR55;
reg VAR61;
reg VAR52;
reg VAR34;
reg VAR27;
reg VAR5;
assign VAR15 = 1'b1;
assign VAR57 = VAR15;
assign reset = ~ VAR17;
always @(posedge VAR48)
begin : VAR29
if (reset == 1'b1) begin
VAR62 <= 8'd0;
VAR6 <= 8'd0;
end
else if (VAR57) begin
VAR62 <= VAR8;
VAR6 <= VAR51;
end
end
always @(VAR62, VAR6, VAR36, VAR22,
VAR40, VAR49, VAR37) begin
VAR8 = VAR62;
VAR51 = VAR6;
if (VAR36 != 1'b0) begin
VAR34 = 1'b1;
end
else begin
VAR34 = 1'b0;
end
if (VAR22 != 1'b0) begin
VAR27 = 1'b1;
end
else begin
VAR27 = 1'b0;
end
if (VAR49 != 1'b0) begin
VAR5 = 1'b1;
end
else begin
VAR5 = 1'b0;
end
case ( VAR62)
8'd0 :
begin
VAR55 = 1'b1;
VAR61 = 1'b0;
VAR2 = 1'b0;
if (VAR34) begin
VAR8 = 8'd1;
end
else begin
VAR8 = 8'd0;
end
end
8'd1 :
begin
VAR55 = 1'b0;
VAR61 = 1'b1;
VAR2 = 1'b0;
if (VAR27) begin
VAR8 = 8'd2;
end
else begin
VAR8 = 8'd1;
end
end
8'd2 :
begin
VAR55 = 1'b0;
VAR61 = 1'b0;
VAR2 = 1'b1;
if (VAR40 != 1'b0) begin
VAR8 = 8'd0;
end
else begin
VAR8 = 8'd2;
end
end
default :
begin
VAR55 = 1'b0;
VAR61 = 1'b0;
VAR2 = 1'b0;
VAR8 = 8'd0;
end
endcase
case ( VAR6)
8'd0 :
begin
VAR52 = 1'b1;
VAR11 = 1'b0;
if (VAR5) begin
VAR51 = 8'd1;
end
else begin
VAR51 = 8'd0;
end
end
8'd1 :
begin
VAR52 = 1'b0;
VAR11 = 1'b1;
if (VAR37 != 1'b0) begin
VAR51 = 8'd0;
end
else begin
VAR51 = 8'd1;
end
end
default :
begin
VAR52 = 1'b0;
VAR11 = 1'b0;
VAR51 = 8'd0;
end
endcase
VAR21 = VAR55;
VAR41 = VAR61;
VAR43 = VAR52;
VAR1 = VAR34 && VAR55;
VAR45 = VAR27 && VAR61;
VAR35 = VAR5 && VAR52;
end
assign VAR59 = 2'b00;
assign VAR60 = VAR59;
always @(posedge VAR48)
begin : VAR9
if (reset == 1'b1) begin
VAR63 <= 32'b00000000000000000000000000000000;
end
else if (VAR57 && VAR35) begin
VAR63 <= VAR13;
end
end
assign VAR4 = VAR59;
always @(posedge VAR48)
begin : VAR18
if (reset == 1'b1) begin
VAR47 <= 32'b00000000000000000000000000000000;
end
else if (VAR57 && VAR45) begin
VAR47 <= VAR3;
end
end
assign VAR28 = VAR47;
always @(posedge VAR48)
begin : VAR20
if (reset == 1'b1) begin
VAR58 <= 32'b00000000000000000000000000000000;
end
else if (VAR57 && VAR1) begin
VAR58 <= VAR64;
end
end
assign VAR38 = VAR58[15:2];
assign VAR31 = VAR10[15:2];
assign VAR42 = (VAR49 == 1'b0 ? VAR38 :
VAR31);
always @(posedge VAR48)
begin : VAR30
if (reset == 1'b1) begin
VAR14 <= 1'b0;
end
else if (VAR57) begin
VAR14 <= VAR45;
end
end
assign VAR50 = VAR35;
assign VAR24 = (VAR38 == 14'b00000000000000 ? 1'b1 :
1'b0);
assign VAR44 = VAR24 & VAR14;
assign VAR7 = 1'b0;
assign VAR25 = VAR47[0];
assign VAR19 = (VAR44 == 1'b0 ? VAR7 :
VAR25);
always @(posedge VAR48)
begin : VAR16
if (reset == 1'b1) begin
VAR12 <= 1'b0;
end
else if (VAR57) begin
VAR12 <= VAR19;
end
end
assign VAR46 = reset | VAR12;
assign VAR23 = VAR21;
assign VAR33 = VAR41;
assign VAR53 = VAR2;
assign VAR26 = VAR43;
assign VAR56 = VAR63;
assign VAR54 = VAR11;
assign VAR39 = VAR14;
endmodule | gpl-3.0 |
drichmond/riffa | fpga/xilinx/vc707/VC707_Gen2x8If128/hdl/VC707_Gen2x8If128.v | 20,945 | module MODULE1
parameter VAR45 = 8,
parameter VAR123 = 128,
parameter VAR86 = 256,
parameter VAR93 = 6
)
(output [(VAR45 - 1) : 0] VAR33,
output [(VAR45 - 1) : 0] VAR124,
input [(VAR45 - 1) : 0] VAR110,
input [(VAR45 - 1) : 0] VAR100,
output [3:0] VAR126,
input VAR175,
input VAR57,
input VAR71
);
wire VAR32;
wire VAR186;
wire VAR129;
wire VAR39;
wire VAR1;
wire VAR117;
wire VAR64;
wire [VAR123-1 : 0] VAR91;
wire [(VAR123/8)-1 : 0] VAR66;
wire VAR156;
wire VAR14;
wire [VAR80 : 0] VAR154;
wire [VAR123-1 : 0] VAR42;
wire [(VAR123/8)-1 : 0] VAR121;
wire VAR147;
wire VAR20;
wire VAR43;
wire [VAR171 - 1 : 0] VAR150;
wire VAR112;
wire VAR99;
wire VAR113;
wire VAR25;
wire VAR143;
wire VAR56;
wire VAR79;
wire VAR68;
wire [1:0] VAR74;
wire VAR185;
wire [63:0] VAR38;
wire [11 : 0] VAR36;
wire [7 : 0] VAR176;
wire [11 : 0] VAR138;
wire [7 : 0] VAR5;
wire [11 : 0] VAR84;
wire [7 : 0] VAR170;
wire [2 : 0] VAR120;
wire [15 : 0] VAR152;
wire [15 : 0] VAR136;
wire [15 : 0] VAR115;
wire [15 : 0] VAR31;
wire [15 : 0] VAR157;
wire [15 : 0] VAR4;
wire [15 : 0] VAR140;
wire [2 : 0] VAR65;
wire VAR102;
wire [1 : 0] VAR169;
wire VAR162;
wire VAR135;
wire [4 : 0] VAR28;
wire VAR63;
wire [7 : 0] VAR141;
wire [4 : 0] VAR82;
wire [2 : 0] VAR94;
wire VAR3;
wire VAR92;
wire VAR149;
wire [7 : 0] VAR187;
wire [7 : 0] VAR89;
wire [2 : 0] VAR53;
wire VAR116;
wire VAR9;
wire VAR37;
wire VAR15;
wire VAR180;
wire [VAR172-1:0] VAR104;
wire [VAR172-1:0] VAR61;
wire [VAR172-1:0] VAR51;
wire [VAR172-1:0] VAR35;
wire [(VAR172*VAR105)-1:0] VAR67;
wire [(VAR172*VAR52)-1:0] VAR6;
wire [(VAR172*VAR123)-1:0] VAR75;
wire [VAR172-1:0] VAR145;
wire [VAR172-1:0] VAR85;
wire [VAR172-1:0] VAR7;
wire [VAR172-1:0] VAR17;
wire [VAR172-1:0] VAR119;
wire [VAR172-1:0] VAR48;
wire [(VAR172*VAR105)-1:0] VAR148;
wire [(VAR172*VAR52)-1:0] VAR98;
wire [(VAR172*VAR123)-1:0] VAR73;
wire [VAR172-1:0] VAR97;
wire [VAR172-1:0] VAR165;
genvar VAR128;
assign VAR25 = 0;
assign VAR143 = 0;
assign VAR56 = 0;
assign VAR79 = 0;
assign VAR68 = 0;
assign VAR74 = 0;
assign VAR38 = 0;
assign VAR149 = 0;
assign VAR187 = 0;
assign VAR15 = 0;
assign VAR28 = 0;
assign VAR25 = 0;
assign VAR185 = 0;
VAR118
VAR158
(.VAR179(VAR186),
.VAR29(VAR71));
VAR41
VAR103
(.VAR179(VAR32),
.VAR34(),
.VAR29(VAR175),
.VAR181(1'b0),
.VAR70(VAR57));
VAR40 VAR131
( .VAR16 ( VAR124 ),
.VAR106 ( VAR33 ),
.VAR10 ( VAR100 ),
.VAR69 ( VAR110 ),
.VAR24 ( VAR129 ),
.VAR182 ( VAR39 ),
.VAR1 ( VAR1 ),
.VAR117 ( VAR117 ),
.VAR64 ( VAR64 ),
.VAR91 ( VAR91 ),
.VAR66 ( VAR66 ),
.VAR154 ( VAR154 ),
.VAR156 ( VAR156 ),
.VAR14 ( VAR14 ),
.VAR42 ( VAR42 ),
.VAR121 ( VAR121 ),
.VAR147 ( VAR147 ),
.VAR20 ( VAR20 ),
.VAR43 ( VAR43 ),
.VAR150 ( VAR150 ),
.VAR112 ( VAR112 ),
.VAR99 ( VAR99 ),
.VAR113 ( VAR113 ),
.VAR143 ( VAR143 ),
.VAR56 ( VAR56 ),
.VAR79 ( VAR79 ),
.VAR68 ( VAR68 ),
.VAR74 ( VAR74 ),
.VAR38 ( VAR38 ),
.VAR25 ( VAR25 ),
.VAR185 ( VAR185 ),
.VAR72 ( 1'b0 ),
.VAR108 ( 8'b0 ),
.VAR183 ( 5'b0 ),
.VAR151 ( 3'b0 ),
.VAR36 ( VAR36 ),
.VAR176 ( VAR176 ),
.VAR138 ( VAR138 ),
.VAR5 ( VAR5 ),
.VAR84 ( VAR84 ),
.VAR170 ( VAR170 ),
.VAR120 ( VAR120 ),
.VAR82 ( VAR82 ),
.VAR140 ( VAR140 ),
.VAR162 ( VAR162 ),
.VAR152 ( VAR152 ),
.VAR63 ( VAR63 ),
.VAR135 ( VAR135 ),
.VAR31 ( VAR31 ),
.VAR141 ( VAR141 ),
.VAR94 ( VAR94 ),
.VAR136 ( VAR136 ),
.VAR115 ( VAR115 ),
.VAR157 ( VAR157 ),
.VAR65 ( VAR65 ),
.VAR4 ( VAR4 ),
.VAR102 ( VAR102 ),
.VAR169 ( VAR169 ),
.VAR3 ( VAR3 ),
.VAR92 ( VAR92 ),
.VAR149 ( VAR149 ),
.VAR187 ( VAR187 ),
.VAR89 ( VAR89 ),
.VAR53 ( VAR53 ),
.VAR23 ( VAR116 ),
.VAR9 ( VAR9 ),
.VAR37 ( VAR37 ),
.VAR15 ( VAR15 ),
.VAR28 ( VAR28 ),
.VAR27 ( VAR32 ),
.VAR11 ( VAR186 )
);
VAR168
.VAR93 (VAR93),
.VAR172 (VAR172),
.VAR123 (VAR123),
.VAR86 (VAR86))
VAR87
(
.VAR142 (VAR3),
.VAR83 (VAR43),
.VAR50 (VAR91[VAR123-1:0]),
.VAR161 (VAR66[(VAR123/8)-1:0]),
.VAR133 (VAR156),
.VAR18 (VAR14),
.VAR12 (VAR154[VAR80-1:0]),
.VAR178 (VAR120[VAR8-1:0]),
.VAR90 (VAR180),
.VAR46 (VAR61[VAR172-1:0]),
.VAR13 (VAR35[VAR172-1:0]),
.VAR153 (VAR67[(VAR172*VAR105)-1:0]),
.VAR167 (VAR6[(VAR172*VAR52)-1:0]),
.VAR54 (VAR75[(VAR172*VAR123)-1:0]),
.VAR159 (VAR145[VAR172-1:0]),
.VAR166 (VAR119[VAR172-1:0]),
.VAR132 (VAR165[VAR172-1:0]),
.VAR173 (VAR42[VAR123-1:0]),
.VAR160 (VAR121[(VAR123/8)-1:0]),
.VAR184 (VAR147),
.VAR30 (VAR20),
.VAR177 (VAR150[VAR171-1:0]),
.VAR107 (VAR64),
.VAR76 (VAR141[VAR2-1:0]),
.VAR101 (VAR82[VAR47-1:0]),
.VAR144 (VAR94[VAR96-1:0]),
.VAR137 (VAR136[VAR21-1:0]),
.VAR26 (VAR31[VAR21-1:0]),
.VAR146 (VAR157[VAR21-1:0]),
.VAR164 (VAR4[VAR21-1:0]),
.VAR62 (VAR36[VAR134-1:0]),
.VAR78 (VAR176[VAR155-1:0]),
.VAR95 (VAR116),
.VAR49 (VAR92),
.VAR114 (VAR129),
.VAR81 (VAR39),
.VAR109 (VAR104[VAR172-1:0]),
.VAR139 (VAR51[VAR172-1:0]),
.VAR19 (VAR85[VAR172-1:0]),
.VAR88 (VAR7[VAR172-1:0]),
.VAR77 (VAR17[VAR172-1:0]),
.VAR111 (VAR48[VAR172-1:0]),
.VAR59 (VAR148[(VAR172*VAR105)-1:0]),
.VAR127 (VAR98[(VAR172*VAR52)-1:0]),
.VAR174 (VAR73[(VAR172*VAR123)-1:0]),
.VAR163 (VAR97[VAR172-1:0]),
.VAR125 (VAR99),
.VAR60 (VAR112),
.VAR122 (VAR113)
);
generate
for (VAR128 = 0; VAR128 < VAR172; VAR128 = VAR128 + 1) begin : VAR44
VAR55
.VAR123(VAR123)
)
VAR130
(.VAR58(VAR129),
.VAR22(VAR180), .VAR109(VAR104[VAR128]),
.VAR46(VAR61[VAR128]),
.VAR139(VAR51[VAR128]),
.VAR13(VAR35[VAR128]),
.VAR153(VAR67[32*VAR128 +:32]),
.VAR167(VAR6[31*VAR128 +:31]),
.VAR54(VAR75[VAR123*VAR128 +:VAR123]),
.VAR159(VAR145[VAR128]),
.VAR19(VAR85[VAR128]),
.VAR88(VAR7[VAR128]),
.VAR77(VAR17[VAR128]),
.VAR166(VAR119[VAR128]),
.VAR111(VAR48[VAR128]),
.VAR59(VAR148[32*VAR128 +:32]),
.VAR127(VAR98[31*VAR128 +:31]),
.VAR174(VAR73[VAR123*VAR128 +:VAR123]),
.VAR163(VAR97[VAR128]),
.VAR132(VAR165[VAR128])
);
end
endgenerate
endmodule | bsd-3-clause |
vipinkmenon/fpgadriver | src/hw/fpga/source/memory_if/bank_cntrl.v | 25,514 | module MODULE1 #
(
parameter VAR118 = 100,
parameter VAR136 = "1T",
parameter VAR33 = 3,
parameter VAR58 = 2,
parameter VAR1 = "8",
parameter VAR135 = 12,
parameter VAR85 = 5,
parameter VAR42 = 8,
parameter VAR140 = "VAR129",
parameter VAR20 = "VAR18",
parameter VAR44 = 4,
parameter VAR100 = 4,
parameter VAR137 = 2,
parameter VAR123 = 2,
parameter VAR61 = 2,
parameter VAR60 = 0,
parameter VAR78 = 10,
parameter VAR142 = 5,
parameter VAR25 = 4,
parameter VAR31 = 10,
parameter VAR124 = 5,
parameter VAR37 = "VAR11",
parameter VAR86 = 2,
parameter VAR28 = 4,
parameter VAR12 = 5,
parameter VAR41 = 16,
parameter VAR55 = 2
)
(
VAR127, VAR139, VAR72, VAR96, VAR81, VAR64,
VAR87, VAR68, VAR88, VAR13, VAR120,
VAR39, VAR93, VAR74, VAR30,
VAR35, VAR43, VAR62, VAR106,
VAR67, VAR34, VAR70, VAR53,
VAR111, VAR40, VAR141, VAR131, VAR29,
VAR92, VAR79, VAR83, VAR102, VAR45, VAR101,
VAR133, VAR63, VAR77, VAR113,
VAR98, VAR97, VAR66, VAR84, VAR75,
VAR112, VAR71, VAR105, VAR47, VAR107, rst, VAR8,
VAR89, VAR5, VAR46, VAR15,
VAR6, VAR24, VAR22, VAR117,
VAR121, VAR52, VAR138,
VAR82, VAR9, VAR128, VAR10, VAR134,
VAR65, VAR48, VAR76, VAR19,
VAR132, VAR54, VAR7, VAR99,
VAR126, VAR122, VAR130, VAR91,
VAR23, VAR94, VAR16,
VAR38, VAR110, VAR125, clk, VAR103, VAR95, VAR50,
VAR32, VAR90
);
input VAR90; input VAR32; input VAR50; input [VAR33-1:0] VAR95; input [(VAR100*2)-1:0] VAR103; input clk; input [2:0] VAR125; input [VAR135-1:0] VAR110; input [VAR42-1:0] VAR38; input [(VAR100*2)-1:0] VAR16; input [(VAR100*2)-1:0] VAR94; input VAR23; input VAR91; input VAR130; input [VAR58-1:0] VAR122; input [VAR28-1:0] VAR126; input VAR99; input [VAR28-1:0] VAR7; input VAR54; input [VAR86:0] VAR132; input VAR19; input VAR76; input VAR48; input VAR65; input [VAR86-1:0] VAR134; input VAR10; input VAR128; input VAR9; input [VAR58-1:0] VAR82; input [(VAR100*2)-1:0] VAR138; input VAR52; input VAR121; input [VAR86-1:0] VAR117; input [VAR86-1:0] VAR22; input [(2*(VAR12*VAR100))-1:0] VAR24; input [VAR58-1:0] VAR6; input [(VAR100*2)-1:0] VAR15; input [VAR42-1:0] VAR46; input VAR5; input [(VAR86*VAR100*2)-1:0] VAR89; input [VAR41-1:0] VAR8; input rst; input VAR107; input VAR47; input VAR105; input VAR71; input VAR112; input [(VAR100*2)-1:0] VAR75; input VAR84; input VAR66; input VAR97; input [VAR28-1:0] VAR98;
output [VAR28-1:0] VAR131; output [VAR41-1:0] VAR141; output VAR40; output VAR111; output VAR53; output VAR70; output VAR34; output VAR67; output VAR106; output [VAR28-1:0] VAR62; output [VAR12-1:0] VAR43; output VAR35; output [VAR28-1:0] VAR30; output [VAR33-1:0] VAR74; output VAR93; output VAR39; output VAR120; output [VAR41-1:0] VAR13; output VAR88; output [VAR41-1:0] VAR68; output VAR87; output VAR64; output VAR81; output VAR96; output VAR72; output VAR139; output [VAR28-1:0] VAR127;
wire VAR21; wire VAR3; wire VAR36; wire VAR57; wire VAR116; wire VAR115; wire VAR73; wire VAR109; wire VAR51; wire VAR17; wire VAR69; wire [VAR100*2-1:0] VAR59; wire VAR14; wire VAR4; wire VAR26; wire VAR119; wire VAR114; wire VAR108;
output VAR29;
output VAR92;
output VAR79;
output VAR83;
output VAR102;
output VAR45;
output [VAR86-1:0] VAR101;
output VAR133;
output VAR63;
output VAR77;
output [VAR42-1:0] VAR113;
VAR2 #
(
.VAR33 (VAR33),
.VAR118 (VAR118),
.VAR1 (VAR1),
.VAR135 (VAR135),
.VAR42 (VAR42),
.VAR20 (VAR20),
.VAR86 (VAR86),
.VAR28 (VAR28),
.VAR41 (VAR41))
VAR104
(
.VAR113 (VAR113[VAR42-1:0]),
.VAR39 (VAR39),
.VAR88 (VAR88),
.VAR79 (VAR79),
.VAR101 (VAR101[VAR86-1:0]),
.VAR74 (VAR74[VAR33-1:0]),
.VAR13 (VAR13[VAR41-1:0]),
.VAR92 (VAR92),
.VAR26 (VAR26),
.VAR133 (VAR133),
.VAR35 (VAR35),
.VAR119 (VAR119),
.VAR77 (VAR77),
.VAR141 (VAR141[VAR41-1:0]),
.VAR120 (VAR120),
.VAR93 (VAR93),
.VAR87 (VAR87),
.VAR68 (VAR68[VAR41-1:0]),
.VAR62 (VAR62[VAR28-1:0]),
.clk (clk),
.VAR29 (VAR29),
.VAR102 (VAR102),
.VAR38 (VAR38[VAR42-1:0]),
.VAR121 (VAR121),
.VAR112 (VAR112),
.VAR125 (VAR125[2:0]),
.VAR107 (VAR107),
.VAR22 (VAR22[VAR86-1:0]),
.VAR117 (VAR117[VAR86-1:0]),
.VAR95 (VAR95[VAR33-1:0]),
.VAR8 (VAR8[VAR41-1:0]),
.VAR110 (VAR110[VAR135-1:0]),
.VAR130 (VAR130),
.VAR134 (VAR134[VAR86-1:0]),
.VAR128 (VAR128),
.VAR36 (VAR36),
.VAR4 (VAR4),
.VAR21 (VAR21));
VAR49 #
(
.VAR118 (VAR118),
.VAR136 (VAR136),
.VAR58 (VAR58),
.VAR1 (VAR1),
.VAR85 (VAR85),
.VAR42 (VAR42),
.VAR140 (VAR140),
.VAR20 (VAR20),
.VAR44 (VAR44),
.VAR100 (VAR100),
.VAR137 (VAR137),
.VAR123 (VAR123),
.VAR61 (VAR61),
.VAR60 (VAR60),
.VAR78 (VAR78),
.VAR31 (VAR31),
.VAR25 (VAR25),
.VAR142 (VAR142),
.VAR124 (VAR124),
.VAR37 (VAR37),
.VAR28 (VAR28),
.VAR86 (VAR86),
.VAR12 (VAR12),
.VAR55 (VAR55))
VAR56
(
.VAR139 (VAR139),
.VAR21 (VAR21),
.VAR4 (VAR4),
.VAR43 (VAR43[VAR12-1:0]),
.VAR70 (VAR70),
.VAR57 (VAR57),
.VAR72 (VAR72),
.VAR34 (VAR34),
.VAR109 (VAR109),
.VAR3 (VAR3),
.VAR51 (VAR51),
.VAR111 (VAR111),
.VAR96 (VAR96),
.VAR131 (VAR131[VAR28-1:0]),
.VAR53 (VAR53),
.VAR64 (VAR64),
.VAR40 (VAR40),
.VAR81 (VAR81),
.VAR127 (VAR127[VAR28-1:0]),
.VAR30 (VAR30[VAR28-1:0]),
.clk (clk),
.rst (rst),
.VAR83 (VAR83),
.VAR73 (VAR73),
.VAR47 (VAR47),
.VAR14 (VAR14),
.VAR107 (VAR107),
.VAR79 (VAR79),
.VAR92 (VAR92),
.VAR46 (VAR46[VAR42-1:0]),
.VAR113 (VAR113[VAR42-1:0]),
.VAR23 (VAR23),
.VAR5 (VAR5),
.VAR24 (VAR24[(2*(VAR12*VAR100))-1:0]),
.VAR59 (VAR59[(VAR100*2)-1:0]),
.VAR102 (VAR102),
.VAR63 (VAR63),
.VAR48 (VAR48),
.VAR9 (VAR9),
.VAR114 (VAR114),
.VAR36 (VAR36),
.VAR115 (VAR115),
.VAR101 (VAR101[VAR86-1:0]),
.VAR89 (VAR89[(VAR86*VAR100*2)-1:0]),
.VAR75 (VAR75[(VAR100*2)-1:0]),
.VAR126 (VAR126[VAR28-1:0]),
.VAR108 (VAR108),
.VAR45 (VAR45),
.VAR71 (VAR71),
.VAR16 (VAR16[(VAR100*2)-1:0]),
.VAR116 (VAR116),
.VAR105 (VAR105),
.VAR69 (VAR69),
.VAR17 (VAR17),
.VAR26 (VAR26),
.VAR29 (VAR29),
.VAR94 (VAR94[(VAR100*2)-1:0]),
.VAR19 (VAR19),
.VAR76 (VAR76),
.VAR132 (VAR132[VAR86:0]),
.VAR98 (VAR98[VAR28-1:0]),
.VAR99 (VAR99),
.VAR54 (VAR54),
.VAR7 (VAR7[VAR28-1:0]),
.VAR91 (VAR91));
VAR27 #
(
.VAR118 (VAR118),
.VAR58 (VAR58),
.VAR100 (VAR100),
.VAR37 (VAR37),
.VAR44 (VAR44))
VAR80
(
.VAR45 (VAR45),
.VAR114 (VAR114),
.VAR29 (VAR29),
.VAR102 (VAR102),
.VAR115 (VAR115),
.VAR73 (VAR73),
.VAR36 (VAR36),
.VAR83 (VAR83),
.VAR63 (VAR63),
.VAR67 (VAR67),
.VAR106 (VAR106),
.VAR116 (VAR116),
.VAR14 (VAR14),
.VAR59 (VAR59[VAR100*2-1:0]),
.VAR69 (VAR69),
.VAR17 (VAR17),
.VAR108 (VAR108),
.clk (clk),
.rst (rst),
.VAR90 (VAR90),
.VAR84 (VAR84),
.VAR52 (VAR52),
.VAR103 (VAR103[(VAR100*2)-1:0]),
.VAR122 (VAR122[VAR58-1:0]),
.VAR6 (VAR6[VAR58-1:0]),
.VAR32 (VAR32),
.VAR133 (VAR133),
.VAR65 (VAR65),
.VAR77 (VAR77),
.VAR119 (VAR119),
.VAR109 (VAR109),
.VAR3 (VAR3),
.VAR107 (VAR107),
.VAR92 (VAR92),
.VAR79 (VAR79),
.VAR57 (VAR57),
.VAR51 (VAR51),
.VAR50 (VAR50),
.VAR82 (VAR82[VAR58-1:0]),
.VAR15 (VAR15[(VAR100*2)-1:0]),
.VAR138 (VAR138[(VAR100*2)-1:0]),
.VAR97 (VAR97),
.VAR10 (VAR10),
.VAR66 (VAR66));
endmodule | mit |
ShirmanXia/EE469SPRING16 | lab4/nios_system/synthesis/submodules/nios_system_charSent.v | 2,207 | module MODULE1 (
address,
VAR9,
clk,
VAR6,
VAR4,
VAR8,
VAR5,
VAR3
)
;
output VAR5;
output [ 31: 0] VAR3;
input [ 1: 0] address;
input VAR9;
input clk;
input VAR6;
input VAR4;
input [ 31: 0] VAR8;
wire VAR2;
reg VAR1;
wire VAR5;
wire VAR7;
wire [ 31: 0] VAR3;
assign VAR2 = 1;
assign VAR7 = {1 {(address == 0)}} & VAR1;
always @(posedge clk or negedge VAR6)
begin
if (VAR6 == 0)
VAR1 <= 0;
end
else if (VAR9 && ~VAR4 && (address == 0))
VAR1 <= VAR8;
end
assign VAR3 = {32'b0 | VAR7};
assign VAR5 = VAR1;
endmodule | gpl-3.0 |
eda-globetrotter/MarcheProcessor | wwp/prog_counter2.v | 1,429 | module MODULE1 (VAR1,rst,clk);
output [0:31] VAR1;
input clk;
input rst;
reg [0:31] VAR1; reg [0:31] VAR2;
always @(posedge clk)
begin
if(rst)
begin
VAR1<=32'd0;
VAR2<=32'd0;
end
else
begin
VAR2<=VAR2+32'd4;
VAR1<=VAR2>>2;
end
end
endmodule | mit |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/aoi21/gf180mcu_fd_sc_mcu9t5v0__aoi21_1.functional.v | 1,360 | module MODULE1( VAR15, VAR6, VAR10, VAR7 );
input VAR10, VAR15, VAR7;
output VAR6;
wire VAR9;
not VAR3( VAR9, VAR10 );
wire VAR2;
not VAR13( VAR2, VAR7 );
wire VAR5;
and VAR4( VAR5, VAR9, VAR2 );
wire VAR8;
not VAR14( VAR8, VAR15 );
wire VAR1;
and VAR12( VAR1, VAR8, VAR2 );
or VAR11( VAR6, VAR5, VAR1 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/diode/sky130_fd_sc_lp__diode.symbol.v | 1,245 | module MODULE1 (
input VAR5
);
supply1 VAR4;
supply0 VAR2;
supply1 VAR1 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
smithe0/GestureControlInterface | DE2Component_FLASH/niosII_system/synthesis/submodules/niosII_system_switches.v | 1,911 | module MODULE1 (
address,
clk,
VAR5,
VAR3,
VAR1
)
;
output [ 31: 0] VAR1;
input [ 1: 0] address;
input clk;
input [ 7: 0] VAR5;
input VAR3;
wire VAR6;
wire [ 7: 0] VAR2;
wire [ 7: 0] VAR4;
reg [ 31: 0] VAR1;
assign VAR6 = 1;
assign VAR4 = {8 {(address == 0)}} & VAR2;
always @(posedge clk or negedge VAR3)
begin
if (VAR3 == 0)
VAR1 <= 0;
end
else if (VAR6)
VAR1 <= {32'b0 | VAR4};
end
assign VAR2 = VAR5;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/nor4bb/sky130_fd_sc_lp__nor4bb.pp.blackbox.v | 1,351 | module MODULE1 (
VAR2 ,
VAR7 ,
VAR6 ,
VAR4 ,
VAR5 ,
VAR1,
VAR3,
VAR8 ,
VAR9
);
output VAR2 ;
input VAR7 ;
input VAR6 ;
input VAR4 ;
input VAR5 ;
input VAR1;
input VAR3;
input VAR8 ;
input VAR9 ;
endmodule | apache-2.0 |
asciilifeform/Stierlitz | ml501/stierlitz.v | 8,786 | module MODULE1
(clk,
reset,
enable,
VAR33,
VAR20,
VAR6,
VAR30,
VAR27,
VAR4,
VAR9,
VAR7,
VAR23,
VAR36,
VAR21,
VAR19
);
localparam [1:0]
VAR22 = 2'b00,
VAR17 = 2'b01,
VAR13 = 2'b10,
VAR34 = 2'b11;
localparam [2:0]
VAR28 = 0,
VAR25 = 1,
VAR18 = 2,
VAR14 = 3,
VAR35 = 4,
VAR5 = 5,
VAR32 = 6,
VAR24 = 7;
input wire clk;
input wire reset;
input wire enable;
output wire [40:0] VAR20;
inout wire [7:0] VAR6;
output wire VAR30;
output wire VAR27;
input wire VAR33;
output wire [1:0] VAR4;
inout wire [15:0] VAR9;
output wire VAR7;
output wire VAR23;
output wire VAR36;
input wire VAR21;
output wire VAR19;
reg [7:0] VAR8 [3:0];
reg [8:0] VAR26;
assign VAR20[8:0] = VAR26;
assign VAR20[16:9] = VAR8[0];
assign VAR20[24:17] = VAR8[1];
assign VAR20[32:25] = VAR8[2];
assign VAR20[40:33] = VAR8[3];
reg [7:0] VAR31;
reg VAR16;
assign VAR30 = VAR16;
assign VAR6 = VAR16 ? 8'VAR1 : VAR31;
reg VAR2;
assign VAR27 = VAR2;
assign VAR36 = ~enable;
assign VAR19 = ~reset;
assign VAR4[1:0] = VAR17;
reg VAR3;
reg VAR15;
assign VAR7 = ~VAR3;
assign VAR23 = ~VAR15;
wire VAR11;
assign VAR11 = VAR15 & ~(VAR3) & enable;
reg [15:0] VAR29;
assign VAR9 = VAR11 ? VAR29 : 16'VAR1;
reg [15:0] VAR10;
reg [2:0] VAR12;
always @(posedge clk, posedge reset)
if (reset)
begin
VAR3 <= 0;
VAR15 <= 0;
VAR16 <= 1;
VAR10 <= 0;
VAR29 <= 0;
VAR8[0] <= 0;
VAR8[1] <= 0;
VAR8[2] <= 0;
VAR8[3] <= 0;
VAR2 <= 0;
VAR31 <= 0;
VAR26 <= 0;
VAR12 = VAR28;
end
else
begin
case (VAR12)
VAR28:
begin
VAR3 <= 0;
VAR15 <= 0;
VAR16 <= 1;
VAR12 = VAR21 ? VAR25 : VAR28;
end
VAR25:
begin
VAR3 <= 1;
VAR15 <= 0;
VAR12 = VAR18;
end
VAR18:
begin
VAR3 <= 1;
VAR15 <= 0;
VAR10 <= VAR9;
VAR12 = VAR5;
end
VAR14:
begin
VAR3 <= 0;
VAR15 <= 1;
VAR2 <= 0;
VAR12 = VAR35;
end
VAR35:
begin
VAR3 <= 0;
VAR15 <= 0;
VAR26 <= VAR33 ? (VAR26 + 1) : VAR26;
VAR12 = VAR28;
end
VAR5:
begin
VAR3 <= 0;
VAR15 <= 0;
case (VAR10[15:14])
2'b00:
begin
VAR8[(VAR10[9:8])] <= VAR10[7:0];
VAR26 <= 0;
VAR12 = VAR28;
end
2'b10:
begin
VAR31 <= VAR10[7:0];
VAR16 <= 0;
VAR12 = VAR24;
end
2'b01:
begin
VAR16 <= 1;
VAR2 <= 1;
VAR12 = VAR32;
end
default:
begin
VAR12 = VAR28;
end
endcase end
VAR32:
begin
VAR3 <= 0;
VAR15 <= 0;
VAR29[7:0] <= VAR6;
VAR12 = VAR33 ? VAR14 : VAR32;
end
VAR24:
begin
VAR3 <= 0;
VAR15 <= 0;
VAR2 <= 1;
VAR12 = VAR33 ? VAR14 : VAR24;
end
default:
begin
VAR3 <= 0;
VAR15 <= 0;
VAR12 = VAR28;
end
endcase end
endmodule | gpl-3.0 |
cathalmccabe/PYNQ | boards/ip/io_switch_1.1/hdl/io_switch_v1_1.v | 5,829 | module MODULE1 #
(
parameter VAR43=4, parameter VAR57 = 28,
parameter VAR28 = 6,
parameter VAR35 = 8,
parameter VAR72 = 2,
parameter integer VAR6 = 32,
parameter integer VAR83 = 6
)
(
input [VAR57-1:0] VAR99,
output [VAR57-1:0] VAR26,
output [VAR57-1:0] VAR79,
output [VAR57-1:0] VAR93,
input [VAR57-1:0] VAR39,
input [VAR57-1:0] VAR92,
output [VAR57-1:0] VAR27,
output VAR64,
input VAR8,
input VAR94,
output VAR21,
input VAR76,
input VAR78,
output VAR23,
input VAR68,
input VAR62,
output VAR19,
input VAR50,
input VAR55,
output VAR9,
input VAR7,
output VAR45,
input VAR40,
output VAR88,
input VAR66,
input VAR90,
output VAR74,
input VAR15,
input VAR29,
output VAR89,
input VAR4,
input VAR67,
input [VAR72-1:0] VAR11,
input VAR49,
output VAR65,
input VAR91,
input VAR84,
output VAR52,
input VAR22,
input VAR41,
output VAR16,
input VAR81,
input VAR25,
input VAR61,
input VAR31,
input [VAR28-1:0] VAR12,
output [VAR35-1:0] VAR95, input [VAR35-1:0] VAR14,
input wire VAR87,
input wire VAR59,
input wire [VAR83-1 : 0] VAR3,
input wire [2 : 0] VAR48,
input wire VAR86,
output wire VAR71,
input wire [VAR6-1 : 0] VAR80,
input wire [(VAR6/8)-1 : 0] VAR96,
input wire VAR5,
output wire VAR34,
output wire [1 : 0] VAR82,
output wire VAR36,
input wire VAR13,
input wire [VAR83-1 : 0] VAR56,
input wire [2 : 0] VAR30,
input wire VAR51,
output wire VAR97,
output wire [VAR6-1 : 0] VAR63,
output wire [1 : 0] VAR47,
output wire VAR46,
input wire VAR18
);
VAR32 # (
.VAR43(VAR43),
.VAR57(VAR57),
.VAR28(VAR28),
.VAR35(VAR35),
.VAR72(VAR72),
.VAR6(VAR6),
.VAR83(VAR83)
) VAR98 (
.VAR99(VAR99),
.VAR26(VAR26),
.VAR79(VAR79),
.VAR93(VAR93),
.VAR39(VAR39),
.VAR92(VAR92),
.VAR27(VAR27),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR45(VAR45),
.VAR40(VAR40),
.VAR64(VAR64),
.VAR8(VAR8),
.VAR94(VAR94),
.VAR21(VAR21),
.VAR76(VAR76),
.VAR78(VAR78),
.VAR23(VAR23),
.VAR68(VAR68),
.VAR62(VAR62),
.VAR19(VAR19),
.VAR50(VAR50),
.VAR55(VAR55),
.VAR88(VAR88),
.VAR66(VAR66),
.VAR90(VAR90),
.VAR74(VAR74),
.VAR15(VAR15),
.VAR29(VAR29),
.VAR89(VAR89),
.VAR4(VAR4),
.VAR67(VAR67),
.VAR11(VAR11),
.VAR49(VAR49),
.VAR65(VAR65),
.VAR91(VAR91),
.VAR84(VAR84),
.VAR52(VAR52),
.VAR22(VAR22),
.VAR41(VAR41),
.VAR16(VAR16),
.VAR81(VAR81),
.VAR25(VAR25),
.VAR61(VAR61),
.VAR31(VAR31),
.VAR12(VAR12),
.VAR95(VAR95), .VAR14(VAR14),
.VAR70(VAR87),
.VAR44(VAR59),
.VAR85(VAR3),
.VAR2(VAR48),
.VAR33(VAR86),
.VAR73(VAR71),
.VAR24(VAR80),
.VAR37(VAR96),
.VAR17(VAR5),
.VAR20(VAR34),
.VAR1(VAR82),
.VAR58(VAR36),
.VAR38(VAR13),
.VAR69(VAR56),
.VAR77(VAR30),
.VAR75(VAR51),
.VAR10(VAR97),
.VAR53(VAR63),
.VAR42(VAR47),
.VAR54(VAR46),
.VAR60(VAR18)
);
endmodule | bsd-3-clause |
spike556/HuffmanCode | rtl model/sortnet/BitonicSortX8.v | 2,066 | module MODULE1 # (
parameter VAR23 = 18,
parameter VAR16 = 8
)(
input [VAR23-1:0] VAR25,
input [VAR23-1:0] VAR7,
input [VAR23-1:0] VAR36,
input [VAR23-1:0] VAR21,
input [VAR23-1:0] VAR4,
input [VAR23-1:0] VAR14,
input [VAR23-1:0] VAR11,
input [VAR23-1:0] VAR2,
output wire [VAR23-1:0] VAR12,
output wire [VAR23-1:0] VAR29,
output wire [VAR23-1:0] VAR6,
output wire [VAR23-1:0] VAR30,
output wire [VAR23-1:0] VAR28,
output wire [VAR23-1:0] VAR3,
output wire [VAR23-1:0] VAR20,
output wire [VAR23-1:0] VAR13
);
wire [VAR23-1:0] VAR31;
wire [VAR23-1:0] VAR32;
wire [VAR23-1:0] VAR34;
wire [VAR23-1:0] VAR8;
wire [VAR23-1:0] VAR33;
wire [VAR23-1:0] VAR9;
wire [VAR23-1:0] VAR5;
wire [VAR23-1:0] VAR22;
VAR1 # (
.VAR23 (VAR23),
.VAR16(VAR16)
) VAR15 (
.VAR18(VAR25),
.VAR24(VAR4),
.VAR12(VAR31),
.VAR29(VAR32)
);
VAR1 # (
.VAR23 (VAR23),
.VAR16(VAR16)
) VAR26 (
.VAR18(VAR7),
.VAR24(VAR14),
.VAR12(VAR34),
.VAR29(VAR8)
);
VAR1 # (
.VAR23 (VAR23),
.VAR16(VAR16)
) VAR35 (
.VAR18(VAR36),
.VAR24(VAR11),
.VAR12(VAR33),
.VAR29(VAR9)
);
VAR1 # (
.VAR23 (VAR23),
.VAR16(VAR16)
) VAR10 (
.VAR18(VAR21),
.VAR24(VAR2),
.VAR12(VAR5),
.VAR29(VAR22)
);
VAR17 # (
.VAR23 (VAR23),
.VAR16(VAR16)
) VAR27 (
.VAR25 (VAR31),
.VAR7 (VAR34),
.VAR36 (VAR33),
.VAR21 (VAR5),
.VAR12 (VAR12),
.VAR29 (VAR29),
.VAR6 (VAR6),
.VAR30 (VAR30)
);
VAR17 # (
.VAR23 (VAR23),
.VAR16(VAR16)
) VAR19 (
.VAR25 (VAR32),
.VAR7 (VAR8),
.VAR36 (VAR9),
.VAR21 (VAR22),
.VAR12 (VAR28),
.VAR29 (VAR3),
.VAR6 (VAR20),
.VAR30 (VAR13)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/dlygate4sd3/sky130_fd_sc_hdll__dlygate4sd3.blackbox.v | 1,296 | module MODULE1 (
VAR1,
VAR3
);
output VAR1;
input VAR3;
supply1 VAR2;
supply0 VAR4;
supply1 VAR6 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
sirchuckalot/zet-ng | rtl/zet_wb_master.v | 5,742 | module MODULE1 (
input clk,
input rst,
input [19:0] VAR19,
output reg [15:0] VAR32,
input VAR13,
input VAR28,
output reg VAR22,
input [19:0] VAR1,
output reg [15:0] VAR21,
input [15:0] VAR26,
input VAR27,
input VAR29,
input VAR15,
output reg VAR10,
input VAR16,
input [15:0] VAR18,
output reg [15:0] VAR3,
output reg [19:1] VAR6,
output reg VAR23,
output reg VAR30,
output reg [ 1:0] VAR4,
output reg VAR9,
output reg VAR14,
input VAR31
);
wire [15:0] VAR24; wire [15:0] VAR33;
reg [ 2:0] VAR12; reg [ 2:0] VAR17;
localparam [2:0]
VAR5 = 3'd0,
VAR25 = 3'd1,
VAR2 = 3'd2,
VAR11 = 3'd3,
VAR7 = 3'd4,
VAR8 = 3'd5,
VAR20 = 3'd6;
assign VAR24 = { {8{VAR18[ 7]}}, VAR18[ 7:0] };
assign VAR33 = { {8{VAR18[15]}}, VAR18[15:8] };
always @(posedge clk) VAR12 <= rst ? VAR5 : VAR17;
always @(posedge clk)
VAR10 <= rst ? 1'b0
: (((VAR12==VAR25 && (VAR29 || !VAR29 && !VAR1[0]))
|| VAR12==VAR2) & VAR31);
always @(posedge clk)
VAR22 <= rst ? 1'b0
: (((VAR12==VAR7 && (VAR28 || !VAR28 && !VAR19[0]))
|| VAR12==VAR8) & VAR31);
always @(posedge clk)
if (rst)
VAR6 <= 19'h0;
else
case (VAR17)
VAR25: VAR6 <= VAR1[19:1];
VAR2: VAR6 <= VAR1[19:1] + 19'd1;
VAR7: VAR6 <= VAR19[19:1];
VAR8: VAR6 <= VAR19[19:1] + 19'd1;
default: VAR6 <= 19'h0;
endcase
always @(posedge clk)
VAR23 <= rst ? 1'b0 : (VAR17==VAR25 || VAR17==VAR2) & VAR27;
always @(posedge clk)
VAR30 <= rst ? 1'b0 : (VAR17==VAR25 || VAR17==VAR2) & VAR16;
always @(posedge clk)
VAR9 <= rst ? 1'b0 : (VAR17==VAR25 || VAR17==VAR2 || VAR17==VAR7 || VAR17==VAR8);
always @(posedge clk)
VAR14 <= rst ? 1'b0 : (VAR17==VAR25 || VAR17==VAR2 || VAR17==VAR7 || VAR17==VAR8);
always @(posedge clk)
if (rst)
VAR4 <= 2'b00;
else
case (VAR17)
VAR25: VAR4 <= VAR1[0] ? 2'b10
: (VAR29 ? 2'b01 : 2'b11);
VAR2: VAR4 <= 2'b01;
default: VAR4 <= 2'b11;
endcase
always @(posedge clk)
VAR3 <= rst ? 16'h0
: (VAR17==VAR25 || VAR17==VAR2) ?
(VAR1[0] ?
{ VAR26[7:0], VAR26[15:8] } : VAR26)
: VAR3;
always @(posedge clk)
VAR32 <= rst ? 16'h0
: (VAR12==VAR7 && VAR31) ? (VAR19[0] ? VAR33
: (VAR28 ? VAR24 : VAR18))
: (VAR12==VAR8 && VAR31) ? {VAR18[7:0], VAR32[7:0]}
: VAR32;
always @(posedge clk)
VAR21 <= rst ? 16'h0
: (VAR12==VAR25 && VAR31) ? (VAR1[0] ? VAR33
: (VAR29 ? VAR24 : VAR18))
: (VAR12==VAR2 && VAR31) ? {VAR18[7:0], VAR21[7:0]}
: VAR21;
always @(*)
case (VAR12)
default: if (VAR15) VAR17 = VAR25;
else if (VAR13) VAR17 = VAR7;
else VAR17 = VAR5;
VAR25: if (VAR31)
begin
if (VAR1[0] && !VAR29) VAR17 = VAR2;
end
else
begin
if (VAR13 && !VAR22) VAR17 = VAR7;
end
else VAR17 = VAR11;
end
end
else VAR17 = VAR25;
VAR2: if (VAR31)
if (VAR13) VAR17 = VAR7;
else VAR17 = VAR11;
else VAR17 = VAR2;
VAR11: if (VAR13) VAR17 = VAR7;
else VAR17 = VAR5;
VAR7: if (VAR31)
begin
if (VAR19[0] && !VAR28) VAR17 = VAR8;
end
else
begin
if (VAR15 && !VAR10) VAR17 = VAR25;
end
else VAR17 = VAR20;
end
end
else VAR17 = VAR7;
VAR8: if (VAR31)
if (VAR15) VAR17 = VAR25;
else VAR17 = VAR20;
else VAR17 = VAR8;
VAR20: if (VAR15) VAR17 = VAR25;
else VAR17 = VAR5;
endcase
endmodule | gpl-3.0 |
ptracton/wb_soc_template | rtl/LM32/rtl/jtag_tap_spartan6.v | 1,957 | module MODULE1(
output VAR1,
output VAR8,
input VAR5,
output VAR20,
output VAR10,
output reset
);
wire VAR4;
wire VAR19;
wire sel;
assign VAR20 = VAR4 & sel;
assign VAR10 = VAR19 & sel;
VAR11 #(
.VAR2(1)
) VAR3 (
.VAR21(),
.VAR16(VAR1),
.VAR9(reset),
.VAR6(),
.VAR7(sel),
.VAR14(VAR4),
.VAR17(),
.VAR15(VAR8),
.VAR18(),
.VAR13(VAR19),
.VAR12(VAR5)
);
endmodule | mit |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/cabac/cabac_piso_1.v | 24,171 | module MODULE1(
clk ,
VAR5 ,
VAR65 ,
VAR30 ,
VAR28 ,
VAR57 ,
VAR66 ,
VAR4 ,
VAR60 ,
VAR14 ,
VAR39 ,
VAR19 ,
VAR48 ,
VAR46 ,
VAR53 ,
VAR17 ,
VAR41 ,
VAR59 ,
VAR29 ,
VAR56 ,
VAR23 ,
VAR12 ,
VAR47 ,
VAR54 ,
VAR38
);
input clk ; input VAR5 ; input [3:0] VAR65 ; input [10:0] VAR30 ; input [10:0] VAR28 ; input [10:0] VAR57 ; input [10:0] VAR66 ; input [10:0] VAR4 ; input [10:0] VAR60 ; input [10:0] VAR14 ; input [10:0] VAR39 ; input [10:0] VAR19 ; input [10:0] VAR48 ; input [10:0] VAR46 ; input [10:0] VAR53 ; input [10:0] VAR17 ; input [10:0] VAR41 ; input [10:0] VAR59 ; input [10:0] VAR29 ; input [4:0] VAR56 ;
output [2:0] VAR23 ; output [10:0] VAR12 ; output [10:0] VAR47 ; output [10:0] VAR54 ; output [10:0] VAR38 ;
reg [10:0] VAR45 ; reg [10:0] VAR35 ; reg [10:0] VAR13 ; reg [10:0] VAR8 ; reg [10:0] VAR42 ; reg [10:0] VAR58 ; reg [10:0] VAR33 ; reg [10:0] VAR52 ; reg [10:0] VAR36 ; reg [10:0] VAR15 ; reg [10:0] VAR64 ; reg [10:0] VAR61 ; reg [10:0] VAR62 ; reg [10:0] VAR32 ; reg [10:0] VAR26 ; reg [10:0] VAR49 ; reg [4:0] VAR51 ;
reg [10:0] VAR3 ; reg [10:0] VAR55 ; reg [10:0] VAR44 ; reg [10:0] VAR16 ; reg [10:0] VAR22 ; reg [10:0] VAR7 ; reg [10:0] VAR63 ; reg [10:0] VAR67 ; reg [10:0] VAR20 ; reg [10:0] VAR25 ; reg [10:0] VAR24 ; reg [10:0] VAR10 ; reg [10:0] VAR34 ; reg [10:0] VAR6 ; reg [10:0] VAR2 ; reg [10:0] VAR18 ; reg [4:0] VAR27 ;
reg [2:0] VAR23 ; reg [10:0] VAR12 ; reg [10:0] VAR47 ; reg [10:0] VAR54 ; reg [10:0] VAR38 ;
reg [2:0] VAR31 ; reg [1:0] VAR9 ;
reg [4:0] VAR40 ;
parameter VAR21 = 3'd0 ,
VAR37 = 3'd1 ,
VAR11 = 3'd2 ,
VAR43 = 3'd3 ;
reg [2:0] VAR50 ;
reg [2:0] VAR1 ;
always @* begin
VAR40 = VAR56;
end
always @* begin
case(VAR40)
0 : begin VAR31 = 0; end
1, 2, 3, 4 : begin VAR31 = 1; end
5, 6, 7, 8 : begin VAR31 = 2; end
9,10,11, 12 : begin VAR31 = 3; end
13, 14, 15, 16 : begin VAR31 = 4; end
default : begin VAR31 = 1; end
endcase
end
always @* begin
if(VAR31==1) begin
VAR23 = VAR51;
VAR12 = VAR45;
VAR47 = ((VAR23>=2) ? VAR35 : 11'h7ff);
VAR54 = ((VAR23>=3) ? VAR13 : 11'h7ff);
VAR38 = ((VAR23>=4) ? VAR8 : 11'h7ff);
end
else if(VAR31==2) begin
if(VAR9==0) begin
VAR12 = VAR45;
VAR47 = VAR35;
VAR54 = VAR13;
VAR38 = VAR8;
VAR23 = 4;
end
else begin
VAR23 = VAR51 - 4'd4;
VAR12 = VAR42;
VAR47 = ((VAR23>=2) ? VAR58 : 11'h7ff);
VAR54 = ((VAR23>=3) ? VAR33 : 11'h7ff);
VAR38 = ((VAR23>=4) ? VAR52 : 11'h7ff);
end
end
else if(VAR31==3) begin
if(VAR9==0) begin
VAR12 = VAR45;
VAR47 = VAR35;
VAR54 = VAR13;
VAR38 = VAR8;
VAR23 = 4;
end
else if(VAR9==1) begin
VAR12 = VAR42;
VAR47 = VAR58;
VAR54 = VAR33;
VAR38 = VAR52;
VAR23 = 4;
end
else begin
VAR23 = VAR51 - 4'd8;
VAR12 = VAR36;
VAR47 = ((VAR23>=2) ? VAR15 : 11'h7ff);
VAR54 = ((VAR23>=3) ? VAR64 : 11'h7ff);
VAR38 = ((VAR23>=4) ? VAR61 : 11'h7ff);
end
end
else if(VAR31==4) begin
if(VAR9==0) begin
VAR12 = VAR45;
VAR47 = VAR35;
VAR54 = VAR13;
VAR38 = VAR8;
VAR23 = 4;
end
else if(VAR9==1) begin
VAR12 = VAR42;
VAR47 = VAR58;
VAR54 = VAR33;
VAR38 = VAR52;
VAR23 = 4;
end
else if(VAR9==2) begin
VAR12 = VAR36;
VAR47 = VAR15;
VAR54 = VAR64;
VAR38 = VAR61;
VAR23 = 4;
end
else begin
VAR23 = VAR51 - 4'd12;
VAR12 = VAR62;
VAR47 = ((VAR23>=2) ? VAR32 : 11'h7ff);
VAR54 = ((VAR23>=3) ? VAR26 : 11'h7ff);
VAR38 = ((VAR23>=4) ? VAR49 : 11'h7ff);
end
end
else begin
VAR12 = 11'd0;
VAR47 = 11'd0;
VAR54 = 11'd0;
VAR38 = 11'd0;
VAR23 = 3'd0;
end
end
always @(posedge clk or negedge VAR5) begin
if(~VAR5) begin
VAR3 <= 0;
VAR55 <= 0;
VAR44 <= 0;
VAR16 <= 0;
VAR22 <= 0;
VAR7 <= 0;
VAR63 <= 0;
VAR67 <= 0;
VAR20 <= 0;
VAR25 <= 0;
VAR24 <= 0;
VAR10 <= 0;
VAR34 <= 0;
VAR6 <= 0;
VAR2 <= 0;
VAR18 <= 0;
VAR27 <= 0;
end
else if(VAR50==VAR37) begin
VAR3 <= VAR30;
VAR55 <= VAR28;
VAR44 <= VAR57;
VAR16 <= VAR66;
VAR22 <= VAR4;
VAR7 <= VAR60;
VAR63 <= VAR14;
VAR67 <= VAR39;
VAR20 <= VAR19;
VAR25 <= VAR48;
VAR24 <= VAR46;
VAR10 <= VAR53;
VAR34 <= VAR17;
VAR6 <= VAR41;
VAR2 <= VAR59;
VAR18 <= VAR29;
VAR27 <= VAR56 ;
end
else begin
VAR3 <= VAR3 ;
VAR55 <= VAR55 ;
VAR44 <= VAR44 ;
VAR16 <= VAR16 ;
VAR22 <= VAR22 ;
VAR7 <= VAR7 ;
VAR63 <= VAR63 ;
VAR67 <= VAR67 ;
VAR20 <= VAR20 ;
VAR25 <= VAR25 ;
VAR24 <= VAR24;
VAR10 <= VAR10;
VAR34 <= VAR34;
VAR6 <= VAR6;
VAR2 <= VAR2;
VAR18 <= VAR18;
VAR27 <= VAR27 ;
end
end
always @* begin
if(VAR50==VAR11 || VAR50==VAR43) begin
VAR45 = VAR3 ;
VAR35 = VAR55 ;
VAR13 = VAR44 ;
VAR8 = VAR16 ;
VAR42 = VAR22 ;
VAR58 = VAR7 ;
VAR33 = VAR63 ;
VAR52 = VAR67 ;
VAR36 = VAR20 ;
VAR15 = VAR25 ;
VAR64 = VAR24;
VAR61 = VAR10;
VAR62 = VAR34;
VAR32 = VAR6;
VAR26 = VAR2;
VAR49 = VAR18;
VAR51 = VAR27 ;
end
else begin
VAR45 = VAR30 ;
VAR35 = VAR28 ;
VAR13 = VAR57 ;
VAR8 = VAR66 ;
VAR42 = VAR4 ;
VAR58 = VAR60 ;
VAR33 = VAR14 ;
VAR52 = VAR39 ;
VAR36 = VAR19 ;
VAR15 = VAR48 ;
VAR64 = VAR46;
VAR61 = VAR53;
VAR62 = VAR17;
VAR32 = VAR41;
VAR26 = VAR59;
VAR49 = VAR29;
VAR51 = VAR56 ;
end
end
always @(posedge clk or negedge VAR5) begin
if (!VAR5)
VAR50 <= VAR21;
end
else
VAR50 <= VAR1;
end
always @* begin
case(VAR50)
VAR21 : if(VAR65>'d1) begin
VAR1 = VAR37;
end
else begin
VAR1 = VAR21;
end
VAR37 : if((VAR40>4)) begin
VAR1 = VAR11;
end
else begin
VAR1 = VAR37;
end
VAR11 : begin
if(VAR40==0)
VAR1 = VAR37;
end
else if(VAR9==(VAR31-1)) begin
VAR1 = VAR37;
end
else begin
VAR1 = VAR50;
end
end
VAR43 : if(VAR9==(VAR31-1)) begin
VAR1 = VAR37;
end
else begin
VAR1 = VAR43;
end
default : VAR1 = VAR21;
endcase
end
always @(posedge clk or negedge VAR5) begin
if(!VAR5) begin
VAR9 <= 0;
end
else if(VAR1==VAR11) begin
if(VAR9==(VAR31-1)) begin
VAR9 <= 0;
end
else begin
VAR9 <= VAR9 + 1;
end
end
else if(VAR1==VAR43) begin
if(VAR9==(VAR31-1)) begin
VAR9 <= 0;
end
else begin
VAR9 <= VAR9 + 1;
end
end
else begin
VAR9 <= 0;
end
end
endmodule | gpl-3.0 |
sam-falvo/remex | example/rtl/alu.v | 2,559 | module MODULE1(
input [63:0] VAR12,
input [63:0] VAR3,
input VAR5,
input VAR24,
input VAR23,
input VAR26,
input VAR37,
input VAR38,
input VAR21,
input VAR7, input VAR43, output [63:0] VAR8,
output VAR31,
output VAR33,
output VAR42
);
wire [63:0] VAR20 = VAR3 ^ ({64{VAR37}});
wire [63:0] VAR11 = VAR12[62:0] + VAR20[62:0] + {62'b0, VAR5};
wire VAR29 = VAR11[63];
wire [64:63] VAR34 = VAR12[63] + VAR20[63] + VAR29;
wire [63:0] VAR41 = {VAR34[63], VAR11[62:0]};
wire [63:0] VAR1 = VAR24 ? VAR41 : 64'd0;
assign VAR42 = ~(|VAR8);
assign VAR33 = VAR34[64] ^ VAR11[63];
assign VAR31 = VAR34[64];
wire [63:0] VAR39 = VAR23 ? (VAR12 & VAR20) : 64'd0;
wire [63:0] VAR14 = VAR26 ? (VAR12 ^ VAR20) : 64'd0;
wire [63:0] VAR45 = VAR3[5] ? {VAR12[31:0], 32'd0} : VAR12;
wire [63:0] VAR30 = VAR3[4] ? {VAR45[47:0], 16'd0} : VAR45;
wire [63:0] VAR10 = VAR3[3] ? {VAR30[55:0], 8'd0} : VAR30;
wire [63:0] VAR40 = VAR3[2] ? {VAR10[59:0], 4'd0} : VAR10;
wire [63:0] VAR6 = VAR3[1] ? {VAR40[61:0], 2'd0} : VAR40;
wire [63:0] VAR27 = VAR3[0] ? {VAR6[62:0], 1'd0} : VAR6;
wire [63:0] VAR22 = VAR38 ? VAR27 : 0;
wire [63:32] VAR32 = VAR5 ? {32{VAR12[63]}} : 0;
wire [63:0] VAR28 = VAR3[5] ? {VAR32, VAR12[63:32]} : VAR12;
wire [63:48] VAR2 = VAR5 ? {16{VAR28[63]}} : 0;
wire [63:0] VAR44 = VAR3[4] ? {VAR2, VAR28[63:16]} : VAR28;
wire [63:56] VAR16 = VAR5 ? {8{VAR44[63]}} : 0;
wire [63:0] VAR25 = VAR3[3] ? {VAR16, VAR44[63:8]} : VAR44;
wire [63:60] VAR15 = VAR5 ? {4{VAR25[63]}} : 0;
wire [63:0] VAR9 = VAR3[2] ? {VAR15, VAR25[63:4]} : VAR25;
wire [63:62] VAR13 = VAR5 ? {2{VAR9[63]}} : 0;
wire [63:0] VAR35 = VAR3[1] ? {VAR13, VAR9[63:2]} : VAR9;
wire VAR18 = VAR5 & VAR35[63];
wire [63:0] VAR4 = VAR3[0] ? {VAR18, VAR35[63:1]} : VAR35;
wire [63:0] VAR36 = VAR21 ? VAR4 : 0;
wire [63:0] VAR19 = VAR43 ? {63'd0, VAR41[63] ^ VAR33} : 0;
wire [63:0] VAR17 = VAR7 ? {63'd0, ~VAR31} : 0;
assign VAR8 = VAR1 | VAR39 | VAR14 | VAR22 | VAR36 | VAR19 | VAR17;
endmodule | mpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/xnor2/sky130_fd_sc_hd__xnor2.behavioral.pp.v | 1,827 | module MODULE1 (
VAR3 ,
VAR8 ,
VAR12 ,
VAR2,
VAR4,
VAR13 ,
VAR10
);
output VAR3 ;
input VAR8 ;
input VAR12 ;
input VAR2;
input VAR4;
input VAR13 ;
input VAR10 ;
wire VAR5 ;
wire VAR9;
xnor VAR11 (VAR5 , VAR8, VAR12 );
VAR7 VAR6 (VAR9, VAR5, VAR2, VAR4);
buf VAR1 (VAR3 , VAR9 );
endmodule | apache-2.0 |
GLADICOS/SPACEWIRESYSTEMC | rtl/DEBUG_VERILOG/write_axi.v | 1,722 | module MODULE1(
input VAR3,
input VAR2,
input VAR4,
input [13:0] VAR1,
output reg [13:0] VAR5
);
always@(posedge VAR2 or negedge VAR4 )
begin
if(!VAR4)
begin
VAR5 <= 14'd0;
end
else
begin
if(VAR3)
VAR5 <= VAR1;
end
else
VAR5 <= VAR5;
end
end
endmodule | gpl-3.0 |
varunnagpaal/Digital-Hardware-Modelling | xilinx-vivado/gcd_snickerdoodle/gcd_snickerdoodle.srcs/sources_1/bd/gcd_zynq_snick/ip/gcd_zynq_snick_rst_ps7_0_49M_0/gcd_zynq_snick_rst_ps7_0_49M_0_stub.v | 1,923 | module MODULE1(VAR2, VAR9, VAR7,
VAR3, VAR6, VAR10, VAR1, VAR4,
VAR5, VAR8)
;
input VAR2;
input VAR9;
input VAR7;
input VAR3;
input VAR6;
output VAR10;
output [0:0]VAR1;
output [0:0]VAR4;
output [0:0]VAR5;
output [0:0]VAR8;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlygate4sd2/sky130_fd_sc_hd__dlygate4sd2.pp.symbol.v | 1,322 | module MODULE1 (
input VAR4 ,
output VAR2 ,
input VAR3 ,
input VAR6,
input VAR5,
input VAR1
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/or3b/sky130_fd_sc_ms__or3b_2.v | 2,209 | module MODULE1 (
VAR6 ,
VAR5 ,
VAR4 ,
VAR10 ,
VAR2,
VAR9,
VAR1 ,
VAR8
);
output VAR6 ;
input VAR5 ;
input VAR4 ;
input VAR10 ;
input VAR2;
input VAR9;
input VAR1 ;
input VAR8 ;
VAR7 VAR3 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR6 ,
VAR5 ,
VAR4 ,
VAR10
);
output VAR6 ;
input VAR5 ;
input VAR4 ;
input VAR10;
supply1 VAR2;
supply0 VAR9;
supply1 VAR1 ;
supply0 VAR8 ;
VAR7 VAR3 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
jbelloncastro/amber_arm | hw/vlog/amber25/a25_coprocessor.v | 8,844 | module MODULE1
(
input VAR25,
input VAR1, input [2:0] VAR12,
input [2:0] VAR5,
input [3:0] VAR15, input [3:0] VAR10,
input [3:0] VAR26,
input [1:0] VAR14,
input [31:0] VAR23,
input VAR18, input [7:0] VAR6,
input [31:0] VAR19,
output reg [31:0] VAR27,
output VAR17,
output VAR2,
output [31:0] VAR24
);
reg [2:0] VAR21 = 3'b000;
reg [31:0] VAR16 = 32'h0;
reg [31:0] VAR11 = 32'h0;
reg [31:0] VAR22 = 32'h0;
reg [7:0] VAR13 = 'd0;
reg [31:0] VAR20 = 'd0;
wire VAR4;
assign VAR17 = VAR21[0];
assign VAR2 = VAR4;
assign VAR24 = VAR16;
always @ ( posedge VAR25 )
if ( !VAR1 )
begin
if ( VAR18 )
begin
VAR13 <= VAR6;
VAR20 <= VAR19;
end
end
always @ ( posedge VAR25 )
if ( !VAR1 )
begin
if ( VAR14 == 2'd2 )
case ( VAR15 )
4'd2: VAR21 <= VAR23[2:0];
4'd3: VAR16 <= VAR23[31:0];
4'd4: VAR11 <= VAR23[31:0];
4'd5: VAR22 <= VAR23[31:0];
endcase
end
assign VAR4 = !VAR1 && VAR14 == 2'd2 && VAR15 == 4'd1;
always @ ( posedge VAR25 )
if ( !VAR1 )
case ( VAR15 )
4'd0: VAR27 <= 32'h41560300;
4'd2: VAR27 <= {29'd0, VAR21};
4'd3: VAR27 <= VAR16;
4'd4: VAR27 <= VAR11;
4'd5: VAR27 <= VAR22;
4'd6: VAR27 <= {24'd0, VAR13 };
4'd7: VAR27 <= VAR20;
default: VAR27 <= 32'd0;
endcase
reg [1:0] VAR8;
reg [3:0] VAR7;
always @( posedge VAR25 )
if ( !VAR1 )
begin
VAR8 <= VAR14;
VAR7 <= VAR15;
end
always @( posedge VAR25 )
if ( !VAR1 )
begin
if ( VAR14 == 2'd2 ) case ( VAR15 )
4'VAR3 1: begin VAR9 end
4'VAR3 2: begin VAR9 end
4'VAR3 3: begin VAR9 end
4'VAR3 4: begin VAR9 end
4'VAR3 5: begin VAR9 end
endcase
if ( VAR8 == 2'd1 ) case ( VAR7 )
4'VAR3 0: begin VAR9 end
4'VAR3 2: begin VAR9 end
4'VAR3 3: begin VAR9 end
4'VAR3 4: begin VAR9 end
4'VAR3 5: begin VAR9 end
4'VAR3 6: begin VAR9 end
4'VAR3 7: begin VAR9 end
endcase
end
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dfstp/sky130_fd_sc_hd__dfstp.pp.symbol.v | 1,386 | module MODULE1 (
input VAR8 ,
output VAR5 ,
input VAR6,
input VAR3 ,
input VAR4 ,
input VAR7 ,
input VAR2 ,
input VAR1
);
endmodule | apache-2.0 |
jayant-sharma/uart | hdl/fsm_ADC.v | 2,152 | module MODULE1
(
input clk,
input rst,
input rd,
input wr,
input [6:0] addr,
input [15:0] VAR7,
output reg [15:0] VAR2,
output reg valid,
input VAR13,
input VAR10,
input VAR6,
input VAR12,
input VAR4,
input [4:0] VAR3,
input [15:0] dout,
output reg VAR9,
output reg VAR5,
output reg [6:0] VAR1,
output reg [15:0] din
);
parameter [1:0]
VAR11 = 2'b00,
VAR14 = 2'b01,
VAR8 = 2'b10;
reg [1:0] state; | unlicense |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/fahcin/sky130_fd_sc_hs__fahcin.symbol.v | 1,294 | module MODULE1 (
input VAR5 ,
input VAR7 ,
input VAR4 ,
output VAR6,
output VAR3
);
supply1 VAR1;
supply0 VAR2;
endmodule | apache-2.0 |
victor1994y/BipedRobot_byFPGA | Project_BipedRobot.srcs/sources_1/new/Bluetooth/UART_tx.v | 2,551 | module MODULE1(clk,VAR2,VAR3,VAR8,VAR11,VAR14,VAR10);
input clk;
input VAR2;
input VAR8; input [7:0] VAR14; input VAR10; output VAR11; output VAR3;
reg VAR16,VAR6,VAR12; wire VAR5;
always @(posedge clk or negedge VAR2) begin
if(!VAR2) begin
VAR16 <= 1'b0;
VAR6 <= 1'b0;
VAR12 <= 1'b0;
end
else begin
VAR16 <= VAR10;
VAR6 <= VAR16;
VAR12 <= VAR6;
end
end
assign VAR5 = ~VAR6 & VAR12;
reg [7:0] VAR7; reg VAR15;
reg VAR13; reg [3:0] VAR4;
always @(posedge clk or negedge VAR2) begin
if(!VAR2) begin
VAR15 <= 1'VAR9;
VAR13 <= 1'b0;
VAR7 <= 8'd0;
end
else if(VAR5) begin VAR15 <= 1'b1;
VAR7 <= VAR14;
VAR13 <= 1'b1;
end
else if(VAR4==4'd11) begin
VAR15 <= 1'b0;
VAR13 <= 1'b0;
end
end
assign VAR3 = VAR15;
reg VAR1;
always @(posedge clk or negedge VAR2) begin
if(!VAR2) begin
VAR4<=4'd0;
VAR1 <= 1'b1;
end
else if(VAR13) begin
if(VAR8) begin
VAR4<=VAR4+1'b1;
case(VAR4)
4'd0: VAR1 <= 1'b0; 4'd1: VAR1 <= VAR14[0]; 4'd2: VAR1 <= VAR14[1];
4'd3: VAR1 <= VAR14[2];
4'd4: VAR1 <= VAR14[3];
4'd5: VAR1 <= VAR14[4];
4'd6: VAR1 <= VAR14[5];
4'd7: VAR1 <= VAR14[6];
4'd8: VAR1 <= VAR14[7];
4'd9: VAR1 <= 1'b1; default: VAR1 <= 1'b1;
endcase
end
else if(VAR4==4'd11)
VAR4<=4'd0; end
end
assign VAR11 =VAR1;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/maj3/sky130_fd_sc_ms__maj3_1.v | 2,174 | module MODULE2 (
VAR8 ,
VAR2 ,
VAR6 ,
VAR1 ,
VAR3,
VAR5,
VAR7 ,
VAR10
);
output VAR8 ;
input VAR2 ;
input VAR6 ;
input VAR1 ;
input VAR3;
input VAR5;
input VAR7 ;
input VAR10 ;
VAR9 VAR4 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR8,
VAR2,
VAR6,
VAR1
);
output VAR8;
input VAR2;
input VAR6;
input VAR1;
supply1 VAR3;
supply0 VAR5;
supply1 VAR7 ;
supply0 VAR10 ;
VAR9 VAR4 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/buf/sky130_fd_sc_hd__buf_1.v | 1,993 | module MODULE1 (
VAR3 ,
VAR6 ,
VAR8,
VAR4,
VAR2 ,
VAR7
);
output VAR3 ;
input VAR6 ;
input VAR8;
input VAR4;
input VAR2 ;
input VAR7 ;
VAR5 VAR1 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR3,
VAR6
);
output VAR3;
input VAR6;
supply1 VAR8;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR7 ;
VAR5 VAR1 (
.VAR3(VAR3),
.VAR6(VAR6)
);
endmodule | apache-2.0 |
scalable-networks/ext | uhd/fpga/usrp2/sdr_lib/small_hb_int.v | 2,977 | module MODULE1
(input clk,
input rst,
input VAR19,
input VAR8,
input [VAR2-1:0] VAR17,
input [7:0] VAR13,
input VAR21,
output reg [VAR2-1:0] VAR28);
reg VAR34;
reg [VAR2-1:0] VAR39, VAR45, VAR47, VAR36, VAR20, VAR46;
localparam VAR29 = 36;
wire [VAR29-1:0] VAR26;
reg [6:0] VAR40;
always @(posedge clk)
VAR40 <= {VAR40[5:0],VAR8};
always @(posedge clk)
if(VAR8)
begin
VAR39 <= VAR17;
VAR45 <= VAR39;
VAR47 <= VAR45;
VAR36 <= VAR47;
VAR20 <= VAR36;
VAR46 <= VAR20;
end
wire [VAR2-1:0] VAR32, VAR42;
VAR35 #(.VAR2(VAR2)) VAR12 (.clk(clk),.VAR27(VAR39),.VAR7(VAR36),.sum(VAR32));
VAR35 #(.VAR2(VAR2)) VAR22 (.clk(clk),.VAR27(VAR45),.VAR7(VAR47),.sum(VAR42));
wire [17:0] VAR49 = -10690;
wire [17:0] VAR11 = 75809;
VAR23 VAR38(.VAR44(clk), .VAR9(1), .VAR1(rst), .VAR50(VAR26), .VAR43(VAR40[1] ? VAR49 : VAR11),
.VAR33(VAR40[1] ? VAR32 : VAR42) );
wire [VAR29:0] VAR15;
VAR3 #(.VAR18(VAR29),.VAR25(VAR29+1))
VAR3 (.clk(clk),.VAR31(VAR40[2]),.VAR3(|VAR40[3:2]),.in(VAR26),.out(VAR15));
wire [VAR2+2:0] VAR5;
VAR10 #(.VAR24(VAR29+1),.VAR16(VAR2+3))
VAR4 (.clk(clk),.in(VAR15),.out(VAR5));
wire [VAR2-1:0] VAR37;
VAR6 #(.VAR24(VAR2+3),.VAR16(VAR2)) VAR14
(.clk(clk),.in(VAR5),.VAR30(1'b1), .out(VAR37));
reg [VAR2-1:0] VAR41, VAR48;
always @(posedge clk)
if(VAR40[6])
VAR41 <= VAR37;
always @(posedge clk)
if(VAR40[3])
VAR48 <= VAR47;
always @(posedge clk)
if(VAR19)
VAR28 <= VAR17;
else if(VAR8 & VAR21)
case(VAR13)
1 : VAR28 <= VAR46;
2 : VAR28 <= VAR36;
3, 4, 5, 6, 7 : VAR28 <= VAR47;
default : VAR28 <= VAR45;
endcase else if(VAR21)
VAR28 <= VAR41;
endmodule | gpl-2.0 |
Valakor/EE201-Text-Editor | hvsync_generator.v | 1,719 | module MODULE1(clk, reset,VAR4, VAR6, VAR7, VAR1, VAR5);
input clk;
input reset;
output VAR4, VAR6;
output VAR7;
output [9:0] VAR1;
output [9:0] VAR5;
reg [9:0] VAR1;
reg [9:0] VAR5;
reg VAR3, VAR2;
reg VAR7;
always @(posedge clk)
begin
if(reset)
VAR1 <= 0;
end
else if(VAR1==10'h320) VAR1 <= 0;
else
VAR1 <= VAR1 + 1'b1;
end
always @(posedge clk)
begin
if(reset)
VAR5<=0;
end
else if(VAR5==10'h209) VAR5<=0;
else if(VAR1==10'h320) VAR5 <= VAR5 + 1'b1;
end
always @(posedge clk)
begin
VAR3 <= (VAR1 > 655 && VAR1 < 752); VAR2 <= (VAR5 == 490 || VAR5 == 491); end
always @(posedge clk)
if(reset)
VAR7<=0;
else
VAR7 <= (VAR1<640) && (VAR5<480);
assign VAR4 = ~VAR3;
assign VAR6 = ~VAR2;
endmodule | mit |
ankitshah009/High-Radix-Adaptive-CORDIC | HCORDIC_Verilog/PackAdder.v | 1,590 | module MODULE1(
input VAR5,
input [31:0] VAR4,
input [27:0] VAR6,
input VAR3,
output reg [31:0] VAR2
);
parameter VAR1 = 1'b0,
VAR9 = 1'b1;
wire VAR7;
wire [7:0] VAR8;
assign VAR7 = VAR4[31];
assign VAR8 = VAR4[30:23];
always @ (posedge VAR3)
begin
if (VAR5 != VAR9) begin
VAR2[22:0] <= VAR6[25:3];
VAR2[30:23] <= VAR8 + 127;
VAR2[31] <= VAR7;
if ((VAR8) == -126 && VAR6[22] == 0) begin
VAR2[30 : 23] <= 0;
end
if ((VAR8) <= -126) begin
VAR2[30 : 23] <= 0;
VAR2[22:0] <= 0;
end
if ((VAR8) > 127) begin
VAR2[22 : 0] <= 0;
VAR2[30 : 23] <= 255;
VAR2[31] <= VAR7;
end
end
else begin
VAR2 <= VAR4;
end
end
endmodule | apache-2.0 |
johan92/altera_opencl_sandbox | vector_add/bin_vector_add/iface/ip/timer/timer.v | 1,297 | module MODULE1 #
(
parameter VAR13 = 64,
parameter VAR8 = 0,
parameter VAR10 = 2
)
(
input clk,
input VAR6,
input VAR5,
input [VAR10-1:0] VAR14, input [VAR13-1:0] VAR3,
input VAR1,
input VAR2,
input [VAR13/8-1:0] VAR15,
output VAR4,
output [VAR13-1:0] VAR9,
output VAR11
);
reg [VAR13-1:0] counter;
reg [VAR13-1:0] VAR7;
reg VAR12;
always@(posedge clk or negedge VAR5)
if (!VAR5)
VAR12 <= 1'b0;
else if (VAR2)
if (|VAR3)
VAR12 <= 1'b1;
else
VAR12 <= 1'b0;
always@(posedge clk or negedge VAR5)
if (!VAR5)
counter <= {VAR13{1'b0}};
else if (VAR2)
counter <= {VAR13{1'b0}};
else
counter <= counter + 2'b01;
always@(posedge VAR6 or negedge VAR5)
if (!VAR5)
VAR7 <= {VAR13{1'b0}};
else if (VAR2)
VAR7 <= {VAR13{1'b0}};
else
VAR7 <= VAR7 + 2'b01;
assign VAR4 = 1'b0;
assign VAR9 = (VAR8 && VAR12) ? VAR7 : counter;
assign VAR11 = VAR1;
endmodule | mit |
jairov4/accel-oil | solution_spartan3/syn/verilog/nfa_accept_samples_generic_hw_add_6ns_6ns_6_2.v | 3,958 | module MODULE2(clk, reset, VAR16, VAR13, VAR10, VAR15);
input clk;
input reset;
input VAR16;
input [6 - 1 : 0] VAR13;
input [6 - 1 : 0] VAR10;
output [6 - 1 : 0] VAR15;
wire [6 - 1 : 0] VAR34;
wire [6 - 1 : 0] VAR18;
wire [3 - 1 : 0] VAR21;
wire [3 - 1 : 0] VAR29;
wire [6 - 1 : 3] VAR26;
wire [6 - 1 : 3] VAR31;
reg [3 - 1 : 0] VAR17[1 - 1 : 0];
reg [3 - 1 : 0] VAR14[1 - 1 : 0];
wire [6 - 1 : 0] VAR33;
wire VAR23;
wire VAR6;
wire VAR22;
reg VAR20;
reg [3 - 1 : 0] VAR24[0 - 0 : 0];
wire [6 - 1 : 0] VAR25;
assign VAR34 = VAR13;
assign VAR18 = VAR10;
assign VAR21 = VAR34[3 - 1 : 0];
assign VAR29 = VAR18[3 - 1 : 0];
assign VAR26 = VAR34[6 - 1 : 3];
assign VAR31 = VAR18[6 - 1 : 3];
always @ (posedge clk) begin
if (VAR16) begin
VAR17 [0] <= VAR26;
VAR14 [0] <= VAR31;
end
end
always @ (posedge clk) begin
if (VAR16) begin
VAR20 <= VAR6;
end
end
MODULE3 MODULE4 (
.VAR11 ( VAR21 ),
.VAR30 ( VAR29 ),
.VAR27 ( VAR23 ),
.VAR33 ( VAR33[2:0] ),
.VAR7 ( VAR6 )
);
MODULE4 MODULE3 (
.VAR11 ( VAR17[0] ),
.VAR30 ( VAR14[0] ),
.VAR27 ( VAR20 ),
.VAR33 ( VAR33[5 :3] ),
.VAR7 ( VAR22 )
);
assign VAR23 = 1'b0;
always @ (posedge clk) begin
if (VAR16) begin
VAR24 [0] <= VAR33[3-1 : 0];
end
end
assign VAR25[3-1 : 0] = VAR24[0];
assign VAR25[6 - 1 : 3] = VAR33[5 :3];
assign VAR15 = VAR25;
endmodule
module MODULE3
VAR5 = 3
)(
input [VAR5-1 : 0] VAR11,
input [VAR5-1 : 0] VAR30,
input wire VAR27,
output [VAR5-1 : 0] VAR33,
output wire VAR7
);
assign {VAR7, VAR33} = VAR11 + VAR30 + VAR27;
endmodule
module MODULE4
VAR5 = 3
)(
input [VAR5-1 : 0] VAR11,
input [VAR5-1 : 0] VAR30,
input wire VAR27,
output [VAR5-1 : 0] VAR33,
output wire VAR7
);
assign {VAR7, VAR33} = VAR11 + VAR30 + VAR27;
endmodule
module MODULE1(
clk,
reset,
VAR16,
VAR2,
VAR1,
dout);
parameter VAR12 = 32'd1;
parameter VAR4 = 32'd1;
parameter VAR3 = 32'd1;
parameter VAR32 = 32'd1;
parameter VAR28 = 32'd1;
input clk;
input reset;
input VAR16;
input[VAR3 - 1:0] VAR2;
input[VAR32 - 1:0] VAR1;
output[VAR28 - 1:0] dout;
MODULE2 VAR19(
.clk( clk ),
.reset( reset ),
.VAR16( VAR16 ),
.VAR13( VAR2 ),
.VAR10( VAR1 ),
.VAR15( dout ));
endmodule | lgpl-3.0 |
praveendath92/securePUF | source/puf_files/PDL_PUF.v | 1,625 | module MODULE1(VAR16, VAR12, VAR7, reset, VAR13);
parameter VAR4 = 63;
input [VAR4:0] VAR16, VAR12;
input VAR7, reset;
output VAR13;
wire [VAR4:0] VAR5,VAR21;
wire VAR9, VAR3;
VAR24 #(
.VAR20(2'b10) ) VAR19 (
.VAR23(VAR9), .VAR10(VAR7) );
VAR15 VAR17 [VAR4:0] (.VAR5({VAR9,VAR5[VAR4:1]}),.VAR21({VAR9,VAR21[VAR4:1]}), .VAR1(VAR16[VAR4:0]), .VAR14(VAR12[VAR4:0]),.o1(VAR5[VAR4:0]),.o2(VAR21[VAR4:0]));
VAR2 VAR11 (.VAR22 (VAR3),
.VAR8 (VAR21[0]),
.VAR6 (reset),
.VAR25 (VAR5[0]));
VAR24 #(
.VAR20(2'b10) ) VAR18 (
.VAR23(VAR13), .VAR10(VAR3) );
endmodule | gpl-2.0 |
agnicol88/Gaussian_Num_Gen | Vivado/gng/gng.srcs/sources_1/new/mask_to_zero.v | 2,106 | module MODULE1(
input clk,
input [14:0] VAR3,
input [5:0] VAR1,
output [14:0] VAR2
);
wire [14:0] VAR5;
reg [14:0] VAR4 = 15'd0;
reg [14:0] VAR6 = 15'd0;
assign VAR5 = {VAR3[0], VAR3[1], VAR3[2], VAR3[3], VAR3[4],
VAR3[5], VAR3[6], VAR3[7], VAR3[8], VAR3[9],
VAR3[10], VAR3[11], VAR3[12], VAR3[13], VAR3[14]};
always @ (posedge clk) begin
VAR4 <= VAR5;
case (VAR1)
6'd61: VAR6 <= VAR4 & 15'b111111111111111;
6'd60: VAR6 <= VAR4 & 15'b011111111111111;
6'd59: VAR6 <= VAR4 & 15'b101111111111111;
6'd58: VAR6 <= VAR4 & 15'b110111111111111;
6'd57: VAR6 <= VAR4 & 15'b111011111111111;
6'd56: VAR6 <= VAR4 & 15'b111101111111111;
6'd55: VAR6 <= VAR4 & 15'b111110111111111;
6'd54: VAR6 <= VAR4 & 15'b111111011111111;
6'd53: VAR6 <= VAR4 & 15'b111111101111111;
6'd52: VAR6 <= VAR4 & 15'b111111110111111;
6'd51: VAR6 <= VAR4 & 15'b111111111011111;
6'd50: VAR6 <= VAR4 & 15'b111111111101111;
6'd49: VAR6 <= VAR4 & 15'b111111111110111;
6'd48: VAR6 <= VAR4 & 15'b111111111111011;
6'd47: VAR6 <= VAR4 & 15'b111111111111101;
6'd46: VAR6 <= VAR4 & 15'b111111111111110;
default: VAR6 <= VAR4 & 15'b111111111111111;
endcase
end
assign VAR2 = VAR6;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/sdfxbp/sky130_fd_sc_hs__sdfxbp.pp.symbol.v | 1,401 | module MODULE1 (
input VAR2 ,
output VAR8 ,
output VAR3 ,
input VAR5 ,
input VAR7 ,
input VAR6 ,
input VAR1,
input VAR4
);
endmodule | apache-2.0 |
Jafet95/proy_3_grupo_2_sem_1_2016 | deco_hold_registros.v | 10,198 | module MODULE1(
input VAR12,
input [7:0] VAR7,
input [1:0] VAR5,
output reg VAR13,
output reg VAR3,
output reg VAR6,
output reg VAR1,
output reg VAR11,
output reg VAR8,
output reg VAR9,
output reg VAR4,
output reg VAR2,
output reg VAR10
);
always@*
begin
case(VAR5)
2'b00: if (VAR12) begin
case(VAR7)
8'h03: begin
VAR13 = 1'b0;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h04: begin
VAR13 = 1'b1;
VAR3= 1'b0;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h05: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b0;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h06: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b0;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h07: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b0;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h08: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b0;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0A: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b0;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0B: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b0;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0C: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b0;
VAR10 = 1'b1;
end
8'h0D: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b0;
end
default: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b0;
VAR10 = 1'b1;
end
endcase
end
else begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
2'b01:begin
VAR13 = 1'b0;
VAR3= 1'b0;
VAR6= 1'b0;
if (VAR12) begin
case(VAR7)
8'h06: begin
VAR1= 1'b0;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h07: begin
VAR1= 1'b1;
VAR11= 1'b0;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h08: begin
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b0;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0A: begin
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b0;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0B: begin
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b0;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0C: begin
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b0;
VAR10 = 1'b1;
end
8'h0D: begin
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b0;
end
default: begin
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
endcase
end
else begin
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
end
2'b10:begin
VAR1= 1'b0;
VAR11= 1'b0;
VAR8= 1'b0;
if (VAR12) begin
case(VAR7)
8'h03:begin
VAR13 = 1'b0;
VAR3= 1'b1;
VAR6= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h04:begin
VAR13 = 1'b1;
VAR3= 1'b0;
VAR6= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h05:begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b0;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0A: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR9= 1'b0;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0B: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR9= 1'b1;
VAR4= 1'b0;
VAR2= 1'b1;
VAR10 = 1'b1;
end
8'h0C: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b0;
VAR10 = 1'b1;
end
8'h0D: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b0;
end
default: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
endcase
end
else begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR9= 1'b1;
VAR4= 1'b1;
VAR2= 1'b1;
VAR10 = 1'b1;
end
end
2'b11:begin
VAR9= 1'b0;
VAR4= 1'b0;
VAR2= 1'b0;
if (VAR12) begin
case(VAR7)
8'h03: begin
VAR13 = 1'b0;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR10 = 1'b1;
end
8'h04: begin
VAR13 = 1'b1;
VAR3= 1'b0;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR10 = 1'b1;
end
8'h05: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b0;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR10 = 1'b1;
end
8'h06: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b0;
VAR11= 1'b1;
VAR8= 1'b1;
VAR10 = 1'b1;
end
8'h07: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b0;
VAR8= 1'b1;
VAR10 = 1'b1;
end
8'h08: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b0;
VAR10 = 1'b1;
end
8'h0D: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR10 = 1'b0;
end
default: begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR10 = 1'b1;
end
endcase
end
else begin
VAR13 = 1'b1;
VAR3= 1'b1;
VAR6= 1'b1;
VAR1= 1'b1;
VAR11= 1'b1;
VAR8= 1'b1;
VAR10 = 1'b1;
end
end
default: begin
VAR13 = 1'b0;
VAR3= 1'b0;
VAR6= 1'b0;
VAR1= 1'b0;
VAR11= 1'b0;
VAR8= 1'b0;
VAR9 = 1'b0;
VAR4 = 1'b0;
VAR2 = 1'b0;
end
endcase
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/fah/sky130_fd_sc_hd__fah.blackbox.v | 1,297 | module MODULE1 (
VAR9,
VAR6 ,
VAR5 ,
VAR2 ,
VAR1
);
output VAR9;
output VAR6 ;
input VAR5 ;
input VAR2 ;
input VAR1 ;
supply1 VAR3;
supply0 VAR4;
supply1 VAR7 ;
supply0 VAR8 ;
endmodule | apache-2.0 |
asicguy/gplgpu | hdl/vga/vcrt.v | 15,605 | module MODULE1
(
input VAR58,
input VAR83,
input VAR9,
input VAR57, input VAR33, input VAR50, input [15:0] VAR38, input [5:0] VAR18, input [7:0] VAR11, input VAR71, input VAR3, input VAR7, input VAR91, input VAR80, input VAR61, input VAR1, input VAR64, input VAR62,
input VAR37,
input [15:8] VAR53, input VAR28,
output reg [7:0] VAR93,
output reg [7:0] VAR95,
output reg [7:0] VAR49,
output reg [7:0] VAR73,
output reg [7:0] VAR87,
output reg [7:0] VAR65,
output reg [7:0] VAR5,
output reg [7:0] VAR12,
output VAR32, output VAR74, output VAR26, output VAR27, output VAR43, output VAR16, output VAR47, output VAR77, output VAR70, output VAR69, output VAR4, output VAR56, output VAR41, output VAR90, output VAR94,
output VAR17,
output reg VAR29,
output VAR67,
output VAR52, output reg [10:0] VAR34
);
reg VAR51;
reg VAR8;
reg VAR46;
reg VAR22;
reg VAR35;
reg VAR39;
reg VAR86;
reg VAR75;
reg VAR72;
reg VAR76;
reg VAR45, VAR23;
reg VAR54, VAR24;
wire [10:0] VAR6; wire [10:0] VAR19; wire [10:0] VAR60; wire [ 9:0] VAR20; wire [10:0] VAR84; wire [ 5:0] VAR55; wire [10:0] VAR85; wire VAR82;
wire VAR40;
wire VAR30;
wire VAR88;
wire VAR2;
wire VAR42;
wire VAR63;
reg VAR10;
wire VAR13;
wire VAR25;
wire VAR81;
wire VAR48;
wire VAR89;
wire VAR92;
wire VAR36;
wire VAR44;
wire VAR15;
reg VAR68; reg VAR21; wire VAR66 = VAR91 ; wire VAR31 = VAR1;
wire VAR78;
wire VAR59;
reg VAR79;
wire VAR14 = VAR13;
assign VAR13 = ~VAR10;
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) VAR34 <= 11'b0;
else if (VAR3)
if (~VAR14) VAR34 <= 11'b0;
else VAR34 <= VAR34 + 1'b1;
always @(posedge VAR9 or negedge VAR83)
if (!VAR83) begin
VAR93 <= 8'h0;
VAR95 <= 8'h0;
VAR49 <= 8'h0;
VAR73 <= 8'h0;
VAR87 <= 8'h0;
VAR65 <= 8'h0;
VAR5 <= 8'h0;
VAR12 <= 8'h0;
end else if (VAR50) begin
case (VAR38)
16'h03b4: begin
if (!VAR57) begin
if (VAR33) begin
case (VAR18[5:0])
6'h6: if (~VAR27) VAR93 <= VAR53;
6'h7: begin
if (~VAR27) VAR95[7:5] <= VAR53[15:13];
VAR95[4] <= VAR53[12];
if (~VAR27) VAR95[3:0] <= VAR53[11:8];
end
6'h10: VAR49 <= VAR53;
6'h11: VAR73 <= VAR53;
6'h12: VAR87 <= VAR53;
6'h15: VAR65 <= VAR53;
6'h16: VAR5 <= VAR53;
6'h18: VAR12 <= VAR53;
endcase end
end
end
16'h03b5: begin
if (!VAR57) begin
case (VAR18[5:0])
6'h6: if (~VAR27) VAR93 <= VAR53;
6'h7: begin
if (~VAR27) VAR95[7:5] <= VAR53[15:13];
VAR95[4] <= VAR53[12];
if (~VAR27) VAR95[3:0] <= VAR53[11:8];
end
6'h10: VAR49 <= VAR53;
6'h11: VAR73 <= VAR53;
6'h12: VAR87 <= VAR53;
6'h15: VAR65 <= VAR53;
6'h16: VAR5 <= VAR53;
6'h18: VAR12 <= VAR53;
endcase end
end
16'h03d4: begin
if (VAR57) begin
if (VAR33) begin
case (VAR18[5:0])
6'h6: if (~VAR27) VAR93 <= VAR53;
6'h7: begin
if (~VAR27) VAR95[7:5] <= VAR53[15:13];
VAR95[4] <= VAR53[12];
if (~VAR27) VAR95[3:0] <= VAR53[11:8];
end
6'h10: VAR49 <= VAR53;
6'h11: VAR73 <= VAR53;
6'h12: VAR87 <= VAR53;
6'h15: VAR65 <= VAR53;
6'h16: VAR5 <= VAR53;
6'h18: VAR12 <= VAR53;
endcase end
end
end
16'h03d5: begin
if (VAR57) begin
case (VAR18[5:0])
6'h6: if (~VAR27) VAR93 <= VAR53;
6'h7: begin
if (~VAR27) VAR95[7:5] <= VAR53[15:13];
VAR95[4] <= VAR53[12];
if (~VAR27) VAR95[3:0] <= VAR53[11:8];
end
6'h10: VAR49 <= VAR53;
6'h11: VAR73 <= VAR53;
6'h12: VAR87 <= VAR53;
6'h15: VAR65 <= VAR53;
6'h16: VAR5 <= VAR53;
6'h18: VAR12 <= VAR53;
endcase end
end
endcase end
assign VAR74 = VAR73[4];
assign VAR26 = VAR73[5];
assign VAR27 = VAR73[7];
assign VAR6 = {1'b0, VAR95[5], VAR95[0], VAR93 }; assign VAR19 = {1'b0, VAR95[6], VAR95[1], VAR87 }; assign VAR60 = {1'b0, VAR61, VAR95[3], VAR65 }; assign VAR20 = {1'b0, VAR5 }; assign VAR84 = {1'b0, VAR95[7], VAR95[2], VAR49 }; assign VAR55 = {1'b0, VAR73[3:0] }; assign VAR85 = {1'b0, VAR80, VAR95[4], VAR12 };
assign VAR82 = ( VAR34 == VAR6);
assign VAR30 = ( VAR34 == VAR19);
assign VAR88 = ( VAR34 == VAR60);
assign VAR2 = ( VAR34 == VAR84);
assign VAR42 = ( VAR34 == VAR85);
assign VAR52 = ( VAR85 == { 11{1'b0} });
assign VAR63 = (VAR34[7:0] == VAR20[7:0]);
assign VAR78 = (VAR34[3:0] == VAR55[3:0]);
always @(VAR63)
VAR39 = VAR63;
always @(VAR78)
VAR76 = VAR78;
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) VAR10 <= 1'b0;
end
else if (VAR3) VAR10 <= VAR82;
assign VAR25 = VAR51 ? (~VAR30) : VAR10;
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) VAR51 <= 1'b0;
else if (VAR3) VAR51 <= VAR25;
assign VAR43 = VAR51;
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) begin
VAR68 <= 1'b0;
VAR21 <= 1'b0;
VAR29 <= 1'b0;
end else begin
if (VAR62 & VAR71) begin
VAR68 <= VAR43;
end
if (VAR17 & ~VAR21) begin
VAR29 <= 1'b1;
VAR21 <= 1'b1;
end else begin
VAR29 <= 1'b0;
if (~VAR17) VAR21 <= 1'b0;
end
end
assign VAR17 = VAR62 & VAR68 ;
assign VAR94 = (VAR17 | VAR16 |
~VAR23) & VAR24;
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) begin
VAR45 <= 1'b0;
VAR23 <= 1'b0;
VAR54 <= 1'b0;
VAR24 <= 1'b0;
end else begin
VAR45 <= VAR58;
VAR23 <= VAR45;
VAR54 <= VAR28;
VAR24 <= VAR54;
end
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) VAR86 <= 1'b0;
end
else if (VAR3) VAR86 <= VAR51;
assign VAR81 = ~(VAR51 | (~VAR86));
assign VAR56 = VAR81 & VAR62;
assign VAR48 = VAR8 ? (~VAR39) : VAR88;
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) VAR8 <= 1'b0;
end
else if (VAR3) VAR8 <= VAR48;
assign VAR47 = VAR8;
assign VAR89 = VAR46 ? (~VAR76) : VAR2;
always @(posedge VAR37 or negedge VAR83)
if (!VAR83) VAR46 <= 1'b0;
end
else if (VAR3) VAR46 <= VAR89;
assign VAR44 = VAR46;
always @(posedge VAR37 or negedge VAR83)
if(~VAR83)
VAR79 <= 1'b0;
else
VAR79 <= VAR44;
assign VAR67 = VAR79;
assign VAR92 = (VAR44 & VAR66) ^ VAR31;
assign VAR36 = VAR92;
always @(posedge VAR37 or negedge VAR83)
if(~VAR83)
VAR72 <= 1'b0;
else
VAR72 <= VAR36;
assign VAR77 = VAR72;
assign VAR40 = ( (VAR22 | VAR42) & VAR51 );
always @(posedge VAR37 or negedge VAR83)
if(~VAR83)
VAR22 <= 1'b0;
else if (VAR71)
VAR22 <= VAR40;
assign VAR90 = VAR41;
assign VAR15 = ( (VAR16 & VAR52) |
((~VAR52)&VAR90) );
always @(posedge VAR37 or negedge VAR83)
if(~VAR83)
VAR75 <= 1'b0;
else if(VAR62 & VAR71)
VAR75 <= VAR22;
assign VAR41 = VAR22 & (~VAR75);
assign VAR59 = VAR52 | VAR22;
always @(posedge VAR37 or negedge VAR83)
if( ~VAR83)
VAR35 <= 1'b0;
else if (VAR71) begin
if(VAR59 & VAR51)
VAR35 <= 1'b1;
end
else if(~VAR51)
VAR35 <= 1'b0;
end
assign VAR70 = VAR35;
assign VAR16 = VAR10 & VAR62;
assign VAR69 = VAR16;
assign VAR4 = VAR16;
assign VAR32 = VAR64 ? ( VAR77 | VAR43 ) : VAR77;
endmodule | gpl-3.0 |
ShepardSiegel/ocpi | libsrc/hdl/bsv/bram_patch/BRAM2_cur.v | 3,809 | module MODULE1(VAR16,
VAR3,
VAR12,
VAR17,
VAR13,
VAR19,
VAR10,
VAR1,
VAR20,
VAR11,
VAR4,
VAR18
);
parameter VAR14 = 0;
parameter VAR8 = 1;
parameter VAR21 = 1;
parameter VAR9 = 1;
input VAR16;
input VAR3;
input VAR12;
input [VAR8-1:0] VAR17;
input [VAR21-1:0] VAR13;
output [VAR21-1:0] VAR19;
input VAR10;
input VAR1;
input VAR20;
input [VAR8-1:0] VAR11;
input [VAR21-1:0] VAR4;
output [VAR21-1:0] VAR18;
reg [VAR21-1:0] VAR15[0:VAR9-1] ;
reg [VAR21-1:0] VAR6;
reg [VAR21-1:0] VAR5;
reg [VAR21-1:0] VAR2;
reg [VAR21-1:0] VAR7;
integer VAR22; | lgpl-3.0 |
ankitshah009/Double_base_Number_system_parallel_adder | DBNS_Project_files_ISE/case.v | 39,552 | module MODULE1(
VAR4,
VAR2,
VAR6,
VAR1,
VAR3,
out
);
input wire VAR2;
input wire [1:0] VAR4;
output wire [1:0] VAR6;
input wire [3:0] VAR1;
input wire [3:0] VAR3;
output reg [3:0] out;
reg [1:0] VAR5;
assign VAR6 = VAR5;
always@(posedge VAR2)
begin
if(VAR4 == 2'b00)
begin
if(VAR1 == 4'b0000)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0000; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0001; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0010; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b0111; VAR5<= 2'b00; end
4'b1000 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b1001 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b1010 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1011 : begin out<= 4'b1011; VAR5<= 2'b00; end
4'b1100 : begin out<= 4'b1100; VAR5<= 2'b00; end
4'b1101 : begin out<= 4'b1101; VAR5<= 2'b00; end
4'b1110 : begin out<= 4'b1110; VAR5<= 2'b00; end
4'b1111 : begin out<= 4'b1111; VAR5<= 2'b00; end
endcase
end
else if(VAR1 == 4'b0001)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0001; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0010; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b1000 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b1001 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1010 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0010)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0010; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1000 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1001 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b0110; VAR5<= 2'b01; end
endcase
end
else if((VAR1 == 4'b0011) || (VAR1== 4'b0100))
begin
case (VAR3)
4'b0000 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0101)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1001; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0110)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1010; VAR5<= 2'b01; end
endcase
end
else if((VAR1 == 4'b1000) || (VAR1 == 4'b0111))
begin
case (VAR3)
4'b0000 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b0000; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1001)
begin
case (VAR3)
4'b0000 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0001; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1010)
begin
case (VAR3)
4'b0000 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0010; VAR5<= 2'b10; end
endcase
end
else if((VAR1 == 4'b1011)|| (VAR1==4'b1100))
begin
case (VAR3)
4'b0000 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0100; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1101)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0101; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1110)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0110; VAR5<= 2'b10; end
endcase
end
else
begin
case (VAR3)
4'b0000 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1000 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1001 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0110; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b10; end
endcase
end
end
if(VAR4 == 2'b01)
begin
if(VAR1 == 4'b0000)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0001; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0010; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b1000 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b1001 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1010 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0001)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0010; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1000 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1001 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b0110; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0010)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b01; end
endcase
end
else if((VAR1 == 4'b0011)||(VAR1==4'b0100))
begin
case (VAR3)
4'b0000 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1001; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0101)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1010; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0110)
begin
case (VAR3)
4'b0000 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b0000; VAR5<= 2'b10; end
endcase
end
else if((VAR1 == 4'b1000)||(VAR1==4'b0111))
begin
case (VAR3)
4'b0000 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0001; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1001)
begin
case (VAR3)
4'b0000 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0010; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1010)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0100; VAR5<= 2'b10; end
endcase
end
else if((VAR1 == 4'b1011)||(VAR1==4'b1100))
begin
case (VAR3)
4'b0000 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0101; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1101)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0110; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1110)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1000 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1001 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0110; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b10; end
endcase
end
else
begin
case (VAR3)
4'b0000 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b0111 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1000 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1001 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0110; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b1000; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b1001; VAR5<= 2'b10; end
endcase
end
end
if(VAR4 == 2'b10)
begin
if(VAR1 == 4'b0000)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0010; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1000 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b1001 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b0110; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0001)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0111 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0010)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0101; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0110 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1001; VAR5<= 2'b01; end
endcase
end
else if((VAR1 == 4'b0011)||(VAR1 == 4'b0100))
begin
case (VAR3)
4'b0000 : begin out<= 4'b0110; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0100 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0101 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b1010; VAR5<= 2'b01; end
endcase
end
else if(VAR1 == 4'b0101)
begin
case (VAR3)
4'b0000 : begin out<= 4'b1000; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0011 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1111 : begin out<= 4'b0000; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b0110)
begin
case (VAR3)
4'b0000 : begin out<= 4'b1001; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0010 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1110 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0001; VAR5<= 2'b10; end
endcase
end
else if((VAR1 == 4'b1000)||(VAR1==4'b0111))
begin
case (VAR3)
4'b0000 : begin out<= 4'b1010; VAR5<= 2'b00; end
4'b0001 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1100 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1101 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0010; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1001)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0000; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1011 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0100; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1010)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0001; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1010 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0101; VAR5<= 2'b10; end
endcase
end
else if((VAR1 == 4'b1100)||(VAR1 == 4'b1011))
begin
case (VAR3)
4'b0000 : begin out<= 4'b0010; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1000 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b1001 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b0110; VAR5<= 2'b10; end
endcase
end
else if(VAR1 == 4'b1101)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0100; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b0111 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1000 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b1001 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b0110; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b1000; VAR5<= 2'b10; end
endcase
end
else if(VAR1==4'b1110)
begin
case (VAR3)
4'b0000 : begin out<= 4'b0101; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b0110 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b0111 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1000 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b1001 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b0110; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b1000; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b1001; VAR5<= 2'b10; end
endcase
end
else
begin
case (VAR3)
4'b0000 : begin out<= 4'b0110; VAR5<= 2'b01; end
4'b0001 : begin out<= 4'b1000; VAR5<= 2'b01; end
4'b0010 : begin out<= 4'b1001; VAR5<= 2'b01; end
4'b0011 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b0100 : begin out<= 4'b1010; VAR5<= 2'b01; end
4'b0101 : begin out<= 4'b0000; VAR5<= 2'b10; end
4'b0110 : begin out<= 4'b0001; VAR5<= 2'b10; end
4'b0111 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1000 : begin out<= 4'b0010; VAR5<= 2'b10; end
4'b1001 : begin out<= 4'b0100; VAR5<= 2'b10; end
4'b1010 : begin out<= 4'b0101; VAR5<= 2'b10; end
4'b1011 : begin out<= 4'b0110; VAR5<= 2'b10; end
4'b1100 : begin out<= 4'b0110; VAR5<= 2'b10; end
4'b1101 : begin out<= 4'b1000; VAR5<= 2'b10; end
4'b1110 : begin out<= 4'b1001; VAR5<= 2'b10; end
4'b1111 : begin out<= 4'b1010; VAR5<= 2'b10; end
endcase
end
end
end
endmodule | apache-2.0 |
himingway/PIC16C5x | src/PIC16C55.v | 4,306 | module MODULE1 (
input clk , input VAR38, inout [VAR40 - 1:0] VAR72,
inout [VAR7 - 1:0] VAR19,
inout [VAR22 - 1:0] VAR20
);
wire [VAR59-1:0] VAR8;
wire [VAR43-1:0] VAR3;
wire [VAR77-1:0] VAR75;
wire [VAR88-1:0] VAR60;
wire [VAR84-1:0] VAR57;
wire [VAR88-1:0] VAR37;
wire [VAR88-1:0] VAR1;
wire [VAR66-1:0] VAR46;
wire [2:0] VAR18;
wire [VAR88-1:0] VAR82;
wire [VAR66-1:0] VAR58;
wire [VAR88-1:0] VAR12;
wire [VAR59 - 1:0] VAR24;
wire [VAR48-1:0] VAR92;
wire [VAR88-1:0] VAR6;
wire [VAR88-1:0] VAR10;
wire [VAR40 - 1:0] VAR29;
wire [VAR7 - 1:0] VAR74;
wire [VAR22 - 1:0] VAR80;
wire [VAR40-1:0] VAR52;
wire [VAR7-1:0] VAR17;
wire [VAR22-1:0] VAR78;
wire [1:0] VAR39;
wire VAR28;
wire VAR4;
assign VAR72 = {
VAR52[3] ? 1'VAR36 : VAR29[3],
VAR52[2] ? 1'VAR36 : VAR29[2],
VAR52[1] ? 1'VAR36 : VAR29[1],
VAR52[0] ? 1'VAR36 : VAR29[0]
};
assign VAR19 = {
VAR17[7] ? 1'VAR36 : VAR74[7],
VAR17[6] ? 1'VAR36 : VAR74[6],
VAR17[5] ? 1'VAR36 : VAR74[5],
VAR17[4] ? 1'VAR36 : VAR74[4],
VAR17[3] ? 1'VAR36 : VAR74[3],
VAR17[2] ? 1'VAR36 : VAR74[2],
VAR17[1] ? 1'VAR36 : VAR74[1],
VAR17[0] ? 1'VAR36 : VAR74[0]
};
assign VAR20 = {
VAR78[7] ? 1'VAR36 : VAR80[7],
VAR78[6] ? 1'VAR36 : VAR80[6],
VAR78[5] ? 1'VAR36 : VAR80[5],
VAR78[4] ? 1'VAR36 : VAR80[4],
VAR78[3] ? 1'VAR36 : VAR80[3],
VAR78[2] ? 1'VAR36 : VAR80[2],
VAR78[1] ? 1'VAR36 : VAR80[1],
VAR78[0] ? 1'VAR36 : VAR80[0]
};
VAR9 VAR89(
.clk (clk),
.VAR38 (VAR38),
.VAR3(VAR3),
.VAR8 (VAR8[2:0]),
.VAR83 (VAR12),
.VAR52 (VAR52),
.VAR17 (VAR17),
.VAR78 (VAR78)
);
VAR58 VAR32(
.clk (clk),
.VAR38 (VAR38),
.VAR8 (VAR8[8:0]),
.VAR3(VAR3),
.VAR75 (VAR75),
.VAR44 (VAR57),
.VAR53 (VAR1),
.VAR71 (VAR46),
.VAR18(VAR18),
.VAR47 (VAR82[4:0]),
.VAR58 (VAR58),
.VAR28 (VAR28),
.VAR4 (VAR4)
);
VAR24 VAR54(
.VAR49(VAR58),
.VAR81(VAR24)
);
VAR8 VAR26(
.clk (clk),
.VAR38 (VAR38),
.VAR75 (VAR75),
.VAR3(VAR3),
.VAR61(VAR24),
.VAR28 (VAR28),
.VAR4 (VAR4),
.VAR8 (VAR8)
);
VAR87 VAR70(
.clk (clk),
.VAR38 (VAR38),
.VAR30 (VAR8),
.VAR75 (VAR75),
.VAR3(VAR3),
.VAR11 (VAR92),
.VAR39(VAR39)
);
VAR67 VAR65 (
.VAR25 (VAR12),
.VAR13 (VAR1),
.VAR95 (VAR8[7:0]),
.VAR73 (VAR92),
.VAR56 (VAR8[7:5]),
.VAR16 (VAR37[0]),
.VAR68 (VAR37[2:0]),
.VAR76(VAR57),
.VAR91(VAR60)
);
VAR5 VAR2(
.VAR3 (VAR3),
.VAR21 (VAR60),
.VAR14 (VAR12),
.VAR8 (VAR8[5:0]),
.VAR42 (VAR37),
.VAR44 (VAR57),
.VAR18 (VAR18),
.VAR90 (VAR6),
.VAR34(VAR10)
);
VAR85 VAR94(
.clk (clk),
.rst (VAR38),
.VAR18(VAR18),
.VAR93 (VAR8[4:0]),
.VAR33 (VAR6),
.VAR68 (VAR10),
.VAR63 (VAR72),
.VAR69 (VAR19),
.VAR41 (VAR20),
.VAR64 (VAR58),
.VAR51 (VAR82),
.VAR55 (VAR1),
.VAR15 (VAR37),
.VAR86 (VAR29),
.VAR23 (VAR74),
.VAR27 (VAR80)
);
VAR50 VAR79(
.clk (clk),
.VAR38 (VAR38),
.VAR8 (VAR8[7:0]),
.VAR3(VAR3),
.VAR21 (VAR60),
.VAR53 (VAR1),
.VAR45 (VAR12)
);
VAR46 VAR35(
.clk (clk),
.VAR38 (VAR38),
.VAR62(VAR39),
.in (VAR58),
.VAR31 (VAR46)
);
endmodule | mit |
kielfriedt/ece472 | lab4/reg32.v | 1,080 | module MODULE1 (clk, reset, din, dout);
input clk, reset;
input [31:0] din;
output [31:0] dout;
reg [31:0] dout;
always @(posedge clk)
begin
if (reset) dout <= 0;
end
else dout <= din;
end
endmodule | gpl-3.0 |
Canaan-Creative/MM | verilog/superkdf9/components/uart_core/modem.v | 5,274 | module MODULE1 #(parameter VAR13 = 8)
(
input reset,
input clk,
output [VAR13-1:0] VAR14, input [1:0] VAR1,
input VAR2,
input VAR4, input VAR8, input VAR5, input VAR10, output VAR11, output VAR15 );
reg [VAR13-1:0] VAR7;
reg VAR9;
reg VAR3;
reg VAR12;
reg VAR6;
assign VAR11 = ~VAR1[0];
assign VAR15 = ~VAR1[1];
assign VAR14 = VAR7;
always @(posedge clk or posedge reset) begin
if (reset) begin
VAR7 <= 0;
VAR9 <= 1'b1;
VAR3 <= 1'b1;
VAR12 <= 1'b1;
VAR6 <= 1'b1;
end
else begin
VAR9 <= VAR8;
VAR3 <= VAR5;
VAR12 <= VAR4;
VAR6 <= VAR10 ;
if (VAR2)
VAR7 <= 0;
end
else begin
VAR7[0] <= VAR7[0] | (VAR9 ^ VAR8); VAR7[1] <= VAR7[1] | (VAR3 ^ VAR5); VAR7[2] <= VAR7[2] | (~VAR6 & VAR10 ); VAR7[3] <= VAR7[3] | (VAR12 ^ VAR4); VAR7[4] <= !VAR8; VAR7[5] <= !VAR5; VAR7[6] <= !VAR10 ; VAR7[7] <= !VAR4; end
end
end
endmodule | unlicense |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/or2/sky130_fd_sc_hs__or2.pp.blackbox.v | 1,201 | module MODULE1 (
VAR4 ,
VAR1 ,
VAR2 ,
VAR3,
VAR5
);
output VAR4 ;
input VAR1 ;
input VAR2 ;
input VAR3;
input VAR5;
endmodule | apache-2.0 |
AfterRace/SoC_Project | vivado/project/project.srcs/sources_1/bd/week1/ip/week1_xbar_0/synth/week1_xbar_0.v | 19,676 | module MODULE1 (
VAR77,
VAR122,
VAR53,
VAR19,
VAR81,
VAR125,
VAR80,
VAR110,
VAR3,
VAR32,
VAR54,
VAR113,
VAR29,
VAR111,
VAR84,
VAR45,
VAR92,
VAR88,
VAR87,
VAR104,
VAR86,
VAR26,
VAR35,
VAR70,
VAR97,
VAR8,
VAR11,
VAR21,
VAR115,
VAR114,
VAR17,
VAR83,
VAR100,
VAR106,
VAR74,
VAR128,
VAR47,
VAR127,
VAR56,
VAR108
);
input wire VAR77;
input wire VAR122;
input wire [31 : 0] VAR53;
input wire [2 : 0] VAR19;
input wire [0 : 0] VAR81;
output wire [0 : 0] VAR125;
input wire [31 : 0] VAR80;
input wire [3 : 0] VAR110;
input wire [0 : 0] VAR3;
output wire [0 : 0] VAR32;
output wire [1 : 0] VAR54;
output wire [0 : 0] VAR113;
input wire [0 : 0] VAR29;
input wire [31 : 0] VAR111;
input wire [2 : 0] VAR84;
input wire [0 : 0] VAR45;
output wire [0 : 0] VAR92;
output wire [31 : 0] VAR88;
output wire [1 : 0] VAR87;
output wire [0 : 0] VAR104;
input wire [0 : 0] VAR86;
output wire [223 : 0] VAR26;
output wire [20 : 0] VAR35;
output wire [6 : 0] VAR70;
input wire [6 : 0] VAR97;
output wire [223 : 0] VAR8;
output wire [27 : 0] VAR11;
output wire [6 : 0] VAR21;
input wire [6 : 0] VAR115;
input wire [13 : 0] VAR114;
input wire [6 : 0] VAR17;
output wire [6 : 0] VAR83;
output wire [223 : 0] VAR100;
output wire [20 : 0] VAR106;
output wire [6 : 0] VAR74;
input wire [6 : 0] VAR128;
input wire [223 : 0] VAR47;
input wire [13 : 0] VAR127;
input wire [6 : 0] VAR56;
output wire [6 : 0] VAR108;
VAR30 #(
.VAR82("VAR39"),
.VAR102(1),
.VAR23(7),
.VAR118(1),
.VAR121(32),
.VAR66(32),
.VAR62(2),
.VAR27(1),
.VAR28(448'VAR68),
.VAR12(224'VAR103),
.VAR129(32'VAR116),
.VAR109(32'VAR116),
.VAR91(0),
.VAR20(1),
.VAR49(1),
.VAR105(1),
.VAR95(1),
.VAR59(1),
.VAR43(224'VAR67),
.VAR71(224'VAR67),
.VAR14(1),
.VAR126(32'VAR44),
.VAR16(32'VAR44),
.VAR96(32'VAR44),
.VAR63(224'VAR67),
.VAR25(224'VAR67),
.VAR79(32'VAR116),
.VAR85(224'VAR76),
.VAR37(0)
) VAR94 (
.VAR77(VAR77),
.VAR122(VAR122),
.VAR112(1'VAR89),
.VAR53(VAR53),
.VAR117(8'VAR99),
.VAR22(3'VAR89),
.VAR15(2'VAR89),
.VAR51(1'VAR89),
.VAR34(4'VAR89),
.VAR19(VAR19),
.VAR18(4'VAR89),
.VAR90(1'VAR89),
.VAR81(VAR81),
.VAR125(VAR125),
.VAR120(1'VAR89),
.VAR80(VAR80),
.VAR110(VAR110),
.VAR64(1'VAR130),
.VAR7(1'VAR89),
.VAR3(VAR3),
.VAR32(VAR32),
.VAR2(),
.VAR54(VAR54),
.VAR57(),
.VAR113(VAR113),
.VAR29(VAR29),
.VAR52(1'VAR89),
.VAR111(VAR111),
.VAR41(8'VAR99),
.VAR78(3'VAR89),
.VAR61(2'VAR89),
.VAR5(1'VAR89),
.VAR24(4'VAR89),
.VAR84(VAR84),
.VAR1(4'VAR89),
.VAR107(1'VAR89),
.VAR45(VAR45),
.VAR92(VAR92),
.VAR4(),
.VAR88(VAR88),
.VAR87(VAR87),
.VAR124(),
.VAR38(),
.VAR104(VAR104),
.VAR86(VAR86),
.VAR72(),
.VAR26(VAR26),
.VAR58(),
.VAR46(),
.VAR40(),
.VAR9(),
.VAR55(),
.VAR35(VAR35),
.VAR132(),
.VAR93(),
.VAR73(),
.VAR70(VAR70),
.VAR97(VAR97),
.VAR123(),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR131(),
.VAR31(),
.VAR21(VAR21),
.VAR115(VAR115),
.VAR48(7'VAR99),
.VAR114(VAR114),
.VAR42(7'VAR99),
.VAR17(VAR17),
.VAR83(VAR83),
.VAR69(),
.VAR100(VAR100),
.VAR98(),
.VAR13(),
.VAR119(),
.VAR75(),
.VAR36(),
.VAR106(VAR106),
.VAR50(),
.VAR6(),
.VAR10(),
.VAR74(VAR74),
.VAR128(VAR128),
.VAR101(7'VAR99),
.VAR47(VAR47),
.VAR127(VAR127),
.VAR60(7'VAR65),
.VAR33(7'VAR99),
.VAR56(VAR56),
.VAR108(VAR108)
);
endmodule | lgpl-3.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/fme/fme_ip_quarter_ver.v | 62,903 | module MODULE1 (
clk ,
VAR355 ,
VAR52 ,
VAR10 ,
VAR373 ,
VAR61 ,
VAR431 ,
VAR60 ,
VAR403 ,
VAR104 ,
VAR187 ,
VAR227 ,
VAR313 ,
VAR108 ,
VAR374 ,
VAR332 ,
VAR73 ,
VAR413 ,
VAR111 ,
VAR35 ,
VAR456 ,
VAR113 ,
VAR202 ,
VAR138 ,
VAR354 ,
VAR194 ,
VAR324 ,
VAR160 ,
VAR81 ,
VAR390 ,
VAR442 ,
VAR210 ,
VAR279 ,
VAR471 ,
VAR233 ,
VAR277 ,
VAR458 ,
VAR63 ,
VAR176 ,
VAR406 ,
VAR3 ,
VAR96 ,
VAR318 ,
VAR377 ,
VAR155 ,
VAR192 ,
VAR249 ,
VAR362 ,
VAR19 ,
VAR416 ,
VAR39 ,
VAR343 ,
VAR419 ,
VAR465 ,
VAR389 ,
VAR470 ,
VAR256 ,
VAR265 ,
VAR432 ,
VAR78 ,
VAR285 ,
VAR361 ,
VAR337 ,
VAR307 ,
VAR331 ,
VAR127 ,
VAR371 ,
VAR97 ,
VAR434 ,
VAR1 ,
VAR94 ,
VAR206 ,
VAR368 ,
VAR158 ,
VAR141 ,
VAR124 ,
VAR422 ,
VAR68 ,
VAR424 ,
VAR436 ,
VAR334 ,
VAR178 ,
VAR475 ,
VAR55 ,
VAR275 ,
VAR404 ,
VAR154 ,
VAR67 ,
VAR6 ,
VAR207 ,
VAR352 ,
VAR16 ,
VAR394 ,
VAR114 ,
VAR132 ,
VAR151 ,
VAR469 ,
VAR204 ,
VAR75 ,
VAR144 ,
VAR173 ,
VAR344 ,
VAR262 ,
VAR452 ,
VAR314 ,
VAR364 ,
VAR157 ,
VAR130 ,
VAR407 ,
VAR391 ,
VAR216 ,
VAR65 ,
VAR171 ,
VAR143 ,
VAR208 ,
VAR66 ,
VAR398 ,
VAR34 ,
VAR302 ,
VAR312 ,
VAR242 ,
VAR217 ,
VAR57 ,
VAR48 ,
VAR274 ,
VAR123 ,
VAR49 ,
VAR388 ,
VAR335 ,
VAR5 ,
VAR7 ,
VAR83 ,
VAR369 ,
VAR53 ,
VAR163 ,
VAR381
);
input [1-1:0] clk ; input [1-1:0] VAR355 ; input [1-1:0] VAR52 ; input [1-1:0] VAR10 ;
input [1-1:0] VAR373 ; input [1-1:0] VAR61 ;
input [2-1:0] VAR431 ; input [2-1:0] VAR60 ;
input [2*VAR325-1:0] VAR403 ; input [2*VAR325-1:0] VAR104 ; input [2*VAR325-1:0] VAR187 ; input [2*VAR325-1:0] VAR227 ; input [2*VAR325-1:0] VAR313 ; input [2*VAR325-1:0] VAR108 ; input [2*VAR325-1:0] VAR374 ; input [2*VAR325-1:0] VAR332 ;
input [2*VAR325-1:0] VAR73 ; input [2*VAR325-1:0] VAR413 ; input [2*VAR325-1:0] VAR111 ; input [2*VAR325-1:0] VAR35 ; input [2*VAR325-1:0] VAR456 ; input [2*VAR325-1:0] VAR113 ; input [2*VAR325-1:0] VAR202 ; input [2*VAR325-1:0] VAR138 ;
input [2*VAR325-1:0] VAR354 ; input [2*VAR325-1:0] VAR194 ; input [2*VAR325-1:0] VAR324 ; input [2*VAR325-1:0] VAR160 ; input [2*VAR325-1:0] VAR81 ; input [2*VAR325-1:0] VAR390 ; input [2*VAR325-1:0] VAR442 ; input [2*VAR325-1:0] VAR210 ;
input [VAR325-1:0] VAR279 ; input [VAR325-1:0] VAR471 ; input [VAR325-1:0] VAR233 ; input [VAR325-1:0] VAR277 ; input [VAR325-1:0] VAR458 ; input [VAR325-1:0] VAR63 ; input [VAR325-1:0] VAR176 ; input [VAR325-1:0] VAR406 ;
output [VAR325-1:0] VAR3 ; output [VAR325-1:0] VAR96 ; output [VAR325-1:0] VAR318 ; output [VAR325-1:0] VAR377 ; output [VAR325-1:0] VAR155 ; output [VAR325-1:0] VAR192 ; output [VAR325-1:0] VAR249 ; output [VAR325-1:0] VAR362 ;
output [VAR325-1:0] VAR19 ; output [VAR325-1:0] VAR416 ; output [VAR325-1:0] VAR39 ; output [VAR325-1:0] VAR343 ; output [VAR325-1:0] VAR419 ; output [VAR325-1:0] VAR465 ; output [VAR325-1:0] VAR389 ; output [VAR325-1:0] VAR470 ;
output [VAR325-1:0] VAR256 ; output [VAR325-1:0] VAR265 ; output [VAR325-1:0] VAR432 ; output [VAR325-1:0] VAR78 ; output [VAR325-1:0] VAR285 ; output [VAR325-1:0] VAR361 ; output [VAR325-1:0] VAR337 ; output [VAR325-1:0] VAR307 ;
output [VAR325-1:0] VAR331 ; output [VAR325-1:0] VAR127 ; output [VAR325-1:0] VAR371 ; output [VAR325-1:0] VAR97 ; output [VAR325-1:0] VAR434 ; output [VAR325-1:0] VAR1 ; output [VAR325-1:0] VAR94 ; output [VAR325-1:0] VAR206 ;
output [VAR325-1:0] VAR368 ; output [VAR325-1:0] VAR158 ; output [VAR325-1:0] VAR141 ; output [VAR325-1:0] VAR124 ; output [VAR325-1:0] VAR422 ; output [VAR325-1:0] VAR68 ; output [VAR325-1:0] VAR424 ; output [VAR325-1:0] VAR436 ;
output [VAR325-1:0] VAR334 ; output [VAR325-1:0] VAR178 ; output [VAR325-1:0] VAR475 ; output [VAR325-1:0] VAR55 ; output [VAR325-1:0] VAR275 ; output [VAR325-1:0] VAR404 ; output [VAR325-1:0] VAR154 ; output [VAR325-1:0] VAR67 ;
output [VAR325-1:0] VAR469 ; output [VAR325-1:0] VAR204 ; output [VAR325-1:0] VAR75 ; output [VAR325-1:0] VAR144 ; output [VAR325-1:0] VAR173 ; output [VAR325-1:0] VAR344 ; output [VAR325-1:0] VAR262 ; output [VAR325-1:0] VAR452 ;
output [VAR325-1:0] VAR6 ; output [VAR325-1:0] VAR207 ; output [VAR325-1:0] VAR352 ; output [VAR325-1:0] VAR16 ; output [VAR325-1:0] VAR394 ; output [VAR325-1:0] VAR114 ; output [VAR325-1:0] VAR132 ; output [VAR325-1:0] VAR151 ;
output [VAR325-1:0] VAR242 ;
output [VAR325-1:0] VAR217 ;
output [VAR325-1:0] VAR57 ;
output [VAR325-1:0] VAR48 ;
output [VAR325-1:0] VAR274 ;
output [VAR325-1:0] VAR123 ;
output [VAR325-1:0] VAR49 ;
output [VAR325-1:0] VAR388 ;
output [VAR325-1:0] VAR335 ;
output [VAR325-1:0] VAR5 ;
output [VAR325-1:0] VAR7 ;
output [VAR325-1:0] VAR83 ;
output [VAR325-1:0] VAR369 ;
output [VAR325-1:0] VAR53 ;
output [VAR325-1:0] VAR163 ;
output [VAR325-1:0] VAR381 ;
output [VAR325-1:0] VAR314 ;
output [VAR325-1:0] VAR364 ;
output [VAR325-1:0] VAR157 ;
output [VAR325-1:0] VAR130 ;
output [VAR325-1:0] VAR407 ;
output [VAR325-1:0] VAR391 ;
output [VAR325-1:0] VAR216 ;
output [VAR325-1:0] VAR65 ;
output [VAR325-1:0] VAR171 ;
output [VAR325-1:0] VAR143 ;
output [VAR325-1:0] VAR208 ;
output [VAR325-1:0] VAR66 ;
output [VAR325-1:0] VAR398 ;
output [VAR325-1:0] VAR34 ;
output [VAR325-1:0] VAR302 ;
output [VAR325-1:0] VAR312 ;
reg [3 :0] VAR162;
reg [3 :0] VAR182;
reg [2*VAR325-1:0] VAR106, VAR129, VAR358, VAR268, VAR317, VAR309, VAR323;
reg [2*VAR325-1:0] VAR248, VAR56, VAR51, VAR13, VAR338, VAR172, VAR185;
reg [2*VAR325-1:0] VAR425, VAR251, VAR105, VAR412, VAR230, VAR415, VAR119;
reg [2*VAR325-1:0] VAR69, VAR370, VAR280, VAR316, VAR383, VAR175, VAR101;
reg [2*VAR325-1:0] VAR110, VAR259, VAR305, VAR27, VAR22, VAR149, VAR466;
reg [2*VAR325-1:0] VAR272, VAR72, VAR333, VAR359, VAR428, VAR24, VAR386;
reg [2*VAR325-1:0] VAR85, VAR270, VAR435, VAR301, VAR348, VAR50, VAR214;
reg [2*VAR325-1:0] VAR179, VAR234, VAR258, VAR9, VAR36, VAR21, VAR376;
reg [2*VAR325-1:0] VAR418, VAR201, VAR70, VAR23, VAR30, VAR215, VAR223;
reg [2*VAR325-1:0] VAR189, VAR289, VAR382, VAR297, VAR222, VAR353, VAR328;
reg [2*VAR325-1:0] VAR18, VAR342, VAR294, VAR14, VAR392, VAR426, VAR326;
reg [2*VAR325-1:0] VAR8, VAR226, VAR463, VAR45, VAR457, VAR384, VAR203;
reg [2*VAR325-1:0] VAR224, VAR137, VAR150, VAR451, VAR120, VAR311, VAR429;
reg [2*VAR325-1:0] VAR246, VAR437, VAR295, VAR446, VAR255, VAR159, VAR319;
reg [2*VAR325-1:0] VAR281, VAR71, VAR128, VAR447, VAR296, VAR298, VAR82;
reg [2*VAR325-1:0] VAR80, VAR240, VAR308, VAR260, VAR136, VAR350, VAR347;
reg [2*VAR325-1:0] VAR459, VAR402, VAR327, VAR229, VAR37, VAR360, VAR103;
reg [2*VAR325-1:0] VAR191, VAR245, VAR408, VAR282, VAR183, VAR244, VAR197;
reg [2*VAR325-1:0] VAR164, VAR263, VAR440, VAR93, VAR28, VAR102, VAR462;
reg [2*VAR325-1:0] VAR340, VAR219, VAR11, VAR304, VAR184, VAR292, VAR199;
reg [2*VAR325-1:0] VAR461, VAR190, VAR254, VAR444, VAR241, VAR200, VAR310;
reg [2*VAR325-1:0] VAR476, VAR90, VAR125, VAR142, VAR46, VAR399, VAR439;
reg [2*VAR325-1:0] VAR92, VAR380, VAR267, VAR205, VAR396, VAR287, VAR88;
reg [2*VAR325-1:0] VAR44, VAR450, VAR345, VAR100, VAR116, VAR253, VAR87;
reg [VAR325-1:0] VAR180, VAR131, VAR195, VAR464, VAR148, VAR95, VAR134;
reg [VAR325-1:0] VAR339, VAR181, VAR91, VAR453, VAR133, VAR468, VAR225;
reg [VAR325-1:0] VAR99, VAR112, VAR54, VAR232, VAR379, VAR395, VAR228;
reg [VAR325-1:0] VAR247, VAR193, VAR121, VAR299, VAR109, VAR271, VAR167;
reg [VAR325-1:0] VAR460, VAR261, VAR341, VAR209, VAR427, VAR146, VAR211;
reg [VAR325-1:0] VAR387, VAR152, VAR329, VAR420, VAR409, VAR140, VAR115;
reg [VAR325-1:0] VAR410, VAR257, VAR250, VAR231, VAR357, VAR367, VAR443;
reg [VAR325-1:0] VAR220, VAR198, VAR38, VAR433, VAR363, VAR221, VAR107;
always @ (posedge clk or negedge VAR355) begin
if (~VAR355) begin
VAR106 <= 'd0; VAR129 <= 'd0; VAR358 <= 'd0; VAR268 <= 'd0; VAR317 <= 'd0; VAR309 <= 'd0; VAR323 <= 'd0;
VAR248 <= 'd0; VAR56 <= 'd0; VAR51 <= 'd0; VAR13 <= 'd0; VAR338 <= 'd0; VAR172 <= 'd0; VAR185 <= 'd0;
VAR425 <= 'd0; VAR251 <= 'd0; VAR105 <= 'd0; VAR412 <= 'd0; VAR230 <= 'd0; VAR415 <= 'd0; VAR119 <= 'd0;
VAR69 <= 'd0; VAR370 <= 'd0; VAR280 <= 'd0; VAR316 <= 'd0; VAR383 <= 'd0; VAR175 <= 'd0; VAR101 <= 'd0;
VAR110 <= 'd0; VAR259 <= 'd0; VAR305 <= 'd0; VAR27 <= 'd0; VAR22 <= 'd0; VAR149 <= 'd0; VAR466 <= 'd0;
VAR272 <= 'd0; VAR72 <= 'd0; VAR333 <= 'd0; VAR359 <= 'd0; VAR428 <= 'd0; VAR24 <= 'd0; VAR386 <= 'd0;
VAR85 <= 'd0; VAR270 <= 'd0; VAR435 <= 'd0; VAR301 <= 'd0; VAR348 <= 'd0; VAR50 <= 'd0; VAR214 <= 'd0;
VAR179 <= 'd0; VAR234 <= 'd0; VAR258 <= 'd0; VAR9 <= 'd0; VAR36 <= 'd0; VAR21 <= 'd0; VAR376 <= 'd0;
VAR418 <= 'd0; VAR201 <= 'd0; VAR70 <= 'd0; VAR23 <= 'd0; VAR30 <= 'd0; VAR215 <= 'd0; VAR223 <= 'd0;
VAR189 <= 'd0; VAR289 <= 'd0; VAR382 <= 'd0; VAR297 <= 'd0; VAR222 <= 'd0; VAR353 <= 'd0; VAR328 <= 'd0;
VAR18 <= 'd0; VAR342 <= 'd0; VAR294 <= 'd0; VAR14 <= 'd0; VAR392 <= 'd0; VAR426 <= 'd0; VAR326 <= 'd0;
VAR8 <= 'd0; VAR226 <= 'd0; VAR463 <= 'd0; VAR45 <= 'd0; VAR457 <= 'd0; VAR384 <= 'd0; VAR203 <= 'd0;
VAR224 <= 'd0; VAR137 <= 'd0; VAR150 <= 'd0; VAR451 <= 'd0; VAR120 <= 'd0; VAR311 <= 'd0; VAR429 <= 'd0;
VAR246 <= 'd0; VAR437 <= 'd0; VAR295 <= 'd0; VAR446 <= 'd0; VAR255 <= 'd0; VAR159 <= 'd0; VAR319 <= 'd0;
VAR281 <= 'd0; VAR71 <= 'd0; VAR128 <= 'd0; VAR447 <= 'd0; VAR296 <= 'd0; VAR298 <= 'd0; VAR82 <= 'd0;
VAR80 <= 'd0; VAR240 <= 'd0; VAR308 <= 'd0; VAR260 <= 'd0; VAR136 <= 'd0; VAR350 <= 'd0; VAR347 <= 'd0;
VAR459 <= 'd0; VAR402 <= 'd0; VAR327 <= 'd0; VAR229 <= 'd0; VAR37 <= 'd0; VAR360 <= 'd0; VAR103 <= 'd0;
VAR191 <= 'd0; VAR245 <= 'd0; VAR408 <= 'd0; VAR282 <= 'd0; VAR183 <= 'd0; VAR244 <= 'd0; VAR197 <= 'd0;
VAR164 <= 'd0; VAR263 <= 'd0; VAR440 <= 'd0; VAR93 <= 'd0; VAR28 <= 'd0; VAR102 <= 'd0; VAR462 <= 'd0;
VAR340 <= 'd0; VAR219 <= 'd0; VAR11 <= 'd0; VAR304 <= 'd0; VAR184 <= 'd0; VAR292 <= 'd0; VAR199 <= 'd0;
VAR461 <= 'd0; VAR190 <= 'd0; VAR254 <= 'd0; VAR444 <= 'd0; VAR241 <= 'd0; VAR200 <= 'd0; VAR310 <= 'd0;
VAR476 <= 'd0; VAR90 <= 'd0; VAR125 <= 'd0; VAR142 <= 'd0; VAR46 <= 'd0; VAR399 <= 'd0; VAR439 <= 'd0;
VAR92 <= 'd0; VAR380 <= 'd0; VAR267 <= 'd0; VAR205 <= 'd0; VAR396 <= 'd0; VAR287 <= 'd0; VAR88 <= 'd0;
VAR44 <= 'd0; VAR450 <= 'd0; VAR345 <= 'd0; VAR100 <= 'd0; VAR116 <= 'd0; VAR253 <= 'd0; VAR87 <= 'd0;
end
else if (VAR61) begin
VAR106 <= VAR403; VAR129 <= VAR106; VAR358 <= VAR129; VAR268 <= VAR358; VAR317 <= VAR268; VAR309 <= VAR317; VAR323 <= VAR309;
VAR248 <= VAR104; VAR56 <= VAR248; VAR51 <= VAR56; VAR13 <= VAR51; VAR338 <= VAR13; VAR172 <= VAR338; VAR185 <= VAR172;
VAR425 <= VAR187; VAR251 <= VAR425; VAR105 <= VAR251; VAR412 <= VAR105; VAR230 <= VAR412; VAR415 <= VAR230; VAR119 <= VAR415;
VAR69 <= VAR227; VAR370 <= VAR69; VAR280 <= VAR370; VAR316 <= VAR280; VAR383 <= VAR316; VAR175 <= VAR383; VAR101 <= VAR175;
VAR110 <= VAR313; VAR259 <= VAR110; VAR305 <= VAR259; VAR27 <= VAR305; VAR22 <= VAR27; VAR149 <= VAR22; VAR466 <= VAR149;
VAR272 <= VAR108; VAR72 <= VAR272; VAR333 <= VAR72; VAR359 <= VAR333; VAR428 <= VAR359; VAR24 <= VAR428; VAR386 <= VAR24;
VAR85 <= VAR374; VAR270 <= VAR85; VAR435 <= VAR270; VAR301 <= VAR435; VAR348 <= VAR301; VAR50 <= VAR348; VAR214 <= VAR50;
VAR179 <= VAR332; VAR234 <= VAR179; VAR258 <= VAR234; VAR9 <= VAR258; VAR36 <= VAR9; VAR21 <= VAR36; VAR376 <= VAR21;
VAR418 <= VAR73; VAR201 <= VAR418; VAR70 <= VAR201; VAR23 <= VAR70; VAR30 <= VAR23; VAR215 <= VAR30; VAR223 <= VAR215;
VAR189 <= VAR413; VAR289 <= VAR189; VAR382 <= VAR289; VAR297 <= VAR382; VAR222 <= VAR297; VAR353 <= VAR222; VAR328 <= VAR353;
VAR18 <= VAR111; VAR342 <= VAR18; VAR294 <= VAR342; VAR14 <= VAR294; VAR392 <= VAR14; VAR426 <= VAR392; VAR326 <= VAR426;
VAR8 <= VAR35; VAR226 <= VAR8; VAR463 <= VAR226; VAR45 <= VAR463; VAR457 <= VAR45; VAR384 <= VAR457; VAR203 <= VAR384;
VAR224 <= VAR456; VAR137 <= VAR224; VAR150 <= VAR137; VAR451 <= VAR150; VAR120 <= VAR451; VAR311 <= VAR120; VAR429 <= VAR311;
VAR246 <= VAR113; VAR437 <= VAR246; VAR295 <= VAR437; VAR446 <= VAR295; VAR255 <= VAR446; VAR159 <= VAR255; VAR319 <= VAR159;
VAR281 <= VAR202; VAR71 <= VAR281; VAR128 <= VAR71; VAR447 <= VAR128; VAR296 <= VAR447; VAR298 <= VAR296; VAR82 <= VAR298;
VAR80 <= VAR138; VAR240 <= VAR80; VAR308 <= VAR240; VAR260 <= VAR308; VAR136 <= VAR260; VAR350 <= VAR136; VAR347 <= VAR350;
VAR459 <= VAR354; VAR402 <= VAR459; VAR327 <= VAR402; VAR229 <= VAR327; VAR37 <= VAR229; VAR360 <= VAR37; VAR103 <= VAR360;
VAR191 <= VAR194; VAR245 <= VAR191; VAR408 <= VAR245; VAR282 <= VAR408; VAR183 <= VAR282; VAR244 <= VAR183; VAR197 <= VAR244;
VAR164 <= VAR324; VAR263 <= VAR164; VAR440 <= VAR263; VAR93 <= VAR440; VAR28 <= VAR93; VAR102 <= VAR28; VAR462 <= VAR102;
VAR340 <= VAR160; VAR219 <= VAR340; VAR11 <= VAR219; VAR304 <= VAR11; VAR184 <= VAR304; VAR292 <= VAR184; VAR199 <= VAR292;
VAR461 <= VAR81; VAR190 <= VAR461; VAR254 <= VAR190; VAR444 <= VAR254; VAR241 <= VAR444; VAR200 <= VAR241; VAR310 <= VAR200;
VAR476 <= VAR390; VAR90 <= VAR476; VAR125 <= VAR90; VAR142 <= VAR125; VAR46 <= VAR142; VAR399 <= VAR46; VAR439 <= VAR399;
VAR92 <= VAR442; VAR380 <= VAR92; VAR267 <= VAR380; VAR205 <= VAR267; VAR396 <= VAR205; VAR287 <= VAR396; VAR88 <= VAR287;
VAR44 <= VAR210; VAR450 <= VAR44; VAR345 <= VAR450; VAR100 <= VAR345; VAR116 <= VAR100; VAR253 <= VAR116; VAR87 <= VAR253;
end
end
always @ (posedge clk or negedge VAR355) begin
if(~VAR355) begin
VAR182 <= 'd0;
end
else if(VAR61) begin
VAR182 <= VAR182 + 'd1;
end
end
always @ (posedge clk or negedge VAR355 ) begin
if (~VAR355) begin
VAR180 <= 'd0; VAR131 <= 'd0; VAR195 <= 'd0; VAR464 <= 'd0; VAR148 <= 'd0; VAR95 <= 'd0; VAR134 <= 'd0;
VAR339 <= 'd0; VAR181 <= 'd0; VAR91 <= 'd0; VAR453 <= 'd0; VAR133 <= 'd0; VAR468 <= 'd0; VAR225 <= 'd0;
VAR99 <= 'd0; VAR112 <= 'd0; VAR54 <= 'd0; VAR232 <= 'd0; VAR379 <= 'd0; VAR395 <= 'd0; VAR228 <= 'd0;
VAR247 <= 'd0; VAR193 <= 'd0; VAR121 <= 'd0; VAR299 <= 'd0; VAR109 <= 'd0; VAR271 <= 'd0; VAR167 <= 'd0;
VAR460 <= 'd0; VAR261 <= 'd0; VAR341 <= 'd0; VAR209 <= 'd0; VAR427 <= 'd0; VAR146 <= 'd0; VAR211 <= 'd0;
VAR387 <= 'd0; VAR152 <= 'd0; VAR329 <= 'd0; VAR420 <= 'd0; VAR409 <= 'd0; VAR140 <= 'd0; VAR115 <= 'd0;
VAR410 <= 'd0; VAR257 <= 'd0; VAR250 <= 'd0; VAR231 <= 'd0; VAR357 <= 'd0; VAR367 <= 'd0; VAR443 <= 'd0;
VAR220 <= 'd0; VAR198 <= 'd0; VAR38 <= 'd0; VAR433 <= 'd0; VAR363 <= 'd0; VAR221 <= 'd0; VAR107 <= 'd0;
end
else if (VAR10) begin
VAR180 <= VAR279; VAR131 <= VAR180; VAR195 <= VAR131; VAR464 <= VAR195; VAR148 <= VAR464; VAR95 <= VAR148; VAR134 <= VAR95;
VAR339 <= VAR471; VAR181 <= VAR339; VAR91 <= VAR181; VAR453 <= VAR91; VAR133 <= VAR453; VAR468 <= VAR133; VAR225 <= VAR468;
VAR99 <= VAR233; VAR112 <= VAR99; VAR54 <= VAR112; VAR232 <= VAR54; VAR379 <= VAR232; VAR395 <= VAR379; VAR228 <= VAR395;
VAR247 <= VAR277; VAR193 <= VAR247; VAR121 <= VAR193; VAR299 <= VAR121; VAR109 <= VAR299; VAR271 <= VAR109; VAR167 <= VAR271;
VAR460 <= VAR458; VAR261 <= VAR460; VAR341 <= VAR261; VAR209 <= VAR341; VAR427 <= VAR209; VAR146 <= VAR427; VAR211 <= VAR146;
VAR387 <= VAR63; VAR152 <= VAR387; VAR329 <= VAR152; VAR420 <= VAR329; VAR409 <= VAR420; VAR140 <= VAR409; VAR115 <= VAR140;
VAR410 <= VAR176; VAR257 <= VAR410; VAR250 <= VAR257; VAR231 <= VAR250; VAR357 <= VAR231; VAR367 <= VAR357; VAR443 <= VAR367;
VAR220 <= VAR406; VAR198 <= VAR220; VAR38 <= VAR198; VAR433 <= VAR38; VAR363 <= VAR433; VAR221 <= VAR363; VAR107 <= VAR221;
end
end
always @ (posedge clk or negedge VAR355) begin
if(~VAR355) begin
VAR162 <= 'd0;
end
else if(VAR10) begin
VAR162 <= VAR162 + 'd1;
end
end
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR117 (
.VAR303( VAR323 ) ,
.VAR278( VAR309 ) ,
.VAR196( VAR317 ) ,
.VAR417( VAR268 ) ,
.VAR375( VAR358 ) ,
.VAR98( VAR129 ) ,
.VAR473( VAR106 ) ,
.VAR122( VAR403 ) ,
.VAR41 ( VAR3)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR169 (
.VAR303( VAR185 ) ,
.VAR278( VAR172 ) ,
.VAR196( VAR338 ) ,
.VAR417( VAR13 ) ,
.VAR375( VAR51 ) ,
.VAR98( VAR56 ) ,
.VAR473( VAR248 ) ,
.VAR122( VAR104 ) ,
.VAR41 ( VAR96)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR330 (
.VAR303( VAR119 ) ,
.VAR278( VAR415 ) ,
.VAR196( VAR230 ) ,
.VAR417( VAR412 ) ,
.VAR375( VAR105 ) ,
.VAR98( VAR251 ) ,
.VAR473( VAR425 ) ,
.VAR122( VAR187 ) ,
.VAR41 ( VAR318)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR126 (
.VAR303( VAR101 ) ,
.VAR278( VAR175 ) ,
.VAR196( VAR383 ) ,
.VAR417( VAR316 ) ,
.VAR375( VAR280 ) ,
.VAR98( VAR370 ) ,
.VAR473( VAR69 ) ,
.VAR122( VAR227 ) ,
.VAR41 ( VAR377)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR32 (
.VAR303( VAR466 ) ,
.VAR278( VAR149 ) ,
.VAR196( VAR22 ) ,
.VAR417( VAR27 ) ,
.VAR375( VAR305 ) ,
.VAR98( VAR259 ) ,
.VAR473( VAR110 ) ,
.VAR122( VAR313 ) ,
.VAR41 ( VAR155)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR349 (
.VAR303( VAR386 ) ,
.VAR278( VAR24 ) ,
.VAR196( VAR428 ) ,
.VAR417( VAR359 ) ,
.VAR375( VAR333 ) ,
.VAR98( VAR72 ) ,
.VAR473( VAR272 ) ,
.VAR122( VAR108 ) ,
.VAR41 ( VAR192)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR356 (
.VAR303( VAR214 ) ,
.VAR278( VAR50 ) ,
.VAR196( VAR348 ) ,
.VAR417( VAR301 ) ,
.VAR375( VAR435 ) ,
.VAR98( VAR270 ) ,
.VAR473( VAR85 ) ,
.VAR122( VAR374 ) ,
.VAR41 ( VAR249)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR269 (
.VAR303( VAR376 ) ,
.VAR278( VAR21 ) ,
.VAR196( VAR36 ) ,
.VAR417( VAR9 ) ,
.VAR375( VAR258 ) ,
.VAR98( VAR234 ) ,
.VAR473( VAR179 ) ,
.VAR122( VAR332 ) ,
.VAR41 ( VAR362)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR89 (
.VAR303( VAR223 ) ,
.VAR278( VAR215 ) ,
.VAR196( VAR30 ) ,
.VAR417( VAR23 ) ,
.VAR375( VAR70 ) ,
.VAR98( VAR201 ) ,
.VAR473( VAR418 ) ,
.VAR122( VAR73 ) ,
.VAR41 ( VAR19)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR153 (
.VAR303( VAR328 ) ,
.VAR278( VAR353 ) ,
.VAR196( VAR222 ) ,
.VAR417( VAR297 ) ,
.VAR375( VAR382 ) ,
.VAR98( VAR289 ) ,
.VAR473( VAR189 ) ,
.VAR122( VAR413 ) ,
.VAR41 ( VAR416)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR291 (
.VAR303( VAR326 ) ,
.VAR278( VAR426 ) ,
.VAR196( VAR392 ) ,
.VAR417( VAR14 ) ,
.VAR375( VAR294 ) ,
.VAR98( VAR342 ) ,
.VAR473( VAR18 ) ,
.VAR122( VAR111 ) ,
.VAR41 ( VAR39)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR212 (
.VAR303( VAR203 ) ,
.VAR278( VAR384 ) ,
.VAR196( VAR457 ) ,
.VAR417( VAR45 ) ,
.VAR375( VAR463 ) ,
.VAR98( VAR226 ) ,
.VAR473( VAR8 ) ,
.VAR122( VAR35 ) ,
.VAR41 ( VAR343)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR145 (
.VAR303( VAR429 ) ,
.VAR278( VAR311 ) ,
.VAR196( VAR120 ) ,
.VAR417( VAR451 ) ,
.VAR375( VAR150 ) ,
.VAR98( VAR137 ) ,
.VAR473( VAR224 ) ,
.VAR122( VAR456 ) ,
.VAR41 ( VAR419)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR472 (
.VAR303( VAR319 ) ,
.VAR278( VAR159 ) ,
.VAR196( VAR255 ) ,
.VAR417( VAR446 ) ,
.VAR375( VAR295 ) ,
.VAR98( VAR437 ) ,
.VAR473( VAR246 ) ,
.VAR122( VAR113 ) ,
.VAR41 ( VAR465)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR264 (
.VAR303( VAR82 ) ,
.VAR278( VAR298 ) ,
.VAR196( VAR296 ) ,
.VAR417( VAR447 ) ,
.VAR375( VAR128 ) ,
.VAR98( VAR71 ) ,
.VAR473( VAR281 ) ,
.VAR122( VAR202 ) ,
.VAR41 ( VAR389)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR156 (
.VAR303( VAR347 ) ,
.VAR278( VAR350 ) ,
.VAR196( VAR136 ) ,
.VAR417( VAR260 ) ,
.VAR375( VAR308 ) ,
.VAR98( VAR240 ) ,
.VAR473( VAR80 ) ,
.VAR122( VAR138 ) ,
.VAR41 ( VAR470)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR397 (
.VAR303( VAR103 ) ,
.VAR278( VAR360 ) ,
.VAR196( VAR37 ) ,
.VAR417( VAR229 ) ,
.VAR375( VAR327 ) ,
.VAR98( VAR402 ) ,
.VAR473( VAR459 ) ,
.VAR122( VAR354 ) ,
.VAR41 ( VAR256)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR365 (
.VAR303( VAR197 ) ,
.VAR278( VAR244 ) ,
.VAR196( VAR183 ) ,
.VAR417( VAR282 ) ,
.VAR375( VAR408 ) ,
.VAR98( VAR245 ) ,
.VAR473( VAR191 ) ,
.VAR122( VAR194 ) ,
.VAR41 ( VAR265)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR58 (
.VAR303( VAR462 ) ,
.VAR278( VAR102 ) ,
.VAR196( VAR28 ) ,
.VAR417( VAR93 ) ,
.VAR375( VAR440 ) ,
.VAR98( VAR263 ) ,
.VAR473( VAR164 ) ,
.VAR122( VAR324 ) ,
.VAR41 ( VAR432)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR276 (
.VAR303( VAR199 ) ,
.VAR278( VAR292 ) ,
.VAR196( VAR184 ) ,
.VAR417( VAR304 ) ,
.VAR375( VAR11 ) ,
.VAR98( VAR219 ) ,
.VAR473( VAR340 ) ,
.VAR122( VAR160 ) ,
.VAR41 ( VAR78)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR174 (
.VAR303( VAR310 ) ,
.VAR278( VAR200 ) ,
.VAR196( VAR241 ) ,
.VAR417( VAR444 ) ,
.VAR375( VAR254 ) ,
.VAR98( VAR190 ) ,
.VAR473( VAR461 ) ,
.VAR122( VAR81 ) ,
.VAR41 ( VAR285)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR474 (
.VAR303( VAR439 ) ,
.VAR278( VAR399 ) ,
.VAR196( VAR46 ) ,
.VAR417( VAR142 ) ,
.VAR375( VAR125 ) ,
.VAR98( VAR90 ) ,
.VAR473( VAR476 ) ,
.VAR122( VAR390 ) ,
.VAR41 ( VAR361)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR448 (
.VAR303( VAR88 ) ,
.VAR278( VAR287 ) ,
.VAR196( VAR396 ) ,
.VAR417( VAR205 ) ,
.VAR375( VAR267 ) ,
.VAR98( VAR380 ) ,
.VAR473( VAR92 ) ,
.VAR122( VAR442 ) ,
.VAR41 ( VAR337)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR385 (
.VAR303( VAR87 ) ,
.VAR278( VAR253 ) ,
.VAR196( VAR116 ) ,
.VAR417( VAR100 ) ,
.VAR375( VAR345 ) ,
.VAR98( VAR450 ) ,
.VAR473( VAR44 ) ,
.VAR122( VAR210 ) ,
.VAR41 ( VAR307)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR25 (
.VAR303( VAR134 ) ,
.VAR278( VAR95 ) ,
.VAR196( VAR148 ) ,
.VAR417( VAR464 ) ,
.VAR375( VAR195 ) ,
.VAR98( VAR131 ) ,
.VAR473( VAR180 ) ,
.VAR122( VAR279 ) ,
.VAR41 ( VAR331)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR366 (
.VAR303( VAR225 ) ,
.VAR278( VAR468 ) ,
.VAR196( VAR133 ) ,
.VAR417( VAR453 ) ,
.VAR375( VAR91 ) ,
.VAR98( VAR181 ) ,
.VAR473( VAR339 ) ,
.VAR122( VAR471 ) ,
.VAR41 ( VAR127)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR33 (
.VAR303( VAR228 ) ,
.VAR278( VAR395 ) ,
.VAR196( VAR379 ) ,
.VAR417( VAR232 ) ,
.VAR375( VAR54 ) ,
.VAR98( VAR112 ) ,
.VAR473( VAR99 ) ,
.VAR122( VAR233 ) ,
.VAR41 ( VAR371)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR118 (
.VAR303( VAR167 ) ,
.VAR278( VAR271 ) ,
.VAR196( VAR109 ) ,
.VAR417( VAR299 ) ,
.VAR375( VAR121 ) ,
.VAR98( VAR193 ) ,
.VAR473( VAR247 ) ,
.VAR122( VAR277 ) ,
.VAR41 ( VAR97)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR12 (
.VAR303( VAR211 ) ,
.VAR278( VAR146 ) ,
.VAR196( VAR427 ) ,
.VAR417( VAR209 ) ,
.VAR375( VAR341 ) ,
.VAR98( VAR261 ) ,
.VAR473( VAR460 ) ,
.VAR122( VAR458 ) ,
.VAR41 ( VAR434)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR378 (
.VAR303( VAR115 ) ,
.VAR278( VAR140 ) ,
.VAR196( VAR409 ) ,
.VAR417( VAR420 ) ,
.VAR375( VAR329 ) ,
.VAR98( VAR152 ) ,
.VAR473( VAR387 ) ,
.VAR122( VAR63 ) ,
.VAR41 ( VAR1)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR321 (
.VAR303( VAR443 ) ,
.VAR278( VAR367 ) ,
.VAR196( VAR357 ) ,
.VAR417( VAR231 ) ,
.VAR375( VAR250 ) ,
.VAR98( VAR257 ) ,
.VAR473( VAR410 ) ,
.VAR122( VAR176 ) ,
.VAR41 ( VAR94)
);
VAR400 #(
.VAR139(1),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR336 (
.VAR303( VAR107 ) ,
.VAR278( VAR221 ) ,
.VAR196( VAR363 ) ,
.VAR417( VAR433 ) ,
.VAR375( VAR38 ) ,
.VAR98( VAR198 ) ,
.VAR473( VAR220 ) ,
.VAR122( VAR406 ) ,
.VAR41 ( VAR206)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR59 (
.VAR303( VAR323 ) ,
.VAR278( VAR309 ) ,
.VAR196( VAR317 ) ,
.VAR417( VAR268 ) ,
.VAR375( VAR358 ) ,
.VAR98( VAR129 ) ,
.VAR473( VAR106 ) ,
.VAR122( VAR403 ) ,
.VAR41 ( VAR368)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR454 (
.VAR303( VAR185 ) ,
.VAR278( VAR172 ) ,
.VAR196( VAR338 ) ,
.VAR417( VAR13 ) ,
.VAR375( VAR51 ) ,
.VAR98( VAR56 ) ,
.VAR473( VAR248 ) ,
.VAR122( VAR104 ) ,
.VAR41 ( VAR158)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR449 (
.VAR303( VAR119 ) ,
.VAR278( VAR415 ) ,
.VAR196( VAR230 ) ,
.VAR417( VAR412 ) ,
.VAR375( VAR105 ) ,
.VAR98( VAR251 ) ,
.VAR473( VAR425 ) ,
.VAR122( VAR187 ) ,
.VAR41 ( VAR141)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR243 (
.VAR303( VAR101 ) ,
.VAR278( VAR175 ) ,
.VAR196( VAR383 ) ,
.VAR417( VAR316 ) ,
.VAR375( VAR280 ) ,
.VAR98( VAR370 ) ,
.VAR473( VAR69 ) ,
.VAR122( VAR227 ) ,
.VAR41 ( VAR124)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR441 (
.VAR303( VAR466 ) ,
.VAR278( VAR149 ) ,
.VAR196( VAR22 ) ,
.VAR417( VAR27 ) ,
.VAR375( VAR305 ) ,
.VAR98( VAR259 ) ,
.VAR473( VAR110 ) ,
.VAR122( VAR313 ) ,
.VAR41 ( VAR422)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR170 (
.VAR303( VAR386 ) ,
.VAR278( VAR24 ) ,
.VAR196( VAR428 ) ,
.VAR417( VAR359 ) ,
.VAR375( VAR333 ) ,
.VAR98( VAR72 ) ,
.VAR473( VAR272 ) ,
.VAR122( VAR108 ) ,
.VAR41 ( VAR68)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR414 (
.VAR303( VAR214 ) ,
.VAR278( VAR50 ) ,
.VAR196( VAR348 ) ,
.VAR417( VAR301 ) ,
.VAR375( VAR435 ) ,
.VAR98( VAR270 ) ,
.VAR473( VAR85 ) ,
.VAR122( VAR374 ) ,
.VAR41 ( VAR424)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR74 (
.VAR303( VAR376 ) ,
.VAR278( VAR21 ) ,
.VAR196( VAR36 ) ,
.VAR417( VAR9 ) ,
.VAR375( VAR258 ) ,
.VAR98( VAR234 ) ,
.VAR473( VAR179 ) ,
.VAR122( VAR332 ) ,
.VAR41 ( VAR436)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR20 (
.VAR303( VAR223 ) ,
.VAR278( VAR215 ) ,
.VAR196( VAR30 ) ,
.VAR417( VAR23 ) ,
.VAR375( VAR70 ) ,
.VAR98( VAR201 ) ,
.VAR473( VAR418 ) ,
.VAR122( VAR73 ) ,
.VAR41 ( VAR334)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR29 (
.VAR303( VAR328 ) ,
.VAR278( VAR353 ) ,
.VAR196( VAR222 ) ,
.VAR417( VAR297 ) ,
.VAR375( VAR382 ) ,
.VAR98( VAR289 ) ,
.VAR473( VAR189 ) ,
.VAR122( VAR413 ) ,
.VAR41 ( VAR178)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR161 (
.VAR303( VAR326 ) ,
.VAR278( VAR426 ) ,
.VAR196( VAR392 ) ,
.VAR417( VAR14 ) ,
.VAR375( VAR294 ) ,
.VAR98( VAR342 ) ,
.VAR473( VAR18 ) ,
.VAR122( VAR111 ) ,
.VAR41 ( VAR475)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR15 (
.VAR303( VAR203 ) ,
.VAR278( VAR384 ) ,
.VAR196( VAR457 ) ,
.VAR417( VAR45 ) ,
.VAR375( VAR463 ) ,
.VAR98( VAR226 ) ,
.VAR473( VAR8 ) ,
.VAR122( VAR35 ) ,
.VAR41 ( VAR55)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR62 (
.VAR303( VAR429 ) ,
.VAR278( VAR311 ) ,
.VAR196( VAR120 ) ,
.VAR417( VAR451 ) ,
.VAR375( VAR150 ) ,
.VAR98( VAR137 ) ,
.VAR473( VAR224 ) ,
.VAR122( VAR456 ) ,
.VAR41 ( VAR275)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR421 (
.VAR303( VAR319 ) ,
.VAR278( VAR159 ) ,
.VAR196( VAR255 ) ,
.VAR417( VAR446 ) ,
.VAR375( VAR295 ) ,
.VAR98( VAR437 ) ,
.VAR473( VAR246 ) ,
.VAR122( VAR113 ) ,
.VAR41 ( VAR404)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR188 (
.VAR303( VAR82 ) ,
.VAR278( VAR298 ) ,
.VAR196( VAR296 ) ,
.VAR417( VAR447 ) ,
.VAR375( VAR128 ) ,
.VAR98( VAR71 ) ,
.VAR473( VAR281 ) ,
.VAR122( VAR202 ) ,
.VAR41 ( VAR154)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR31 (
.VAR303( VAR347 ) ,
.VAR278( VAR350 ) ,
.VAR196( VAR136 ) ,
.VAR417( VAR260 ) ,
.VAR375( VAR308 ) ,
.VAR98( VAR240 ) ,
.VAR473( VAR80 ) ,
.VAR122( VAR138 ) ,
.VAR41 ( VAR67)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR322 (
.VAR303( VAR103 ) ,
.VAR278( VAR360 ) ,
.VAR196( VAR37 ) ,
.VAR417( VAR229 ) ,
.VAR375( VAR327 ) ,
.VAR98( VAR402 ) ,
.VAR473( VAR459 ) ,
.VAR122( VAR354 ) ,
.VAR41 ( VAR6)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR252 (
.VAR303( VAR197 ) ,
.VAR278( VAR244 ) ,
.VAR196( VAR183 ) ,
.VAR417( VAR282 ) ,
.VAR375( VAR408 ) ,
.VAR98( VAR245 ) ,
.VAR473( VAR191 ) ,
.VAR122( VAR194 ) ,
.VAR41 ( VAR207)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR351 (
.VAR303( VAR462 ) ,
.VAR278( VAR102 ) ,
.VAR196( VAR28 ) ,
.VAR417( VAR93 ) ,
.VAR375( VAR440 ) ,
.VAR98( VAR263 ) ,
.VAR473( VAR164 ) ,
.VAR122( VAR324 ) ,
.VAR41 ( VAR352)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR42 (
.VAR303( VAR199 ) ,
.VAR278( VAR292 ) ,
.VAR196( VAR184 ) ,
.VAR417( VAR304 ) ,
.VAR375( VAR11 ) ,
.VAR98( VAR219 ) ,
.VAR473( VAR340 ) ,
.VAR122( VAR160 ) ,
.VAR41 ( VAR16)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR43 (
.VAR303( VAR310 ) ,
.VAR278( VAR200 ) ,
.VAR196( VAR241 ) ,
.VAR417( VAR444 ) ,
.VAR375( VAR254 ) ,
.VAR98( VAR190 ) ,
.VAR473( VAR461 ) ,
.VAR122( VAR81 ) ,
.VAR41 ( VAR394)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR401 (
.VAR303( VAR439 ) ,
.VAR278( VAR399 ) ,
.VAR196( VAR46 ) ,
.VAR417( VAR142 ) ,
.VAR375( VAR125 ) ,
.VAR98( VAR90 ) ,
.VAR473( VAR476 ) ,
.VAR122( VAR390 ) ,
.VAR41 ( VAR114)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR239 (
.VAR303( VAR88 ) ,
.VAR278( VAR287 ) ,
.VAR196( VAR396 ) ,
.VAR417( VAR205 ) ,
.VAR375( VAR267 ) ,
.VAR98( VAR380 ) ,
.VAR473( VAR92 ) ,
.VAR122( VAR442 ) ,
.VAR41 ( VAR132)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR86 (
.VAR303( VAR87 ) ,
.VAR278( VAR253 ) ,
.VAR196( VAR116 ) ,
.VAR417( VAR100 ) ,
.VAR375( VAR345 ) ,
.VAR98( VAR450 ) ,
.VAR473( VAR44 ) ,
.VAR122( VAR210 ) ,
.VAR41 ( VAR151)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR17 (
.VAR303( VAR134 ) ,
.VAR278( VAR95 ) ,
.VAR196( VAR148 ) ,
.VAR417( VAR464 ) ,
.VAR375( VAR195 ) ,
.VAR98( VAR131 ) ,
.VAR473( VAR180 ) ,
.VAR122( VAR279 ) ,
.VAR41 ( VAR469)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR320 (
.VAR303( VAR225 ) ,
.VAR278( VAR468 ) ,
.VAR196( VAR133 ) ,
.VAR417( VAR453 ) ,
.VAR375( VAR91 ) ,
.VAR98( VAR181 ) ,
.VAR473( VAR339 ) ,
.VAR122( VAR471 ) ,
.VAR41 ( VAR204)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR4 (
.VAR303( VAR228 ) ,
.VAR278( VAR395 ) ,
.VAR196( VAR379 ) ,
.VAR417( VAR232 ) ,
.VAR375( VAR54 ) ,
.VAR98( VAR112 ) ,
.VAR473( VAR99 ) ,
.VAR122( VAR233 ) ,
.VAR41 ( VAR75)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR286 (
.VAR303( VAR167 ) ,
.VAR278( VAR271 ) ,
.VAR196( VAR109 ) ,
.VAR417( VAR299 ) ,
.VAR375( VAR121 ) ,
.VAR98( VAR193 ) ,
.VAR473( VAR247 ) ,
.VAR122( VAR277 ) ,
.VAR41 ( VAR144)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR79 (
.VAR303( VAR211 ) ,
.VAR278( VAR146 ) ,
.VAR196( VAR427 ) ,
.VAR417( VAR209 ) ,
.VAR375( VAR341 ) ,
.VAR98( VAR261 ) ,
.VAR473( VAR460 ) ,
.VAR122( VAR458 ) ,
.VAR41 ( VAR173)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR237 (
.VAR303( VAR115 ) ,
.VAR278( VAR140 ) ,
.VAR196( VAR409 ) ,
.VAR417( VAR420 ) ,
.VAR375( VAR329 ) ,
.VAR98( VAR152 ) ,
.VAR473( VAR387 ) ,
.VAR122( VAR63 ) ,
.VAR41 ( VAR344)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR213 (
.VAR303( VAR443 ) ,
.VAR278( VAR367 ) ,
.VAR196( VAR357 ) ,
.VAR417( VAR231 ) ,
.VAR375( VAR250 ) ,
.VAR98( VAR257 ) ,
.VAR473( VAR410 ) ,
.VAR122( VAR176 ) ,
.VAR41 ( VAR262)
);
VAR400 #(
.VAR139(2),
.VAR445(0),
.VAR40(1),
.VAR218(0),
.VAR293(0)
) VAR266 (
.VAR303( VAR107 ) ,
.VAR278( VAR221 ) ,
.VAR196( VAR363 ) ,
.VAR417( VAR433 ) ,
.VAR375( VAR38 ) ,
.VAR98( VAR198 ) ,
.VAR473( VAR220 ) ,
.VAR122( VAR406 ) ,
.VAR41 ( VAR452)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR288 (
.VAR303( VAR403 ) ,
.VAR278( VAR106 ) ,
.VAR196( VAR129 ) ,
.VAR417( VAR358 ) ,
.VAR375( VAR268 ) ,
.VAR98( VAR317 ) ,
.VAR473( VAR309 ) ,
.VAR122( VAR323 ) ,
.VAR41 ( VAR242)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR467 (
.VAR303( VAR104 ) ,
.VAR278( VAR248 ) ,
.VAR196( VAR56 ) ,
.VAR417( VAR51 ) ,
.VAR375( VAR13 ) ,
.VAR98( VAR338 ) ,
.VAR473( VAR172 ) ,
.VAR122( VAR185 ) ,
.VAR41 ( VAR217)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR284 (
.VAR303( VAR187 ) ,
.VAR278( VAR425 ) ,
.VAR196( VAR251 ) ,
.VAR417( VAR105 ) ,
.VAR375( VAR412 ) ,
.VAR98( VAR230 ) ,
.VAR473( VAR415 ) ,
.VAR122( VAR119 ) ,
.VAR41 ( VAR57)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR236 (
.VAR303( VAR227 ) ,
.VAR278( VAR69 ) ,
.VAR196( VAR370 ) ,
.VAR417( VAR280 ) ,
.VAR375( VAR316 ) ,
.VAR98( VAR383 ) ,
.VAR473( VAR175 ) ,
.VAR122( VAR101 ) ,
.VAR41 ( VAR48)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR135 (
.VAR303( VAR313 ) ,
.VAR278( VAR110 ) ,
.VAR196( VAR259 ) ,
.VAR417( VAR305 ) ,
.VAR375( VAR27 ) ,
.VAR98( VAR22 ) ,
.VAR473( VAR149 ) ,
.VAR122( VAR466 ) ,
.VAR41 ( VAR274)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR177 (
.VAR303( VAR108 ) ,
.VAR278( VAR272 ) ,
.VAR196( VAR72 ) ,
.VAR417( VAR333 ) ,
.VAR375( VAR359 ) ,
.VAR98( VAR428 ) ,
.VAR473( VAR24 ) ,
.VAR122( VAR386 ) ,
.VAR41 ( VAR123)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR405 (
.VAR303( VAR374 ) ,
.VAR278( VAR85 ) ,
.VAR196( VAR270 ) ,
.VAR417( VAR435 ) ,
.VAR375( VAR301 ) ,
.VAR98( VAR348 ) ,
.VAR473( VAR50 ) ,
.VAR122( VAR214 ) ,
.VAR41 ( VAR49)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR346 (
.VAR303( VAR332 ) ,
.VAR278( VAR179 ) ,
.VAR196( VAR234 ) ,
.VAR417( VAR258 ) ,
.VAR375( VAR9 ) ,
.VAR98( VAR36 ) ,
.VAR473( VAR21 ) ,
.VAR122( VAR376 ) ,
.VAR41 ( VAR388)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR438 (
.VAR303( VAR73 ) ,
.VAR278( VAR418 ) ,
.VAR196( VAR201 ) ,
.VAR417( VAR70 ) ,
.VAR375( VAR23 ) ,
.VAR98( VAR30 ) ,
.VAR473( VAR215 ) ,
.VAR122( VAR223 ) ,
.VAR41 ( VAR335)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR306 (
.VAR303( VAR413 ) ,
.VAR278( VAR189 ) ,
.VAR196( VAR289 ) ,
.VAR417( VAR382 ) ,
.VAR375( VAR297 ) ,
.VAR98( VAR222 ) ,
.VAR473( VAR353 ) ,
.VAR122( VAR328 ) ,
.VAR41 ( VAR5)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR455 (
.VAR303( VAR111 ) ,
.VAR278( VAR18 ) ,
.VAR196( VAR342 ) ,
.VAR417( VAR294 ) ,
.VAR375( VAR14 ) ,
.VAR98( VAR392 ) ,
.VAR473( VAR426 ) ,
.VAR122( VAR326 ) ,
.VAR41 ( VAR7)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR64 (
.VAR303( VAR35 ) ,
.VAR278( VAR8 ) ,
.VAR196( VAR226 ) ,
.VAR417( VAR463 ) ,
.VAR375( VAR45 ) ,
.VAR98( VAR457 ) ,
.VAR473( VAR384 ) ,
.VAR122( VAR203 ) ,
.VAR41 ( VAR83)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR47 (
.VAR303( VAR456 ) ,
.VAR278( VAR224 ) ,
.VAR196( VAR137 ) ,
.VAR417( VAR150 ) ,
.VAR375( VAR451 ) ,
.VAR98( VAR120 ) ,
.VAR473( VAR311 ) ,
.VAR122( VAR429 ) ,
.VAR41 ( VAR369)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR290 (
.VAR303( VAR113 ) ,
.VAR278( VAR246 ) ,
.VAR196( VAR437 ) ,
.VAR417( VAR295 ) ,
.VAR375( VAR446 ) ,
.VAR98( VAR255 ) ,
.VAR473( VAR159 ) ,
.VAR122( VAR319 ) ,
.VAR41 ( VAR53)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR168 (
.VAR303( VAR202 ) ,
.VAR278( VAR281 ) ,
.VAR196( VAR71 ) ,
.VAR417( VAR128 ) ,
.VAR375( VAR447 ) ,
.VAR98( VAR296 ) ,
.VAR473( VAR298 ) ,
.VAR122( VAR82 ) ,
.VAR41 ( VAR163)
);
VAR400 #(
.VAR139(0),
.VAR445(0),
.VAR40(0),
.VAR218(1),
.VAR293(0)
) VAR283 (
.VAR303( VAR138 ) ,
.VAR278( VAR80 ) ,
.VAR196( VAR240 ) ,
.VAR417( VAR308 ) ,
.VAR375( VAR260 ) ,
.VAR98( VAR136 ) ,
.VAR473( VAR350 ) ,
.VAR122( VAR347 ) ,
.VAR41 ( VAR381)
);
VAR238 VAR315 (
.VAR235(VAR403),
.VAR84(VAR314)
);
VAR238 VAR77 (
.VAR235(VAR104),
.VAR84(VAR364)
);
VAR238 VAR423 (
.VAR235(VAR187),
.VAR84(VAR157)
);
VAR238 VAR165 (
.VAR235(VAR227),
.VAR84(VAR130)
);
VAR238 VAR273 (
.VAR235(VAR313),
.VAR84(VAR407)
);
VAR238 VAR147 (
.VAR235(VAR108),
.VAR84(VAR391)
);
VAR238 VAR166 (
.VAR235(VAR374),
.VAR84(VAR216)
);
VAR238 VAR26 (
.VAR235(VAR332),
.VAR84(VAR65)
);
VAR238 VAR186 (
.VAR235(VAR73),
.VAR84(VAR171)
);
VAR238 VAR430 (
.VAR235(VAR413),
.VAR84(VAR143)
);
VAR238 VAR300 (
.VAR235(VAR111),
.VAR84(VAR208)
);
VAR238 VAR393 (
.VAR235(VAR35),
.VAR84(VAR66)
);
VAR238 VAR2 (
.VAR235(VAR456),
.VAR84(VAR398)
);
VAR238 VAR411 (
.VAR235(VAR113),
.VAR84(VAR34)
);
VAR238 VAR76 (
.VAR235(VAR202),
.VAR84(VAR302)
);
VAR238 VAR372 (
.VAR235(VAR138),
.VAR84(VAR312)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/xor2/sky130_fd_sc_lp__xor2_lp.v | 2,125 | module MODULE2 (
VAR6 ,
VAR5 ,
VAR8 ,
VAR9,
VAR3,
VAR1 ,
VAR2
);
output VAR6 ;
input VAR5 ;
input VAR8 ;
input VAR9;
input VAR3;
input VAR1 ;
input VAR2 ;
VAR4 VAR7 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR6,
VAR5,
VAR8
);
output VAR6;
input VAR5;
input VAR8;
supply1 VAR9;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR2 ;
VAR4 VAR7 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule | apache-2.0 |
FuzzyLogic/Trivium | hdl/src/trivium_top.v | 6,465 | module MODULE1(
input wire VAR18,
input wire VAR12,
input wire [31:0] VAR3,
input wire [31:0] VAR4,
input wire [2:0] VAR15,
input wire [2:0] VAR13,
input wire VAR16,
input wire VAR21,
output reg [31:0] VAR20,
output wire VAR7
);
reg [2:0] VAR5;
reg [2:0] VAR8;
reg [10:0] VAR19;
reg VAR2;
reg [31:0] VAR23;
wire VAR10;
integer VAR17;
parameter VAR14 = 0,
VAR22 = 1,
VAR9 = 2,
VAR11 = 3;
VAR1 VAR24(
.VAR18(VAR18),
.VAR12(VAR12),
.VAR6(VAR2),
.VAR4(VAR4),
.VAR15(VAR15),
.VAR13(VAR13),
.VAR3(VAR23[0]),
.VAR20(VAR10)
);
assign VAR7 = VAR2; | lgpl-3.0 |
ECE492-Team5/Platform | soc-platform-quartusii/soc_system/synthesis/submodules/soc_system_led_pio.v | 2,257 | module MODULE1 (
address,
VAR7,
clk,
VAR3,
VAR9,
VAR6,
VAR8,
VAR4
)
;
output [ 3: 0] VAR8;
output [ 31: 0] VAR4;
input [ 1: 0] address;
input VAR7;
input clk;
input VAR3;
input VAR9;
input [ 31: 0] VAR6;
wire VAR5;
reg [ 3: 0] VAR2;
wire [ 3: 0] VAR8;
wire [ 3: 0] VAR1;
wire [ 31: 0] VAR4;
assign VAR5 = 1;
assign VAR1 = {4 {(address == 0)}} & VAR2;
always @(posedge clk or negedge VAR3)
begin
if (VAR3 == 0)
VAR2 <= 15;
end
else if (VAR7 && ~VAR9 && (address == 0))
VAR2 <= VAR6[3 : 0];
end
assign VAR4 = {32'b0 | VAR1};
assign VAR8 = VAR2;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/conb/sky130_fd_sc_hd__conb.pp.symbol.v | 1,268 | module MODULE1 (
output VAR1 ,
output VAR4 ,
input VAR2 ,
input VAR5,
input VAR3,
input VAR6
);
endmodule | apache-2.0 |
lsnow/mips32 | div.v | 2,135 | module MODULE1(
VAR15, VAR3, VAR9,
clk, VAR7, VAR2, VAR6, VAR4
);
input clk, VAR7;
input [31:0] VAR2, VAR6;
input VAR4;
output [31:0] VAR15, VAR3;
output VAR9;
reg VAR9;
wire [32:0] VAR10;
wire [31:0] VAR11;
reg [31:0] VAR13;
reg [31:0] VAR14;
reg [31:0] VAR12;
reg [5:0] VAR8;
reg VAR1;
reg VAR5;
always @(posedge clk) begin
if(VAR7) begin
VAR14 <= 32'b0;
VAR13 <= (VAR2[31] & VAR4) ? {~VAR2[31:0] + 1} : VAR2;
VAR12 <= (VAR6[31] & VAR4) ? {~VAR6[31:0] + 1} : VAR6;
VAR8 <= 6'b0;
VAR1 <= 0;
VAR9 <= 0;
VAR5 <= VAR2[31] ^ VAR6[31];
end else if(~VAR9) begin
VAR14 <= VAR10[31:0];
VAR1 <= VAR10[32];
VAR13 <= {VAR13[30:0], ~VAR10[32]};
VAR8 <= VAR8 + 6'b000001;
if (VAR8 == 6'b011111) VAR9 <= 1;
end
end
assign VAR10 = VAR1 ? {VAR14, VAR13[31]} + {1'b0, VAR12} : {VAR14, VAR13[31]} - {1'b0, VAR12};
assign VAR11 = VAR1 ? VAR14 + VAR12 : VAR14;
assign VAR3 = VAR2[31] ? ~VAR11 + 1 : VAR11;
assign VAR15 = (VAR5 & VAR4) ? {~VAR13[31:0] + 1} : VAR13;
endmodule | gpl-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/aoi221/gf180mcu_fd_sc_mcu7t5v0__aoi221_2.functional.pp.v | 2,125 | module MODULE1( VAR15, VAR5, VAR14, VAR13, VAR1, VAR4, VAR24, VAR25 );
input VAR1, VAR4, VAR13, VAR5, VAR14;
inout VAR24, VAR25;
output VAR15;
wire VAR10;
not VAR16( VAR10, VAR1 );
wire VAR3;
not VAR20( VAR3, VAR13 );
wire VAR8;
not VAR7( VAR8, VAR14 );
wire VAR19;
and VAR6( VAR19, VAR10, VAR3, VAR8 );
wire VAR21;
not VAR17( VAR21, VAR5 );
wire VAR23;
and VAR11( VAR23, VAR10, VAR21, VAR8 );
wire VAR22;
not VAR12( VAR22, VAR4 );
wire VAR9;
and VAR26( VAR9, VAR22, VAR3, VAR8 );
wire VAR18;
and VAR2( VAR18, VAR22, VAR21, VAR8 );
or VAR27( VAR15, VAR19, VAR23, VAR9, VAR18 );
endmodule | apache-2.0 |
YosysHQ/yosys | techlibs/machxo2/cells_map.v | 1,369 | module MODULE6 (VAR32, VAR35);
parameter VAR21 = 0;
parameter VAR4 = 0;
input [VAR21-1:0] VAR32;
output VAR35;
localparam VAR13 = 1<<(4-VAR21);
wire [3:0] VAR30;
generate
if(VAR21 == 1) begin
assign VAR30 = {1'b0, 1'b0, 1'b0, VAR32[0]};
end else if(VAR21 == 2) begin
assign VAR30 = {1'b0, 1'b0, VAR32[1], VAR32[0]};
end else if(VAR21 == 3) begin
assign VAR30 = {1'b0, VAR32[2], VAR32[1], VAR32[0]};
end else if(VAR21 == 4) begin
assign VAR30 = {VAR32[3], VAR32[2], VAR32[1], VAR32[0]};
end else begin
wire VAR20 = 1;
end
endgenerate
VAR34 #(.VAR18({VAR13{VAR4}})) VAR28 (.VAR32(VAR30[0]), .VAR25(VAR30[1]), .VAR14(VAR30[2]), .VAR22(VAR30[3]), .VAR19(VAR35));
endmodule
module \VAR12 (input VAR22, VAR14, output VAR24); VAR36 #(.VAR10("1"), .VAR1("VAR16"), .VAR31("VAR29"), .VAR27("VAR3")) VAR28 (.VAR16(VAR14), .VAR29(1'b0), .VAR26(VAR22), .VAR24(VAR24)); endmodule
module \VAR37 (input VAR30, output VAR33); VAR11 #(.VAR23("VAR8")) VAR28 (.VAR17(VAR33), .VAR30(VAR30), .VAR5(1'b0)); endmodule
module \VAR6 (input VAR30, output VAR33); VAR11 #(.VAR23("VAR7")) VAR28 (.VAR17(VAR30), .VAR33(VAR33)); endmodule
module \VAR2 (input VAR30, VAR5, output VAR33); VAR11 #(.VAR23("VAR8")) VAR28 (.VAR17(VAR33), .VAR30(VAR30), .VAR5(VAR5)); endmodule
module \VAR15 (input VAR30, VAR5, output VAR33, inout VAR25); VAR11 #(.VAR23("VAR9")) VAR28 (.VAR17(VAR25), .VAR30(VAR30), .VAR33(VAR33), .VAR5(VAR5)); endmodule | isc |
FrankMuenzner/proxmark3 | fpga/fpga.v | 8,024 | module MODULE1(
VAR44, VAR72, VAR6, VAR129,
VAR95, VAR113, VAR15,
VAR116, VAR73, VAR9, VAR48, VAR98, VAR108,
VAR137, VAR41, VAR70,
VAR53, VAR107, VAR55, VAR20,
VAR64, VAR94,
VAR2
);
input VAR44, VAR6, VAR129;
output VAR72;
input VAR95, VAR113, VAR15;
output VAR116, VAR73, VAR9, VAR48, VAR98, VAR108;
input [7:0] VAR137;
output VAR41, VAR70;
input VAR55;
output VAR53, VAR107, VAR20;
input VAR64, VAR94;
output VAR2;
reg [15:0] VAR56;
reg [7:0] VAR114;
reg [7:0] VAR88;
always @(posedge VAR129)
begin
case(VAR56[15:12])
4'b0001: VAR88 <= VAR56[7:0]; 4'b0010: VAR114 <= VAR56[7:0]; endcase
end
always @(posedge VAR44)
begin
if(~VAR129)
begin
VAR56[15:1] <= VAR56[14:0];
VAR56[0] <= VAR6;
end
end
wire [2:0] VAR131;
assign VAR131 = VAR88[7:5];
wire VAR12;
assign VAR12 = VAR88[3];
wire VAR115;
assign VAR115 = VAR88[0];
wire VAR23;
assign VAR23 = VAR88[0];
wire VAR62;
assign VAR62 = VAR88[1];
wire VAR123;
assign VAR123 = VAR88[2];
wire [2:0] VAR122;
assign VAR122 = VAR88[2:0];
wire VAR87;
assign VAR87 = VAR88[0];
VAR38 VAR50(
VAR95, VAR113, VAR15,
VAR26, VAR1, VAR57, VAR54, VAR43, VAR51,
VAR137, VAR69,
VAR13, VAR67, VAR55, VAR45,
VAR64, VAR94,
VAR84,
VAR12, VAR114
);
VAR30 VAR89(
VAR95, VAR113, VAR15,
VAR25, VAR112, VAR61, VAR135, VAR28, VAR52,
VAR137, VAR106,
VAR79, VAR118, VAR55, VAR68,
VAR64, VAR94,
VAR76, VAR114
);
VAR11 VAR91(
VAR95, VAR113, VAR15,
VAR22, VAR66, VAR101, VAR21, VAR110, VAR8,
VAR137, VAR65,
VAR3, VAR58, VAR55, VAR82,
VAR64, VAR94,
VAR127, VAR114,
VAR87
);
VAR109 VAR99(
VAR95, VAR113, VAR15,
VAR7, VAR17, VAR104, VAR14, VAR74, VAR37,
VAR137, VAR40,
VAR96, VAR117, VAR55, VAR105,
VAR64, VAR94,
VAR47,
VAR115
);
VAR121 VAR27(
VAR95, VAR113, VAR15,
VAR78, VAR103, VAR4, VAR18, VAR46, VAR63,
VAR137, VAR132,
VAR134, VAR16, VAR55, VAR111,
VAR64, VAR94,
VAR31,
VAR23, VAR62, VAR123
);
VAR83 VAR130(
VAR95, VAR113, VAR15,
VAR128, VAR5, VAR90, VAR33, VAR39, VAR19,
VAR137, VAR80,
VAR124, VAR59, VAR55, VAR60,
VAR64, VAR94,
VAR32,
VAR122
);
VAR120 VAR119(
VAR95, VAR113, VAR15,
VAR81, VAR71, VAR86, VAR35, VAR133, VAR92,
VAR137, VAR42,
VAR10, VAR100, VAR55, VAR75,
VAR64, VAR94,
VAR93,
VAR122
);
VAR77 VAR97 (VAR131, VAR20, VAR45, VAR82, VAR105, VAR111, VAR60, VAR75, VAR68, 1'b0);
VAR77 VAR34 (VAR131, VAR107, VAR67, VAR58, VAR117, VAR16, VAR59, VAR100, VAR118, 1'b0);
VAR77 VAR85 (VAR131, VAR53, VAR13, VAR3, VAR96, VAR134, VAR124, VAR10, VAR79, 1'b0);
VAR77 VAR102 (VAR131, VAR9, VAR57, VAR101, VAR104, VAR4, VAR90, VAR86, VAR61, 1'b0);
VAR77 VAR24 (VAR131, VAR48, VAR54, VAR21, VAR14, VAR18, VAR33, VAR35, VAR135, 1'b0);
VAR77 VAR126 (VAR131, VAR98, VAR43, VAR110, VAR74, VAR46, VAR39, VAR133, VAR28, 1'b0);
VAR77 VAR136 (VAR131, VAR108, VAR51, VAR8, VAR37, VAR63, VAR19, VAR92, VAR52, 1'b0);
VAR77 VAR36 (VAR131, VAR116, VAR26, VAR22, VAR7, VAR78, VAR128, VAR81, VAR25, 1'b0);
VAR77 VAR125 (VAR131, VAR73, VAR1, VAR66, VAR17, VAR103, VAR5, VAR71, VAR112, 1'b0);
VAR77 VAR29 (VAR131, VAR41, VAR69, VAR65, VAR40, VAR132, VAR80, VAR42, VAR106, 1'b0);
VAR77 VAR49 (VAR131, VAR2, VAR84, VAR127, VAR47, VAR31, VAR32, VAR93, VAR76, 1'b0);
assign VAR70 = 1'b0;
endmodule | gpl-2.0 |
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC | Gray_Processing/ip/Gray_Processing/board/mem_splitter/acl_iface_address_to_bankaddress.v | 2,012 | module MODULE1 #(
parameter integer VAR7 = 32, parameter integer VAR6 = 2, parameter integer VAR5 = VAR7-VAR3(VAR6)
)
(
input logic [VAR7-1:0] address,
output logic [VAR6-1:0] VAR2, output logic [VAR3(VAR6)-1:0] VAR1, output logic [VAR7-VAR3(VAR6)-1:0] VAR8
);
integer VAR9;
logic [VAR7:0] VAR4;
assign VAR4 = {1'b0,address};
always@*
begin
for (VAR9=0; VAR9<VAR6; VAR9=VAR9+1)
VAR2[VAR9] = (VAR4[VAR5+VAR3(VAR6)-1 : VAR5] == VAR9);
end
always@*
begin
VAR1 = (VAR4>>VAR5) & ~({64{1'b1}}<<VAR3(VAR6));
end
assign VAR8 = ((address>>(VAR5+VAR3(VAR6)))<<(VAR5)) |
((~({VAR7{1'b1}}<<VAR5)) & address);
endmodule | mit |
ncos/Xilinx-Verilog | SINGEN/src/sandbox.v | 2,126 | module MODULE1
(
input wire VAR8,
output wire [7:0] VAR43,
input wire [7:0] VAR28,
output wire [7:0] VAR30,
input wire [7:0] VAR29,
input wire VAR37,
input wire VAR24,
input wire VAR2,
input wire VAR3,
input wire VAR21
);
wire VAR39;
wire VAR25;
reg VAR15 = 1'b0;
reg VAR23 = 1'b0;
reg VAR1 = 1'b1;
reg VAR10 = 1'b0;
reg VAR8;
reg VAR32;
reg VAR6;
reg VAR22;
reg VAR36;
wire VAR26;
wire VAR7;
wire pulse;
reg [11:0] VAR9 = 12'd0;
reg VAR16 = 0;
reg VAR18 = 0;
assign VAR30[1] = VAR15;
assign VAR30[2] = VAR23;
assign VAR30[3] = VAR10;
assign VAR30[4] = VAR1;
assign VAR30[5] = VAR7;
assign VAR30[6] = pulse;
assign VAR30[7] = VAR6;
always @(posedge VAR8) begin
VAR15 <= VAR39;
VAR23 <= VAR25;
VAR10 <= VAR31;
VAR1 <= VAR26;
VAR6 <= pulse;
VAR32 <= pulse;
end
always @(posedge VAR7) begin
VAR9 <= 16'd771;
end
VAR33 VAR14
(
.VAR12(VAR8),
.VAR11(64'd1000),
.VAR35(VAR9),
.VAR40(VAR32),
.VAR13(VAR6),
.VAR17(VAR25),
.VAR31(VAR31),
.VAR38(VAR39),
.VAR26(VAR26)
);
VAR34 VAR4
(
.VAR8(VAR8),
.out(VAR7),
.VAR20(64'd100000),
.VAR41(pulse)
);
VAR19 memory
(
.VAR5(10'd123),
.VAR12(VAR8),
.VAR42(1'b1),
.VAR27(1'b1)
);
endmodule | mit |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/projects/fmcomms1/ac701/system_top.v | 9,679 | module MODULE1 (
VAR22,
VAR44,
VAR62,
VAR15,
VAR108,
VAR26,
VAR74,
VAR94,
VAR43,
VAR118,
VAR18,
VAR61,
VAR80,
VAR17,
VAR115,
VAR41,
VAR28,
VAR91,
VAR57,
VAR11,
VAR55,
VAR23,
VAR123,
VAR109,
VAR107,
VAR8,
VAR125,
VAR5,
VAR31,
VAR122,
VAR124,
VAR116,
VAR95,
VAR72,
VAR27,
VAR114,
VAR16,
VAR71,
VAR106,
VAR126,
VAR36,
VAR86,
VAR59,
VAR6,
VAR81,
VAR13,
VAR54,
VAR39,
VAR9,
VAR46,
VAR30);
input VAR22;
input VAR44;
input VAR62;
input VAR15;
output VAR108;
output [13:0] VAR26;
output [ 2:0] VAR74;
output VAR94;
output [ 0:0] VAR43;
output [ 0:0] VAR118;
output [ 0:0] VAR18;
output [ 0:0] VAR61;
output [ 7:0] VAR80;
inout [63:0] VAR17;
inout [ 7:0] VAR115;
inout [ 7:0] VAR41;
output [ 0:0] VAR28;
output VAR91;
output VAR57;
output VAR11;
output VAR55;
output VAR23;
inout VAR123;
output VAR109;
output VAR107;
output [ 3:0] VAR8;
input VAR125;
input VAR5;
input [ 3:0] VAR31;
output VAR122;
inout [ 6:0] VAR124;
inout [12:0] VAR116;
output VAR95;
inout VAR72;
inout VAR27;
input VAR114;
input VAR16;
output VAR71;
output VAR106;
output VAR126;
output VAR36;
output [15:0] VAR86;
output [15:0] VAR59;
input VAR6;
input VAR81;
input VAR13;
input VAR54;
input [13:0] VAR39;
input [13:0] VAR9;
output VAR46;
output VAR30;
reg [63:0] VAR2 = 'd0;
reg [63:0] VAR96 = 'd0;
reg VAR51 = 'd0;
reg VAR75 = 'd0;
reg VAR89 = 'd0;
reg [31:0] VAR68 = 'd0;
wire [63:0] VAR84;
wire [63:0] VAR98;
wire [63:0] VAR19;
wire VAR93;
wire VAR45;
wire VAR78;
wire VAR29;
wire VAR112;
wire [63:0] VAR33;
wire VAR85;
wire VAR42;
wire VAR87;
wire [15:0] VAR38;
wire VAR102;
wire VAR111;
wire [15:0] VAR100;
wire VAR67;
wire VAR127;
assign VAR121 = 2'd0;
assign VAR122 = 1'b1;
assign VAR95 = 1'b1;
VAR37 #(.VAR58(13)) VAR3 (
.VAR7 (VAR19[12:0]),
.VAR50 (VAR98[12:0]),
.VAR35 (VAR84[12:0]),
.VAR49 (VAR116));
VAR103 #(
.VAR14 ("VAR82"),
.VAR101 (1'b0),
.VAR52 ("VAR40"))
VAR63 (
.VAR65 (1'b0),
.VAR105 (1'b1),
.VAR66 (1'b0),
.VAR92 (VAR67),
.VAR120 (1'b1),
.VAR34 (1'b0),
.VAR56 (VAR127));
VAR110 VAR119 (
.VAR113 (VAR127),
.VAR10 (VAR46),
.VAR24 (VAR30));
always @(posedge VAR93) begin
VAR51 <= VAR45 & VAR78;
VAR96[63:48] <= VAR33[63:48];
VAR96[47:32] <= VAR33[63:48];
VAR96[31:16] <= VAR33[31:16];
VAR96[15: 0] <= VAR33[31:16];
VAR2[63:48] <= VAR33[47:32];
VAR2[47:32] <= VAR33[47:32];
VAR2[31:16] <= VAR33[15: 0];
VAR2[15: 0] <= VAR33[15: 0];
end
always @(posedge VAR85) begin
VAR75 <= ~VAR75;
case ({VAR111, VAR87})
2'b10: begin
VAR89 <= VAR75;
VAR68 <= {VAR100, VAR68[31:16]};
end
2'b01: begin
VAR89 <= VAR75;
VAR68 <= {VAR38, VAR68[31:16]};
end
default: begin
VAR89 <= 1'b1;
VAR68 <= {VAR100, VAR38};
end
endcase
end
VAR77 VAR90 (
.VAR26 (VAR26),
.VAR74 (VAR74),
.VAR94 (VAR94),
.VAR43 (VAR43),
.VAR118 (VAR118),
.VAR18 (VAR18),
.VAR61 (VAR61),
.VAR80 (VAR80),
.VAR17 (VAR17),
.VAR115 (VAR115),
.VAR41 (VAR41),
.VAR28 (VAR28),
.VAR91 (VAR91),
.VAR57 (VAR57),
.VAR11 (VAR11),
.VAR60 (VAR124),
.VAR48 (VAR98[31:0]),
.VAR1 (VAR19[31:0]),
.VAR4 (VAR84[31:0]),
.VAR32 (VAR98[63:32]),
.VAR53 (VAR19[63:32]),
.VAR73 (VAR84[63:32]),
.VAR83 (VAR72),
.VAR20 (VAR27),
.VAR79 (1'b0),
.VAR99 (1'b0),
.VAR12 (1'b0),
.VAR69 (1'b0),
.VAR21 (1'b0),
.VAR85 (VAR85),
.VAR81 (VAR81),
.VAR6 (VAR6),
.VAR38 (VAR38),
.VAR100 (VAR100),
.VAR9 (VAR9),
.VAR39 (VAR39),
.VAR68 (VAR68),
.VAR89 (VAR89),
.VAR87 (VAR87),
.VAR111 (VAR111),
.VAR54 (VAR54),
.VAR13 (VAR13),
.VAR42 (VAR42),
.VAR102 (VAR102),
.VAR93 (VAR93),
.VAR16 (VAR16),
.VAR114 (VAR114),
.VAR106 (VAR106),
.VAR71 (VAR71),
.VAR59 (VAR59),
.VAR86 (VAR86),
.VAR2 (VAR2),
.VAR96 (VAR96),
.VAR51 (VAR51),
.VAR33 (VAR33),
.VAR78 (VAR78),
.VAR112 (VAR112),
.VAR36 (VAR36),
.VAR126 (VAR126),
.VAR45 (VAR45),
.VAR29 (VAR29),
.VAR67 (VAR67),
.VAR64 (VAR123),
.VAR117 (VAR23),
.VAR104 (VAR55),
.VAR97 (VAR31),
.VAR25 (VAR5),
.VAR88 (VAR125),
.VAR47 (VAR8),
.VAR70 (VAR107),
.VAR76 (VAR109),
.VAR62 (VAR62),
.VAR44 (VAR44),
.VAR22 (VAR22),
.VAR15 (VAR15),
.VAR108 (VAR108));
endmodule | gpl-3.0 |
scalable-networks/ext | uhd/fpga/usrp2/timing/time_sender.v | 3,581 | module MODULE1
(input clk, input rst,
input [63:0] VAR18,
input VAR17,
output reg VAR22);
reg [7:0] VAR12;
reg VAR1;
wire [9:0] VAR7;
reg [9:0] VAR26;
reg VAR24;
wire VAR20, VAR6;
reg [4:0] state;
reg [3:0] VAR28;
VAR19 VAR19
(.VAR12({VAR1,VAR12}),.VAR3(VAR24),
.VAR7(VAR7),.VAR29(VAR20));
always @(posedge clk)
if(rst)
VAR24 <= 0;
else if(VAR6)
VAR24 <= VAR20;
always @(posedge clk)
if(rst)
VAR26 <= 0;
else if(VAR6)
VAR26 <= VAR7;
else
VAR26 <= {1'b0,VAR26[9:1]};
always @(posedge clk)
VAR22 <= VAR26[0];
assign VAR6 = (VAR28 == 9);
always @(posedge clk)
if(rst)
VAR28 <= 0;
else if(VAR6 | VAR17)
VAR28 <= 0;
else
VAR28 <= VAR28 + 1;
localparam VAR23 = 0;
localparam VAR27 = 1;
localparam VAR10 = 2;
localparam VAR16 = 3;
localparam VAR4 = 4;
localparam VAR15 = 5;
localparam VAR25 = 6;
localparam VAR14 = 7;
localparam VAR8 = 8;
localparam VAR21 = 9;
localparam VAR11 = 10;
localparam VAR5 = 8'hBC;
localparam VAR13 = 8'h3C;
localparam VAR9 = 8'hF7;
reg [63:0] VAR2;
always @(posedge clk)
if(rst)
VAR2 <= 0;
else if(VAR17)
VAR2 <= VAR18;
always @(posedge clk)
if(rst)
begin
{VAR1,VAR12} <= 0;
state <= VAR23;
end
else
if(VAR17)
state <= VAR27;
else if(VAR6)
case(state)
VAR23 :
{VAR1,VAR12} <= {1'b1,VAR5};
VAR27 :
begin
{VAR1,VAR12} <= {1'b1, VAR13};
state <= VAR10;
end
VAR10 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[63:56] };
state <= VAR16;
end
VAR16 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[55:48]};
state <= VAR4;
end
VAR4 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[47:40]};
state <= VAR15;
end
VAR15 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[39:32]};
state <= VAR25;
end
VAR25 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[31:24]};
state <= VAR14;
end
VAR14 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[23:16]};
state <= VAR8;
end
VAR8 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[15:8]};
state <= VAR21;
end
VAR21 :
begin
{VAR1,VAR12} <= {1'b0, VAR2[7:0]};
state <= VAR11;
end
VAR11 :
begin
{VAR1,VAR12} <= {1'b1, VAR9};
state <= VAR23;
end
default :
state <= VAR23;
endcase
endmodule | gpl-2.0 |
EPiCS/soundgates | hardware/design/reference/cf_lib/edk/pcores/axi_fft_v1_00_a/hdl/verilog/axi_fft_win.v | 10,144 | module MODULE1 (
clk,
VAR77,
VAR70,
VAR48,
VAR16,
VAR1,
VAR9,
VAR78,
VAR17,
VAR41,
VAR59);
input clk;
input VAR77;
input [15:0] VAR70;
input VAR48;
output VAR16;
output VAR1;
output [15:0] VAR9;
output VAR78;
input VAR17;
input [15:0] VAR41;
input VAR59;
reg [15:0] VAR62 = 'd0;
reg VAR47 = 'd0;
reg VAR44 = 'd0;
reg VAR60 = 'd0;
reg [15:0] VAR63 = 'd0;
reg VAR66 = 'd0;
reg [15:0] VAR68 = 'd0;
reg [15:0] VAR49 = 'd0;
reg VAR64 = 'd0;
reg VAR11 = 'd0;
reg VAR76 = 'd0;
reg [14:0] VAR53 = 'd0;
reg [14:0] VAR39 = 'd0;
reg VAR67 = 'd0;
reg VAR74 = 'd0;
reg [15:0] VAR13 = 'd0;
reg VAR56 = 'd0;
reg [16:0] VAR15 = 'd0;
reg [ 5:0] VAR19 = 'd0;
reg [ 5:0] VAR22 = 'd0;
reg VAR16 = 'd0;
reg [ 5:0] VAR7 = 'd0;
reg VAR28 = 'd0;
reg VAR38 = 'd0;
reg [16:0] VAR6 = 'd0;
reg [ 1:0] VAR65 = 'd0;
reg VAR75 = 'd0;
reg [16:0] VAR31 = 'd0;
reg VAR33 = 'd0;
reg [16:0] VAR35 = 'd0;
reg VAR37 = 'd0;
reg [16:0] VAR12 = 'd0;
reg VAR36 = 'd0;
reg [16:0] VAR26 = 'd0;
reg [ 1:0] VAR3 = 'd0;
reg VAR1 = 'd0;
reg [15:0] VAR9 = 'd0;
reg VAR78 = 'd0;
wire [15:0] VAR42;
wire VAR72;
wire VAR55;
wire [15:0] VAR69;
wire [31:0] VAR14;
wire VAR50;
wire VAR45;
wire VAR2;
wire VAR30;
wire [ 6:0] VAR71;
wire VAR27;
wire [16:0] VAR29;
always @(posedge clk) begin
if (VAR77 == 1'b1) begin
VAR62 <= VAR62 + VAR41;
end else if (VAR59 == 1'b0) begin
VAR62 <= 16'h4000;
end
end
VAR4 #(.VAR40(18)) VAR61 (
.clk (clk),
.VAR32 (VAR62),
.VAR58 (VAR42),
.VAR52 ({VAR77, VAR48, VAR70}),
.VAR43 ({VAR72, VAR55, VAR69}));
always @(posedge clk) begin
VAR47 <= VAR72;
VAR44 <= VAR55;
VAR60 <= VAR69[15];
if (VAR69[15] == 1'b1) begin
VAR63 <= ~VAR69 + 1'b1;
end else begin
VAR63 <= VAR69;
end
VAR66 <= VAR42[15];
VAR68 <= 16'h7fff - VAR42[14:0];
VAR49 <= 16'h7fff + VAR42[14:0];
VAR64 <= VAR47;
VAR11 <= VAR44;
VAR76 <= VAR60;
VAR53 <= VAR63[14:0];
if (VAR66 == 1'b1) begin
VAR39 <= VAR49[15:1];
end else begin
VAR39 <= VAR68[15:1];
end
end
VAR46 #(.VAR40(3)) VAR5 (
.clk (clk),
.VAR8 ({1'b0, VAR53}),
.VAR18 ({1'b0, VAR39}),
.VAR34 (VAR14),
.VAR52 ({VAR76, VAR64, VAR11}),
.VAR43 ({VAR50, VAR45, VAR2}));
always @(posedge clk) begin
VAR67 <= VAR45;
VAR74 <= VAR2;
if (VAR50 == 1'b1) begin
VAR13 <= ~VAR14[30:15] + 1'b1;
end else begin
VAR13 <= VAR14[30:15];
end
end
always @(posedge clk) begin
if (VAR59 == 1'b1) begin
VAR56 <= VAR67;
VAR15 <= {VAR74, VAR13};
end else begin
VAR56 <= VAR77;
VAR15 <= {VAR48, VAR70};
end
if (VAR56 == 1'b1) begin
VAR19 <= VAR19 + 1'b1;
end
end
VAR25 #(.VAR54(6), .VAR24(17)) VAR23 (
.VAR21 (clk),
.VAR10 (VAR56),
.VAR73 (VAR19),
.VAR57 (VAR15),
.VAR51 (clk),
.VAR20 (VAR7),
.VAR79 (VAR29));
assign VAR30 = (VAR19 == VAR7) ? 1'b0 : VAR17;
assign VAR71 = {1'b1, VAR19} - VAR7;
assign VAR27 = VAR17 | ~VAR1;
always @(posedge clk) begin
VAR22 <= VAR71[5:0];
if (VAR22 >= 32) begin
VAR16 <= 1'b0;
end else if (VAR22 <= 20) begin
VAR16 <= 1'b1;
end
end
always @(posedge clk) begin
if (VAR30 == 1'b1) begin
VAR7 <= VAR7 + 1'b1;
end
VAR28 <= VAR30;
VAR38 <= VAR28;
VAR6 <= VAR29;
end
always @(posedge clk) begin
if (VAR38 == 1'b1) begin
VAR65 <= VAR65 + 1'b1;
end
if ((VAR65 == 2'd0) && (VAR38 == 1'b1)) begin
VAR75 <= 1'b1;
VAR31 <= VAR6;
end else if ((VAR3 == 2'd0) && (VAR27 == 1'b1)) begin
VAR75 <= 1'b0;
VAR31 <= 17'd0;
end
if ((VAR65 == 2'd1) && (VAR38 == 1'b1)) begin
VAR33 <= 1'b1;
VAR35 <= VAR6;
end else if ((VAR3 == 2'd1) && (VAR27 == 1'b1)) begin
VAR33 <= 1'b0;
VAR35 <= 17'd0;
end
if ((VAR65 == 2'd2) && (VAR38 == 1'b1)) begin
VAR37 <= 1'b1;
VAR12 <= VAR6;
end else if ((VAR3 == 2'd2) && (VAR27 == 1'b1)) begin
VAR37 <= 1'b0;
VAR12 <= 17'd0;
end
if ((VAR65 == 2'd3) && (VAR38 == 1'b1)) begin
VAR36 <= 1'b1;
VAR26 <= VAR6;
end else if ((VAR3 == 2'd3) && (VAR27 == 1'b1)) begin
VAR36 <= 1'b0;
VAR26 <= 17'd0;
end
if ((VAR3 != VAR65) && (VAR17 == 1'b1)) begin
VAR3 <= VAR3 + 1'b1;
end
if ((VAR1 == 1'b0) || (VAR17 == 1'b1)) begin
case (VAR3)
2'd3: begin
VAR1 <= VAR36;
VAR9 <= VAR26[15:0];
VAR78 <= VAR26[16] & VAR36;
end
2'd2: begin
VAR1 <= VAR37;
VAR9 <= VAR12[15:0];
VAR78 <= VAR12[16] & VAR37;
end
2'd1: begin
VAR1 <= VAR33;
VAR9 <= VAR35[15:0];
VAR78 <= VAR35[16] & VAR33;
end
default: begin
VAR1 <= VAR75;
VAR9 <= VAR31[15:0];
VAR78 <= VAR31[16] & VAR75;
end
endcase
end
end
endmodule | mit |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.