repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
AmeerAbdelhadi/Indirectly-Indexed-2D-Binary-Content-Addressable-Memory-BCAM
ii2dcam.v
6,305
module MODULE1 reg VAR13 ; reg [VAR18(VAR3)+9:0] VAR17; reg [VAR26*9-1:0] VAR4; reg [VAR26*9-1:0] VAR38; wire VAR24 ; wire [VAR18(VAR3)+9:0] VAR10; wire [VAR26*9-1:0] VAR7; wire [VAR26*9-1:0] VAR31; always @(posedge clk, posedge rst) if (rst) {VAR13,VAR17,VAR4,VAR38} <= {(VAR18(VAR3)+18*VAR26+11){1'b0}}; else {VAR13,VAR17,VAR4,VAR38} <= {VAR28 ,VAR1 ,VAR9 ,VAR14 } ; assign {VAR24,VAR10,VAR7,VAR31} = VAR19 ? {VAR13,VAR17,VAR4,VAR38} : {VAR28 ,VAR1 ,VAR9 ,VAR14 } ; reg VAR16; reg [VAR18(VAR3)+9:0] VAR15; wire VAR20; wire [VAR18(VAR3)+9:0] VAR12; always @(posedge clk, posedge rst) if (rst) {VAR16,VAR15} <= {(VAR18(VAR3)+11){1'b0}}; else {VAR16,VAR15} <= {VAR20,VAR12} ; assign {VAR22 ,VAR32 } = VAR8 ? {VAR16,VAR15} : {VAR20,VAR12} ; wire [VAR3*1024-1:0] VAR5 [VAR26-1:0]; genvar VAR11; generate for (VAR11=0 ; VAR11<VAR26 ; VAR11=VAR11+1) begin: VAR39 VAR6 #( .VAR3 (VAR3 ), .VAR27 (VAR27 )) VAR37 ( .clk (clk ), .rst (rst ), .VAR28 (VAR24 ), .VAR1(VAR10 ), .VAR9(VAR7[VAR11*9 +: 9]), .VAR14(VAR31[VAR11*9 +: 9]), .VAR35(VAR5[VAR11] )); end endgenerate integer VAR30; reg [VAR3*1024-1:0] VAR35; always @(*) begin VAR35 = {(VAR3*1024){1'b1}}; for (VAR30=0; VAR30<VAR26; VAR30=VAR30+1) VAR35 = VAR35 & VAR5[VAR30]; end VAR25 #( .VAR36(VAR3*1024) ) else VAR2 VAR34 VAR29 ( .clk(clk ), .rst(rst ), .VAR21(VAR35 ), .VAR33(VAR12), .VAR23(VAR20) ); endmodule
bsd-3-clause
jlrandulfe/UviSpace
DE1-SoC/FPGA_Design/ip/camera_controller/rgb2hue.v
12,236
module MODULE1( input VAR47, input VAR27, input VAR63, input [7:0] VAR29, input [7:0] VAR69, input [7:0] VAR62, input VAR54, input VAR10, output VAR59, output [7:0] VAR26, output [7:0] VAR13, output [7:0] VAR3, output [7:0] VAR32, output VAR45, output VAR38 ); reg VAR24; reg [7:0] VAR4; reg [7:0] VAR17; reg [7:0] VAR56; reg VAR66; reg VAR53; reg [7:0] VAR7; reg [1:0] VAR15; reg [7:0] VAR21; reg [1:0] VAR12; always @(posedge VAR47) begin if (VAR27) begin VAR24 <= VAR63; VAR4[7:0] <= VAR29[7:0]; VAR17[7:0] <= VAR69[7:0]; VAR56[7:0] <= VAR62[7:0]; VAR66 <= VAR54; VAR53 <= VAR10; if (VAR29 >= VAR69) begin if (VAR29 >= VAR62) begin VAR7[7:0] <= VAR29[7:0]; VAR15[1:0] <= 1; end else begin VAR7[7:0] <= VAR62[7:0]; VAR15[1:0] <= 3; end end else begin if (VAR62 >= VAR69) begin VAR7[7:0] <= VAR62[7:0]; VAR15[1:0] <= 3; end else begin VAR7[7:0] <= VAR69[7:0]; VAR15[1:0] <= 2; end end if (VAR29 < VAR69) begin if (VAR29 < VAR62) begin VAR21[7:0] <= VAR29[7:0]; VAR12[1:0] <= 1; end else begin VAR21[7:0] <= VAR62[7:0]; VAR12[1:0] <= 3; end end else begin if (VAR62 < VAR69) begin VAR21[7:0] <= VAR62[7:0]; VAR12[1:0] <= 3; end else begin VAR21[7:0] <= VAR69[7:0]; VAR12[1:0] <= 2; end end end else begin VAR24 <= 1'b0; VAR4[7:0] <= 8'b0; VAR17[7:0] <= 8'b0; VAR56[7:0] <= 8'b0; VAR66 <= 1'b0; VAR53 <= 1'b0; VAR7[7:0] <= 8'd0; VAR15[1:0] <= 2'd0; VAR21[7:0] <= 8'd0; VAR12[1:0] <= 2'd0; end end reg VAR60; reg [7:0] VAR1; reg [7:0] VAR6; reg [7:0] VAR18; reg VAR70; reg VAR34; reg [7:0] VAR58; reg [7:0] VAR31; reg [1:0] VAR37; reg [7:0] VAR48; reg [1:0] VAR55; always @(posedge VAR47) begin if (VAR27) begin VAR60 <= VAR24; VAR1[7:0] <= VAR4[7:0]; VAR6[7:0] <= VAR17[7:0]; VAR18[7:0] <= VAR56[7:0]; VAR70 <= VAR66; VAR34 <= VAR53; VAR58[7:0] <= VAR7[7:0] - VAR21[7:0]; VAR31[7:0] <= VAR7[7:0]; VAR37[1:0] <= VAR15[1:0]; VAR48[7:0] <= VAR21[7:0]; VAR55[1:0] <= VAR12[1:0]; end else begin VAR60 <= 1'b0; VAR1[7:0] <= 8'b0; VAR6[7:0] <= 8'b0; VAR18[7:0] <= 8'b0; VAR70 <= 1'b0; VAR34 <= 1'b0; VAR58[7:0] <= 8'd0; VAR31[7:0] <= 8'd0; VAR37[1:0] <= 2'd0; VAR48[7:0] <= 8'd0; VAR55[1:0] <= 2'd0; end end reg VAR28; reg [7:0] VAR51; reg [7:0] VAR50; reg [7:0] VAR36; reg VAR35; reg VAR9; reg [11:0] VAR64; reg [7:0] VAR44; reg [7:0] VAR2; reg [1:0] VAR5; reg [7:0] VAR43; reg [1:0] VAR30; always @(posedge VAR47) begin if (VAR27) begin VAR28 <= VAR60; VAR51[7:0] <= VAR1[7:0]; VAR50[7:0] <= VAR6[7:0]; VAR36[7:0] <= VAR18[7:0]; VAR35 <= VAR70; VAR9 <= VAR34; VAR44[7:0] <= VAR58[7:0]; VAR2[7:0] <= VAR31[7:0]; VAR5[1:0] <= VAR37[1:0]; VAR43[7:0] <= VAR48[7:0]; VAR30[1:0] <= VAR55[1:0]; if (VAR58 > 0) begin if (VAR37 == 1) begin if (VAR6 < VAR18) begin VAR64[11:0] = ((VAR18 - VAR6) << 4); end else begin VAR64[11:0] = ((VAR6 - VAR18) << 4); end end if (VAR37 == 2) begin if (VAR18 < VAR1) begin VAR64[11:0] = ((VAR1 - VAR18) << 4); end else begin VAR64[11:0] = ((VAR18 - VAR1) << 4); end end if (VAR37 == 3) begin if (VAR1 < VAR6) begin VAR64[11:0] = ((VAR6 - VAR1) << 4); end else begin VAR64[11:0] = ((VAR1 - VAR6) << 4); end end end else begin VAR64[11:0] <= 12'd0; end end else begin VAR28 <= 1'b0; VAR51[7:0] <= 8'b0; VAR50[7:0] <= 8'b0; VAR36[7:0] <= 8'b0; VAR35 <= 1'b0; VAR9 <= 1'b0; VAR64[11:0] <= 12'd0; VAR44[7:0] <= 8'd0; VAR2[7:0] <= 8'd0; VAR5[1:0] <= 2'd0; VAR43[7:0] <= 8'd0; VAR30[1:0] <= 2'd0; end end reg VAR25; reg [7:0] VAR49; reg [7:0] VAR19; reg [7:0] VAR11; reg VAR22; reg VAR20; reg [11:0] VAR41; reg [7:0] VAR14; reg [1:0] VAR52; always @(posedge VAR47) begin if (VAR27) begin VAR25 <= VAR28; VAR49[7:0] <= VAR51[7:0]; VAR19[7:0] <= VAR50[7:0]; VAR11[7:0] <= VAR36[7:0]; VAR22 <= VAR35; VAR20 <= VAR9; VAR14[7:0] <= VAR44[7:0]; VAR52 <= VAR5; VAR41[11:0] <= (VAR64 / VAR44); end else begin VAR25 <= 1'b0; VAR49[7:0] <= 8'b0; VAR19[7:0] <= 8'b0; VAR11[7:0] <= 8'b0; VAR22 <= 1'b0; VAR20 <= 1'b0; VAR41[11:0] <= 12'd0; VAR14[7:0] <= 8'd0; VAR52[1:0] <= 2'd0; end end reg VAR16; reg [7:0] VAR61; reg [7:0] VAR8; reg [7:0] VAR65; reg VAR40; reg VAR68; reg [11:0] VAR23; always @(posedge VAR47) begin if (VAR27) begin VAR16 <= VAR25; VAR61[7:0] <= VAR49[7:0]; VAR8[7:0] <= VAR19[7:0]; VAR65[7:0] <= VAR11[7:0]; VAR40 <= VAR22; VAR68 <= VAR20; if (VAR14 > 0) begin if (VAR52 == 1) begin if (VAR19 < VAR11) begin VAR23[11:0] = 96 - VAR41; end else begin VAR23[11:0] = 0 + VAR41; end end if (VAR52 == 2) begin if (VAR11 < VAR49) begin VAR23[11:0] = 32 - VAR41; end else begin VAR23[11:0] = 32 + VAR41; end end if (VAR52 == 3) begin if (VAR49 < VAR19) begin VAR23[11:0] = 64 - VAR41; end else begin VAR23[11:0] = 64 + VAR41; end end end else begin VAR23[11:0] <= 12'd0; end end else begin VAR16 <= 1'b0; VAR61[7:0] <= 8'b0; VAR8[7:0] <= 8'b0; VAR65[7:0] <= 8'b0; VAR40 <= 1'b0; VAR68 <= 1'b0; VAR23[11:0] <= 12'd0; end end reg valid; reg [7:0] VAR46; reg [7:0] VAR42; reg [7:0] VAR67; reg VAR39; reg VAR57; reg [18:0] VAR33; always @(posedge VAR47) begin if (VAR27) begin valid <= VAR16; VAR46[7:0] <= VAR61[7:0]; VAR42[7:0] <= VAR8[7:0]; VAR67[7:0] <= VAR65[7:0]; VAR39 <= VAR40; VAR57 <= VAR68; VAR33[18:0] <= (85 * VAR23); end else begin valid <= 1'b0; VAR46[7:0] <= 8'b0; VAR42[7:0] <= 8'b0; VAR67[7:0] <= 8'b0; VAR39 <= 1'b0; VAR57 <= 1'b0; VAR33[18:0] <= 19'd0; end end assign VAR59 = valid; assign VAR26[7:0] = VAR46[7:0]; assign VAR13[7:0] = VAR42[7:0]; assign VAR3[7:0] = VAR67[7:0]; assign VAR32[7:0] = VAR33[12:5]; assign VAR45 = VAR39; assign VAR38 = VAR57; endmodule
gpl-3.0
rkrajnc/minimig-mist
rtl/minimig/denise_hamgenerator.v
3,143
module MODULE1 ( input wire clk, input wire VAR24, input wire [ 9-1:1] VAR13, input wire [ 12-1:0] VAR7, input wire [ 8-1:0] select, input wire [ 8-1:0] VAR6, input wire [ 3-1:0] VAR18, input wire VAR16, input wire VAR10, output reg [ 24-1:0] VAR27 ); parameter VAR9 = 9'h180; wire [ 8-1:0] VAR11 = select ^ VAR6; wire [ 8-1:0] VAR22 = {VAR18[2:0], VAR13[5:1]}; wire VAR5 = (VAR13[8:6] == VAR9[8:6]) && VAR24; wire [32-1:0] VAR26 = {4'b0, VAR7[11:0], 4'b0, VAR7[11:0]}; wire [ 4-1:0] VAR12 = VAR16 ? 4'b0011 : 4'b1111; wire [ 8-1:0] VAR14 = VAR10 ? {2'b00, VAR11[7:2]} : VAR11; wire [32-1:0] VAR25; reg [24-1:0] VAR15; reg [ 8-1:0] VAR17; VAR21 VAR29 ( .VAR2 (clk ), .enable (1'b1 ), .VAR28 (VAR22 ), .VAR5 (VAR5 ), .VAR23 (VAR12 ), .VAR8 (VAR26 ), .VAR1 (VAR14 ), .VAR3 (VAR25 ) ); wire [12-1:0] VAR4 = VAR25[12-1+16:0+16]; wire [12-1:0] VAR20 = VAR25[12-1+ 0:0+ 0]; wire [24-1:0] VAR19 = {VAR4[11:8], VAR20[11:8], VAR4[7:4], VAR20[7:4], VAR4[3:0], VAR20[3:0]}; always @ (posedge clk) begin VAR15 <= VAR27; end always @ (posedge clk) begin VAR17 <= VAR11; end always @ (*) begin if (VAR10) begin case (VAR17[1:0]) 2'b00: VAR27 = VAR19; 2'b01: VAR27 = {VAR15[23:8],VAR17[7:2],VAR15[1:0]}; 2'b10: VAR27 = {VAR17[7:2],VAR15[17:16],VAR15[15:0]}; 2'b11: VAR27 = {VAR15[23:16],VAR17[7:2],VAR15[9:8],VAR15[7:0]}; default: VAR27 = VAR19; endcase end else begin case (VAR17[5:4]) 2'b00: VAR27 = VAR19; 2'b01: VAR27 = {VAR15[23:8],VAR17[3:0],VAR17[3:0]}; 2'b10: VAR27 = {VAR17[3:0],VAR17[3:0],VAR15[15:0]}; 2'b11: VAR27 = {VAR15[23:16],VAR17[3:0],VAR17[3:0],VAR15[7:0]}; default: VAR27 = VAR19; endcase end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nor3b/sky130_fd_sc_hs__nor3b_4.v
2,127
module MODULE2 ( VAR5 , VAR6 , VAR2 , VAR4 , VAR7, VAR1 ); output VAR5 ; input VAR6 ; input VAR2 ; input VAR4 ; input VAR7; input VAR1; VAR3 VAR8 ( .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR4(VAR4), .VAR7(VAR7), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR5 , VAR6 , VAR2 , VAR4 ); output VAR5 ; input VAR6 ; input VAR2 ; input VAR4; supply1 VAR7; supply0 VAR1; VAR3 VAR8 ( .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR4(VAR4) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/dlclkp/sky130_fd_sc_hs__dlclkp_2.v
2,027
module MODULE1 ( VAR6, VAR3, VAR4 , VAR7, VAR5 ); output VAR6; input VAR3; input VAR4 ; input VAR7; input VAR5; VAR1 VAR2 ( .VAR6(VAR6), .VAR3(VAR3), .VAR4(VAR4), .VAR7(VAR7), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR6, VAR3, VAR4 ); output VAR6; input VAR3; input VAR4 ; supply1 VAR7; supply0 VAR5; VAR1 VAR2 ( .VAR6(VAR6), .VAR3(VAR3), .VAR4(VAR4) ); endmodule
apache-2.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/system/synthesis/submodules/acl_address_to_bankaddress.v
1,822
module MODULE1 #( parameter integer VAR7 = 32, parameter integer VAR4 = 2, parameter integer VAR6 = VAR7-VAR8(VAR4) ) ( input logic [VAR7-1:0] address, output logic [VAR4-1:0] VAR1, output logic [VAR7-VAR8(VAR4)-1:0] VAR2 ); integer VAR3; logic [VAR7:0] VAR5; assign VAR5 = {1'b0,address}; always@* begin for (VAR3=0; VAR3<VAR4; VAR3=VAR3+1) VAR1[VAR3] = (VAR5[VAR6+VAR8(VAR4)-1 : VAR6] == VAR3); end assign VAR2 = ((address>>(VAR6+VAR8(VAR4)))<<(VAR6)) | ((~({VAR7{1'b1}}<<VAR6)) & address); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or4b/sky130_fd_sc_hs__or4b_4.v
2,164
module MODULE1 ( VAR3 , VAR8 , VAR7 , VAR6 , VAR2 , VAR5, VAR1 ); output VAR3 ; input VAR8 ; input VAR7 ; input VAR6 ; input VAR2 ; input VAR5; input VAR1; VAR4 VAR9 ( .VAR3(VAR3), .VAR8(VAR8), .VAR7(VAR7), .VAR6(VAR6), .VAR2(VAR2), .VAR5(VAR5), .VAR1(VAR1) ); endmodule module MODULE1 ( VAR3 , VAR8 , VAR7 , VAR6 , VAR2 ); output VAR3 ; input VAR8 ; input VAR7 ; input VAR6 ; input VAR2; supply1 VAR5; supply0 VAR1; VAR4 VAR9 ( .VAR3(VAR3), .VAR8(VAR8), .VAR7(VAR7), .VAR6(VAR6), .VAR2(VAR2) ); endmodule
apache-2.0
azonenberg/antikernel-ipcores
device_abstraction/ShiftRegisterMacro.v
6,408
module MODULE1 #( parameter VAR3 = 16, parameter VAR2 = 32, parameter VAR4 = 5 ) ( input wire clk, input wire[VAR4-1 : 0] addr, input wire[VAR3-1 : 0] din, input wire VAR5, output wire[VAR3-1 : 0] dout , output reg[VAR3*VAR2 - 1 : 0] VAR1 ); generate
bsd-3-clause
lvd2/ngs
fpga/obsolete/fpgaF_dma2/sound/sound_main2.v
9,378
module MODULE1( VAR17, VAR33, VAR24, VAR34, VAR7, VAR14, VAR13, VAR6, VAR30, VAR15, VAR36 ); input VAR17; input VAR33; input VAR24; input VAR34; input VAR7; input VAR14; input [2:0] VAR13; input [7:0] VAR6; output VAR30; output VAR15; output VAR36; reg VAR10; reg VAR4; reg [5:0] VAR23; reg VAR29; reg VAR20, VAR39, VAR18; reg VAR28; reg [2:0] VAR1; reg [7:0] VAR9; reg VAR22; wire VAR31; wire [15:0] VAR26; reg VAR32; reg VAR11; wire VAR19; wire [7:0] VAR37; reg [8:0] VAR38; reg VAR16; reg VAR3; reg VAR2,VAR21; reg VAR5, VAR35; reg [1:0] VAR27; reg VAR12; reg [2:0] VAR8,VAR25; begin begin begin begin begin end begin begin end begin end begin end begin begin begin begin begin begin begin begin begin begin end begin begin
gpl-3.0
gyurco/ZX_Spectrum-128K_MIST
gs.v
7,637
module MODULE1 ( input VAR22, input VAR39, input VAR54, input VAR32, input VAR68, input [7:0] VAR10, output [7:0] VAR33, input VAR20, input VAR49, input VAR1, output [20:0] VAR58, output [7:0] VAR45, input [7:0] VAR47, output VAR56, output VAR11, input VAR16, output [14:0] VAR3, output [14:0] VAR23 ); parameter VAR13 = 291; assign VAR33 = VAR68 ? {VAR34, 6'b111111, VAR64} : VAR42; reg VAR9; wire VAR19; wire VAR18; wire VAR38; wire VAR52; wire VAR41; wire VAR48; wire [15:0] VAR43; wire [7:0] VAR67; VAR40 VAR4 ( .VAR5(~VAR22), .VAR39(VAR39), .VAR21(VAR54), .VAR60(VAR32), .VAR29(VAR9), .VAR30(VAR19), .VAR6(VAR18), .VAR24(VAR52), .VAR2(VAR38), .VAR1(VAR41), .VAR49(VAR48), .VAR68(VAR43), .VAR33(VAR67), .VAR10(VAR57) ); wire VAR46 = VAR32; reg VAR12; reg VAR25; wire VAR14 = VAR25 & ~VAR1; wire VAR66 = VAR12 & ~VAR49; always @(posedge VAR39) begin VAR25 <= VAR1; VAR12 <= VAR49; end always @(posedge VAR39) begin reg [9:0] VAR55; if (VAR22) begin VAR55 <= 0; VAR9 <= 1; end else if(VAR46) begin VAR55 <= VAR55 + 1'b1; if (VAR55 == VAR13) begin VAR55 <= 0; VAR9 <= 0; end end if (~VAR38 & ~VAR19) VAR9 <= 1; end reg VAR34; reg VAR64; always @(posedge VAR39) begin if (~VAR38 & VAR19) begin case(VAR43[3:0]) 'h2: VAR34 <= 0; 'h3: VAR34 <= 1; 'h5: VAR64 <= 0; 'hA: VAR34 <= ~VAR31[0]; 'hB: VAR64 <= VAR35[5]; endcase end if (~VAR20) begin if (~VAR68 & VAR14) VAR34 <= 0; if (~VAR68 & VAR66) VAR34 <= 1; if ( VAR68 & VAR66) VAR64 <= 1; end end reg [7:0] VAR50; reg [7:0] VAR65; always @(posedge VAR39) begin if (VAR22) begin VAR50 <= 0; VAR65 <= 0; end else if (~VAR20 && VAR66) begin if(VAR68) VAR50 <= VAR10; end else VAR65 <= VAR10; end end reg [5:0] VAR31; reg [7:0] VAR42; reg signed [6:0] VAR27, VAR44, VAR7, VAR35; reg signed [7:0] VAR36, VAR15, VAR61, VAR17; always @(posedge VAR39) begin if (VAR22) begin VAR31 <= 0; VAR42 <= 0; end else begin if (~VAR38 & ~VAR48) begin case(VAR43[3:0]) 0: VAR31 <= VAR67[5:0]; 3: VAR42 <= VAR67; 6: VAR27 <= VAR67[5:0]; 7: VAR44 <= VAR67[5:0]; 8: VAR7 <= VAR67[5:0]; 9: VAR35 <= VAR67[5:0]; endcase end if (VAR59 && VAR43[15:13] == 3 && ~VAR16) begin case(VAR43[9:8]) 0: VAR36 <= {~VAR47[7],VAR47[6:0]}; 1: VAR15 <= {~VAR47[7],VAR47[6:0]}; 2: VAR61 <= {~VAR47[7],VAR47[6:0]}; 3: VAR17 <= {~VAR47[7],VAR47[6:0]}; endcase end end end wire [7:0] VAR57 = VAR59 ? VAR47 : (~VAR38 && ~VAR41 && VAR43[3:0] == 1) ? VAR50 : (~VAR38 && ~VAR41 && VAR43[3:0] == 2) ? VAR65 : (~VAR38 && ~VAR41 && VAR43[3:0] == 4) ? {VAR34, 6'b111111, VAR64} : 8'hFF; wire VAR62 = ~VAR48 & ~VAR18 & |VAR28; wire VAR59 = ~VAR41 & ~VAR18; wire [5:0] VAR28 = VAR43[15] ? VAR31 : VAR43[14]; assign VAR58 = {VAR28, &VAR43[15:14], VAR43[13:0]}; assign VAR56 = VAR59; assign VAR11 = VAR62; assign VAR45 = VAR67; reg signed [14:0] VAR53,VAR26,VAR8,VAR37; always @(posedge VAR39) begin if(VAR46) begin VAR53 <= VAR36 * VAR27; VAR26 <= VAR15 * VAR44; VAR8 <= VAR61 * VAR7; VAR37 <= VAR17 * VAR35; end end reg signed [14:0] VAR63, VAR51; always @(posedge VAR39) begin if(VAR46) begin VAR63 <= VAR53 + VAR26; VAR51 <= VAR8 + VAR37; end end assign VAR3 = VAR63; assign VAR23 = VAR51; endmodule
gpl-2.0
toyoshim/tvcl
SerialReceiver.v
3,463
module MODULE1( VAR10, VAR13, VAR15, VAR21, VAR8, VAR20); input VAR10; input VAR13; input VAR15; output [7:0] VAR21; output VAR8; output VAR20; reg [1:0] VAR17; reg [3:0] VAR9; reg [7:0] VAR14; wire VAR25; wire VAR18; wire VAR19; wire VAR5; wire VAR23; localparam VAR3 = 4'b0000; localparam VAR2 = 4'b0001; localparam VAR16 = 4'b0011; localparam VAR4 = 4'b0010; localparam VAR12 = 4'b0110; localparam VAR7 = 4'b0111; localparam VAR6 = 4'b0101; localparam VAR11 = 4'b0100; localparam VAR22 = 4'b1100; localparam VAR24 = 4'b1101; localparam VAR1 = 4'b1111; assign VAR25 = VAR17 == 2'b01; assign VAR19 = VAR17 == 2'b10; assign VAR18 = VAR17 == 2'b11; assign VAR5 = (VAR9 == VAR1) && VAR25; assign VAR23 = VAR15 == 1'b1; assign VAR21 = VAR14; assign VAR8 = VAR5 & VAR23; assign VAR20 = VAR5 & !VAR23; always @ (posedge VAR10) begin if (VAR25) begin VAR14 <= { VAR15, VAR14[7:1] }; end end always @ (posedge VAR10 or negedge VAR13) begin if (!VAR13) begin VAR17 <= 2'b00; end else begin if (VAR9 == VAR3) begin VAR17 <= 2'b00; end else begin VAR17 <= VAR17 + 2'b01; end end end always @ (posedge VAR10 or negedge VAR13) begin if (!VAR13) begin VAR9 <= VAR3; end else begin case (VAR9) VAR3: begin if (VAR15 == 1'b0) begin VAR9 <= VAR2; end end VAR2: begin if (VAR18) begin VAR9 <= VAR16; end end VAR16: begin if (VAR18) begin VAR9 <= VAR4; end end VAR4: begin if (VAR18) begin VAR9 <= VAR12; end end VAR12: begin if (VAR18) begin VAR9 <= VAR7; end end VAR7: begin if (VAR18) begin VAR9 <= VAR6; end end VAR6: begin if (VAR18) begin VAR9 <= VAR11; end end VAR11: begin if (VAR18) begin VAR9 <= VAR22; end end VAR22: begin if (VAR18) begin VAR9 <= VAR24; end end VAR24: begin if (VAR18) begin VAR9 <= VAR1; end end VAR1: begin if (VAR19) begin VAR9 <= VAR3; end end endcase end end endmodule
bsd-3-clause
unihd-cag/openhmc
rtl/building_blocks/fifos/sync/xilinx/openhmc_srl_fifo_16.v
4,583
module MODULE1 #( parameter VAR13 = 0, parameter VAR10 = 0, parameter VAR34 = 8 ) ( input wire clk, input wire VAR21, input wire [VAR34-1:0] din, input wire VAR26, input wire VAR16, output wire [VAR34-1:0]dout, output reg VAR33, output reg VAR23, output reg VAR7, output reg VAR4 ); reg [3:0] VAR25; wire VAR27; wire VAR22; genvar VAR14; assign VAR27 = (VAR16 && !VAR23); assign VAR22 = (VAR26 && !VAR33); generate for (VAR14=0; VAR14 < VAR34; VAR14=VAR14+1) begin: VAR24 VAR2 #(.VAR15(16'h0000)) VAR6 ( .VAR20(dout[VAR14]), .VAR9(VAR25[0]), .VAR30(VAR25[1]), .VAR1(VAR25[2]), .VAR32(VAR25[3]), .VAR17(clk), .VAR28(din[VAR14]), .VAR18(VAR22) ); end endgenerate always @(posedge clk or negedge VAR21) else always @(posedge clk) VAR19 begin if (!VAR21) begin VAR25 <= 4'b0; VAR33 <= 1'b0; VAR7 <= 1'b0; VAR23 <= 1'b1; VAR4 <= 1'b1; end else begin case ({VAR22, VAR27}) 2'b00: ; 2'b01: begin if (|VAR25) VAR25 <= VAR25 - 1'b1; VAR23 <= !(|VAR25); VAR4 <= (VAR25 <= 1); VAR33 <= 1'b0; VAR7 <= (VAR25 > (4'd15 - 1)); end 2'b10: begin if (!VAR23) VAR25 <= VAR25 + 1'b1; VAR23 <= 1'b0; VAR4 <= VAR23; VAR33 <= (VAR25 > 4'd13); VAR7 <= (VAR25 > (4'd13 - 1)); end 2'b11: ; endcase end end VAR5: cover property (@(posedge clk) disable iff(!VAR21) (VAR33 == 1'b1)); VAR31: cover property (@(posedge clk) disable iff(!VAR21) (VAR7 == 1'b1)); VAR8: cover property (@(posedge clk) disable iff(!VAR21) (VAR23 == 1'b1)); VAR12: cover property (@(posedge clk) disable iff(!VAR21) (VAR4 == 1'b1)); final begin if (VAR10 == 0) begin VAR11: assert (!VAR33); VAR3: assert (!VAR7); end if (VAR13 == 0) begin VAR35: assert (VAR4); VAR29: assert (VAR23); end end endmodule
lgpl-3.0
asicguy/gplgpu
hdl/ramdac_sp/ram_dp_8x512.v
2,693
module MODULE1 ( VAR6, VAR1, VAR7, VAR2, VAR5, VAR9, VAR3, VAR10, VAR11, VAR8 ); input VAR6; input VAR1; input [8:0] VAR7; input [7:0] VAR2; input VAR5; input VAR9; input [8:0] VAR3; input [7:0] VAR10; output [7:0] VAR11, VAR8; reg [7:0] VAR11, VAR8; reg [7:0] VAR4 [0:511]; always @(posedge VAR6) if(VAR1) VAR4[VAR7] <= VAR2; always @(posedge VAR6) VAR11 <= VAR4[VAR7]; always @(posedge VAR5) VAR8 <= VAR4[VAR3]; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/xnor2/sky130_fd_sc_lp__xnor2.functional.v
1,305
module MODULE1 ( VAR6, VAR2, VAR1 ); output VAR6; input VAR2; input VAR1; wire VAR3; xnor VAR4 (VAR3, VAR2, VAR1 ); buf VAR5 (VAR6 , VAR3 ); endmodule
apache-2.0
sh-chris110/chris
FPGA/Math/Qsys/nios_design/synthesis/submodules/nios_design_nios2_gen2_0_cpu_mult_cell.v
8,084
module MODULE1 ( VAR41, VAR20, VAR9, clk, VAR5, VAR36, VAR7, VAR39 ) ; output [ 31: 0] VAR36; output [ 31: 0] VAR7; output [ 31: 0] VAR39; input [ 31: 0] VAR41; input [ 31: 0] VAR20; input VAR9; input clk; input VAR5; wire [ 31: 0] VAR36; wire [ 31: 0] VAR7; wire [ 31: 0] VAR39; wire VAR1; wire [ 31: 0] VAR50; wire [ 31: 0] VAR45; assign VAR1 = ~VAR5; assign VAR50 = VAR41; assign VAR45 = VAR20; VAR15 VAR38 ( .VAR49 (VAR1), .VAR10 (clk), .VAR52 (VAR50[15 : 0]), .VAR43 (VAR45[15 : 0]), .VAR31 (VAR9), .VAR35 (VAR36) ); VAR38.VAR29 = "VAR32", VAR38.VAR37 = "VAR55", VAR38.VAR28 = "VAR47", VAR38.VAR40 = "VAR55", VAR38.VAR21 = "VAR55", VAR38.VAR54 = "VAR12", VAR38.VAR18 = "VAR4", VAR38.VAR30 = "VAR15", VAR38.VAR34 = "VAR44", VAR38.VAR48 = "VAR25", VAR38.VAR8 = "VAR32", VAR38.VAR33 = 1, VAR38.VAR23 = "VAR55", VAR38.VAR16 = "VAR57", VAR38.VAR14 = "VAR57", VAR38.VAR56 = "VAR2", VAR38.VAR42 = "VAR2", VAR38.VAR26 = "VAR13", VAR38.VAR53 = "VAR25", VAR38.VAR17 = "VAR25", VAR38.VAR19 = "VAR32", VAR38.VAR6 = "VAR32", VAR38.VAR51 = "VAR55", VAR38.VAR27 = "VAR55", VAR38.VAR58 = 16, VAR38.VAR46 = 16, VAR38.VAR24 = 32; VAR15 VAR22 ( .VAR49 (VAR1), .VAR10 (clk), .VAR52 (VAR50[15 : 0]), .VAR43 (VAR45[31 : 16]), .VAR31 (VAR9), .VAR35 (VAR7) ); VAR22.VAR29 = "VAR32", VAR22.VAR37 = "VAR55", VAR22.VAR28 = "VAR47", VAR22.VAR40 = "VAR55", VAR22.VAR21 = "VAR55", VAR22.VAR54 = "VAR12", VAR22.VAR18 = "VAR4", VAR22.VAR30 = "VAR15", VAR22.VAR34 = "VAR44", VAR22.VAR48 = "VAR25", VAR22.VAR8 = "VAR32", VAR22.VAR33 = 1, VAR22.VAR23 = "VAR55", VAR22.VAR16 = "VAR57", VAR22.VAR14 = "VAR57", VAR22.VAR56 = "VAR2", VAR22.VAR42 = "VAR2", VAR22.VAR26 = "VAR13", VAR22.VAR53 = "VAR25", VAR22.VAR17 = "VAR25", VAR22.VAR19 = "VAR32", VAR22.VAR6 = "VAR32", VAR22.VAR51 = "VAR55", VAR22.VAR27 = "VAR55", VAR22.VAR58 = 16, VAR22.VAR46 = 16, VAR22.VAR24 = 32; VAR15 VAR11 ( .VAR49 (VAR1), .VAR10 (clk), .VAR52 (VAR50[31 : 16]), .VAR43 (VAR45[15 : 0]), .VAR31 (VAR9), .VAR35 (VAR39) ); VAR11.VAR29 = "VAR32", VAR11.VAR37 = "VAR55", VAR11.VAR28 = "VAR47", VAR11.VAR40 = "VAR55", VAR11.VAR21 = "VAR55", VAR11.VAR54 = "VAR12", VAR11.VAR18 = "VAR4", VAR11.VAR30 = "VAR15", VAR11.VAR34 = "VAR44", VAR11.VAR48 = "VAR25", VAR11.VAR8 = "VAR32", VAR11.VAR33 = 1, VAR11.VAR23 = "VAR55", VAR11.VAR16 = "VAR57", VAR11.VAR14 = "VAR57", VAR11.VAR56 = "VAR2", VAR11.VAR42 = "VAR2", VAR11.VAR26 = "VAR13", VAR11.VAR53 = "VAR25", VAR11.VAR17 = "VAR25", VAR11.VAR19 = "VAR32", VAR11.VAR6 = "VAR32", VAR11.VAR51 = "VAR55", VAR11.VAR27 = "VAR55", VAR11.VAR58 = 16, VAR11.VAR46 = 16, VAR11.VAR24 = 32; endmodule
gpl-2.0
egyp7/mor1kx
rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v
14,496
module MODULE1 ( VAR39, VAR61, VAR64, VAR23, VAR24, VAR36, VAR15, VAR11, VAR59, VAR29, VAR56, clk, rst, VAR34, VAR55, VAR58, VAR12, VAR45, VAR47, VAR16, VAR44, VAR19, VAR32, VAR28, VAR20, VAR21, VAR42, VAR9 ); parameter VAR33 = 32; parameter VAR18 = 5; parameter VAR22 = {{(VAR33-13){1'b0}}, input clk, rst; output [VAR33-1:0] VAR39; output VAR61; input VAR34; input VAR55; input [VAR38-1:0] VAR58; input VAR12; output reg [VAR38-1:0] VAR64; output reg [VAR33-1:0] VAR23; output VAR24; output [VAR18-1:0] VAR36; output [VAR18-1:0] VAR15; output VAR11; output [VAR33-1:0] VAR59; input VAR45; input [VAR33-1:0] VAR47; input VAR16; input [VAR33-1:0] VAR44; input VAR19; input VAR32; input VAR28; input VAR20; input VAR21, VAR42, VAR9; output reg VAR29; output VAR56; reg [VAR33-1:0] VAR57; wire [VAR33-1:0] VAR53; reg [VAR33-1:0] VAR5; wire VAR13; reg VAR50; reg VAR1, VAR27; wire [VAR6-1:0] VAR2; reg VAR43; reg VAR60; reg VAR37; wire VAR65; reg VAR26; reg VAR66; reg VAR35; wire VAR10; wire VAR14; reg VAR7; wire VAR49; reg VAR30; reg VAR3; reg VAR41; wire VAR63; reg VAR62; wire VAR52; reg VAR31; reg VAR25; reg [3:0] VAR48; wire VAR51; assign VAR53 = VAR57 + 4; assign VAR39 = VAR50 ? VAR53 : VAR57; assign VAR59 = VAR39; assign VAR61 = VAR1 & !(VAR20 & VAR55) | (VAR10 & !(VAR41 & VAR43)) | VAR25; assign VAR13 = VAR61 & !VAR27; assign VAR65 = (VAR45 & VAR12) | VAR19; assign VAR63 = VAR41 & VAR43; always @(posedge clk VAR17) if (rst) begin VAR57 <= VAR22; VAR37 <= 0; end else if (VAR16) begin VAR57 <= VAR44; VAR37 <= 0; end else if (VAR19) begin VAR57 <= VAR47; VAR37 <= 1; end else if (VAR45 & VAR12) begin VAR57 <= VAR47; VAR37 <= 1; end else if (VAR55 & (VAR12 | (VAR3 && !({VAR48[0],VAR12}==2'b00))) & !VAR49 & !VAR20) begin VAR57 <= VAR53; VAR37 <= 0; end else if (VAR14 & VAR55 & !VAR37) begin VAR57 <= VAR53; end else if (VAR37) begin VAR37 <= 0; end else if (VAR51) begin VAR57 <= VAR23 + 4; end always @(posedge clk VAR17) if (rst) begin VAR26 <= 0; VAR66 <= 0; end else begin VAR26 <= VAR37; VAR66 <= VAR26; end always @(posedge clk VAR17) if (rst) VAR1 <= 1'b0; else if (VAR20 & VAR55) VAR1 <= 1'b0; else if (VAR28) VAR1 <= 1'b0; else if (VAR34 | VAR29) VAR1 <= 1'b0; else if (VAR31) VAR1 <= 1'b0; else if (VAR32) VAR1 <= 1'b0; else if (VAR63) VAR1 <= 1'b0; else VAR1 <= 1'b1; always @(posedge clk VAR17) if (rst) VAR27 <= 0; else VAR27 <= VAR61; always @(posedge clk VAR17) if (rst) VAR50 <= 0; else if (VAR34 | VAR29 | VAR19) VAR50 <= 0; else if (VAR13) VAR50 <= 1; else if (VAR65) VAR50 <= 0; else if (VAR37) VAR50 <= 1; else if (VAR30) VAR50 <= 1; else if (!VAR1) VAR50 <= 0; always @(posedge clk VAR17) if (rst) begin VAR64 <= {VAR4,26'd0}; VAR23 <= 0; end else if (VAR31 | (VAR28 & !VAR32)) begin VAR64 <= {VAR4,26'd0}; end else if (VAR19 & !VAR28) begin VAR64 <= {VAR4,26'd0}; end else if ((VAR12 | VAR20) & VAR55 & (VAR61 | VAR20) & ((!VAR60 & !VAR37) | (VAR26)) & !(VAR49 | VAR30)) begin VAR64 <= VAR58; VAR23 <= VAR57; end else if (VAR3 & !VAR32) begin VAR64 <= VAR58; VAR23 <= VAR57; end else if (VAR10 & VAR41) begin VAR64 <= VAR5; VAR23 <= VAR23 + 4; end else if ((VAR60 | VAR45) & VAR12) VAR64 <= {VAR4,26'd0}; else if (VAR19) VAR64 <= {VAR4,26'd0}; else if (VAR62) VAR64 <= {VAR4,26'd0}; reg VAR8; always @(posedge clk VAR17) if (rst) VAR8 <= 0; else VAR8 <= VAR24; assign VAR24 = (VAR55 | VAR41 ) & !(VAR37) & !(VAR30) & !VAR28 | VAR62 ; always @(posedge clk VAR17) if (rst) VAR29 <= 0; else if ((VAR12 | VAR19) & VAR45 | VAR28) VAR29 <= 0; else if (VAR1) VAR29 <= VAR34; assign VAR56 = VAR31; always @(posedge clk VAR17) if (rst) VAR35 <= 0; else VAR35 <= VAR32; assign VAR10 = !VAR32 & VAR35; assign VAR14 = VAR32 & !VAR35; assign VAR36 = VAR41 ? VAR5[VAR54] : VAR58[VAR54]; assign VAR15 = VAR41 ? VAR5[VAR46] : VAR58[VAR46]; assign VAR11 = (VAR55 | VAR10) & (VAR12 | VAR20); assign VAR2 = VAR41 ? VAR5[VAR40] : VAR58[VAR40]; always @* if ((VAR55 & !VAR37) | VAR41) case (VAR2) VAR43 = 1; end VAR43 = 1; end VAR43 = !(VAR21 | VAR9) | VAR42; end VAR43 = !(!VAR21 | VAR42) |VAR9; end VAR43 = 1; end default: begin VAR43 = 0; end endcase else begin VAR43 = 0; end always @(posedge clk VAR17) if (rst) VAR60 <= 0; else if (VAR31) VAR60 <= 0; else if (!VAR60 & VAR43 & VAR55) VAR60 <= 1; else VAR60 <= 0; always @(posedge clk VAR17) if (rst) VAR5 <= 0; else if (VAR14 & VAR55 & !VAR37) VAR5 <= VAR58; always @(posedge clk VAR17) if (rst) VAR41 <= 0; else if (VAR14 & VAR55 & !VAR37) VAR41 <= 1; else if (VAR10) VAR41 <= 0; else if (VAR19) VAR41 <= 0; else if (VAR51) VAR41 <= 0; always @(posedge clk VAR17) if (rst) VAR62 <= 0; else VAR62 <= VAR63 & VAR10; always @(posedge clk VAR17) if (rst) VAR25 <= 0; else VAR25 <= VAR19; always @(posedge clk VAR17) if (rst) VAR31 <= 1'b0; else if (VAR19) VAR31 <= 1'b0; else if (VAR52) VAR31 <= 1'b1; assign VAR52 = VAR58==0 & VAR12 & VAR55 & VAR61 & ((!VAR60 & !VAR37) | (VAR26)); always @(posedge clk VAR17) if (rst) VAR7 <= 0; else VAR7 <= VAR14; assign VAR49 = VAR7 & !VAR32; always @(posedge clk VAR17) if (rst) begin VAR30 <= 0; VAR3 <= 0; end else begin VAR30 <= VAR49; VAR3 <= VAR30; end always @(posedge clk VAR17) if (rst) VAR48 <= 4'd0; else VAR48 <= {VAR48[2:0],VAR12}; assign VAR51 = {VAR48,VAR12}==5'b10000 && VAR32; endmodule
mpl-2.0
chriz2600/DreamcastHDMI
Core/source/pll_hdmi/pll_hdmi_reconf.v
73,311
module MODULE1 ( VAR116, VAR178, VAR185, VAR218, VAR104, VAR70, VAR56, VAR94, VAR142, VAR88, VAR153, VAR92, VAR35, VAR14, VAR54, VAR219, reset, VAR168, VAR207, VAR18, VAR103, VAR68, VAR206) ; output VAR116; input VAR178; input [2:0] VAR185; input [3:0] VAR218; input [8:0] VAR104; output [8:0] VAR70; output VAR56; input VAR94; output VAR142; output VAR88; output VAR153; output VAR92; input VAR35; input VAR14; input VAR54; input VAR219; input reset; input VAR168; output [7:0] VAR207; input VAR18; input VAR103; input VAR68; output VAR206; tri0 [2:0] VAR185; tri0 [3:0] VAR218; tri0 [8:0] VAR104; tri0 VAR94; tri0 VAR35; tri0 VAR14; tri0 VAR54; tri0 VAR219; tri0 VAR168; tri0 VAR18; tri0 VAR103; tri0 VAR68; wire [0:0] VAR47; wire VAR170; wire VAR224; wire VAR95; reg [7:0] VAR59; reg [7:0] VAR253; reg VAR28; reg VAR39; reg VAR220; reg VAR235; reg VAR6; reg VAR255; reg VAR86; reg VAR10; reg VAR232; reg VAR120; reg VAR111; reg VAR158; reg VAR8; reg VAR216; reg VAR249; reg [2:0] VAR188; reg [3:0] VAR155; reg VAR154; reg [0:0] VAR26; reg [0:0] VAR151; reg [0:0] VAR254; reg [0:0] VAR44; reg [0:0] VAR91; reg [0:0] VAR136; reg [0:0] VAR233; reg [0:0] VAR87; reg [0:0] VAR100; reg [0:0] VAR122; reg [0:0] VAR83; reg [0:0] VAR164; reg [0:0] VAR183; reg [0:0] VAR57; reg [0:0] VAR225; reg [0:0] VAR34; reg [0:0] VAR114; reg [0:0] VAR223; reg VAR134; reg VAR208; reg VAR107; reg VAR205; reg VAR195; reg VAR5; reg VAR33; reg VAR252; reg VAR82; reg VAR234; reg VAR73; reg VAR245; reg VAR247; reg VAR85; reg VAR152; reg VAR13; reg VAR1; reg VAR213; reg VAR212; reg VAR166; reg VAR11; reg [0:0] VAR77; reg [0:0] VAR65; reg [0:0] VAR240; reg [0:0] VAR40; reg [0:0] VAR244; reg [0:0] VAR124; reg [0:0] VAR186; reg [0:0] VAR128; reg [0:0] VAR84; reg [0:0] VAR159; reg [0:0] VAR93; reg [0:0] VAR176; reg [0:0] VAR4; reg [0:0] VAR202; reg [0:0] VAR237; reg [0:0] VAR126; reg [0:0] VAR45; reg [0:0] VAR242; wire [17:0] VAR37; reg VAR30; reg VAR31; reg VAR179; reg VAR217; reg VAR191; reg VAR63; wire [8:0] VAR78; wire [7:0] VAR146; wire VAR167; wire [7:0] VAR197; wire [7:0] VAR226; wire [5:0] VAR42; wire [7:0] VAR20; wire [4:0] VAR24; wire [7:0] VAR96; wire [7:0] VAR64; wire [4:0] VAR172; wire [4:0] VAR127; wire VAR198; wire [7:0] VAR69; wire VAR3; wire [7:0] VAR17; wire [7:0] VAR177; wire [7:0] VAR55; wire [7:0] VAR160; wire [7:0] VAR66; wire [7:0] VAR165; wire [7:0] VAR89; wire [7:0] VAR161; wire [2:0] VAR174; wire [3:0] VAR81; wire [2:0] VAR97; wire VAR137; wire [2:0] VAR15; wire VAR184; wire VAR200; wire VAR22; wire VAR36; wire [7:0] VAR12; wire VAR169; wire [7:0] VAR72; wire [7:0] VAR148; wire VAR199; wire VAR194; wire [7:0] VAR119; wire VAR113; wire [7:0] VAR187; wire VAR61; wire VAR117; wire VAR222; wire VAR129; wire VAR115; wire [5:0] VAR48; wire VAR132; wire VAR189; wire VAR201; wire [7:0] VAR130; wire VAR181; wire [7:0] VAR50; wire VAR215; wire [7:0] VAR75; wire [4:0] VAR182; wire VAR180; wire VAR9; wire VAR49; wire [4:0] VAR138; wire [7:0] VAR98; wire VAR38; wire VAR227; wire VAR16; wire VAR163; wire VAR238; wire VAR118; wire VAR27; wire VAR204; wire VAR211; wire VAR80; wire VAR2; wire VAR7; wire VAR230; wire VAR140; wire VAR71; wire VAR143; wire VAR99; wire VAR173; wire [7:0] VAR21; wire [5:0] VAR144; wire VAR25; wire VAR19; wire VAR203; wire VAR67; wire VAR41; wire VAR156; wire VAR221; wire [7:0] VAR108; wire VAR190; wire VAR243; wire VAR110; wire VAR105; wire VAR192; wire VAR58; wire [4:0] VAR210; wire [4:0] VAR251; wire [7:0] VAR171; VAR157 VAR241 ( .VAR52(VAR98), .VAR123(VAR178), .VAR145({VAR38}), .VAR46(), .VAR239(VAR47), .VAR79(), .VAR29(VAR16) , .VAR196(1'b0), .VAR214(1'b0), .VAR162({1{1'b1}}), .VAR125(1'b0), .VAR256(1'b0), .VAR131({1{1'b1}}), .VAR133({1{1'b1}}), .VAR228(1'b1), .VAR209(1'b1), .VAR149(1'b1), .VAR139(1'b1), .VAR23(1'b1), .VAR175({1{1'b1}}), .VAR121(1'b1), .VAR53(1'b1), .VAR106(1'b0) ); VAR241.VAR74 = 144, VAR241.VAR62 = "VAR250", VAR241.VAR236 = 1, VAR241.VAR229 = 1, VAR241.VAR193 = 8, VAR241.VAR231 = "VAR102 10 VAR90", VAR241.VAR248 = "VAR157"; VAR101 VAR32 ( .VAR76(VAR170), .VAR112(), .VAR145(VAR15[0]), .VAR175(VAR15[1]), .VAR109(VAR15[2]), .VAR43(1'b0), .VAR147(1'b0) ); VAR32.VAR150 = "VAR51", VAR32.VAR141 = 16'hF0F0, VAR32.VAR60 = "VAR109", VAR32.VAR248 = "VAR101"; VAR101 VAR246 ( .VAR76(VAR224), .VAR112(), .VAR145(VAR15[0]), .VAR175(VAR15[1]), .VAR109(VAR15[2]), .VAR43(1'b0), .VAR147(1'b0) ); VAR246.VAR150 = "VAR51", VAR246.VAR141 = 16'hAAAA, VAR246.VAR60 = "VAR109", VAR246.VAR248 = "VAR101"; VAR101 VAR135 ( .VAR76(VAR95), .VAR112(), .VAR145(VAR15[0]), .VAR175(VAR15[1]), .VAR109(VAR15[2]), .VAR43(1'b0), .VAR147(1'b0) ); VAR135.VAR150 = "VAR51", VAR135.VAR141 = 16'hCCCC, VAR135.VAR60 = "VAR109", VAR135.VAR248 = "VAR101";
mit
FPGA1988/udp_ip_stack
Network/udp_ip_core/trunk/ic/digital/rtl/clk_gen_top/clk_div_module.v
5,571
module MODULE1( VAR5 , VAR6 , VAR4 , VAR3 ); input VAR5 ; input VAR6 ; output VAR4 ; output VAR3 ; reg [01:00] VAR2 ; always @(posedge VAR5 or negedge VAR6) begin : VAR1 if(!VAR6) begin VAR2 <= 'd0; end else begin VAR2 <= VAR2 + 1'b1; end end assign VAR4 = VAR2[0]; assign VAR3 = VAR2[1]; endmodule
apache-2.0
joseluisquiroga/bj-actor-model
hlang/hgen_net/vlg_fnd/hprb_source.v
2,028
module MODULE1 VAR28=0, VAR16=0, VAR20=VAR11, VAR6=VAR3, VAR12=VAR13 )( ); parameter VAR25 = VAR24; reg [0:0] VAR8 = VAR26; reg [VAR6-1:0] VAR9 = 0; reg [0:0] VAR2 = VAR26; reg [0:0] VAR32 = VAR26; reg [0:0] VAR19 = VAR26; reg [0:0] VAR21 = VAR26; reg [VAR20-1:0] VAR15 = VAR28; reg [VAR6-1:0] VAR30 = 0; reg [VAR20-1:0] VAR5 = VAR16; reg [VAR12-1:0] VAR1 = 0; reg [0:0] VAR4 = VAR26; reg [0:0] VAR27 = VAR26; wire [VAR12-1:0] VAR31; VAR23 #(.VAR20(VAR20), .VAR6(VAR6), .VAR12(VAR12)) VAR33 (VAR15, VAR5, VAR30, VAR31); always @(posedge VAR14) begin if(VAR29) begin VAR8 <= VAR26; end if(! VAR29 && ! VAR8) begin VAR8 <= ! VAR8; VAR9 <= 0; VAR2 <= VAR26; VAR32 <= VAR26; VAR19 <= VAR26; VAR21 <= VAR26; VAR15 <= VAR28; VAR30 <= 0; VAR5 <= VAR16; VAR1 <= 0; VAR4 <= VAR26; VAR27 <= VAR26; end if(! VAR29 && VAR8) begin if((! VAR4) && (! VAR7)) begin if(! VAR2) begin VAR2 <= VAR22; VAR5 <= VAR16; end else if(! VAR32) begin VAR32 <= VAR22; VAR30 <= VAR9; VAR9 <= VAR9 + 1; end else if(! VAR19) begin VAR19 <= VAR22; VAR1 <= VAR31; end if(VAR19) begin VAR4 <= VAR22; end end if(VAR4 && VAR7) begin VAR2 <= VAR26; VAR32 <= VAR26; VAR19 <= VAR26; VAR4 <= VAR26; end end end assign VAR18 = VAR8 && VAR17; assign VAR10 = VAR4; endmodule
gpl-3.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_dac_1c_2p_v1_00_a/hdl/verilog/user_logic.v
7,547
module MODULE1 ( VAR18, VAR36, VAR26, VAR5, VAR34, VAR24, VAR16, VAR35, VAR40, VAR17, VAR11, VAR28, VAR9, VAR31, VAR7, VAR29, VAR13, VAR6, VAR44, VAR4, VAR25, VAR42, VAR3, VAR14, VAR23, VAR33, VAR15, VAR39, VAR2); parameter VAR41 = 32; parameter VAR10 = 32; input VAR18; input VAR36; output VAR26; output VAR5; output [13:0] VAR34; output [13:0] VAR24; output [13:0] VAR16; output [13:0] VAR35; input VAR40; input VAR17; input [63:0] VAR11; output VAR28; output [ 7:0] VAR9; output [198:0] VAR31; output [ 7:0] VAR7; output VAR29; output [292:0] VAR13; output [ 7:0] VAR6; input VAR44; input VAR4; input VAR25; input [31:0] VAR42; input [ 3:0] VAR3; input [31:0] VAR14; input [31:0] VAR23; output [31:0] VAR33; output VAR15; output VAR39; output VAR2; reg VAR22; reg VAR8; reg [ 4:0] VAR1; reg [31:0] VAR19; reg VAR15; reg VAR39; reg [31:0] VAR33; reg VAR2; wire [31:0] VAR32; wire [31:0] VAR20; wire VAR38; assign VAR32 = (VAR14 == 0) ? VAR23 : VAR14; always @(negedge VAR25 or posedge VAR4) begin if (VAR25 == 0) begin VAR22 <= 'd0; VAR8 <= 'd0; VAR1 <= 'd0; VAR19 <= 'd0; end else begin VAR22 <= (VAR32 == 0) ? 1'b0 : 1'b1; VAR8 <= (VAR14 == 0) ? 1'b0 : 1'b1; case (VAR32) 32'h80000000: VAR1 <= 5'h00; 32'h40000000: VAR1 <= 5'h01; 32'h20000000: VAR1 <= 5'h02; 32'h10000000: VAR1 <= 5'h03; 32'h08000000: VAR1 <= 5'h04; 32'h04000000: VAR1 <= 5'h05; 32'h02000000: VAR1 <= 5'h06; 32'h01000000: VAR1 <= 5'h07; 32'h00800000: VAR1 <= 5'h08; 32'h00400000: VAR1 <= 5'h09; 32'h00200000: VAR1 <= 5'h0a; 32'h00100000: VAR1 <= 5'h0b; 32'h00080000: VAR1 <= 5'h0c; 32'h00040000: VAR1 <= 5'h0d; 32'h00020000: VAR1 <= 5'h0e; 32'h00010000: VAR1 <= 5'h0f; 32'h00008000: VAR1 <= 5'h10; 32'h00004000: VAR1 <= 5'h11; 32'h00002000: VAR1 <= 5'h12; 32'h00001000: VAR1 <= 5'h13; 32'h00000800: VAR1 <= 5'h14; 32'h00000400: VAR1 <= 5'h15; 32'h00000200: VAR1 <= 5'h16; 32'h00000100: VAR1 <= 5'h17; 32'h00000080: VAR1 <= 5'h18; 32'h00000040: VAR1 <= 5'h19; 32'h00000020: VAR1 <= 5'h1a; 32'h00000010: VAR1 <= 5'h1b; 32'h00000008: VAR1 <= 5'h1c; 32'h00000004: VAR1 <= 5'h1d; 32'h00000002: VAR1 <= 5'h1e; 32'h00000001: VAR1 <= 5'h1f; default: VAR1 <= 5'h1f; endcase VAR19 <= VAR42; end end always @(negedge VAR25 or posedge VAR4) begin if (VAR25 == 0) begin VAR15 <= 'd0; VAR39 <= 'd0; VAR33 <= 'd0; VAR2 <= 'd0; end else begin VAR15 <= (VAR14 == 0) ? 1'b0 : VAR38; VAR39 <= (VAR23 == 0) ? 1'b0 : VAR38; VAR33 <= VAR20; VAR2 <= 'd0; end end VAR12 VAR30 ( .VAR18 (VAR18), .VAR36 (VAR36), .VAR26 (VAR26), .VAR5 (VAR5), .VAR34 (VAR34), .VAR24 (VAR24), .VAR16 (VAR16), .VAR35 (VAR35), .VAR40 (VAR40), .VAR17 (VAR17), .VAR11 (VAR11), .VAR28 (VAR28), .VAR43 (VAR25), .VAR21 (VAR4), .VAR22 (VAR22), .VAR8 (VAR8), .VAR1 (VAR1), .VAR19 (VAR19), .VAR37 (VAR20), .VAR27 (VAR38), .VAR9 (VAR9), .VAR31 (VAR31), .VAR7 (VAR7), .VAR29 (VAR29), .VAR13 (VAR13), .VAR6 (VAR6), .VAR44 (VAR44)); endmodule
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_2_stub.v
1,409
module MODULE1(clk, rst, din, VAR1, VAR2, dout, VAR3, VAR4) ; input clk; input rst; input [88:0]din; input VAR1; input VAR2; output [88:0]dout; output VAR3; output VAR4; endmodule
mit
sabertazimi/hust-lab
architecture/design/fpga/src/instruction_typer.v
3,049
module MODULE1 ( input [5:0] VAR58, input [5:0] VAR15, output VAR41, output VAR32, output VAR34, output VAR24, output VAR51, output VAR3, output VAR10, output VAR8, output VAR39, output VAR52, output VAR20, output VAR38, output VAR35, output VAR18, output VAR26, output VAR5, output VAR47, output VAR54, output VAR55, output VAR16, output VAR31, output VAR27, output VAR45, output VAR43, output VAR40, output VAR36, output VAR30, output VAR12 ); assign VAR41 = (VAR58 == 0 && VAR15 == VAR11) ? 1 : 0; assign VAR32 = (VAR58 == VAR48) ? 1 : 0; assign VAR34 = (VAR58 == VAR22) ? 1 : 0; assign VAR24 = (VAR58 == 0 && VAR15 == VAR9) ? 1 : 0; assign VAR51 = (VAR58 == 0 && VAR15 == VAR6) ? 1 : 0; assign VAR3 = (VAR58 == VAR13) ? 1 : 0; assign VAR10 = (VAR58 == 0 && VAR15 == VAR53) ? 1 : 0; assign VAR8 = (VAR58 == 0 && VAR15 == VAR17) ? 1 : 0; assign VAR39 = (VAR58 == 0 && VAR15 == VAR50) ? 1 : 0; assign VAR52 = (VAR58 == 0 && VAR15 == VAR19) ? 1 : 0; assign VAR20 = (VAR58 == 0 && VAR15 == VAR7) ? 1 : 0; assign VAR38 = (VAR58 == VAR28) ? 1 : 0; assign VAR35 = (VAR58 == 0 && VAR15 == VAR1) ? 1 : 0; assign VAR18 = (VAR58 == VAR29) ? 1 : 0; assign VAR26 = (VAR58 == VAR37) ? 1 : 0; assign VAR5 = (VAR58 == VAR57) ? 1 : 0; assign VAR47 = (VAR58 == VAR2) ? 1 : 0; assign VAR54 = (VAR58 == 0 && VAR15 == VAR14) ? 1 : 0; assign VAR55 = (VAR58 == VAR21) ? 1 : 0; assign VAR16 = (VAR58 == 0 && VAR15 == VAR56) ? 1 : 0; assign VAR31 = (VAR58 == VAR42) ? 1 : 0; assign VAR27 = (VAR58 == VAR25) ? 1 : 0; assign VAR45 = (VAR58 == 0 && VAR15 == VAR4) ? 1 : 0; assign VAR43 = (VAR58 == 0 && VAR15 == VAR49) ? 1 : 0; assign VAR40 = (VAR58 == 0 && VAR15 == VAR44) ? 1 : 0; assign VAR36 = (VAR58 == 0 && VAR15 == VAR23) ? 1 : 0; assign VAR30 = (VAR58 == VAR46) ? 1 : 0; assign VAR12 = (VAR58 == VAR33) ? 1 : 0; endmodule
mit
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpaddsub_arch3/FORMATTER.v
2,329
module MODULE1 ( input wire [VAR1-1:0] VAR7, output wire VAR4, output wire VAR10 ); wire [VAR1-1:0] VAR6; wire [VAR1-1:0] VAR3; VAR13 #(.VAR14(VAR1)) VAR12 ( .VAR15(VAR7), .VAR8(VAR6), .VAR5(VAR4) ); VAR9 #(.VAR14(VAR1)) VAR2 ( .VAR15(VAR7), .VAR8(VAR3), .VAR11(VAR10) ); generate if(VAR1 == 9) begin assign VAR6 = 9'hfe; assign VAR3 = 9'h01; end else begin assign VAR6 = 12'b111111111110; assign VAR3 = 12'b000000000001; end endgenerate assign VAR6 = {{(VAR1-1){1'b1}},1'b0}; assign VAR3 = {{(VAR1-1){1'b0}},1'b1}; endmodule
gpl-3.0
mbus/mbus
m3_mbus_releases/r04p2g/source/lname_mbus_isolation.v
3,314
module MODULE1( input VAR31, input [VAR21-1:0] VAR24, input [VAR30-1:0] VAR7, input VAR12, input VAR5, input VAR13, input VAR19, input VAR29, output reg [VAR21-1:0] VAR22, output reg [VAR30-1:0] VAR4, output reg VAR6, output reg VAR2, output reg VAR28, output reg VAR18, output reg VAR1, input [VAR25-1:0] VAR8, output reg [VAR25-1:0] VAR15, input VAR26, input VAR16, input VAR3, input VAR14, output reg VAR9, output VAR10, output reg VAR11, output VAR27, output reg VAR20, output VAR17, output reg VAR23 ); assign VAR10 = ~VAR9; assign VAR27 = ~VAR11; assign VAR17 = ~VAR20; always @* begin if (VAR31) begin VAR9 = 1; VAR11 = 1; VAR20 = 1; VAR23 = 1; end else begin VAR9 = VAR26; VAR11 = VAR16; VAR20 = VAR3; VAR23 = VAR14; end end always @* begin if (VAR23) begin VAR22 = 0; VAR4 = 0; VAR6 = 0; VAR2 = 0; VAR28 = 0; VAR18 = 0; VAR1 = 0; VAR15 = 0; end else begin VAR22 = VAR24; VAR4 = VAR7; VAR6 = VAR12; VAR2 = VAR5; VAR28 = VAR13; VAR18 = VAR19; VAR1 = VAR29; VAR15 = VAR8; end end endmodule
apache-2.0
FAST-Switch/fast
lib/hardware/platform/NetMagic08/ddr2/alt_ddrx_bypass.v
45,264
module MODULE1 # ( parameter VAR116 = 2, VAR140 = 4, VAR35 = 16, VAR40 = 3, VAR184 = 1, VAR41 = 4, VAR52 = 8 ) ( VAR58, VAR33, VAR27, VAR101, VAR77, VAR18, VAR161, VAR114, VAR14, VAR55, VAR142, VAR128, VAR118, VAR84, VAR48, VAR170, VAR130, VAR137, VAR6, VAR190, VAR104, VAR87, VAR91, VAR121, VAR60, VAR7, VAR120, VAR150, VAR39, VAR61, VAR178, VAR62, VAR31, VAR163, VAR174, VAR97, VAR186, VAR155, VAR43, VAR102, VAR153, VAR134, VAR78, VAR141, VAR172, VAR21, VAR122, VAR127, VAR135, VAR103, VAR148, VAR98, VAR9, VAR3, VAR157, VAR86, VAR83, VAR139, VAR196, VAR11, VAR129, VAR123, VAR22, VAR133, VAR108, VAR49, VAR67, VAR152, VAR158, VAR111, VAR124, VAR15, VAR156, VAR167, VAR119, VAR88, VAR23, VAR2, VAR113, VAR136, VAR106, VAR69, VAR13, VAR81, VAR95, VAR12, VAR181, VAR147, VAR38, VAR8, VAR109, VAR179, VAR168, VAR70, VAR117, VAR183, VAR30, VAR75, VAR4, VAR94, VAR173, VAR146, VAR93, VAR57, VAR144, VAR115, VAR68, VAR194, VAR16, VAR90, VAR125, VAR105, VAR89, VAR169, VAR54, VAR149, VAR138, VAR76, VAR20, VAR10, VAR175, VAR29, VAR160, VAR164, VAR180, VAR65, VAR32, VAR187, VAR191, VAR28, VAR66, VAR71, VAR24, VAR80, VAR193, VAR176, VAR145, VAR64, VAR166, VAR50, VAR26, VAR195, VAR192, VAR154, VAR53, VAR131, VAR82, VAR45 ); input VAR58; input VAR33; input [(VAR52 + 1) * VAR116 - 1 : 0] VAR27; input [(VAR52 + 1) * VAR40 - 1 : 0] VAR101; input [(VAR52 + 1) * VAR35 - 1 : 0] VAR77; input [VAR52 : 0] VAR18; input VAR161; input VAR114; input VAR14; input VAR55; input VAR142; input VAR128; input VAR118; input VAR84; input VAR48; input VAR170; input [VAR140 - 1 : 0] VAR130; input [VAR40 - 1 : 0] VAR137; input [VAR35 - 1 : 0] VAR6; input VAR190; input VAR104; input VAR87; input VAR91; input VAR121; input VAR60; input VAR7; input VAR120; input VAR150; input VAR39; input VAR61; input VAR178; input VAR62; input VAR31; input VAR163; input VAR174; input VAR97; input VAR186; input VAR155; input VAR43; input VAR102; input VAR153; input VAR134; input VAR78; input VAR141; input VAR172; input VAR21; input VAR122; input VAR127; input VAR135; input VAR103; input VAR148; input VAR98; input VAR9; input VAR3; input VAR157; input VAR86; input VAR83; input VAR139; input VAR196; input VAR11; input VAR129; input VAR123; input VAR22; input VAR133; input VAR108; input VAR49; input VAR67; input VAR152; input VAR158; input VAR111; input VAR124; input VAR15; input VAR156; input VAR167; input VAR119; input VAR88; input VAR23; input VAR2; input VAR113; input VAR136; input VAR106; input VAR69; input VAR13; input VAR81; input VAR95; input VAR12; input VAR181; input VAR147; input VAR38; input VAR8; input VAR109; input VAR179; input VAR168; input VAR70; input VAR117; input VAR183; input VAR30; input VAR75; input VAR4; input VAR94; input VAR173; input VAR146; input VAR93; input VAR57; input VAR144; input VAR115; input VAR68; input VAR194; input VAR16; input VAR90; input VAR125; input VAR105; input VAR89; input VAR169; input VAR54; input [VAR140 - 1 : 0] VAR149; input [VAR140 - 1 : 0] VAR138; input [VAR140 - 1 : 0] VAR76; input [VAR140 - 1 : 0] VAR20; input [VAR140 - 1 : 0] VAR10; input [VAR140 - 1 : 0] VAR175; input [VAR140 - 1 : 0] VAR29; input [VAR140 - 1 : 0] VAR160; input [VAR140 - 1 : 0] VAR164; input [VAR140 - 1 : 0] VAR180; input [VAR41 : 0] VAR65; input [VAR41 : 0] VAR32; input [VAR41 : 0] VAR187; input [VAR41 : 0] VAR191; input [VAR41 : 0] VAR28; input [VAR41 : 0] VAR66; input [VAR41 : 0] VAR71; output [VAR140 - 1 : 0] VAR24; output [VAR140 - 1 : 0] VAR80; output [VAR140 - 1 : 0] VAR193; output [VAR140 - 1 : 0] VAR176; output [VAR140 - 1 : 0] VAR145; output [VAR140 - 1 : 0] VAR64; output [VAR140 - 1 : 0] VAR166; output [VAR140 - 1 : 0] VAR50; output [VAR140 - 1 : 0] VAR26; output [VAR41 : 0] VAR195; output [VAR41 : 0] VAR192; output [VAR41 : 0] VAR154; output [VAR41 : 0] VAR53; output [VAR41 : 0] VAR131; output [VAR41 : 0] VAR82; output [VAR41 : 0] VAR45; reg VAR162; reg VAR74; reg VAR44; reg VAR17; reg VAR189; reg [VAR140 - 1 : 0] VAR37; reg [VAR40 - 1 : 0] VAR177; reg [VAR35 - 1 : 0] VAR171; reg [VAR41 : 0] VAR85; reg [VAR41 : 0] VAR143; reg [VAR41 : 0] VAR5; reg [VAR41 : 0] VAR51; reg [VAR41 : 0] VAR159; reg [VAR41 : 0] VAR110; reg [VAR41 : 0] VAR36; reg [VAR41 : 0] VAR197; reg [VAR41 : 0] VAR63; reg [VAR41 : 0] VAR100; reg [VAR41 : 0] VAR42; reg [VAR41 : 0] VAR59; reg [VAR41 : 0] VAR25; reg [VAR41 : 0] VAR79; reg [VAR41 : 0] VAR72; reg [VAR41 : 0] VAR151; reg [VAR41 : 0] VAR46; reg [VAR41 : 0] VAR96; reg [VAR41 : 0] VAR126; reg [VAR41 : 0] VAR47; reg VAR19; reg VAR73; reg [VAR140 - 1 : 0] VAR24; reg [VAR140 - 1 : 0] VAR80; reg [VAR140 - 1 : 0] VAR193; reg [VAR140 - 1 : 0] VAR176; reg [VAR140 - 1 : 0] VAR145; reg [VAR140 - 1 : 0] VAR64; reg [VAR41 : 0] VAR195; reg [VAR41 : 0] VAR192; reg [VAR41 : 0] VAR45; reg [VAR41 : 0] VAR154; reg [VAR41 : 0] VAR53; reg [VAR41 : 0] VAR131; reg [VAR41 : 0] VAR82; wire [VAR140 - 1 : 0] VAR166; wire [VAR140 - 1 : 0] VAR50; wire [VAR140 - 1 : 0] VAR26; assign VAR166 = VAR160; assign VAR50 = VAR164; assign VAR26 = VAR180; always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) begin VAR162 <= 1'b0; VAR74 <= 1'b0; VAR44 <= 1'b0; VAR17 <= 1'b0; VAR189 <= 1'b0; end else begin VAR162 <= VAR161; VAR74 <= VAR114; VAR44 <= VAR142; VAR17 <= VAR55; VAR189 <= VAR118; end end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) begin VAR37 <= 0; VAR177 <= 0; VAR171 <= 0; end else begin VAR37 <= VAR130; VAR177 <= VAR137; VAR171 <= VAR6; end end generate genvar VAR188; for (VAR188 = 0;VAR188 < VAR41 + 1;VAR188 = VAR188 + 1) begin : VAR1 reg VAR99; reg VAR107; wire [VAR116 - 1 : 0] VAR34 = VAR27 [(VAR188 + 1) * VAR116 - 1 : VAR188 * VAR116]; wire [VAR40 - 1 : 0] VAR185 = VAR101 [(VAR188 + 1) * VAR40 - 1 : VAR188 * VAR40 ]; wire [VAR35 - 1 : 0] VAR182 = VAR77 [(VAR188 + 1) * VAR35 - 1 : VAR188 * VAR35]; wire VAR132 = 1'b0; always @ begin VAR143 [VAR188] = VAR132; end always @ begin if (VAR18 [VAR188]) begin if (((VAR128 || VAR55) && VAR99) || VAR118) VAR159 [VAR188] = 1'b1; end else VAR159 [VAR188] = 1'b0; end else begin if (((VAR128 || VAR55) && VAR130 [VAR34] && VAR99) || (VAR118 && VAR130 [VAR34])) VAR159 [VAR188] = 1'b1; end else VAR159 [VAR188] = 1'b0; end end always @ begin if (VAR18 [VAR188]) begin if (VAR161 && VAR99) VAR51 [VAR188] = 1'b1; end else VAR51 [VAR188] = 1'b0; end else begin if (VAR161 && VAR130 [VAR34] && VAR99) VAR51 [VAR188] = 1'b1; end else VAR51 [VAR188] = 1'b0; end end always @ begin if (VAR190) VAR19 = 1'b1; end else VAR19 = 1'b0; end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR73 <= 1'b0; end else begin if (VAR190) VAR73 <= 1'b1; end else VAR73 <= 1'b0; end end always @ begin VAR192 [VAR112] = VAR92; end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR45 [VAR112] <= 1'b0; end else VAR45 [VAR112] <= VAR71 [VAR112]; end end endgenerate generate genvar VAR56; for (VAR56 = 0;VAR56 < VAR140;VAR56 = VAR56 + 1) begin : VAR165 always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR80 [VAR56] <= 1'b0; end else begin if (VAR142 && VAR130 [VAR56]) VAR80 [VAR56] <= 1'b0; end else if (VAR44 && VAR37 [VAR56]) VAR80 [VAR56] <= 1'b0; end else if ((VAR161 || VAR114) && VAR130 [VAR56]) VAR80 [VAR56] <= 1'b0; else if ((VAR162 || VAR74) && VAR37 [VAR56]) VAR80 [VAR56] <= 1'b0; else VAR80 [VAR56] <= VAR76 [VAR56]; end end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR193 [VAR56] <= 1'b0; end else begin if (VAR142 && VAR130 [VAR56]) VAR193 [VAR56] <= 1'b0; end else if (VAR44 && VAR37 [VAR56]) VAR193 [VAR56] <= 1'b0; else if ((VAR55 || VAR118) && VAR130 [VAR56]) VAR193 [VAR56] <= 1'b0; else if ((VAR17 || VAR189) && VAR37 [VAR56]) VAR193 [VAR56] <= 1'b0; else VAR193 [VAR56] <= VAR20 [VAR56]; end end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR176 [VAR56] <= 1'b0; end else begin if (VAR142 && VAR130 [VAR56]) VAR176 [VAR56] <= 1'b0; end else if (VAR44 && VAR37 [VAR56]) VAR176 [VAR56] <= 1'b0; else if ((VAR55 || VAR118) && VAR130 [VAR56]) VAR176 [VAR56] <= 1'b0; else if ((VAR17 || VAR189) && VAR37 [VAR56]) VAR176 [VAR56] <= 1'b0; else if (VAR84 && VAR130 [VAR56]) VAR176 [VAR56] <= 1'b0; else VAR176 [VAR56] <= VAR10 [VAR56]; end end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR145 [VAR56] <= 1'b0; end else begin if (VAR142 && VAR130 [VAR56]) VAR145 [VAR56] <= 1'b0; end else if (VAR44 && VAR37 [VAR56]) VAR145 [VAR56] <= 1'b0; else if ((VAR55 || VAR118) && VAR130 [VAR56]) VAR145 [VAR56] <= 1'b0; else if ((VAR17 || VAR189) && VAR37 [VAR56]) VAR145 [VAR56] <= 1'b0; else if (VAR84 && VAR130 [VAR56]) VAR145 [VAR56] <= 1'b0; else VAR145 [VAR56] <= VAR175 [VAR56]; end end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR64 [VAR56] <= 1'b0; end else VAR64 [VAR56] <= VAR29 [VAR56]; end always @ (posedge VAR58 or negedge VAR33) begin if (!VAR33) VAR24 [VAR56] <= 1'b0; end else begin if (VAR142 && VAR130 [VAR56]) VAR24 [VAR56] <= 1'b0; end else if (VAR44 && VAR37 [VAR56]) VAR24 [VAR56] <= 1'b0; else if (VAR118 && VAR130 [VAR56]) VAR24 [VAR56] <= 1'b1; else if (VAR189 && VAR37 [VAR56]) VAR24 [VAR56] <= 1'b1; else VAR24 [VAR56] <= VAR138 [VAR56]; end end end endgenerate endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2bb2oi/sky130_fd_sc_hd__a2bb2oi.symbol.v
1,460
module MODULE1 ( input VAR2, input VAR5, input VAR8 , input VAR4 , output VAR1 ); supply1 VAR7; supply0 VAR9; supply1 VAR3 ; supply0 VAR6 ; endmodule
apache-2.0
q3k/q3kmips
rtl/verilog/qm_decode.v
3,045
module MODULE1( input wire [31:0] VAR4, input wire [4:0] VAR22, input wire VAR12, input wire [31:0] VAR34, output wire [31:0] VAR26, output wire [31:0] VAR24, output wire [31:0] VAR29, output wire [4:0] VAR25, output wire [4:0] VAR31, output wire [5:0] VAR10, output wire [5:0] VAR8, input wire VAR20, input wire VAR35, input wire VAR27, input wire [3:0] VAR15, input wire VAR3, input wire VAR1, input wire VAR7, output wire VAR19, output wire VAR9, output wire VAR33, output wire [3:0] VAR14, output wire VAR17, output wire VAR13 ); assign VAR19 = VAR20; assign VAR9 = VAR35; assign VAR33 = VAR27; assign VAR14 = VAR15; assign VAR17 = VAR3; assign VAR13 = VAR1; wire [4:0] VAR5; wire [4:0] VAR6; wire [15:0] VAR11; assign VAR5 = VAR4[25:21]; assign VAR6 = VAR4[20:16]; assign VAR11 = VAR4[15:0]; VAR16 VAR28( .VAR23(VAR5), .VAR30(VAR6), .VAR18(VAR26), .VAR32(VAR24), .VAR2(VAR22), .VAR36(VAR12), .VAR21(VAR34) ); assign VAR29[31:0] = { {16{VAR11[15]}}, VAR11[15:0] }; assign VAR25 = VAR6; assign VAR31 = VAR4[15:11]; assign VAR10 = VAR4[31:26]; assign VAR8 = VAR4[5:0]; endmodule
bsd-2-clause
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/nios_mem_if_ddr2_emif_0_p0_clock_pair_generator.v
4,067
module MODULE1 ( VAR16, VAR13, VAR25) ; input [0:0] VAR16; output [0:0] VAR13; output [0:0] VAR25; wire [0:0] VAR1; wire [0:0] VAR32; wire [0:0] VAR10; wire [0:0] VAR23; wire [0:0] VAR6; wire [0:0] VAR24; wire [0:0] VAR9; wire [0:0] VAR20; wire [0:0] VAR35; wire [0:0] VAR3; VAR22 VAR18 ( .VAR5(VAR24), .VAR7(VAR1[0:0]), .VAR29(), .VAR12(VAR32[0:0]) , .VAR30(1'b0), .VAR8({16{1'b0}}), .VAR31({16{1'b0}}) , .VAR2(1'b1) ); VAR18.VAR34 = "false", VAR18.VAR14 = "false", VAR18.VAR33 = "VAR22"; assign VAR32 = {(~ VAR9[0])}; VAR22 VAR19 ( .VAR5(VAR6), .VAR7(VAR10[0:0]), .VAR29(), .VAR12(VAR23[0:0]) , .VAR30(1'b0), .VAR8({16{1'b0}}), .VAR31({16{1'b0}}) , .VAR2(1'b1) ); VAR19.VAR34 = "false", VAR19.VAR14 = "false", VAR19.VAR33 = "VAR22"; assign VAR23 = {(~ VAR35[0])}; VAR21 VAR26 ( .VAR27(), .VAR28(), .VAR5(VAR16), .VAR7(VAR6[0:0]), .VAR29(VAR24[0:0]), .VAR4(VAR9[0:0]), .VAR15(VAR20[0:0]), .VAR11(VAR35[0:0]) , .VAR17(1'b0) ); assign VAR20 = {(~ VAR3[0])}; assign VAR13 = VAR10, VAR25 = VAR1, VAR3 = 1'b1; endmodule
gpl-3.0
kyzhai/NUNY
src/hardware/life_new_bb.v
5,006
module MODULE1 ( address, VAR1, VAR2); input [9:0] address; input VAR1; output [11:0] VAR2; tri1 VAR1; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/einvp/sky130_fd_sc_hdll__einvp.blackbox.v
1,276
module MODULE1 ( VAR6 , VAR3 , VAR5 ); output VAR6 ; input VAR3 ; input VAR5; supply1 VAR7; supply0 VAR2; supply1 VAR1 ; supply0 VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/bushold0/sky130_fd_sc_lp__bushold0.symbol.v
1,410
module MODULE1 ( inout VAR4 , input VAR2 ); supply1 VAR3; supply0 VAR1; supply1 VAR5 ; supply0 VAR6 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/sdfbbp/sky130_fd_sc_ls__sdfbbp.pp.symbol.v
1,579
module MODULE1 ( input VAR5 , output VAR2 , output VAR8 , input VAR10, input VAR7 , input VAR6 , input VAR4 , input VAR1 , input VAR11 , input VAR3 , input VAR9 , input VAR12 ); endmodule
apache-2.0
scalable-networks/ext
uhd/fpga/usrp2/control_lib/ram_2port.v
1,549
module MODULE1 parameter VAR6=9) (input VAR4, input VAR1, input VAR2, input [VAR6-1:0] VAR12, input [VAR14-1:0] VAR9, output reg [VAR14-1:0] VAR13, input VAR3, input VAR7, input VAR5, input [VAR6-1:0] VAR16, input [VAR14-1:0] VAR15, output reg [VAR14-1:0] VAR8); reg [VAR14-1:0] VAR10 [(1<<VAR6)-1:0]; integer VAR11; begin begin
gpl-2.0
Obijuan/open-fpga-verilog-tutorial
tutorial/Alhambra_II/T06-multiples-prescalers/mpres.v
1,933
module MODULE1(input VAR5, output VAR3, output VAR17, output VAR10, output VAR11); wire VAR5; wire VAR3; wire VAR17; wire VAR10; wire VAR11; parameter VAR6 = 21; parameter VAR13 = 1; parameter VAR18 = 2; parameter VAR16 = 1; parameter VAR1 = 2; wire VAR4; VAR15 #(.VAR19(VAR6)) VAR14( .VAR5(VAR5), .VAR9(VAR4) ); VAR15 #(.VAR19(VAR13)) VAR7( .VAR5(VAR4), .VAR9(VAR3) ); VAR15 #(.VAR19(VAR18)) VAR12( .VAR5(VAR4), .VAR9(VAR17) ); VAR15 #(.VAR19(VAR16)) VAR8( .VAR5(VAR4), .VAR9(VAR10) ); VAR15 #(.VAR19(VAR1)) VAR2( .VAR5(VAR4), .VAR9(VAR11) ); endmodule
gpl-2.0
hhuang25/uwaterloo_ece224
Lab1Good/pio_pulse.v
3,255
module MODULE1 ( address, VAR3, clk, VAR12, VAR6, VAR8, VAR15, irq, VAR2 ) ; output irq; output VAR2; input [ 1: 0] address; input VAR3; input clk; input VAR12; input VAR6; input VAR8; input VAR15; wire VAR11; reg VAR7; reg VAR10; wire VAR9; reg VAR14; wire VAR4; wire VAR13; wire irq; reg VAR1; wire VAR5; reg VAR2; assign VAR11 = 1; assign VAR5 = ({1 {(address == 0)}} & VAR9) | ({1 {(address == 2)}} & VAR1) | ({1 {(address == 3)}} & VAR14); always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) VAR2 <= 0; end else if (VAR11) VAR2 <= VAR5; end assign VAR9 = VAR12; always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) VAR1 <= 0; end else if (VAR3 && ~VAR8 && (address == 2)) VAR1 <= VAR15; end assign irq = |(VAR14 & VAR1); assign VAR4 = VAR3 && ~VAR8 && (address == 3); always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) VAR14 <= 0; end else if (VAR11) if (VAR4) VAR14 <= 0; else if (VAR13) VAR14 <= -1; end always @(posedge clk or negedge VAR6) begin if (VAR6 == 0) begin VAR7 <= 0; VAR10 <= 0; end else if (VAR11) begin VAR7 <= VAR9; VAR10 <= VAR7; end end assign VAR13 = VAR7 ^ VAR10; endmodule
mit
fpgasystems/Centaur
rtl/mem/quick_fifo.v
5,989
module MODULE1 #( parameter VAR9 = 32, parameter VAR5 = 8, parameter VAR27 = 2**VAR5 - 4 ) ( input wire clk, input wire VAR7, input wire VAR21, input wire [VAR9 - 1:0] din, input wire VAR23, output reg valid, output reg [VAR9 - 1:0] dout, output reg [VAR5 - 1:0] VAR17, output reg VAR22, output reg VAR15, output reg VAR8 ); reg [VAR5 - 1:0] VAR19 = 0; reg [VAR5 - 1:0] VAR16 = 0; reg [VAR5 - 1:0] VAR20 = 0; reg VAR4 = 1'b1; reg VAR10 = 0, VAR6 = 0; reg VAR12 = 0; wire VAR14; wire VAR26; wire VAR25; wire [VAR9-1:0] VAR2; assign VAR14 = (VAR23 & VAR10 & VAR6) | ~(VAR10 & VAR6); assign VAR26 = VAR21 & ~VAR15; assign VAR25 = VAR14 & ~VAR4; VAR1 #(.VAR11(VAR9), .VAR13(VAR5)) VAR3( .clk (clk), .VAR21 (VAR26), .VAR23 (VAR14), .VAR24 (VAR19), .VAR18 (VAR16), .din (din), .dout (VAR2) ); always @(posedge clk) begin dout <= (VAR6)? ((VAR23)? VAR2 : dout) : VAR2; end always @(posedge clk) begin if (~VAR7) begin VAR22 <= 1'b1; VAR15 <= 1'b0; VAR8 <= 1'b0; VAR17 <= 0; VAR19 <= 0; VAR16 <= 0; VAR6 <= 1'b0; VAR10 <= 1'b0; VAR4 <= 1'b1; VAR20 <= 'b0; valid <= 0; VAR12 <= 0; end else begin valid <= (valid)? ((VAR23)? VAR12 : 1'b1) : VAR12; VAR12 <= (VAR14)? ~VAR4 : VAR12; VAR6 <= (VAR6)? ((VAR23)? VAR10 : 1'b1) : VAR10; VAR10 <= (VAR14)? ~VAR4 : VAR10; VAR19 <= (VAR14 & ~VAR4)? (VAR19 + 1'b1) : VAR19; VAR16 <= (VAR26)? (VAR16 + 1'b1) : VAR16; if (VAR21) VAR4 <= 1'b0; end else if(VAR14 & (VAR20 == 1'b1)) VAR4 <= 1'b1; if( VAR26 & ~VAR25) VAR20 <= VAR20 + 1'b1; end else if (~VAR26 & VAR25) VAR20 <= VAR20 - 1'b1; if (VAR21) VAR22 <= 1'b0; else if((VAR23 & VAR6 & ~VAR10) & (VAR17 == 1'b1)) VAR22 <= 1'b1; if( VAR26 & (~(VAR23 & VAR6) | ~VAR23) ) VAR17 <= VAR17 + 1'b1; else if (~VAR26 & (VAR23 & VAR6)) VAR17 <= VAR17 - 1'b1; if (VAR21 & ~VAR23) begin if (VAR17 == (2**VAR5-1)) VAR15 <= 1'b1; if (VAR17 == (VAR27-1)) VAR8 <= 1'b1; end if ((~VAR21 | VAR15) & VAR23) begin VAR15 <= 1'b0; if (VAR17 == VAR27) VAR8 <= 1'b0; end end end endmodule
apache-2.0
BoolLi/Pollard-s-p-1-algorithm
BinaryExponentiation.v
1,908
module MODULE1( input clk, input [8:0] VAR4, input [7:0] VAR7, input reset, output reg [99:0] VAR8, output reg VAR1 ); reg [99:0] VAR5; reg VAR2; reg [3:0] VAR6; reg [3:0] VAR9; reg VAR3; reg VAR10;
mit
scalable-networks/ext
uhd/fpga/usrp2/sdr_lib/med_hb_int.v
3,424
module MODULE1 (input clk, input rst, input VAR32, input VAR40, input [VAR18-1:0] VAR22, input [7:0] VAR1, input VAR41, output reg [VAR18-1:0] VAR51); localparam VAR16 = -597; localparam VAR57 = 4283; localparam VAR27 = -17516; localparam VAR42 = 79365; reg VAR19; reg [VAR18-1:0] VAR34, VAR35, VAR39, VAR15, VAR17, VAR60, VAR23, VAR8; localparam VAR49 = 36; wire [VAR49-1:0] VAR36; reg [6:0] VAR43; always @(posedge clk) VAR43 <= {VAR43[5:0],VAR40}; always @(posedge clk) if(VAR40) begin VAR34 <= VAR22; VAR35 <= VAR34; VAR39 <= VAR35; VAR15 <= VAR39; VAR17 <= VAR15; VAR60 <= VAR17; VAR23 <= VAR60; VAR8 <= VAR23; end wire [VAR18-1:0] VAR31, VAR30, VAR50, VAR5; VAR38 #(.VAR18(VAR18)) VAR9 (.clk(clk),.VAR12(VAR34),.VAR3(VAR8),.sum(VAR31)); VAR38 #(.VAR18(VAR18)) VAR52 (.clk(clk),.VAR12(VAR35),.VAR3(VAR23),.sum(VAR30)); VAR38 #(.VAR18(VAR18)) VAR20 (.clk(clk),.VAR12(VAR39),.VAR3(VAR60),.sum(VAR50)); VAR38 #(.VAR18(VAR18)) VAR45 (.clk(clk),.VAR12(VAR15),.VAR3(VAR17),.sum(VAR5)); VAR59 VAR55(.VAR21(clk), .VAR26(1), .VAR56(rst), .VAR13(VAR48), .VAR6(VAR43[1] ? VAR16 : VAR57), .VAR29(VAR43[1] ? VAR31 : VAR30) ); VAR59 VAR53(.VAR21(clk), .VAR26(1), .VAR56(rst), .VAR13(VAR14), .VAR6(VAR43[1] ? VAR27 : VAR42), .VAR29(VAR43[1] ? VAR50 : VAR5) ); wire [VAR49:0] VAR2; VAR7 #(.VAR37(VAR49),.VAR28(VAR49+1)) VAR7 (.clk(clk),.VAR25(VAR43[2]),.VAR7(|VAR43[3:2]),.in(VAR36),.out(VAR2)); wire [VAR18+2:0] VAR33; VAR44 #(.VAR4(VAR49+1),.VAR11(VAR18+3)) VAR24 (.clk(clk),.in(VAR2),.out(VAR33)); wire [VAR18-1:0] VAR54; VAR46 #(.VAR4(VAR18+3),.VAR11(VAR18)) VAR10 (.clk(clk),.in(VAR33),.out(VAR54)); reg [VAR18-1:0] VAR58, VAR47; always @(posedge clk) if(VAR43[6]) VAR58 <= VAR54; always @(posedge clk) if(VAR43[3]) VAR47 <= VAR39; always @(posedge clk) if(VAR32) VAR51 <= VAR22; else if(VAR40 & VAR41) case(VAR1) 1 : VAR51 <= VAR60; 2 : VAR51 <= VAR15; 3, 4, 5, 6, 7 : VAR51 <= VAR39; default : VAR51 <= VAR35; endcase else if(VAR41) VAR51 <= VAR58; endmodule
gpl-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_hdmi_tx_36b_v1_00_a/hdl/verilog/cf_hdmi_tx_36b.v
12,230
module MODULE1 ( VAR5, VAR26, VAR39, VAR25, VAR74, VAR3, VAR69, VAR58, VAR35, VAR79, VAR21, VAR68, VAR54, VAR36, VAR88, VAR81, VAR2, VAR51, VAR28, VAR78, VAR37, VAR41, VAR70, VAR1); input VAR5; output VAR26; output VAR39; output VAR25; output [35:0] VAR74; input VAR3; output VAR69; input VAR58; input VAR35; input [ 7:0] VAR79; input [63:0] VAR21; input VAR68; output VAR54; input VAR36; input VAR88; input VAR81; input VAR2; input [ 4:0] VAR51; input [31:0] VAR28; output [31:0] VAR78; output VAR37; output [ 7:0] VAR41; output [63:0] VAR70; output [ 7:0] VAR1; reg VAR15; reg VAR84; reg VAR56; reg [15:0] VAR12; reg [15:0] VAR46; reg [15:0] VAR30; reg [15:0] VAR55; reg [15:0] VAR40; reg [15:0] VAR52; reg [15:0] VAR20; reg [15:0] VAR89; reg VAR4; reg VAR18; reg VAR80; reg VAR75; reg VAR53; reg VAR23; reg [23:0] VAR10; reg [ 7:0] VAR41; reg [31:0] VAR78; reg VAR76; reg VAR7; reg VAR37; reg VAR50; reg VAR44; reg VAR63; reg VAR62; reg VAR59; reg VAR65; reg VAR85; reg VAR82; reg VAR66; reg VAR19; wire VAR14; wire VAR8; wire VAR32; wire VAR72; wire [ 8:0] VAR57; wire [47:0] VAR43; wire VAR67; wire [ 8:0] VAR38; wire VAR87; wire VAR6; wire VAR22; wire VAR86; wire [63:0] VAR45; wire [ 7:0] VAR73; wire VAR49; wire [ 8:0] VAR71; wire VAR11; wire [63:0] VAR13; wire [ 7:0] VAR61; assign VAR70 = VAR45; assign VAR1 = VAR73; assign VAR14 = VAR81 & ~VAR2; assign VAR8 = VAR81 & VAR2; assign VAR32 = VAR76 & ~VAR7; always @(negedge VAR36 or posedge VAR88) begin if (VAR36 == 0) begin VAR15 <= 'd0; VAR84 <= 'd0; VAR56 <= 'd0; VAR12 <= 'd0; VAR46 <= 'd0; VAR30 <= 'd0; VAR55 <= 'd0; VAR40 <= 'd0; VAR52 <= 'd0; VAR20 <= 'd0; VAR89 <= 'd0; VAR4 <= 'd0; VAR18 <= 'd0; VAR80 <= 'd0; VAR75 <= 'd0; VAR53 <= 'd0; VAR23 <= 'd0; VAR10 <= 'd0; VAR41 <= 'd0; end else begin if ((VAR51 == 5'h01) && (VAR14 == 1'b1)) begin VAR15 <= VAR28[2]; VAR84 <= VAR28[1]; VAR56 <= VAR28[0]; end if ((VAR51 == 5'h02) && (VAR14 == 1'b1)) begin VAR12 <= VAR28[31:16]; VAR46 <= VAR28[15:0]; end if ((VAR51 == 5'h03) && (VAR14 == 1'b1)) begin VAR30 <= VAR28[31:16]; VAR55 <= VAR28[15:0]; end if ((VAR51 == 5'h04) && (VAR14 == 1'b1)) begin VAR40 <= VAR28[31:16]; VAR52 <= VAR28[15:0]; end if ((VAR51 == 5'h05) && (VAR14 == 1'b1)) begin VAR20 <= VAR28[31:16]; VAR89 <= VAR28[15:0]; end if (VAR65 == 1'b1) begin VAR4 <= 1'b1; end else if ((VAR51 == 5'h06) && (VAR14 == 1'b1)) begin VAR4 <= VAR4 & (~VAR28[4]); end if (VAR85 == 1'b1) begin VAR18 <= 1'b1; end else if ((VAR51 == 5'h06) && (VAR14 == 1'b1)) begin VAR18 <= VAR18 & (~VAR28[3]); end if (VAR82 == 1'b1) begin VAR80 <= 1'b1; end else if ((VAR51 == 5'h06) && (VAR14 == 1'b1)) begin VAR80 <= VAR80 & (~VAR28[2]); end if (VAR66 == 1'b1) begin VAR75 <= 1'b1; end else if ((VAR51 == 5'h06) && (VAR14 == 1'b1)) begin VAR75 <= VAR75 & (~VAR28[1]); end if (VAR19 == 1'b1) begin VAR53 <= 1'b1; end else if ((VAR51 == 5'h06) && (VAR14 == 1'b1)) begin VAR53 <= VAR53 & (~VAR28[0]); end if ((VAR51 == 5'h07) && (VAR14 == 1'b1)) begin VAR23 <= VAR28[24]; VAR10 <= VAR28[23:0]; end VAR41 <= {VAR56, VAR15, VAR84, VAR80, VAR4, VAR18, VAR75, VAR53}; end end always @(negedge VAR36 or posedge VAR88) begin if (VAR36 == 0) begin VAR78 <= 'd0; VAR76 <= 'd0; VAR7 <= 'd0; VAR37 <= 'd0; end else begin case (VAR51) 5'h00: VAR78 <= 32'h00010061; 5'h01: VAR78 <= {29'd0, VAR15, VAR84, VAR56}; 5'h02: VAR78 <= {VAR12, VAR46}; 5'h03: VAR78 <= {VAR30, VAR55}; 5'h04: VAR78 <= {VAR40, VAR52}; 5'h05: VAR78 <= {VAR20, VAR89}; 5'h06: VAR78 <= {28'd0, VAR4, VAR18, VAR80, VAR75, VAR53}; 5'h07: VAR78 <= {7'd0, VAR23, VAR10}; default: VAR78 <= 0; endcase VAR76 <= VAR81; VAR7 <= VAR76; VAR37 <= VAR32; end end always @(negedge VAR36 or posedge VAR88) begin if (VAR36 == 0) begin VAR50 <= 'd0; VAR44 <= 'd0; VAR63 <= 'd0; VAR62 <= 'd0; VAR59 <= 'd0; VAR65 <= 'd0; VAR85 <= 'd0; VAR82 <= 'd0; VAR66 <= 'd0; VAR19 <= 'd0; end else begin VAR50 <= VAR11; VAR44 <= VAR87; VAR63 <= VAR6; VAR62 <= VAR22; VAR59 <= VAR86; VAR65 <= VAR50; VAR85 <= VAR44; VAR82 <= VAR63; VAR66 <= VAR62; VAR19 <= VAR59; end end VAR17 VAR47 ( .VAR34 (VAR49), .VAR77 (VAR71), .VAR3 (VAR3), .VAR69 (VAR69), .VAR58 (VAR58), .VAR35 (VAR35), .VAR79 (VAR79), .VAR21 (VAR21), .VAR68 (VAR68), .VAR54 (VAR54), .VAR64 (VAR72), .VAR33 (VAR57), .VAR24 (VAR43), .VAR16 (VAR67), .VAR83 (VAR38), .VAR31 (VAR87), .VAR60 (VAR6), .VAR9 (VAR22), .VAR29 (VAR86), .VAR70 (VAR45), .VAR1 (VAR73)); VAR42 VAR48 ( .VAR5 (VAR5), .VAR26 (VAR26), .VAR39 (VAR39), .VAR25 (VAR25), .VAR74 (VAR74), .VAR34 (VAR49), .VAR77 (VAR71), .VAR27 (VAR11), .VAR3 (VAR3), .VAR64 (VAR72), .VAR33 (VAR57), .VAR24 (VAR43), .VAR16 (VAR67), .VAR83 (VAR38), .VAR56 (VAR56), .VAR15 (VAR15), .VAR84 (VAR84), .VAR12 (VAR12), .VAR46 (VAR46), .VAR30 (VAR30), .VAR55 (VAR55), .VAR40 (VAR40), .VAR52 (VAR52), .VAR20 (VAR20), .VAR89 (VAR89), .VAR23 (VAR23), .VAR10 (VAR10), .VAR70 (VAR13), .VAR1 (VAR61)); endmodule
mit
markusC64/1541ultimate2
fpga/nios_c5/nios/synthesis/submodules/alt_mem_ddrx_addr_cmd_wrap.v
55,990
module MODULE1 VAR187 = 2, VAR62 = 2, VAR16 = 16, VAR161 = 16, VAR108 = 12, VAR127 = 3, VAR122 = 1, VAR36 = 3, VAR124 = 2, VAR19 = 2, VAR209 = 8, VAR7 = 4, VAR2 = 4, VAR206 = 1, VAR90 = 2, VAR8 = 5, VAR144 = 5, VAR190 = 5, VAR80 = 4, VAR58 = 4, VAR150 = 2 ) ( VAR65, VAR38, VAR102, VAR149, VAR162, VAR202, VAR97, VAR20, VAR23, VAR160, VAR135, VAR175, VAR188, VAR128, VAR15, VAR147, VAR167, VAR10, VAR84, VAR86, VAR109, VAR204, VAR185, VAR39, VAR195, VAR158, VAR173, VAR37, VAR60, VAR78, VAR186, VAR64, VAR33, VAR44, VAR191, VAR53, VAR143, VAR67, VAR94, VAR95, VAR54, VAR49, VAR118, VAR114, VAR181, VAR130, VAR52 ); localparam VAR34 = 2; input VAR65 ; input VAR38 ; input VAR102 ; input [VAR36 - 1 : 0] VAR149 ; input [VAR144 - 1 : 0] VAR162 ; input [VAR8 - 1 : 0] VAR202 ; input [VAR190 - 1 : 0] VAR97 ; input [VAR80 - 1 : 0] VAR20 ; input [VAR58 - 1 : 0] VAR23 ; input [4:0] VAR160 ; input [VAR150 - 1 : 0] VAR135; input [VAR19 - 1 : 0] VAR175 ; input [VAR19 - 1 : 0] VAR188 ; input [VAR19 - 1 : 0] VAR128 ; input [VAR19 - 1 : 0] VAR15 ; input [VAR19 - 1 : 0] VAR147 ; input [VAR19 - 1 : 0] VAR39 ; input [VAR19 - 1 : 0] VAR195 ; input [VAR19 - 1 : 0] VAR167 ; input [VAR19 - 1 : 0] VAR10 ; input [(VAR19 * VAR187) - 1 : 0] VAR84 ; input [(VAR19 * VAR187) - 1 : 0] VAR86 ; input [(VAR19 * VAR187) - 1 : 0] VAR109 ; input [(VAR19 * VAR187) - 1 : 0] VAR204 ; input [(VAR19 * VAR187) - 1 : 0] VAR185 ; input [(VAR19 * VAR187) - 1 : 0] VAR37 ; input [VAR19 - 1 : 0] VAR60 ; input [(VAR19 * VAR187) - 1 : 0] VAR33 ; input [(VAR19 * VAR127) - 1 : 0] VAR44 ; input [(VAR19 * VAR161) - 1 : 0] VAR191 ; input [(VAR19 * VAR108) - 1 : 0] VAR53 ; input VAR158 ; input VAR173 ; input [7:0] VAR143 ; input [VAR209 - 1 : 0] VAR78 ; input [VAR7 - 1 : 0] VAR186 ; input [VAR2 - 1 : 0] VAR64 ; input [VAR16-1:0] VAR67 ; output [(VAR62 * (VAR124/2)) - 1:0] VAR94 ; output [(VAR187 * (VAR124/2)) - 1:0] VAR95 ; output [(VAR124/2) - 1:0] VAR54 ; output [(VAR124/2) - 1:0] VAR49 ; output [(VAR124/2) - 1:0] VAR118 ; output [(VAR127 * (VAR124/2)) - 1:0] VAR114 ; output [(VAR16 * (VAR124/2)) - 1:0] VAR181 ; output [(VAR124/2) - 1:0] VAR130 ; output [(VAR90 * (VAR124/2)) - 1:0] VAR52 ; reg [(VAR62 * (VAR124/2)) - 1:0] VAR94 ; reg [(VAR187 * (VAR124/2)) - 1:0] VAR95 ; reg [(VAR124/2) - 1:0] VAR54 ; reg [(VAR124/2) - 1:0] VAR49 ; reg [(VAR124/2) - 1:0] VAR118 ; reg [(VAR127 * (VAR124/2)) - 1:0] VAR114 ; reg [(VAR16 * (VAR124/2)) - 1:0] VAR181 ; reg [(VAR124/2) - 1:0] VAR130 ; reg [(VAR90 * (VAR124/2)) - 1:0] VAR52 ; reg [(VAR124/2) - 1:0] VAR120 ; reg [(VAR124/2) - 1:0] VAR88 ; wire [VAR62 - 1:0] VAR179 [(VAR124/2)-1:0]; wire [VAR187- 1:0] VAR112 [(VAR124/2)-1:0]; wire VAR42 [(VAR124/2)-1:0]; wire VAR51 [(VAR124/2)-1:0]; wire VAR99 [(VAR124/2)-1:0]; wire [VAR127 - 1:0] VAR174 [(VAR124/2)-1:0]; wire [VAR16-1:0] VAR192 [(VAR124/2)-1:0]; wire VAR212 [(VAR124/2)-1:0]; wire VAR68 [(VAR124/2)-1:0]; wire VAR79 [(VAR124/2)-1:0]; reg [VAR62 - 1:0] VAR85 [(VAR124/2)-1:0]; reg [VAR187- 1:0] VAR116 [(VAR124/2)-1:0]; reg VAR146 [(VAR124/2)-1:0]; reg VAR154 [(VAR124/2)-1:0]; reg VAR3 [(VAR124/2)-1:0]; reg [VAR127 - 1:0] VAR113 [(VAR124/2)-1:0]; reg [VAR16-1:0] VAR47 [(VAR124/2)-1:0]; reg VAR137 [(VAR124/2)-1:0]; reg VAR103 [(VAR124/2)-1:0]; reg VAR83 [(VAR124/2)-1:0]; reg [(VAR62 * (VAR34/2)) - 1:0] VAR1 [VAR19-1:0]; reg [(VAR187 * (VAR34/2)) - 1:0] VAR61 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR121 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR123 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR35 [VAR19-1:0]; reg [(VAR127 * (VAR34/2)) - 1:0] VAR165 [VAR19-1:0]; reg [(VAR16 * (VAR34/2)) - 1:0] VAR76 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR152 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR136 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR140 [VAR19-1:0]; wire [(VAR62 * (VAR34/2)) - 1:0] VAR153 [VAR19-1:0]; wire [(VAR187 * (VAR34/2)) - 1:0] VAR157 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR171 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR164 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR169 [VAR19-1:0]; wire [(VAR127 * (VAR34/2)) - 1:0] VAR46 [VAR19-1:0]; wire [(VAR16 * (VAR34/2)) - 1:0] VAR56 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR106 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR55 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR77 [VAR19-1:0]; wire [(VAR62 * (VAR34/2)) - 1:0] VAR180 [VAR19-1:0]; wire [(VAR187 * (VAR34/2)) - 1:0] VAR142 [VAR19-1:0]; wire [(VAR16 * (VAR34/2)) - 1:0] VAR63 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR105 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR111 [VAR19-1:0]; reg [(VAR34/2) - 1:0] VAR198 [VAR19-1:0]; wire [(VAR62 * (VAR34/2)) - 1:0] VAR182 [VAR19-1:0]; wire [(VAR187 * (VAR34/2)) - 1:0] VAR196 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR104 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR193 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR5 [VAR19-1:0]; wire [(VAR127 * (VAR34/2)) - 1:0] VAR148 [VAR19-1:0]; wire [(VAR16 * (VAR34/2)) - 1:0] VAR13 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR96 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR210 [VAR19-1:0]; wire [(VAR34/2) - 1:0] VAR207 [VAR19-1:0]; wire [(VAR62 * (VAR34/2)) - 1:0] VAR17 ; wire [(VAR187 * (VAR34/2)) - 1:0] VAR115 ; wire [(VAR34/2) - 1:0] VAR197 ; wire [(VAR34/2) - 1:0] VAR119 ; wire [(VAR34/2) - 1:0] VAR92 ; wire [(VAR127 * (VAR34/2)) - 1:0] VAR194 ; wire [(VAR16 * (VAR34/2)) - 1:0] VAR18 ; wire [(VAR34/2) - 1:0] VAR22 ; wire [(VAR34/2) - 1:0] VAR176 ; wire [(VAR34/2) - 1:0] VAR12 ; wire [(VAR62 * (VAR124/2)) - 1:0] VAR177; wire [(VAR187 * (VAR124/2)) - 1:0] VAR129; wire [(VAR124/2) - 1:0] VAR69; wire [(VAR124/2) - 1:0] VAR100; wire [(VAR124/2) - 1:0] VAR50; wire [(VAR127 * (VAR124/2)) - 1:0] VAR125; wire [(VAR16 * (VAR124/2)) - 1:0] VAR9; wire [(VAR124/2) - 1:0] VAR110; reg [VAR19 - 1 : 0] VAR57 ; reg [VAR19 - 1 : 0] VAR73 ; reg [VAR19 - 1 : 0] VAR107 ; reg [VAR19 - 1 : 0] VAR40 ; reg [VAR19 - 1 : 0] VAR82 ; reg [VAR19 - 1 : 0] VAR133 ; reg [VAR19 - 1 : 0] VAR200 ; reg [VAR19 - 1 : 0] VAR163 ; reg [VAR19 - 1 : 0] VAR139 ; reg [VAR19 - 1 : 0] VAR199 ; reg [VAR19 - 1 : 0] VAR168 ; reg [VAR187 - 1 : 0] VAR45 [VAR19-1:0]; reg [VAR187 - 1 : 0] VAR71 [VAR19-1:0]; reg [VAR187 - 1 : 0] VAR93 [VAR19-1:0]; reg [VAR187 - 1 : 0] VAR184 [VAR19-1:0]; reg [VAR187 - 1 : 0] VAR141 [VAR19-1:0]; reg [VAR187 - 1 : 0] VAR59 [VAR19-1:0]; reg [VAR19 - 1 : 0] VAR24 ; reg [VAR187 -1:0] VAR74 [VAR19-1:0]; reg [VAR127 -1:0] VAR117 [VAR19-1:0]; reg [VAR161 -1:0] VAR89 [VAR19-1:0]; reg [VAR108 -1:0] VAR25 [VAR19-1:0]; reg [VAR209 - 1 : 0] VAR6; reg [VAR7 - 1 : 0] VAR75; reg [VAR2 - 1 : 0] VAR166; reg VAR48; reg VAR178; wire [(VAR90*(VAR124/2)) - 1 : 0] VAR30 [VAR19-1:0]; wire [(VAR90*(VAR124/2)) - 1 : 0] VAR26 [VAR19-1:0]; reg [VAR19 - 1 : 0] VAR31; reg [(VAR19 * VAR187) - 1 : 0] VAR145; reg [(VAR19 * VAR187) - 1 : 0] VAR134; wire VAR41 = 1'b1; wire VAR21 = 1'b0; genvar VAR156, VAR183; generate for (VAR183 = 0; VAR183 < (VAR124/2); VAR183 = VAR183 + 1'b1) begin : VAR151 always @ begin VAR57 [VAR131] = VAR175 [VAR131]; VAR73 [VAR131] = VAR188 [VAR131]; VAR107 [VAR131] = VAR128 [VAR131]; VAR40 [VAR131] = VAR15 [VAR131]; VAR82 [VAR131] = VAR147 [VAR131]; VAR133 [VAR131] = VAR39 [VAR131]; VAR200 [VAR131] = VAR195 [VAR131]; VAR163 [VAR131] = VAR167 [VAR131]; VAR139 [VAR131] = VAR10 [VAR131]; VAR74 [VAR131] = VAR33 [(((VAR131+1)*VAR187 )-1):(VAR131*VAR187 )]; VAR117 [VAR131] = VAR44 [(((VAR131+1)*VAR127 )-1):(VAR131*VAR127 )]; VAR89 [VAR131] = VAR191 [(((VAR131+1)*VAR161)-1):(VAR131*VAR161)]; VAR25 [VAR131] = VAR53 [(((VAR131+1)*VAR108)-1):(VAR131*VAR108)]; end if (VAR124 == 2) begin always @ begin VAR31 [VAR131] = VAR41; end end else begin always @ begin VAR31 [VAR131] = ((VAR131 % VAR19) == 1) ? VAR41 : VAR21; end end VAR87 # ( .VAR36 ( VAR36 ), .VAR150 ( VAR150 ), .VAR187 ( VAR187 ), .VAR62 ( VAR62 ), .VAR16 ( VAR16 ), .VAR161 ( VAR161 ), .VAR108 ( VAR108 ), .VAR127 ( VAR127 ), .VAR124 ( VAR34 ) ) VAR11 ( .VAR65 ( VAR65 ), .VAR38 ( VAR38 ), .VAR102 ( VAR102 ), .VAR149 ( VAR149 ), .VAR126 ( VAR135 ), .VAR31 ( VAR31 [VAR131] ), .VAR175 ( VAR57 [VAR131] ), .VAR188 ( VAR73 [VAR131] ), .VAR147 ( VAR82 [VAR131] ), .VAR128 ( VAR107 [VAR131] ), .VAR167 ( VAR163 [VAR131] ), .VAR10 ( VAR139 [VAR131] ), .VAR86 ( VAR71 [VAR131] ), .VAR204 ( VAR184 [VAR131] ), .VAR109 ( VAR93 [VAR131] ), .VAR60 ( VAR24 [VAR131] ), .VAR84 ( VAR45 [VAR131] ), .VAR37 ( VAR59 [VAR131] ), .VAR185 ( VAR141 [VAR131] ), .VAR15 ( VAR40 [VAR131] ), .VAR33 ( VAR74 [VAR131] ), .VAR44 ( VAR117 [VAR131] ), .VAR191 ( VAR89 [VAR131] ), .VAR53 ( VAR25 [VAR131] ), .VAR143 ( VAR143 ), .VAR67 ( VAR67 ), .VAR94 ( VAR153 [VAR131] ), .VAR95 ( VAR157 [VAR131] ), .VAR54 ( VAR171 [VAR131] ), .VAR49 ( VAR164 [VAR131] ), .VAR118 ( VAR169 [VAR131] ), .VAR114 ( VAR46 [VAR131] ), .VAR181 ( VAR56 [VAR131] ), .VAR130 ( VAR106 [VAR131] ) ); if (VAR122) begin VAR189 # ( .VAR150 (VAR150 ), .VAR187 (VAR187 ), .VAR62 (VAR62 ), .VAR16 (VAR16 ), .VAR161 (VAR161 ), .VAR108 (VAR108 ), .VAR127 (VAR127 ), .VAR124 (VAR34 ) ) VAR91 ( .VAR65 (VAR65 ), .VAR38 (VAR38 ), .VAR102 (VAR102 ), .VAR126 (VAR135 ), .VAR31 (VAR31 [VAR131]), .VAR201 (VAR57 [VAR131]), .VAR132 (VAR73 [VAR131]), .VAR138 (VAR82 [VAR131]), .VAR213 (VAR163 [VAR131]), .VAR155 (VAR139 [VAR131]), .VAR4 (VAR71 [VAR131]), .VAR170 (VAR184 [VAR131]), .VAR208 (VAR93 [VAR131]), .VAR98 (VAR24 [VAR131]), .VAR28 (VAR45 [VAR131]), .VAR29 (VAR141 [VAR131]), .VAR27 (VAR40 [VAR131]), .VAR159 (VAR48 ), .VAR172 (VAR178 ), .VAR43 (VAR74 [VAR131]), .VAR203 (VAR117 [VAR131]), .VAR101 (VAR89 [VAR131]), .VAR211 (VAR25 [VAR131]), .VAR32 (VAR143 ), .VAR67 (VAR67[7:0] ), .VAR94 (VAR180 [VAR131]), .VAR95 (VAR142 [VAR131]), .VAR181 (VAR63 [VAR131]), .VAR130 (VAR105 [VAR131]) ); end else begin assign VAR180 [VAR131] = {(VAR62 * (VAR34/2)) {1'b0}}; assign VAR142 [VAR131] = {(VAR187 * (VAR34/2)) {1'b0}}; assign VAR63 [VAR131] = {(VAR16 * (VAR34/2)) {1'b0}}; assign VAR105 [VAR131] = { (VAR34/2) {1'b0}}; end always @ begin if (VAR135) begin VAR136[VAR131] = VAR199 [VAR131]; VAR140[VAR131] = VAR168 [VAR131]; end else begin VAR136[VAR131] = VAR133 [VAR131]; VAR140[VAR131] = VAR200 [VAR131]; end end VAR72 # ( .VAR124 (VAR124 ), .VAR206 (VAR206 ), .VAR187 (VAR187 ), .VAR90 (VAR90 ), .VAR8 (VAR8 ), .VAR144 (VAR144 ), .VAR190 (VAR190 ), .VAR36 (VAR36 ), .VAR80 (VAR80 ), .VAR58 (VAR58 ), .VAR150 (VAR150 ) ) VAR205 ( .VAR65 (VAR65 ), .VAR38 (VAR38 ), .VAR149 (VAR149 ), .VAR162 (VAR162 ), .VAR202 (VAR202 ), .VAR97 (VAR97 ), .VAR20 (VAR20 ), .VAR23 (VAR23 ), .VAR160 (VAR160 ), .VAR126 (VAR135 ), .VAR188 (VAR73 [VAR131]), .VAR175 (VAR57 [VAR131]), .VAR128 (VAR107 [VAR131]), .VAR33 (VAR74 [VAR131]), .VAR52 (VAR30 [VAR131]) ); end always @ begin VAR52 = VAR26 [VAR19-1]; end assign VAR26 [0] = VAR30 [0]; genvar VAR70; generate for (VAR70 = 1; VAR70 < VAR19; VAR70 = VAR70 + 1) begin : VAR14 assign VAR26 [VAR70] = VAR26 [VAR70-1] | VAR30 [VAR70]; end endgenerate assign VAR182 [0] = VAR1 [0]; assign VAR196 [0] = VAR61 [0]; assign VAR104 [0] = VAR121 [0]; assign VAR193 [0] = VAR123 [0]; assign VAR5 [0] = VAR35 [0]; assign VAR148 [0] = VAR165 [0]; assign VAR13 [0] = VAR76 [0]; assign VAR96 [0] = VAR152 [0]; assign VAR210 [0] = VAR136 [0]; assign VAR207 [0] = VAR140 [0]; genvar VAR81; generate for (VAR81 = 1; VAR81 < VAR19; VAR81 = VAR81 + 1) begin : VAR66 assign VAR182 [VAR81] = VAR182 [(VAR81-1)] & VAR1 [VAR81]; assign VAR196 [VAR81] = VAR196 [(VAR81-1)] & VAR61 [VAR81]; assign VAR104 [VAR81] = VAR104 [(VAR81-1)] & VAR121 [VAR81]; assign VAR193 [VAR81] = VAR193 [(VAR81-1)] & VAR123 [VAR81]; assign VAR5 [VAR81] = VAR5 [(VAR81-1)] & VAR35 [VAR81]; assign VAR148 [VAR81] = VAR148 [(VAR81-1)] | VAR165 [VAR81]; assign VAR13 [VAR81] = VAR13 [(VAR81-1)] | VAR76 [VAR81]; assign VAR96 [VAR81] = VAR96 [(VAR81-1)] | VAR152 [VAR81]; assign VAR210 [VAR81] = VAR210 [(VAR81-1)] | VAR136 [VAR81]; assign VAR207 [VAR81] = VAR207 [(VAR81-1)] | VAR140 [VAR81]; end endgenerate assign VAR17 = VAR182 [VAR19-1]; assign VAR115 = VAR196 [VAR19-1]; assign VAR197 = VAR104 [VAR19-1]; assign VAR119 = VAR193 [VAR19-1]; assign VAR92 = VAR5 [VAR19-1]; assign VAR194 = VAR148 [VAR19-1]; assign VAR18 = VAR13 [VAR19-1]; assign VAR22 = VAR96 [VAR19-1]; assign VAR176 = VAR210 [VAR19-1]; assign VAR12 = VAR207 [VAR19-1]; always @ (posedge VAR65 or negedge VAR38) begin if (!VAR38) begin VAR145 <= 0; VAR134 <= 0; end else begin VAR145 <= VAR109; VAR134 <= VAR185; end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o211a/sky130_fd_sc_ls__o211a.functional.pp.v
2,036
module MODULE1 ( VAR11 , VAR8 , VAR12 , VAR14 , VAR2 , VAR15, VAR4, VAR5 , VAR6 ); output VAR11 ; input VAR8 ; input VAR12 ; input VAR14 ; input VAR2 ; input VAR15; input VAR4; input VAR5 ; input VAR6 ; wire VAR13 ; wire VAR1 ; wire VAR3; or VAR17 (VAR13 , VAR12, VAR8 ); and VAR7 (VAR1 , VAR13, VAR14, VAR2 ); VAR9 VAR16 (VAR3, VAR1, VAR15, VAR4); buf VAR10 (VAR11 , VAR3 ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
ASIC_FLOW/Approximate_Adders/integracion_fisica/front_end/db/RCA_N20_syn.v
8,538
module MODULE1 ( VAR70, VAR269, VAR165 ); input [19:0] VAR70; input [19:0] VAR269; output [20:0] VAR165; wire VAR123, VAR270, VAR296, VAR247, VAR299, VAR8, VAR186, VAR278, VAR95, VAR212, VAR122, VAR128, VAR30, VAR6, VAR126, VAR11, VAR233, VAR29, VAR158, VAR205, VAR239, VAR245, VAR257, VAR58, VAR19, VAR118, VAR83, VAR86, VAR68, VAR283, VAR139, VAR181, VAR51, VAR144, VAR84, VAR268, VAR246, VAR289, VAR111, VAR7, VAR50, VAR267, VAR271, VAR286, VAR46, VAR291, VAR10, VAR129, VAR156, VAR219, VAR141, VAR256, VAR103, VAR17, VAR169, VAR119, VAR102, VAR214, VAR221, VAR101, VAR157, VAR24, VAR42, VAR241, VAR151, VAR80, VAR39, VAR106, VAR240, VAR146, VAR237, VAR230, VAR114, VAR254, VAR92, VAR263, VAR194, VAR9, VAR14, VAR284, VAR64, VAR110, VAR288, VAR170, VAR188, VAR198, VAR297, VAR44, VAR99, VAR57, VAR45, VAR55, VAR47, VAR54, VAR22, VAR149, VAR4, VAR12, VAR1, VAR125, VAR26, VAR16, VAR124, VAR90, VAR85, VAR2, VAR138, VAR253, VAR274, VAR294, VAR168, VAR273, VAR287, VAR66, VAR272, VAR166, VAR167, VAR215, VAR295, VAR195, VAR43, VAR300, VAR277, VAR276, VAR69, VAR192, VAR265; VAR217 VAR226 ( .VAR107(VAR124), .VAR218(VAR1), .VAR100(VAR125), .VAR185(VAR138) ); VAR113 VAR250 ( .VAR107(VAR263), .VAR218(VAR230), .VAR100(VAR194), .VAR185(VAR186) ); VAR217 VAR142 ( .VAR107(VAR246), .VAR218(VAR8), .VAR100(VAR299), .VAR185(VAR239) ); VAR113 VAR28 ( .VAR107(VAR268), .VAR218(VAR181), .VAR100(VAR51), .VAR185(VAR139) ); VAR113 VAR33 ( .VAR107(VAR69), .VAR218(VAR43), .VAR100(VAR300), .VAR185(VAR169) ); VAR204 VAR293 ( .VAR107(VAR205), .VAR218(VAR158), .VAR100(VAR29), .VAR185(VAR165[20]) ); VAR160 VAR229 ( .VAR135(VAR188), .VAR252(VAR19), .VAR185(VAR165[12]) ); VAR160 VAR182 ( .VAR135(VAR12), .VAR252(VAR4), .VAR185(VAR165[13]) ); VAR160 VAR255 ( .VAR135(VAR284), .VAR252(VAR14), .VAR185(VAR165[11]) ); VAR160 VAR154 ( .VAR135(VAR273), .VAR252(VAR168), .VAR185(VAR165[17]) ); VAR160 VAR281 ( .VAR135(VAR69), .VAR252(VAR276), .VAR185(VAR165[6]) ); VAR187 VAR13 ( .VAR135(VAR240), .VAR252(VAR102), .VAR185(VAR165[8]) ); VAR187 VAR302 ( .VAR135(VAR10), .VAR252(VAR291), .VAR185(VAR165[5]) ); VAR187 VAR71 ( .VAR135(VAR124), .VAR252(VAR16), .VAR185(VAR165[15]) ); VAR204 VAR244 ( .VAR107(VAR240), .VAR218(VAR221), .VAR100(VAR214), .VAR185(VAR241) ); VAR187 VAR162 ( .VAR135(VAR268), .VAR252(VAR84), .VAR185(VAR165[2]) ); VAR91 VAR305 ( .VAR135(VAR257), .VAR252(VAR122), .VAR185(VAR30) ); VAR187 VAR23 ( .VAR135(VAR195), .VAR252(VAR192), .VAR185(VAR165[1]) ); VAR204 VAR207 ( .VAR107(VAR141), .VAR218(VAR300), .VAR100(VAR256), .VAR185(VAR296) ); VAR210 VAR35 ( .VAR135(VAR70[18]), .VAR252(VAR269[18]), .VAR185(VAR66) ); VAR210 VAR152 ( .VAR135(VAR70[14]), .VAR252(VAR269[14]), .VAR185(VAR297) ); VAR210 VAR261 ( .VAR135(VAR70[16]), .VAR252(VAR269[16]), .VAR185(VAR85) ); VAR199 VAR153 ( .VAR135(VAR70[8]), .VAR252(VAR269[8]), .VAR185(VAR214) ); VAR193 VAR72 ( .VAR107(VAR239), .VAR218(VAR30), .VAR100(VAR128), .VAR185(VAR124) ); VAR120 VAR104 ( .VAR107(VAR273), .VAR218(VAR253), .VAR100(VAR274), .VAR185(VAR166) ); VAR193 VAR143 ( .VAR107(VAR138), .VAR218(VAR85), .VAR100(VAR6), .VAR185(VAR273) ); VAR204 VAR173 ( .VAR107(VAR245), .VAR218(VAR122), .VAR100(VAR212), .VAR185(VAR128) ); VAR91 VAR189 ( .VAR135(VAR70[4]), .VAR252(VAR269[4]), .VAR185(VAR289) ); VAR234 VAR180 ( .VAR135(VAR70[11]), .VAR252(VAR269[11]), .VAR185(VAR263) ); VAR53 VAR62 ( .VAR135(VAR146), .VAR185(VAR254) ); VAR53 VAR36 ( .VAR135(VAR151), .VAR185(VAR106) ); VAR53 VAR79 ( .VAR135(VAR80), .VAR185(VAR39) ); VAR53 VAR115 ( .VAR135(VAR58), .VAR185(VAR55) ); VAR53 VAR238 ( .VAR135(VAR57), .VAR185(VAR45) ); VAR53 VAR133 ( .VAR135(VAR110), .VAR185(VAR288) ); VAR53 VAR145 ( .VAR135(VAR90), .VAR185(VAR6) ); VAR228 VAR137 ( .VAR107(VAR166), .VAR218(VAR66), .VAR100(VAR126), .VAR185(VAR205) ); VAR53 VAR150 ( .VAR135(VAR287), .VAR185(VAR126) ); VAR53 VAR81 ( .VAR135(VAR7), .VAR185(VAR50) ); VAR53 VAR40 ( .VAR135(VAR181), .VAR185(VAR144) ); VAR132 VAR175 ( .VAR135(VAR68), .VAR252(VAR86), .VAR185(VAR283) ); VAR53 VAR236 ( .VAR135(VAR83), .VAR185(VAR68) ); VAR132 VAR56 ( .VAR135(VAR267), .VAR252(VAR7), .VAR185(VAR111) ); VAR53 VAR249 ( .VAR135(VAR43), .VAR185(VAR277) ); VAR53 VAR147 ( .VAR135(VAR221), .VAR185(VAR119) ); VAR132 VAR105 ( .VAR135(VAR24), .VAR252(VAR157), .VAR185(VAR42) ); VAR53 VAR116 ( .VAR135(VAR263), .VAR185(VAR9) ); VAR53 VAR94 ( .VAR135(VAR54), .VAR185(VAR149) ); VAR53 VAR32 ( .VAR135(VAR64), .VAR185(VAR170) ); VAR53 VAR266 ( .VAR135(VAR1), .VAR185(VAR26) ); VAR53 VAR191 ( .VAR135(VAR253), .VAR185(VAR294) ); VAR160 VAR174 ( .VAR135(VAR205), .VAR252(VAR233), .VAR185(VAR165[19]) ); VAR53 VAR5 ( .VAR135(VAR271), .VAR185(VAR46) ); VAR204 VAR275 ( .VAR107(VAR271), .VAR218(VAR7), .VAR100(VAR286), .VAR185(VAR129) ); VAR234 VAR3 ( .VAR135(VAR70[5]), .VAR252(VAR269[5]), .VAR185(VAR271) ); VAR53 VAR73 ( .VAR135(VAR158), .VAR185(VAR11) ); VAR91 VAR159 ( .VAR135(VAR70[19]), .VAR252(VAR269[19]), .VAR185(VAR158) ); VAR204 VAR178 ( .VAR107(VAR54), .VAR218(VAR57), .VAR100(VAR22), .VAR185(VAR110) ); VAR234 VAR136 ( .VAR135(VAR70[13]), .VAR252(VAR269[13]), .VAR185(VAR54) ); VAR53 VAR127 ( .VAR135(VAR198), .VAR185(VAR95) ); VAR204 VAR21 ( .VAR107(VAR101), .VAR218(VAR214), .VAR100(VAR157), .VAR185(VAR80) ); VAR53 VAR96 ( .VAR135(VAR167), .VAR185(VAR295) ); VAR53 VAR264 ( .VAR135(VAR230), .VAR185(VAR114) ); VAR53 VAR227 ( .VAR135(VAR118), .VAR185(VAR268) ); VAR132 VAR179 ( .VAR135(VAR103), .VAR252(VAR256), .VAR185(VAR17) ); VAR91 VAR203 ( .VAR135(VAR70[1]), .VAR252(VAR269[1]), .VAR185(VAR167) ); VAR132 VAR177 ( .VAR135(VAR70[0]), .VAR252(VAR269[0]), .VAR185(VAR192) ); VAR132 VAR97 ( .VAR135(VAR70[1]), .VAR252(VAR269[1]), .VAR185(VAR215) ); VAR204 VAR41 ( .VAR107(VAR167), .VAR218(VAR192), .VAR100(VAR215), .VAR185(VAR118) ); VAR234 VAR108 ( .VAR135(VAR70[2]), .VAR252(VAR269[2]), .VAR185(VAR181) ); VAR234 VAR232 ( .VAR135(VAR70[3]), .VAR252(VAR269[3]), .VAR185(VAR83) ); VAR91 VAR208 ( .VAR135(VAR181), .VAR252(VAR83), .VAR185(VAR270) ); VAR132 VAR258 ( .VAR135(VAR70[2]), .VAR252(VAR269[2]), .VAR185(VAR51) ); VAR132 VAR59 ( .VAR135(VAR70[3]), .VAR252(VAR269[3]), .VAR185(VAR86) ); VAR204 VAR38 ( .VAR107(VAR83), .VAR218(VAR51), .VAR100(VAR86), .VAR185(VAR123) ); VAR34 VAR87 ( .VAR107(VAR118), .VAR218(VAR270), .VAR100(VAR123), .VAR185(VAR246) ); VAR91 VAR222 ( .VAR135(VAR289), .VAR252(VAR271), .VAR185(VAR156) ); VAR234 VAR148 ( .VAR135(VAR70[6]), .VAR252(VAR269[6]), .VAR185(VAR43) ); VAR234 VAR290 ( .VAR135(VAR70[7]), .VAR252(VAR269[7]), .VAR185(VAR141) ); VAR91 VAR15 ( .VAR135(VAR43), .VAR252(VAR141), .VAR185(VAR247) ); VAR132 VAR260 ( .VAR135(VAR156), .VAR252(VAR247), .VAR185(VAR8) ); VAR132 VAR303 ( .VAR135(VAR70[4]), .VAR252(VAR269[4]), .VAR185(VAR7) ); VAR132 VAR20 ( .VAR135(VAR70[5]), .VAR252(VAR269[5]), .VAR185(VAR286) ); VAR132 VAR200 ( .VAR135(VAR70[6]), .VAR252(VAR269[6]), .VAR185(VAR300) ); VAR132 VAR190 ( .VAR135(VAR70[7]), .VAR252(VAR269[7]), .VAR185(VAR256) ); VAR228 VAR117 ( .VAR107(VAR129), .VAR218(VAR247), .VAR100(VAR296), .VAR185(VAR299) ); VAR234 VAR279 ( .VAR135(VAR70[8]), .VAR252(VAR269[8]), .VAR185(VAR221) ); VAR234 VAR76 ( .VAR135(VAR70[9]), .VAR252(VAR269[9]), .VAR185(VAR101) ); VAR91 VAR88 ( .VAR135(VAR221), .VAR252(VAR101), .VAR185(VAR151) ); VAR91 VAR48 ( .VAR135(VAR70[10]), .VAR252(VAR269[10]), .VAR185(VAR146) ); VAR91 VAR183 ( .VAR135(VAR146), .VAR252(VAR263), .VAR185(VAR278) ); VAR132 VAR285 ( .VAR135(VAR151), .VAR252(VAR278), .VAR185(VAR257) ); VAR91 VAR98 ( .VAR135(VAR70[12]), .VAR252(VAR269[12]), .VAR185(VAR58) ); VAR91 VAR206 ( .VAR135(VAR58), .VAR252(VAR54), .VAR185(VAR64) ); VAR132 VAR220 ( .VAR135(VAR64), .VAR252(VAR297), .VAR185(VAR122) ); VAR132 VAR77 ( .VAR135(VAR70[9]), .VAR252(VAR269[9]), .VAR185(VAR157) ); VAR132 VAR74 ( .VAR135(VAR70[10]), .VAR252(VAR269[10]), .VAR185(VAR230) ); VAR132 VAR201 ( .VAR135(VAR70[11]), .VAR252(VAR269[11]), .VAR185(VAR194) ); VAR228 VAR202 ( .VAR107(VAR80), .VAR218(VAR278), .VAR100(VAR186), .VAR185(VAR245) ); VAR132 VAR262 ( .VAR135(VAR70[12]), .VAR252(VAR269[12]), .VAR185(VAR57) ); VAR132 VAR89 ( .VAR135(VAR70[13]), .VAR252(VAR269[13]), .VAR185(VAR22) ); VAR132 VAR235 ( .VAR135(VAR70[14]), .VAR252(VAR269[14]), .VAR185(VAR198) ); VAR228 VAR304 ( .VAR107(VAR110), .VAR218(VAR297), .VAR100(VAR95), .VAR185(VAR212) ); VAR91 VAR112 ( .VAR135(VAR70[15]), .VAR252(VAR269[15]), .VAR185(VAR1) ); VAR132 VAR155 ( .VAR135(VAR70[15]), .VAR252(VAR269[15]), .VAR185(VAR125) ); VAR132 VAR292 ( .VAR135(VAR70[16]), .VAR252(VAR269[16]), .VAR185(VAR90) ); VAR91 VAR18 ( .VAR135(VAR70[17]), .VAR252(VAR269[17]), .VAR185(VAR253) ); VAR132 VAR209 ( .VAR135(VAR70[17]), .VAR252(VAR269[17]), .VAR185(VAR274) ); VAR132 VAR301 ( .VAR135(VAR70[18]), .VAR252(VAR269[18]), .VAR185(VAR287) ); VAR132 VAR224 ( .VAR135(VAR70[19]), .VAR252(VAR269[19]), .VAR185(VAR29) ); VAR132 VAR31 ( .VAR135(VAR11), .VAR252(VAR29), .VAR185(VAR233) ); VAR53 VAR171 ( .VAR135(VAR239), .VAR185(VAR240) ); VAR204 VAR60 ( .VAR107(VAR240), .VAR218(VAR257), .VAR100(VAR245), .VAR185(VAR47) ); VAR53 VAR216 ( .VAR135(VAR47), .VAR185(VAR188) ); VAR132 VAR197 ( .VAR135(VAR55), .VAR252(VAR57), .VAR185(VAR19) ); VAR109 VAR164 ( .VAR135(VAR139), .VAR252(VAR283), .VAR185(VAR165[3]) ); VAR132 VAR243 ( .VAR135(VAR144), .VAR252(VAR51), .VAR185(VAR84) ); VAR53 VAR251 ( .VAR135(VAR246), .VAR185(VAR219) ); VAR53 VAR184 ( .VAR135(VAR289), .VAR185(VAR267) ); VAR109 VAR67 ( .VAR135(VAR219), .VAR252(VAR111), .VAR185(VAR165[4]) ); VAR228 VAR259 ( .VAR107(VAR219), .VAR218(VAR267), .VAR100(VAR50), .VAR185(VAR10) ); VAR132 VAR61 ( .VAR135(VAR46), .VAR252(VAR286), .VAR185(VAR291) ); VAR228 VAR223 ( .VAR107(VAR219), .VAR218(VAR156), .VAR100(VAR129), .VAR185(VAR69) ); VAR53 VAR163 ( .VAR135(VAR141), .VAR185(VAR103) ); VAR109 VAR131 ( .VAR135(VAR169), .VAR252(VAR17), .VAR185(VAR165[7]) ); VAR132 VAR121 ( .VAR135(VAR119), .VAR252(VAR214), .VAR185(VAR102) ); VAR53 VAR282 ( .VAR135(VAR101), .VAR185(VAR24) ); VAR109 VAR280 ( .VAR135(VAR241), .VAR252(VAR42), .VAR185(VAR165[9]) ); VAR204 VAR172 ( .VAR107(VAR240), .VAR218(VAR106), .VAR100(VAR39), .VAR185(VAR92) ); VAR132 VAR176 ( .VAR135(VAR254), .VAR252(VAR230), .VAR185(VAR237) ); VAR109 VAR213 ( .VAR135(VAR92), .VAR252(VAR237), .VAR185(VAR165[10]) ); VAR228 VAR298 ( .VAR107(VAR92), .VAR218(VAR254), .VAR100(VAR114), .VAR185(VAR284) ); VAR132 VAR52 ( .VAR135(VAR9), .VAR252(VAR194), .VAR185(VAR14) ); VAR204 VAR134 ( .VAR107(VAR188), .VAR218(VAR170), .VAR100(VAR288), .VAR185(VAR99) ); VAR132 VAR65 ( .VAR135(VAR297), .VAR252(VAR198), .VAR185(VAR44) ); VAR109 VAR130 ( .VAR135(VAR99), .VAR252(VAR44), .VAR185(VAR165[14]) ); VAR228 VAR248 ( .VAR107(VAR47), .VAR218(VAR55), .VAR100(VAR45), .VAR185(VAR12) ); VAR132 VAR78 ( .VAR135(VAR149), .VAR252(VAR22), .VAR185(VAR4) ); VAR132 VAR49 ( .VAR135(VAR26), .VAR252(VAR125), .VAR185(VAR16) ); VAR132 VAR225 ( .VAR135(VAR85), .VAR252(VAR90), .VAR185(VAR2) ); VAR109 VAR75 ( .VAR135(VAR138), .VAR252(VAR2), .VAR185(VAR165[16]) ); VAR132 VAR231 ( .VAR135(VAR294), .VAR252(VAR274), .VAR185(VAR168) ); VAR132 VAR27 ( .VAR135(VAR66), .VAR252(VAR287), .VAR185(VAR272) ); VAR109 VAR25 ( .VAR135(VAR166), .VAR252(VAR272), .VAR185(VAR165[18]) ); VAR132 VAR196 ( .VAR135(VAR295), .VAR252(VAR215), .VAR185(VAR195) ); VAR132 VAR37 ( .VAR135(VAR277), .VAR252(VAR300), .VAR185(VAR276) ); VAR93 VAR211 ( .VAR135(VAR70[0]), .VAR252(VAR269[0]), .VAR185(VAR265) ); VAR140 VAR82 ( .VAR135(VAR265), .VAR252(VAR192), .VAR185(VAR165[0]) ); VAR63 ("VAR161.VAR242"); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand4bb/sky130_fd_sc_ls__nand4bb_4.v
2,334
module MODULE2 ( VAR2 , VAR7 , VAR6 , VAR8 , VAR11 , VAR5, VAR9, VAR3 , VAR1 ); output VAR2 ; input VAR7 ; input VAR6 ; input VAR8 ; input VAR11 ; input VAR5; input VAR9; input VAR3 ; input VAR1 ; VAR4 VAR10 ( .VAR2(VAR2), .VAR7(VAR7), .VAR6(VAR6), .VAR8(VAR8), .VAR11(VAR11), .VAR5(VAR5), .VAR9(VAR9), .VAR3(VAR3), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR2 , VAR7, VAR6, VAR8 , VAR11 ); output VAR2 ; input VAR7; input VAR6; input VAR8 ; input VAR11 ; supply1 VAR5; supply0 VAR9; supply1 VAR3 ; supply0 VAR1 ; VAR4 VAR10 ( .VAR2(VAR2), .VAR7(VAR7), .VAR6(VAR6), .VAR8(VAR8), .VAR11(VAR11) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/clkdlyinv5sd1/sky130_fd_sc_ms__clkdlyinv5sd1.behavioral.pp.v
1,867
module MODULE1 ( VAR10 , VAR12 , VAR1, VAR9, VAR11 , VAR8 ); output VAR10 ; input VAR12 ; input VAR1; input VAR9; input VAR11 ; input VAR8 ; wire VAR5 ; wire VAR3; not VAR6 (VAR5 , VAR12 ); VAR7 VAR4 (VAR3, VAR5, VAR1, VAR9); buf VAR2 (VAR10 , VAR3 ); endmodule
apache-2.0
hoglet67/CoPro6502
src/ICAP_config.v
2,540
module MODULE1 ( input VAR1, input [3:0] VAR15, output [3:0] VAR4, input [2:0] VAR8, input VAR21, inout [7:0] VAR7, input VAR14, input VAR3, input VAR16 ); reg VAR19 = 1'b0; reg VAR6 = 1'b0; reg VAR12 = 1'b0; reg [4:0] VAR5; wire [3:0] VAR2; wire VAR13; reg VAR17 = 1'b1; reg VAR11; reg [2:0] VAR10; reg VAR18; VAR9 VAR20 ( .VAR1(VAR1), .VAR5(VAR5), .VAR12(VAR12), .VAR22(1'b0), .VAR15(VAR15), .VAR4(VAR4), .VAR2(VAR2), .VAR13(VAR13), ); always @(posedge VAR1) begin if (!VAR17 || !VAR16) begin VAR12 <= VAR19 || VAR6; end end always @(posedge VAR14) begin VAR11 <= VAR21; VAR10 <= VAR8; VAR18 <= VAR3; end always @(negedge VAR14) begin if (!VAR11 && !VAR18 && VAR10 == 3'b000) begin if (VAR7[6] && VAR7[7]) begin VAR17 <= 1'b1; end else if (VAR7[5]) begin VAR17 <= !VAR7[7]; end end if (!VAR11 && !VAR18 && VAR10 == 3'b110) begin VAR5 <= VAR7[4:0]; VAR19 <= 1'b1; end if (VAR13) begin if (VAR15 != VAR2) begin VAR5 <= 5'b10000; VAR6 <= 1'b1; end else begin VAR6 <= 1'b0; end end end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfxtp/sky130_fd_sc_lp__sdfxtp_4.v
2,345
module MODULE2 ( VAR7 , VAR6 , VAR9 , VAR10 , VAR8 , VAR11, VAR4, VAR1 , VAR3 ); output VAR7 ; input VAR6 ; input VAR9 ; input VAR10 ; input VAR8 ; input VAR11; input VAR4; input VAR1 ; input VAR3 ; VAR5 VAR2 ( .VAR7(VAR7), .VAR6(VAR6), .VAR9(VAR9), .VAR10(VAR10), .VAR8(VAR8), .VAR11(VAR11), .VAR4(VAR4), .VAR1(VAR1), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR7 , VAR6, VAR9 , VAR10, VAR8 ); output VAR7 ; input VAR6; input VAR9 ; input VAR10; input VAR8; supply1 VAR11; supply0 VAR4; supply1 VAR1 ; supply0 VAR3 ; VAR5 VAR2 ( .VAR7(VAR7), .VAR6(VAR6), .VAR9(VAR9), .VAR10(VAR10), .VAR8(VAR8) ); endmodule
apache-2.0
MartinMosbeck/NoCMonitor
buildCONNECT4x4/RegFile_1port.v
3,072
module MODULE1(VAR2, VAR5, VAR4, VAR9, VAR7, VAR3, VAR11 ); parameter VAR6 = 1; parameter VAR8 = 1; parameter VAR10 = 1<<VAR8; input VAR2; input VAR5; input [VAR8 - 1 : 0] VAR4; input [VAR6 - 1 : 0] VAR9; input VAR7; input [VAR8 - 1 : 0] VAR3; output [VAR6 - 1 : 0] VAR11; reg [VAR6 - 1 : 0] VAR12[0 : VAR10-1]; always@(posedge VAR2) begin if (VAR7) VAR12[VAR4] <= VAR1 VAR9; end assign VAR11 = VAR12[VAR3 ]; endmodule
gpl-2.0
alexforencich/verilog-ethernet
rtl/axis_xgmii_rx_32.v
11,277
module MODULE1 # ( parameter VAR9 = 32, parameter VAR15 = (VAR9/8), parameter VAR7 = (VAR9/8), parameter VAR5 = 0, parameter VAR17 = 96, parameter VAR13 = (VAR5 ? VAR17 : 0) + 1 ) ( input wire clk, input wire rst, input wire [VAR9-1:0] VAR1, input wire [VAR7-1:0] VAR3, output wire [VAR9-1:0] VAR14, output wire [VAR15-1:0] VAR6, output wire VAR16, output wire VAR10, output wire [VAR13-1:0] VAR12, input wire [VAR17-1:0] VAR2, output wire VAR4, output wire VAR11, output wire VAR8 );
mit
Jawanga/ece385lab8
lab8_usb/usb_system/synthesis/submodules/usb_system_keycode.v
2,256
module MODULE1 ( address, VAR5, clk, VAR3, VAR2, VAR7, VAR4, VAR9 ) ; output [ 7: 0] VAR4; output [ 31: 0] VAR9; input [ 1: 0] address; input VAR5; input clk; input VAR3; input VAR2; input [ 31: 0] VAR7; wire VAR1; reg [ 7: 0] VAR8; wire [ 7: 0] VAR4; wire [ 7: 0] VAR6; wire [ 31: 0] VAR9; assign VAR1 = 1; assign VAR6 = {8 {(address == 0)}} & VAR8; always @(posedge clk or negedge VAR3) begin if (VAR3 == 0) VAR8 <= 0; end else if (VAR5 && ~VAR2 && (address == 0)) VAR8 <= VAR7[7 : 0]; end assign VAR9 = {32'b0 | VAR6}; assign VAR4 = VAR8; endmodule
apache-2.0
peteasa/parallella-fpga
AdiHDLLib/library/common/ad_lvds_clk.v
3,291
module MODULE1 ( VAR13, VAR3, clk); parameter VAR10 = 0; localparam VAR2 = 0; localparam VAR8 = 1; input VAR13; input VAR3; output clk; wire VAR18; VAR1 VAR12 ( .VAR11 (VAR13), .VAR17 (VAR3), .VAR9 (VAR18)); generate if (VAR10 == VAR8) begin VAR16 #(.VAR4("VAR15")) VAR5 ( .VAR19 (1'b0), .VAR14 (1'b1), .VAR11 (VAR18), .VAR9 (clk)); end else begin VAR7 VAR6 ( .VAR11 (VAR18), .VAR9 (clk)); end endgenerate endmodule
lgpl-3.0
toomij/DE2Labs
Lab2/lab2_part4.v
3,065
module MODULE7 (VAR29, VAR26, VAR21, VAR24, VAR2); input [17:0] VAR29; output [8:0] VAR21, VAR26; output [0:6] VAR24, VAR2; assign VAR21[8:0] = VAR29[8:0]; wire VAR35, VAR23; MODULE5 VAR15 (VAR29[3:0], VAR35); MODULE5 VAR20 (VAR29[7:4], VAR23); assign VAR26[8] = VAR35 | VAR23; wire MODULE11, MODULE4, VAR7; wire [4:0] VAR32; MODULE8 VAR16 (VAR29[0], VAR29[4], VAR29[8], VAR32[0], MODULE11); MODULE8 VAR25 (VAR29[1], VAR29[5], MODULE11, VAR32[1], MODULE4); MODULE8 VAR13 (VAR29[2], VAR29[6], MODULE4, VAR32[2], VAR7); MODULE8 VAR31 (VAR29[3], VAR29[7], VAR7, VAR32[3], VAR32[4]); assign VAR26[4:0] = VAR32[4:0]; wire VAR19; wire [3:0] VAR17, VAR18; MODULE3 VAR4 (VAR32[4:0], VAR19); MODULE6 VAR12 (VAR32[3:0], VAR17); MODULE2 VAR1 (VAR19, VAR32[3:0], VAR17, VAR18); MODULE4 VAR9 (VAR19, VAR24); MODULE1 VAR5 (VAR18, VAR2); endmodule module MODULE8 (VAR27, VAR28, VAR22, VAR34, VAR6); input VAR27, VAR28, VAR22; output VAR6, VAR34; wire VAR33; assign VAR33 = VAR27 ^ VAR28; assign VAR34 = VAR33 ^ VAR22; assign VAR6 = (VAR28 & ~VAR33) | (VAR33 & VAR22); endmodule module MODULE1 (VAR3, VAR8); input [3:0] VAR3; output [0:6] VAR8; assign VAR8[0] = ((~VAR3[3] & ~VAR3[2] & ~VAR3[1] & VAR3[0]) | (~VAR3[3] & VAR3[2] & ~VAR3[1] & ~VAR3[0])); assign VAR8[1] = ((~VAR3[3] & VAR3[2] & ~VAR3[1] & VAR3[0]) | (~VAR3[3] & VAR3[2] & VAR3[1] & ~VAR3[0])); assign VAR8[2] = (~VAR3[3] & ~VAR3[2] & VAR3[1] & ~VAR3[0]); assign VAR8[3] = ((~VAR3[3] & ~VAR3[2] & ~VAR3[1] & VAR3[0]) | (~VAR3[3] & VAR3[2] & ~VAR3[1] & ~VAR3[0]) | (~VAR3[3] & VAR3[2] & VAR3[1] & VAR3[0]) | (VAR3[3] & ~VAR3[2] & ~VAR3[1] & VAR3[0])); assign VAR8[4] = ~((~VAR3[2] & ~VAR3[0]) | (VAR3[1] & ~VAR3[0])); assign VAR8[5] = ((~VAR3[3] & ~VAR3[2] & ~VAR3[1] & VAR3[0]) | (~VAR3[3] & ~VAR3[2] & VAR3[1] & ~VAR3[0]) | (~VAR3[3] & ~VAR3[2] & VAR3[1] & VAR3[0]) | (~VAR3[3] & VAR3[2] & VAR3[1] & VAR3[0])); assign VAR8[6] = ((~VAR3[3] & ~VAR3[2] & ~VAR3[1] & VAR3[0]) | (~VAR3[3] & ~VAR3[2] & ~VAR3[1] & ~VAR3[0]) | (~VAR3[3] & VAR3[2] & VAR3[1] & VAR3[0])); endmodule module MODULE5 (VAR11, VAR19); input [3:0] VAR11; output VAR19; assign VAR19 = (VAR11[3] & (VAR11[2] | VAR11[1])); endmodule module MODULE3 (VAR11, VAR19); input [4:0] VAR11; output VAR19; assign VAR19 = VAR11[4] | ((VAR11[3] & VAR11[2]) | (VAR11[3] & VAR11[1])); endmodule module MODULE6 (VAR11, VAR17); input [3:0] VAR11; output [3:0] VAR17; assign VAR17[0] = VAR11[0]; assign VAR17[1] = ~VAR11[1]; assign VAR17[2] = (~VAR11[3] & ~VAR11[1]) | (VAR11[2] & VAR11[1]); assign VAR17[3] = (~VAR11[3] & VAR11[1]); endmodule module MODULE4 (VAR19, VAR8); input VAR19; output [0:6] VAR8; assign VAR8[0] = VAR19; assign VAR8[1:2] = 2'b00; assign VAR8[3:5] = {3{VAR19}}; assign VAR8[6] = 1; endmodule module MODULE2 (VAR34, VAR14, VAR11, VAR18); input VAR34; input [3:0] VAR14, VAR11; output [3:0] VAR18; assign VAR18 = ({4{~VAR34}} & VAR14) | ({4{VAR34}} & VAR11); endmodule
gpl-2.0
dawsonjon/fpu
double_multiplier/double_multiplier.v
6,518
module MODULE1( VAR11, VAR20, VAR15, VAR27, VAR28, clk, rst, VAR5, VAR14, VAR6, VAR30); input clk; input rst; input [63:0] VAR11; input VAR15; output VAR6; input [63:0] VAR20; input VAR27; output VAR30; output [63:0] VAR5; output VAR14; input VAR28; reg VAR32; reg [63:0] VAR3; reg VAR17; reg VAR37; reg [3:0] state; parameter VAR4 = 4'd0, VAR29 = 4'd1, VAR10 = 4'd2, VAR34 = 4'd3, VAR35 = 4'd4, VAR7 = 4'd5, VAR13 = 4'd6, VAR2 = 4'd7, VAR22 = 4'd8, VAR31 = 4'd9, VAR36 = 4'd10, VAR21 = 4'd11, VAR42 = 4'd12; reg [63:0] VAR8, VAR23, VAR25; reg [52:0] VAR19, VAR40, VAR33; reg [12:0] VAR18, VAR16, VAR41; reg VAR39, VAR1, VAR24; reg VAR26, VAR12, VAR38; reg [105:0] VAR9; always @(posedge clk) begin case(state) VAR4: begin VAR17 <= 1; if (VAR17 && VAR15) begin VAR8 <= VAR11; VAR17 <= 0; state <= VAR29; end end VAR29: begin VAR37 <= 1; if (VAR37 && VAR27) begin VAR23 <= VAR20; VAR37 <= 0; state <= VAR10; end end VAR10: begin VAR19 <= VAR8[51 : 0]; VAR40 <= VAR23[51 : 0]; VAR18 <= VAR8[62 : 52] - 1023; VAR16 <= VAR23[62 : 52] - 1023; VAR39 <= VAR8[63]; VAR1 <= VAR23[63]; state <= VAR34; end VAR34: begin if ((VAR18 == 1024 && VAR19 != 0) || (VAR16 == 1024 && VAR40 != 0)) begin VAR25[63] <= 1; VAR25[62:52] <= 2047; VAR25[51] <= 1; VAR25[50:0] <= 0; state <= VAR42; end else if (VAR18 == 1024) begin VAR25[63] <= VAR39 ^ VAR1; VAR25[62:52] <= 2047; VAR25[51:0] <= 0; state <= VAR42; if (((VAR16) == -1023) && (VAR40 == 0)) begin VAR25[63] <= 1; VAR25[62:52] <= 2047; VAR25[51] <= 1; VAR25[50:0] <= 0; state <= VAR42; end end else if (VAR16 == 1024) begin VAR25[63] <= VAR39 ^ VAR1; VAR25[62:52] <= 2047; VAR25[51:0] <= 0; if (((VAR18) == -1023) && (VAR19 == 0)) begin VAR25[63] <= 1; VAR25[62:52] <= 2047; VAR25[51] <= 1; VAR25[50:0] <= 0; state <= VAR42; end state <= VAR42; end else if (((VAR18) == -1023) && (VAR19 == 0)) begin VAR25[63] <= VAR39 ^ VAR1; VAR25[62:52] <= 0; VAR25[51:0] <= 0; state <= VAR42; end else if (((VAR16) == -1023) && (VAR40 == 0)) begin VAR25[63] <= VAR39 ^ VAR1; VAR25[62:52] <= 0; VAR25[51:0] <= 0; state <= VAR42; end else begin if ((VAR18) == -1023) begin VAR18 <= -1022; end else begin VAR19[52] <= 1; end if ((VAR16) == -1023) begin VAR16 <= -1022; end else begin VAR40[52] <= 1; end state <= VAR35; end end VAR35: begin if (VAR19[52]) begin state <= VAR7; end else begin VAR19 <= VAR19 << 1; VAR18 <= VAR18 - 1; end end VAR7: begin if (VAR40[52]) begin state <= VAR13; end else begin VAR40 <= VAR40 << 1; VAR16 <= VAR16 - 1; end end VAR13: begin VAR24 <= VAR39 ^ VAR1; VAR41 <= VAR18 + VAR16 + 1; VAR9 <= VAR19 * VAR40; state <= VAR2; end VAR2: begin VAR33 <= VAR9[105:53]; VAR26 <= VAR9[52]; VAR12 <= VAR9[51]; VAR38 <= (VAR9[50:0] != 0); state <= VAR22; end VAR22: begin if (VAR33[52] == 0) begin VAR41 <= VAR41 - 1; VAR33 <= VAR33 << 1; VAR33[0] <= VAR26; VAR26 <= VAR12; VAR12 <= 0; end else begin state <= VAR31; end end VAR31: begin if ((VAR41) < -1022) begin VAR41 <= VAR41 + 1; VAR33 <= VAR33 >> 1; VAR26 <= VAR33[0]; VAR12 <= VAR26; VAR38 <= VAR38 | VAR12; end else begin state <= VAR36; end end VAR36: begin if (VAR26 && (VAR12 | VAR38 | VAR33[0])) begin VAR33 <= VAR33 + 1; if (VAR33 == 53'h1fffffffffffff) begin VAR41 <=VAR41 + 1; end end state <= VAR21; end VAR21: begin VAR25[51 : 0] <= VAR33[51:0]; VAR25[62 : 52] <= VAR41[11:0] + 1023; VAR25[63] <= VAR24; if ((VAR41) == -1022 && VAR33[52] == 0) begin VAR25[62 : 52] <= 0; end if ((VAR41) > 1023) begin VAR25[51 : 0] <= 0; VAR25[62 : 52] <= 2047; VAR25[63] <= VAR24; end state <= VAR42; end VAR42: begin VAR32 <= 1; VAR3 <= VAR25; if (VAR32 && VAR28) begin VAR32 <= 0; state <= VAR4; end end endcase if (rst == 1) begin state <= VAR4; VAR17 <= 0; VAR37 <= 0; VAR32 <= 0; end end assign VAR6 = VAR17; assign VAR30 = VAR37; assign VAR14 = VAR32; assign VAR5 = VAR3; endmodule
mit
mballance/oc_wb_ip
rtl/wb_dma/rtl/verilog/wb_dma_wb_mast.v
6,474
module MODULE1(clk, rst, VAR10, VAR13, VAR15, VAR16, VAR2, VAR1, VAR6, VAR3, VAR8, VAR22, VAR27, VAR11, VAR9, VAR21, VAR14, VAR5, VAR17, VAR24, VAR4, VAR25, VAR23, VAR7 ); input clk, rst; input [31:0] VAR10; output [31:0] VAR13; output [31:0] VAR15; output [3:0] VAR16; output VAR2; output VAR1; output VAR6; input VAR3; input VAR8; input VAR22; input VAR27; input VAR11; input [31:0] VAR9; input [3:0] VAR21; input [31:0] VAR14; output [31:0] VAR5; output VAR17; output VAR24; input VAR4; input VAR25; input [70:0] VAR23; output [34:0] VAR7; reg VAR18, VAR26; reg VAR19; reg [3:0] VAR12; reg [31:0] VAR5; wire [31:0] VAR20; assign {VAR13, VAR15, VAR16, VAR2, VAR1, VAR6} = VAR25 ? VAR23 : {VAR20, VAR9, VAR12, VAR19, VAR18, VAR26}; assign VAR20 = (VAR21=='b0001 || VAR21=='b0010 || VAR21=='b0100 || VAR21=='b1000)? {VAR14[7:0],VAR14[7:0],VAR14[7:0],VAR14[7:0]}: (VAR21=='b0011 || VAR21=='b1100)? {VAR14[15:0],VAR14[15:0]}:VAR14; assign VAR7 = {VAR10, VAR3, VAR8, VAR22}; always @(posedge clk) if (VAR3) begin case (VAR21) 'b0001: VAR5 <= VAR10[7:0]; 'b0010: VAR5 <= VAR10[15:8]; 'b0100: VAR5 <= VAR10[23:16]; 'b1000: VAR5 <= VAR10[31:24]; 'b0011: VAR5 <= VAR10[15:0]; 'b1100: VAR5 <= VAR10[31:16]; 'b1111: VAR5 <= VAR10; endcase end always @(posedge clk) VAR12 <= VAR21; always @(posedge clk) VAR19 <= VAR11; always @(posedge clk) VAR18 <= VAR27; always @(posedge clk) VAR26 <= VAR27 & !VAR4; assign VAR24 = VAR3; assign VAR17 = VAR8; endmodule
apache-2.0
Digilent/vivado-library
ip/Pmods/PmodMTDS_v1_0/src/PmodMTDS.v
19,960
module MODULE1 (VAR236, VAR115, VAR168, VAR110, VAR132, VAR162, VAR160, VAR268, VAR77, VAR101, VAR37, VAR71, VAR62, VAR126, VAR220, VAR45, VAR164, VAR131, VAR151, VAR203, VAR70, VAR267, VAR250, VAR146, VAR231, VAR9, VAR181, VAR24, VAR109, VAR193, VAR32, VAR241, VAR36, VAR273, VAR63, VAR144, VAR212, VAR72, VAR26, VAR177, VAR270, VAR74, VAR83, VAR188, VAR92, VAR189, VAR13, VAR55, VAR46, VAR210, VAR252, VAR78, VAR99, VAR266, VAR169, VAR85, VAR123, VAR19, VAR275, VAR114, VAR277, VAR22, VAR17, VAR111, VAR130, VAR82, VAR3, VAR20, VAR49, VAR239, VAR44, VAR124, VAR209, VAR142, VAR262, VAR196, VAR222, VAR127, VAR141, VAR140, VAR187, VAR90, VAR122, VAR166, VAR50, VAR251, VAR240, VAR243, VAR257, VAR190, VAR21); parameter VAR158 = "VAR260"; input [8:0]VAR236; output VAR115; input VAR168; input [8:0]VAR110; output VAR132; input VAR162; input VAR160; output [1:0]VAR268; output VAR77; output [31:0]VAR101; input VAR37; output [1:0]VAR71; output VAR62; input [31:0]VAR126; output VAR220; input [3:0]VAR45; input VAR164; input [6:0]VAR131; output VAR151; input VAR203; input [6:0]VAR70; output VAR267; input VAR250; input VAR146; output [1:0]VAR231; output VAR9; output [31:0]VAR181; input VAR24; output [1:0]VAR109; output VAR193; input [31:0]VAR32; output VAR241; input [3:0]VAR36; input VAR273; input [4:0]VAR63; output VAR144; input VAR212; input [4:0]VAR72; output VAR26; input VAR177; input VAR270; output [1:0]VAR74; output VAR83; output [31:0]VAR188; input VAR92; output [1:0]VAR189; output VAR13; input [31:0]VAR55; output VAR46; input [3:0]VAR210; input VAR252; input VAR78; output VAR99; output VAR266; input VAR169; output VAR85; output VAR123; input VAR19; output VAR275; output VAR114; input VAR277; output VAR22; output VAR17; input VAR111; output VAR130; output VAR82; input VAR3; output VAR20; output VAR49; input VAR239; output VAR44; output VAR124; input VAR209; output VAR142; output VAR262; input VAR196; output VAR222; output VAR127; input VAR141; output VAR140; output VAR187; input VAR90; output VAR122; output VAR166; input VAR50; output VAR251; output VAR240; output VAR243; output VAR257; input VAR190; input VAR21; wire [6:0]VAR66; wire VAR25; wire VAR246; wire [6:0]VAR271; wire VAR149; wire VAR56; wire VAR68; wire [1:0]VAR139; wire VAR76; wire [31:0]VAR255; wire VAR84; wire [1:0]VAR135; wire VAR154; wire [31:0]VAR23; wire VAR51; wire [3:0]VAR199; wire VAR57; wire [8:0]VAR195; wire VAR40; wire VAR258; wire [8:0]VAR94; wire VAR265; wire VAR97; wire VAR108; wire [1:0]VAR93; wire VAR155; wire [31:0]VAR28; wire VAR67; wire [1:0]VAR229; wire VAR256; wire [31:0]VAR48; wire VAR223; wire [3:0]VAR147; wire VAR120; wire [4:0]VAR269; wire VAR35; wire VAR16; wire [4:0]VAR15; wire VAR112; wire VAR39; wire VAR219; wire [1:0]VAR148; wire VAR230; wire [31:0]VAR64; wire VAR152; wire [1:0]VAR278; wire VAR34; wire [31:0]VAR192; wire VAR221; wire [3:0]VAR52; wire VAR178; wire [4:0]VAR113; wire [4:0]VAR253; wire [4:0]VAR171; wire VAR54; wire VAR134; wire VAR208; wire VAR233; wire VAR107; wire VAR87; wire VAR156; wire VAR259; wire VAR137; wire VAR89; wire [0:0]VAR75; wire VAR183; wire VAR121; wire VAR211; wire VAR10; wire VAR30; wire VAR81; wire VAR194; wire VAR95; wire VAR79; wire VAR96; wire VAR150; wire VAR207; wire VAR58; wire VAR201; wire VAR119; wire VAR5; wire VAR86; wire VAR202; wire VAR170; wire VAR42; wire VAR248; wire VAR274; wire VAR226; wire VAR12; wire VAR264; wire VAR227; wire VAR215; wire VAR173; wire VAR41; wire VAR261; assign VAR66 = VAR131[6:0]; assign VAR246 = VAR203; assign VAR271 = VAR70[6:0]; assign VAR56 = VAR250; assign VAR68 = VAR146; assign VAR84 = VAR24; assign VAR23 = VAR32[31:0]; assign VAR199 = VAR36[3:0]; assign VAR57 = VAR273; assign VAR115 = VAR40; assign VAR132 = VAR265; assign VAR268[1:0] = VAR93; assign VAR77 = VAR155; assign VAR101[31:0] = VAR28; assign VAR71[1:0] = VAR229; assign VAR62 = VAR256; assign VAR220 = VAR223; assign VAR151 = VAR25; assign VAR267 = VAR149; assign VAR231[1:0] = VAR139; assign VAR9 = VAR76; assign VAR181[31:0] = VAR255; assign VAR109[1:0] = VAR135; assign VAR193 = VAR154; assign VAR241 = VAR51; assign VAR269 = VAR63[4:0]; assign VAR16 = VAR212; assign VAR15 = VAR72[4:0]; assign VAR39 = VAR177; assign VAR219 = VAR270; assign VAR152 = VAR92; assign VAR192 = VAR55[31:0]; assign VAR52 = VAR210[3:0]; assign VAR178 = VAR252; assign VAR144 = VAR35; assign VAR26 = VAR112; assign VAR74[1:0] = VAR148; assign VAR83 = VAR230; assign VAR188[31:0] = VAR64; assign VAR189[1:0] = VAR278; assign VAR13 = VAR34; assign VAR46 = VAR221; assign VAR195 = VAR236[8:0]; assign VAR258 = VAR168; assign VAR94 = VAR110[8:0]; assign VAR97 = VAR162; assign VAR108 = VAR160; assign VAR67 = VAR37; assign VAR48 = VAR126[31:0]; assign VAR147 = VAR45[3:0]; assign VAR120 = VAR164; assign VAR121 = VAR190; assign VAR243 = VAR215; assign VAR257 = VAR173; assign VAR41 = VAR190; assign VAR261 = VAR21; VAR65 VAR200 (.VAR237(VAR113[1 : 0]), .VAR272(VAR253[1 : 0]), .VAR186(VAR171[1 : 0]), .VAR179(VAR113[4 : 2]), .VAR47(VAR253[4 : 2]), .VAR145(VAR171[4 : 2]), .VAR238(VAR173), .VAR190(VAR41), .VAR1(VAR195), .VAR21(VAR261), .VAR91(VAR40), .VAR59(VAR258), .VAR242(VAR94), .VAR184(VAR265), .VAR244(VAR97), .VAR254(VAR108), .VAR216(VAR93), .VAR100(VAR155), .VAR53(VAR28), .VAR118(VAR67), .VAR33(VAR229), .VAR6(VAR256), .VAR18(VAR48), .VAR116(VAR223), .VAR174(VAR147), .VAR60(VAR120)); VAR133 VAR167 (.VAR73(VAR121), .VAR129(VAR54), .VAR157(VAR134), .VAR205(VAR208), .VAR103(VAR233), .VAR88(VAR107), .VAR43(VAR87), .VAR190(VAR41), .VAR1(VAR66), .VAR21(VAR261), .VAR91(VAR25), .VAR59(VAR246), .VAR242(VAR271), .VAR184(VAR149), .VAR244(VAR56), .VAR254(VAR68), .VAR216(VAR139), .VAR100(VAR76), .VAR53(VAR255), .VAR118(VAR84), .VAR33(VAR135), .VAR6(VAR154), .VAR18(VAR23), .VAR116(VAR51), .VAR174(VAR199), .VAR60(VAR57), .VAR2(VAR156), .VAR165(VAR259), .VAR27(VAR137), .VAR161(0'b1), .VAR128(0'b1), .VAR263(0'b1), .VAR238(VAR215)); generate case(VAR158) "VAR247":begin VAR105 VAR136 (.VAR185(VAR113[4]), .VAR172(VAR253[4]), .VAR197(VAR171[4]), .VAR4(VAR54), .VAR249(VAR134), .VAR102(VAR208), .VAR180(VAR233), .VAR80(VAR107), .VAR217(VAR87), .VAR29(VAR156), .VAR206(VAR259), .VAR11(VAR137), .VAR245(VAR113[3:0]), .VAR235(VAR253[3:0]), .VAR182(VAR171[3:0]), .VAR214(VAR81), .VAR232(VAR194), .VAR191(VAR95), .VAR163(VAR79), .VAR31(VAR96), .VAR125(VAR150), .VAR175(VAR207), .VAR61(VAR58), .VAR117(VAR201), .VAR7(VAR119), .VAR224(VAR5), .VAR153(VAR86), .VAR228(VAR202), .VAR8(VAR170), .VAR176(VAR42), .VAR143(VAR248), .VAR213(VAR274), .VAR106(VAR226), .VAR104(VAR12), .VAR276(VAR264), .VAR38(VAR227), .VAR218(VAR211), .VAR225(VAR10), .VAR198(VAR30)); assign VAR211 = VAR78; assign VAR81 = VAR169; assign VAR79 = VAR19; assign VAR207 = VAR277; assign VAR119 = VAR111; assign VAR202 = VAR3; assign VAR248 = VAR239; assign VAR12 = VAR209; assign VAR99 = VAR10; assign VAR266 = VAR30; assign VAR85 = VAR194; assign VAR123 = VAR95; assign VAR275 = VAR96; assign VAR114 = VAR150; assign VAR22 = VAR58; assign VAR17 = VAR201; assign VAR130 = VAR5; assign VAR82 = VAR86; assign VAR20 = VAR170; assign VAR49 = VAR42; assign VAR44 = VAR274; assign VAR124 = VAR226; assign VAR142 = VAR264; assign VAR262 = VAR227; end "VAR260":begin assign VAR54=VAR141; assign VAR140=VAR134; assign VAR187=VAR208; assign VAR233=VAR90; assign VAR122=VAR107; assign VAR166=VAR87; assign VAR156=VAR50; assign VAR251=VAR259; assign VAR240=VAR137; assign VAR113[4]=VAR196; assign VAR222=VAR253[4]; assign VAR127=VAR171[4]; VAR105 VAR136 (.VAR185(), .VAR172(), .VAR197(), .VAR4(), .VAR249(), .VAR102(), .VAR180(), .VAR80(), .VAR217(), .VAR29(), .VAR206(), .VAR11(), .VAR245(VAR113[3:0]), .VAR235(VAR253[3:0]), .VAR182(VAR171[3:0]), .VAR214(VAR81), .VAR232(VAR194), .VAR191(VAR95), .VAR163(VAR79), .VAR31(VAR96), .VAR125(VAR150), .VAR175(VAR207), .VAR61(VAR58), .VAR117(VAR201), .VAR7(VAR119), .VAR224(VAR5), .VAR153(VAR86), .VAR228(VAR202), .VAR8(VAR170), .VAR176(VAR42), .VAR143(VAR248), .VAR213(VAR274), .VAR106(VAR226), .VAR104(VAR12), .VAR276(VAR264), .VAR38(VAR227), .VAR218(VAR211), .VAR225(VAR10), .VAR198(VAR30)); end endcase endgenerate VAR98 VAR98 (.VAR138(), .VAR69(), .VAR234(), .VAR159(), .VAR204(), .interrupt(), .VAR14(), .VAR190(VAR41), .VAR21(VAR261), .VAR242(VAR15), .VAR244(VAR39), .VAR184(VAR112), .VAR18(VAR192), .VAR174(VAR52), .VAR60(VAR178), .VAR116(VAR221), .VAR216(VAR148), .VAR100(VAR230), .VAR254(VAR219), .VAR1(VAR269), .VAR59(VAR16), .VAR91(VAR35), .VAR53(VAR64), .VAR33(VAR278), .VAR6(VAR34), .VAR118(VAR152)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/fah/sky130_fd_sc_hs__fah.behavioral.v
2,485
module MODULE1 ( VAR22, VAR18 , VAR11 , VAR7 , VAR24 , VAR12, VAR16 ); output VAR22; output VAR18 ; input VAR11 ; input VAR7 ; input VAR24 ; input VAR12; input VAR16; wire VAR23 ; wire VAR2 ; wire VAR21 ; wire VAR15 ; wire VAR13 ; wire VAR14 ; wire VAR10; xor VAR1 (VAR23 , VAR11, VAR7, VAR24 ); VAR5 VAR3 (VAR2 , VAR23, VAR12, VAR16); buf VAR9 (VAR18 , VAR2 ); and VAR4 (VAR21 , VAR11, VAR7 ); and VAR20 (VAR15 , VAR11, VAR24 ); and VAR19 (VAR13 , VAR7, VAR24 ); or VAR6 (VAR14 , VAR21, VAR15, VAR13 ); VAR5 VAR17 (VAR10, VAR14, VAR12, VAR16); buf VAR8 (VAR22 , VAR10 ); endmodule
apache-2.0
karshan/fpga-rgbmatrix
src/rgbmatrix.v
3,318
module MODULE1 ( input clk, input rst, output reg VAR13, output reg VAR1, output reg VAR8, output reg VAR6, output reg VAR18, output reg VAR22, output reg VAR4, output reg VAR15, output reg VAR7, output reg VAR5, output reg VAR23, output reg VAR21, output reg VAR3 ); localparam VAR14 = 0, VAR19 = 1, VAR16 = 2, VAR9 = 3, VAR24 = 4, VAR2 = 5, VAR10 = 6; reg [2:0] state; reg [10:0] VAR12; reg [3:0] delay; reg [3:0] VAR20; reg [1:0] VAR17; reg [4:0] VAR11; always @ (posedge clk or posedge rst) begin if (rst) begin VAR13 <= 0; VAR1 <= 0; VAR8 <= 0; VAR6 <= 0; VAR18 <= 0; VAR22 <= 0; VAR4 <= 0; VAR15 <= 0; VAR7 <= 0; VAR5 <= 0; VAR23 <= 0; VAR21 <= 0; VAR3 <= 1; state <= VAR24; VAR12 <= 0; delay <= 0; VAR20 <= 0; VAR17 <= 0; VAR11 <= 0; end else begin if (VAR12 == 0) begin case (VAR17) 0: VAR12 <= 191; 1: VAR12 <= 383; 2: VAR12 <= 767; 3: VAR12 <= 1535; endcase end else begin VAR12 <= VAR12 - 1; end case (state) VAR14: begin VAR23 <= 0; if (VAR12 == 0) begin VAR3 <= 1; delay <= 8; state <= VAR19; end end VAR19: begin if (delay == 0) begin VAR21 <= 1; delay <= 8; state <= VAR16; VAR4 <= VAR20[0]; VAR15 <= VAR20[1]; VAR7 <= VAR20[2]; VAR5 <= VAR20[3]; end else begin delay <= delay - 1; end end VAR16: begin if (delay == 0) begin VAR3 <= 0; VAR21 <= 0; state <= VAR9; end else begin delay <= delay - 1; end end VAR9: begin if (VAR17 == 3) begin VAR17 <= 0; if (VAR20 == 15) begin VAR20 <= 0; end else begin VAR20 <= VAR20 + 1; end end else begin VAR17 <= VAR17 + 1; end VAR11 <= 0; state <= VAR24; end VAR24: begin state <= VAR2; VAR23 <= 0; end VAR2: begin VAR13 <= VAR20[0]; VAR1 <= VAR20[1]; VAR8 <= 0; VAR6 <= 0; VAR18 <= VAR20[1]; VAR22 <= 0; state <= VAR10; end VAR10: begin VAR23 <= 1; if (VAR11 == 31) begin VAR11 <= 0; state <= VAR14; end else begin VAR11 <= VAR11 + 1; state <= VAR24; end end endcase end end endmodule
gpl-3.0
iafnan/es2-hardwaresecurity
or1200/bench/verilog/sram_init.v
4,206
module MODULE1; reg [7:0] VAR5 [135005:0]; reg [31:0] VAR3; task VAR4; integer VAR10; begin for (VAR10=0; VAR10 < 135000; VAR10=VAR10+4) begin VAR9.VAR1.VAR7[VAR10/4] = VAR5[VAR10]; VAR9.VAR8.VAR7[VAR10/4] = VAR5[VAR10+1]; VAR9.VAR11.VAR7[VAR10/4] = VAR5[VAR10+2]; VAR9.VAR6.VAR7[VAR10/4] = VAR5[VAR10+3]; end for (VAR10=0; VAR10 < 135000; VAR10=VAR10+4) begin VAR3[31:24] = VAR9.VAR1.VAR2[VAR10/4]; VAR3[23:16] = VAR9.VAR8.VAR2[VAR10/4]; VAR3[15:8] = VAR9.VAR11.VAR2[VAR10/4]; VAR3[7:0] = VAR9.VAR6.VAR2[VAR10/4]; VAR3[31:24] = VAR9.VAR1.VAR7[VAR10/4]; VAR3[23:16] = VAR9.VAR8.VAR7[VAR10/4]; VAR3[15:8] = VAR9.VAR11.VAR7[VAR10/4]; VAR3[7:0] = VAR9.VAR6.VAR7[VAR10/4]; end end endtask endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/lsbufhv2lv/sky130_fd_sc_hvl__lsbufhv2lv.behavioral.v
1,326
module MODULE1 ( VAR1, VAR3 ); output VAR1; input VAR3; supply1 VAR8 ; supply0 VAR5 ; supply1 VAR4; supply1 VAR7 ; supply0 VAR2 ; buf VAR6 (VAR1 , VAR3 ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy_example_design/example_project/ddr3_s4_uniphy_example/submodules/ddr3_s4_uniphy_example_if0_p0_qsys_sequencer_cpu_inst_jtag_debug_module_tck.v
9,515
module MODULE1 ( VAR32, VAR11, VAR25, VAR30, VAR6, VAR17, VAR27, VAR2, VAR21, VAR24, VAR13, VAR12, VAR29, VAR7, VAR31, VAR38, VAR23, VAR9, VAR1, VAR18, VAR8, VAR22, VAR34, VAR40, VAR15, VAR39, VAR3, VAR16, VAR5, VAR26, VAR36 ) ; output [ 1: 0] VAR3; output VAR16; output [ 37: 0] VAR5; output VAR26; output VAR36; input [ 31: 0] VAR32; input [ 31: 0] VAR11; input VAR25; input VAR30; input VAR6; input VAR17; input VAR27; input [ 1: 0] VAR2; input VAR21; input VAR24; input VAR13; input VAR12; input VAR29; input VAR7; input VAR31; input VAR38; input [ 35: 0] VAR23; input VAR9; input [ 6: 0] VAR1; input VAR18; input VAR8; input VAR22; input VAR34; input VAR40; input VAR15; input VAR39; reg [ 2: 0] VAR33 ; wire VAR10; reg [ 1: 0] VAR3; wire VAR16; wire VAR35; reg [ 37: 0] VAR5 ; wire VAR26; wire VAR36; wire VAR20; wire VAR28; always @(posedge VAR7) begin if (VAR40) case (VAR2) 2'b00: begin VAR5[35] <= VAR10; VAR5[34] <= VAR24; VAR5[33] <= VAR29; VAR5[32 : 1] <= VAR32; VAR5[0] <= VAR35; end 2'b01: begin VAR5[35 : 0] <= VAR23; VAR5[37] <= VAR9; VAR5[36] <= VAR38; end 2'b10: begin VAR5[37] <= VAR34; VAR5[36] <= VAR17; VAR5[35] <= VAR6; VAR5[34] <= VAR30; VAR5[33] <= VAR25; VAR5[32 : 1] <= VAR11; VAR5[0] <= VAR22; end 2'b11: begin VAR5[15 : 12] <= 1'b0; VAR5[11 : 2] <= VAR1; VAR5[1] <= VAR8; VAR5[0] <= VAR18; end endcase if (VAR15) case (VAR33) 3'b000: begin VAR5 <= {VAR31, VAR5[37 : 2], VAR31}; end 3'b001: begin VAR5 <= {VAR31, VAR5[37 : 9], VAR31, VAR5[7 : 1]}; end 3'b010: begin VAR5 <= {VAR31, VAR5[37 : 17], VAR31, VAR5[15 : 1]}; end 3'b011: begin VAR5 <= {VAR31, VAR5[37 : 33], VAR31, VAR5[31 : 1]}; end 3'b100: begin VAR5 <= {VAR31, VAR5[37], VAR31, VAR5[35 : 1]}; end 3'b101: begin VAR5 <= {VAR31, VAR5[37 : 1]}; end default: begin VAR5 <= {VAR31, VAR5[37 : 2], VAR31}; end endcase if (VAR39) case (VAR2) 2'b00: begin VAR33 <= 3'b100; end 2'b01: begin VAR33 <= 3'b101; end 2'b10: begin VAR33 <= 3'b101; end 2'b11: begin VAR33 <= 3'b010; end endcase end assign VAR36 = VAR5[0]; assign VAR26 = VAR21; assign VAR20 = VAR16; VAR19 VAR37 ( .clk (VAR7), .din (VAR27), .dout (VAR10), .VAR12 (VAR20) ); assign VAR28 = VAR16; VAR19 VAR4 ( .clk (VAR7), .din (VAR13), .dout (VAR35), .VAR12 (VAR28) ); always @(posedge VAR7 or negedge VAR16) begin if (VAR16 == 0) VAR3 <= 2'b0; end else VAR3 <= {VAR10, VAR35}; end assign VAR16 = VAR12; endmodule
lgpl-3.0
GSejas/Karatsuba_FPU
FPGA_FLOW/Karat/MUL_FPU_FUNCIONAL_v1/MUL_FPU_FUNCIONAL_v1.srcs/sources_1/imports/Proyecto_De_Graduacion/FPU_FLM/RTL/fpuuart/Mux_8x1.v
1,173
module MODULE1 ( input wire [2:0] select, input wire [7:0] VAR8, input wire [7:0] VAR6, input wire [7:0] VAR7, input wire [7:0] VAR2, input wire [7:0] VAR5, input wire [7:0] VAR4, input wire [7:0] VAR9, input wire [7:0] VAR3, output reg [7:0] VAR1 ); always @* begin case(select) 3'b111: VAR1 = VAR8; 3'b110: VAR1 = VAR6; 3'b101: VAR1 = VAR7; 3'b100: VAR1 = VAR2; 3'b011: VAR1 = VAR5; 3'b010: VAR1 = VAR4; 3'b001: VAR1 = VAR9; 3'b000: VAR1 = VAR3; default : VAR1 = VAR8; endcase end endmodule
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/LX150_makomk_dualcore/hdl/golden_nonce_fifo.v
13,376
module MODULE1( VAR362, VAR265, din, VAR213, VAR287, dout, VAR329, VAR280 ); input VAR362; input VAR265; input [31 : 0] din; input VAR213; input VAR287; output [31 : 0] dout; output VAR329; output VAR280; VAR47 #( .VAR413(0), .VAR328(0), .VAR199(0), .VAR50(0), .VAR371(0), .VAR249(0), .VAR7(0), .VAR349(32), .VAR254(1), .VAR261(1), .VAR397(1), .VAR77(64), .VAR92(4), .VAR297(1), .VAR167(0), .VAR372(1), .VAR281(64), .VAR26(4), .VAR5(8), .VAR373(4), .VAR29(4), .VAR308(4), .VAR141(0), .VAR316(0), .VAR307(0), .VAR331(7), .VAR315("VAR336"), .VAR351(32), .VAR350(1), .VAR285(32), .VAR85(64), .VAR122(32), .VAR245(64), .VAR86(2), .VAR403("0"), .VAR63(32), .VAR330(0), .VAR394(1), .VAR107(0), .VAR216(0), .VAR203(0), .VAR366(0), .VAR116(0), .VAR364(0), .VAR14(0), .VAR79("VAR93"), .VAR143(0), .VAR204(0), .VAR20(0), .VAR235(0), .VAR135(0), .VAR219(0), .VAR227(0), .VAR295(0), .VAR248(0), .VAR354(0), .VAR179(0), .VAR271(0), .VAR56(0), .VAR22(0), .VAR293(0), .VAR274(1), .VAR16(0), .VAR129(0), .VAR187(0), .VAR55(0), .VAR369(0), .VAR137(0), .VAR61(0), .VAR384(0), .VAR305(0), .VAR162(0), .VAR98(0), .VAR300(0), .VAR208(0), .VAR228(0), .VAR180(0), .VAR49(0), .VAR381(0), .VAR356(0), .VAR118(0), .VAR166(0), .VAR134(0), .VAR232(0), .VAR215(0), .VAR359(0), .VAR386(0), .VAR114(0), .VAR201(0), .VAR154(0), .VAR53(0), .VAR214(0), .VAR158(2), .VAR367(1), .VAR270(1), .VAR209(1), .VAR44(1), .VAR252(1), .VAR75(1), .VAR256(0), .VAR242(0), .VAR150(1), .VAR322("VAR336"), .VAR292(1), .VAR189(0), .VAR319(0), .VAR3(1), .VAR411(0), .VAR275("512x36"), .VAR387(2), .VAR110(1022), .VAR67(1022), .VAR395(1022), .VAR326(1022), .VAR51(1022), .VAR358(1022), .VAR318(3), .VAR309(0), .VAR365(5), .VAR175(5), .VAR196(5), .VAR32(5), .VAR146(5), .VAR157(5), .VAR23(125), .VAR210(1023), .VAR357(1023), .VAR178(1023), .VAR399(1023), .VAR66(1023), .VAR15(1023), .VAR42(124), .VAR70(0), .VAR343(5), .VAR260(5), .VAR9(5), .VAR283(5), .VAR106(5), .VAR301(5), .VAR184(0), .VAR407(7), .VAR334(128), .VAR222(1), .VAR81(7), .VAR393(0), .VAR284(0), .VAR99(0), .VAR140(0), .VAR128(0), .VAR94(0), .VAR185(0), .VAR19(0), .VAR282(0), .VAR27(0), .VAR298(0), .VAR230(0), .VAR172(0), .VAR68(0), .VAR156(0), .VAR396(0), .VAR259(0), .VAR288(0), .VAR311(0), .VAR25(0), .VAR64(0), .VAR412(0), .VAR303(0), .VAR290(0), .VAR160(0), .VAR88(0), .VAR255(7), .VAR125(128), .VAR257(1024), .VAR389(16), .VAR171(1024), .VAR243(16), .VAR339(1024), .VAR273(16), .VAR54(1), .VAR165(7), .VAR380(10), .VAR198(4), .VAR96(10), .VAR10(4), .VAR126(10), .VAR159(4), .VAR233(1), .VAR127(0) ) VAR231 ( .VAR224(VAR362), .VAR193(VAR265), .VAR390(din), .VAR80(VAR213), .VAR169(VAR287), .VAR13(dout), .VAR239(VAR329), .VAR33(VAR280), .VAR205(), .VAR409(), .VAR225(), .VAR36(), .VAR142(), .VAR262(), .VAR190(), .VAR40(), .VAR238(), .VAR139(), .VAR346(), .VAR304(), .VAR181(), .VAR406(), .VAR250(), .VAR115(), .VAR28(), .VAR246(), .VAR188(), .VAR39(), .VAR353(), .VAR277(), .VAR131(), .VAR111(), .VAR73(), .VAR302(), .VAR83(), .VAR382(), .VAR46(), .VAR333(), .VAR112(), .VAR340(), .VAR153(), .VAR124(), .VAR377(), .VAR121(), .VAR202(), .VAR268(), .VAR108(), .VAR314(), .VAR344(), .VAR352(), .VAR299(), .VAR132(), .VAR65(), .VAR147(), .VAR84(), .VAR100(), .VAR324(), .VAR8(), .VAR376(), .VAR109(), .VAR405(), .VAR279(), .VAR276(), .VAR345(), .VAR241(), .VAR335(), .VAR2(), .VAR402(), .VAR18(), .VAR347(), .VAR360(), .VAR306(), .VAR149(), .VAR325(), .VAR148(), .VAR117(), .VAR4(), .VAR375(), .VAR177(), .VAR133(), .VAR24(), .VAR294(), .VAR247(), .VAR38(), .VAR323(), .VAR341(), .VAR296(), .VAR103(), .VAR31(), .VAR244(), .VAR104(), .VAR43(), .VAR6(), .VAR400(), .VAR368(), .VAR237(), .VAR200(), .VAR95(), .VAR144(), .VAR269(), .VAR272(), .VAR89(), .VAR123(), .VAR72(), .VAR194(), .VAR221(), .VAR220(), .VAR30(), .VAR176(), .VAR138(), .VAR74(), .VAR313(), .VAR251(), .VAR151(), .VAR87(), .VAR370(), .VAR170(), .VAR253(), .VAR191(), .VAR391(), .VAR363(), .VAR120(), .VAR401(), .VAR182(), .VAR317(), .VAR163(), .VAR226(), .VAR223(), .VAR383(), .VAR152(), .VAR136(), .VAR35(), .VAR267(), .VAR195(), .VAR263(), .VAR404(), .VAR174(), .VAR388(), .VAR410(), .VAR206(), .VAR342(), .VAR52(), .VAR173(), .VAR91(), .VAR97(), .VAR12(), .VAR11(), .VAR45(), .VAR289(), .VAR21(), .VAR337(), .VAR278(), .VAR378(), .VAR102(), .VAR59(), .VAR234(), .VAR60(), .VAR71(), .VAR192(), .VAR130(), .VAR76(), .VAR119(), .VAR385(), .VAR236(), .VAR90(), .VAR168(), .VAR145(), .VAR310(), .VAR258(), .VAR338(), .VAR218(), .VAR113(), .VAR105(), .VAR186(), .VAR361(), .VAR69(), .VAR17(), .VAR229(), .VAR197(), .VAR332(), .VAR240(), .VAR414(), .VAR82(), .VAR379(), .VAR291(), .VAR161(), .VAR348(), .VAR183(), .VAR155(), .VAR41(), .VAR37(), .VAR264(), .VAR1(), .VAR355(), .VAR286(), .VAR392(), .VAR408(), .VAR398(), .VAR34(), .VAR62(), .VAR320(), .VAR266(), .VAR57(), .VAR212(), .VAR164(), .VAR217(), .VAR78(), .VAR101(), .VAR312(), .VAR211(), .VAR327(), .VAR374(), .VAR58(), .VAR207(), .VAR48(), .VAR321() ); endmodule
gpl-3.0
google/yaricv32
regs.v
1,531
module MODULE1( input rst, input clk, input VAR4, input [VAR1-1 : 0] VAR7, input [VAR1-1 : 0] VAR12, input [VAR1-1 : 0] VAR6, input [VAR8-1 : 0] VAR14, output [VAR8-1 : 0] VAR3, output [VAR8-1 : 0] VAR5); parameter VAR1 = 5; parameter VAR8 = 32; parameter VAR2 = 2; parameter VAR13 = 1024; localparam VAR11 = 1 << VAR1; reg [VAR8-1 : 0] VAR10 [0 : VAR11-1]; integer VAR9;
apache-2.0
svofski/mahponk
src/scores2.v
7,722
module MODULE4(clk, VAR54, VAR43, VAR62, VAR12, VAR5, VAR25, VAR4, VAR27, VAR29); input clk; input VAR54; input [9:0] VAR43, VAR62; input VAR12, VAR5, VAR25; output [7:0]VAR4, VAR27; output VAR29; wire VAR76, VAR11, VAR48, VAR18; wire [3:0] VAR42; wire [3:0] VAR53; wire [3:0] VAR59; wire [3:0] VAR17; MODULE6 MODULE3(VAR25, VAR12, VAR76, VAR53); MODULE6 MODULE4(VAR25, VAR76, VAR11, VAR42); MODULE6 MODULE7(VAR25, VAR5, VAR48, VAR17); MODULE6 MODULE8(VAR25, VAR48, VAR18, VAR59); reg [7:0] VAR4, VAR27; always @(VAR42 or VAR53 or VAR59 or VAR17) begin VAR4 <= {VAR42,VAR53}; VAR27 <= {VAR59,VAR17}; end parameter VAR63 = 640/2-3*8*4; parameter VAR60 = 6*8; reg VAR32; wire VAR8 = VAR32; always @(negedge clk) begin if (VAR43 == VAR63) VAR32 <= 1; end else VAR32 <= 0; end wire VAR23 = !VAR54;wire VAR70 = VAR62 == VAR60; reg [7:0] VAR20; always @(posedge clk) begin if (VAR8) VAR20 <= 6*8*4; end else if (VAR20 > 0) VAR20 <= VAR20 - 1; end wire VAR1 = VAR20 != 0; wire VAR15 = VAR62 >= VAR60 && VAR62 < VAR60+5*8; wire VAR26 = VAR1 & VAR15 & VAR2; reg VAR2; always @(posedge clk) begin if (VAR43 == VAR63 + 2*8*4) begin VAR2 <= 0; end else if (VAR43 == VAR63 + 4*8*4) begin VAR2 <= 1; end end wire [3:0] VAR77; wire [1:0] VAR65; wire [1:0] VAR3; MODULE5 MODULE5(clk, VAR8, VAR2 & VAR1, VAR77[2:0], VAR65, VAR3); wire [2:0] VAR56; MODULE5 MODULE2(VAR23, VAR70, VAR15, VAR77[2:0], VAR56[1:0], {VAR77[0],VAR56[2]}); wire [3:0] VAR41; VAR19 VAR19(VAR42, VAR53, VAR59, VAR17, VAR3, VAR41); wire [3:0] VAR67; MODULE9 MODULE9(clk, VAR79, VAR56, VAR67); wire [3:0] VAR79; MODULE2 MODULE1(clk, VAR41, VAR3, VAR79); reg VAR30; always @(posedge clk) begin VAR30 <= VAR26 & VAR67[VAR65]; end assign VAR29 = VAR30; endmodule module MODULE6(reset, VAR66, VAR24, VAR75); input reset, VAR66; output reg VAR24; output reg [3:0]VAR75; always @(posedge reset or posedge VAR66) begin if (reset) {VAR24, VAR75} <= 0; end else begin if (VAR75 == 9) {VAR24, VAR75} <= {5'b10000}; end else {VAR24, VAR75} <= VAR75 + 1'b1; end end endmodule module MODULE5(VAR66, VAR69, en, VAR71, VAR49, VAR10); input VAR66, VAR69, en; output [2:0] VAR71; output [1:0] VAR49; output [1:0] VAR10; reg [6:0]VAR75; assign VAR71 = VAR75[2:0]; assign VAR49 = VAR75[4:3]; assign VAR10 = VAR75[6:5]; always @(posedge VAR66) if (VAR69) VAR75 <= 0; else if (en) VAR75 <= VAR75 + 1'b1; endmodule module MODULE8(sel, d0, d1, d2, d3, VAR75); input [1:0] sel; input [3:0] d0, d1, d2, d3; output [3:0] VAR75; assign VAR75 = sel == 2'b00 ? d0 : 2'b01 ? d1 : 2'b10 ? d2 : d3; endmodule module MODULE2(clk, VAR36, VAR35, VAR75); input clk; input [3:0] VAR36; input [1:0] VAR35; output [3:0] VAR75; reg [3:0] VAR64[3:0]; reg [3:0] VAR75; always @(VAR36 or VAR35) begin VAR75 <= VAR64[VAR35]; VAR64[VAR35] <= VAR36; end endmodule module MODULE9(clk, VAR37, VAR74, VAR75); input clk; input [3:0] VAR37; input [2:0] VAR74; output reg[3:0] VAR75; always @(VAR37 or VAR7 or VAR14 or VAR28 or VAR47 or VAR61 or VAR68 or VAR51 or VAR55 or VAR38 or VAR9) case (VAR37) 4'd0 : VAR75 <= VAR7; 4'd1 : VAR75 <= VAR14; 4'd2 : VAR75 <= VAR28; 4'd3 : VAR75 <= VAR47; 4'd4 : VAR75 <= VAR61; 4'd5 : VAR75 <= VAR68; 4'd6 : VAR75 <= VAR51; 4'd7 : VAR75 <= VAR55; 4'd8 : VAR75 <= VAR38; 4'd9 : VAR75 <= VAR9; default: VAR75 <= 0; endcase wire [3:0] VAR7; MODULE7 #( 4'b1110, 4'b1010, 4'b1010, 4'b1010, 4'b1110 ) VAR52(VAR74, VAR7); wire [3:0] VAR14; MODULE7 #( 4'b1100, 4'b0100, 4'b0100, 4'b0100, 4'b1110 ) VAR45(VAR74, VAR14); wire [3:0] VAR28; MODULE7 #( 4'b1110, 4'b0010, 4'b1110, 4'b1000, 4'b1110 ) VAR40(VAR74, VAR28); wire [3:0] VAR47; MODULE7 #( 4'b1110, 4'b0010, 4'b0110, 4'b0010, 4'b1110 ) VAR57(VAR74, VAR47); wire [3:0] VAR61; MODULE7 #( 4'b1010, 4'b1010, 4'b1110, 4'b0010, 4'b0010 ) VAR16(VAR74, VAR61); wire [3:0] VAR68; MODULE7 #( 4'b1110, 4'b1000, 4'b1110, 4'b0010, 4'b1110 ) VAR72(VAR74, VAR68); wire [3:0] VAR51; MODULE7 #( 4'b1110, 4'b1000, 4'b1110, 4'b1010, 4'b1110 ) VAR31(VAR74, VAR51); wire [3:0] VAR55; MODULE7 #( 4'b1110, 4'b0010, 4'b0010, 4'b0010, 4'b0010 ) VAR78(VAR74, VAR55); wire [3:0] VAR38; MODULE7 #( 4'b1110, 4'b1010, 4'b1110, 4'b1010, 4'b1110 ) VAR44(VAR74, VAR38); wire [3:0] VAR9; MODULE7 #( 4'b1110, 4'b1010, 4'b1110, 4'b0010, 4'b1110 ) VAR39(VAR74, VAR9); endmodule module MODULE7(VAR21, VAR67); input [2:0] VAR21; output [3:0] VAR67; parameter VAR35=0,VAR6=0,VAR66=0,VAR36=0,VAR33=0; assign VAR67 = VAR21 == 3'd0 ? {VAR35[0],VAR35[1],VAR35[2],VAR35[3]} : VAR21 == 3'd1 ? {VAR6[0],VAR6[1],VAR6[2],VAR6[3]} : VAR21 == 3'd2 ? {VAR66[0],VAR66[1],VAR66[2],VAR66[3]} : VAR21 == 3'd3 ? {VAR36[0],VAR36[1],VAR36[2],VAR36[3]} : VAR21 == 3'd4 ? {VAR33[0],VAR33[1],VAR33[2],VAR33[3]} : 4'b0000; endmodule module MODULE1(VAR66, VAR69, VAR75, VAR24); input VAR66, VAR69; output [2:0] VAR75; output reg VAR24; reg[2:0] VAR22; assign VAR75 = VAR22; always @(posedge VAR66 or posedge VAR69) if (VAR69) {VAR24, VAR22} <= 0; else {VAR24,VAR22} <= VAR22 + 1'b1; endmodule module MODULE3(VAR66, VAR69, VAR75, VAR24); input VAR66, VAR69; output reg[1:0] VAR75; output reg VAR24; always @(posedge VAR66) if (VAR69) {VAR24, VAR75} <= 0; else {VAR24, VAR75} <= VAR75 + 1'b1; endmodule
bsd-2-clause
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/spi_engine/axi_spi_engine/axi_spi_engine.v
7,883
module MODULE1 ( input VAR50, input VAR28, input VAR110, input [31:0] VAR68, output VAR46, input [2:0] VAR82, input VAR21, input [31:0] VAR59, input [ 3:0] VAR88, output VAR3, output VAR29, output [ 1:0] VAR22, input VAR67, input VAR62, input [31:0] VAR129, output VAR17, input [2:0] VAR66, output VAR37, input VAR119, output [ 1:0] VAR43, output [31:0] VAR41, output reg irq, input VAR124, output VAR125, input VAR109, output VAR34, output [15:0] VAR71, input VAR35, output VAR114, output [7:0] VAR77, output VAR57, input VAR90, input [7:0] VAR113, output VAR24, input VAR70, input [7:0] VAR16, output VAR79, output [15:0] VAR36, output VAR106, output [7:0] VAR123, output reg VAR11, output reg VAR27, input VAR116 ); parameter VAR112 = 4; parameter VAR4 = 5; parameter VAR52 = 5; parameter VAR78 = 0; parameter VAR64 = 0; parameter VAR42 = 4; parameter VAR5 = 4; parameter VAR101 = 'h00; localparam VAR48 = 'h010061; wire [VAR112:0] VAR117; wire VAR122; wire [15:0] VAR80; wire VAR103; wire VAR93; wire [VAR4:0] VAR111; wire VAR2; wire [7:0] VAR12; wire VAR76; wire VAR100; wire [VAR52:0] VAR89; wire VAR55; wire [7:0] VAR74; wire VAR39; wire VAR127; reg VAR38 = 1'b1; wire VAR15 = ~VAR38; reg [31:0] VAR121 = 'd0; reg VAR20 = 1'b0; reg VAR54 = 1'b0; wire VAR63; wire VAR128; wire [31:0] VAR14; wire [ 7:0] VAR60; wire [ 7:0] VAR97; reg [31:0] VAR61 = 'h00; reg [7:0] VAR26 = 'h00; reg VAR51 = 1'b0; VAR86 #( .VAR91 (8) ) VAR95 ( .VAR83(VAR28), .VAR13(VAR50), .VAR85(VAR110), .VAR49(VAR68), .VAR65(VAR46), .VAR6(VAR21), .VAR96(VAR59), .VAR45(VAR88), .VAR72(VAR3), .VAR53(VAR29), .VAR23(VAR22), .VAR81(VAR67), .VAR25(VAR62), .VAR30(VAR129), .VAR31(VAR17), .VAR47(VAR37), .VAR69(VAR43), .VAR108(VAR41), .VAR105(VAR119), .VAR63(VAR63), .VAR60(VAR60), .VAR14(VAR14), .VAR20(VAR20), .VAR128(VAR128), .VAR97(VAR97), .VAR121(VAR121), .VAR54(VAR54) ); reg [3:0] VAR84 = 'h0; wire [3:0] VAR126; wire [3:0] VAR118; assign VAR126 = { VAR51, VAR55, VAR2, VAR122 }; assign VAR118 = VAR84 & VAR126; always @(posedge VAR50) begin if (VAR28 == 1'b0) irq <= 1'b0; end else irq <= |VAR118; end always @(posedge VAR50) begin if (VAR28 == 1'b0) begin VAR20 <= 1'b0; VAR61 <= 'h00; VAR38 <= 1'b1; VAR84 <= 'h00; VAR27 <= 1'b0; VAR11 <= 1'b0; end else begin VAR20 <= VAR63; VAR11 <= 1'b0; if (VAR63) begin case (VAR60) 8'h02: VAR61 <= VAR14; 8'h10: VAR38 <= VAR14; 8'h20: VAR84 <= VAR14; 8'h40: VAR27 <= VAR14[0]; 8'h42: VAR11 <= VAR14[0]; endcase end end end always @(posedge VAR50) begin if (VAR28 == 1'b0) begin VAR54 <= 'd0; end else begin VAR54 <= VAR128; end end always @(posedge VAR50) begin case (VAR97) 8'h00: VAR121 <= VAR48; 8'h01: VAR121 <= VAR101; 8'h02: VAR121 <= VAR61; 8'h10: VAR121 <= VAR38; 8'h20: VAR121 <= VAR84; 8'h21: VAR121 <= VAR118; 8'h22: VAR121 <= VAR126; 8'h30: VAR121 <= VAR26; 8'h34: VAR121 <= VAR117; 8'h35: VAR121 <= VAR111; 8'h36: VAR121 <= VAR89; 8'h3a: VAR121 <= VAR74; 8'h3c: VAR121 <= VAR74; 8'h40: VAR121 <= {VAR27}; 8'h41: VAR121 <= {VAR116}; default: VAR121 <= 'h00; endcase end always @(posedge VAR50) begin if (VAR15 == 1'b0) begin VAR26 <= 'h00; VAR51 <= 1'b0; end else begin if (VAR70 == 1'b1) begin VAR26 <= VAR16; VAR51 <= 1'b1; end else if (VAR63 == 1'b1 && VAR60 == 8'h21 && VAR14[3] == 1'b1) begin VAR51 <= 1'b0; end end end assign VAR24 = 1'b1; generate if (VAR78) begin wire VAR33; VAR9 VAR32 ( .VAR92(VAR38), .clk(VAR124), .rst(VAR33) ); assign VAR125 = ~VAR33; end else begin assign VAR125 = ~VAR38; end endgenerate (VAR102[VAR1] == 1'b1 || VAR102[VAR1-1:VAR1-2] == 2'b11) assign VAR93 = VAR63 == 1'b1 && VAR60 == 8'h38; assign VAR80 = VAR14[15:0]; assign VAR122 = VAR94 #( .VAR40(16), .VAR19(VAR78), .VAR73(VAR112), .VAR10(0) ) VAR56 ( .VAR87(VAR50), .VAR58(VAR15), .VAR120(VAR103), .VAR8(VAR93), .VAR99(VAR80), .VAR98(VAR117), .VAR7(VAR124), .VAR107(VAR125), .VAR130(VAR109), .VAR75(VAR34), .VAR104(VAR71) ); assign VAR100 = VAR63 == 1'b1 && VAR60 == 8'h39; assign VAR12 = VAR14[7:0]; assign VAR2 = VAR94 #( .VAR40(8), .VAR19(VAR78), .VAR73(VAR4), .VAR10(0) ) VAR115 ( .VAR87(VAR50), .VAR58(VAR15), .VAR120(VAR76), .VAR8(VAR100), .VAR99(VAR12), .VAR98(VAR111), .VAR7(VAR124), .VAR107(VAR125), .VAR130(VAR35), .VAR75(VAR114), .VAR104(VAR77) ); assign VAR39 = VAR128 == 1'b1 && VAR97 == 8'h3a; assign VAR55 = VAR94 #( .VAR40(8), .VAR19(VAR78), .VAR73(VAR52), .VAR10(0) ) VAR44 ( .VAR87(VAR124), .VAR58(VAR125), .VAR120(VAR57), .VAR8(VAR90), .VAR99(VAR113), .VAR7(VAR50), .VAR107(VAR15), .VAR130(VAR39), .VAR75(VAR127), .VAR104(VAR74), .VAR18(VAR89) ); assign VAR79 = VAR63 == 1'b1 && VAR60 == 8'h44; assign VAR36 = VAR14[15:0]; assign VAR106 = VAR63 == 1'b1 && VAR60 == 8'h45; assign VAR123 = VAR14[7:0]; endmodule
gpl-3.0
davidkoltak/tawas-core
ip/rcn/rtl/rcn_master_slave_fast.v
2,154
module MODULE1 ( input rst, input clk, input [68:0] VAR1, output [68:0] VAR20, input VAR8, input [1:0] VAR24, output VAR30, input wr, input [3:0] VAR22, input [23:0] addr, input [31:0] VAR13, output VAR23, output VAR18, output [1:0] VAR3, output [3:0] VAR7, output [23:0] VAR31, output [31:0] VAR4, output VAR27, output VAR17, output [3:0] VAR5, output [23:0] VAR21, output [31:0] VAR15, input [31:0] VAR29 ); parameter VAR10 = 0; parameter VAR28 = 0; parameter VAR12 = 1; reg [68:0] VAR6; reg [68:0] VAR26; assign VAR20 = VAR26; wire [5:0] VAR2 = VAR10; wire [23:0] VAR14 = VAR28; wire [23:0] VAR25 = VAR12; wire VAR11 = VAR6[68] && !VAR6[67] && (VAR6[65:60] == VAR2); wire VAR19 = VAR6[68] && VAR6[67] && ((VAR6[55:34] & VAR14[23:2]) == VAR25[23:2]); wire [68:0] VAR9; wire VAR16; wire [68:0] req; always @ (posedge clk or posedge rst) if (rst) begin VAR6 <= 69'd0; VAR26 <= 69'd0; end else begin VAR6 <= VAR1; VAR26 <= (VAR19) ? VAR9 : (VAR16) ? req : (VAR11) ? 69'd0 : VAR6; end assign VAR30 = VAR6[68] && !VAR11; assign VAR16 = VAR8 && !(VAR6[68] && !VAR11); assign req = {1'b1, 1'b1, wr, VAR2, VAR22, addr[23:2], VAR24, VAR13}; assign VAR23 = VAR11 && !VAR6[66]; assign VAR18 = VAR11 && VAR6[66]; assign VAR3 = VAR6[33:32]; assign VAR7 = VAR6[59:56]; assign VAR31 = {VAR6[55:34], 2'd0}; assign VAR4 = VAR6[31:0]; assign VAR27 = VAR19; assign VAR17 = VAR6[66]; assign VAR5 = VAR6[59:56]; assign VAR21 = {VAR6[55:34], 2'd0}; assign VAR15 = VAR6[31:0]; assign VAR9 = {1'b1, 1'b0, VAR6[66:32], VAR29}; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/xnor2/sky130_fd_sc_ls__xnor2.pp.blackbox.v
1,301
module MODULE1 ( VAR1 , VAR4 , VAR2 , VAR7, VAR5, VAR3 , VAR6 ); output VAR1 ; input VAR4 ; input VAR2 ; input VAR7; input VAR5; input VAR3 ; input VAR6 ; endmodule
apache-2.0
Digilent/vivado-library
ip/Pmods/PmodGYRO_v1_0/src/PmodGYRO.v
13,590
module MODULE1 (VAR47, VAR101, VAR214, VAR132, VAR11, VAR96, VAR168, VAR21, VAR76, VAR189, VAR194, VAR9, VAR112, VAR51, VAR57, VAR46, VAR23, VAR142, VAR78, VAR68, VAR97, VAR130, VAR13, VAR94, VAR199, VAR62, VAR88, VAR77, VAR44, VAR137, VAR109, VAR49, VAR181, VAR191, VAR141, VAR175, VAR40, VAR85, VAR92, VAR54, VAR198, VAR12, VAR119, VAR43, VAR58, VAR140, VAR90, VAR211, VAR157, VAR63, VAR10, VAR65, VAR150, VAR212, VAR73, VAR55, VAR2, VAR149, VAR39, VAR53, VAR30); input [8:0]VAR47; output VAR101; input VAR214; input [8:0]VAR132; output VAR11; input VAR96; input VAR168; output [1:0]VAR21; output VAR76; output [31:0]VAR189; input VAR194; output [1:0]VAR9; output VAR112; input [31:0]VAR51; output VAR57; input [3:0]VAR46; input VAR23; input [6:0]VAR142; output VAR78; input VAR68; input [6:0]VAR97; output VAR130; input VAR13; input VAR94; output [1:0]VAR199; output VAR62; output [31:0]VAR88; input VAR77; output [1:0]VAR44; output VAR137; input [31:0]VAR109; output VAR49; input [3:0]VAR181; input VAR191; input VAR141; output VAR175; output VAR40; input VAR85; output VAR92; output VAR54; input VAR198; output VAR12; output VAR119; input VAR43; output VAR58; output VAR140; input VAR90; output VAR211; output VAR157; input VAR63; output VAR10; output VAR65; input VAR150; output VAR212; output VAR73; input VAR55; output VAR2; output VAR149; input VAR39; input VAR53; input VAR30; wire [6:0]VAR110; wire VAR45; wire VAR82; wire [6:0]VAR7; wire VAR163; wire VAR156; wire VAR36; wire [1:0]VAR146; wire VAR134; wire [31:0]VAR120; wire VAR71; wire [1:0]VAR117; wire VAR75; wire [31:0]VAR93; wire VAR186; wire [3:0]VAR129; wire VAR86; wire [8:0]VAR100; wire VAR14; wire VAR187; wire [8:0]VAR52; wire VAR102; wire VAR158; wire VAR164; wire [1:0]VAR29; wire VAR135; wire [31:0]VAR79; wire VAR15; wire [1:0]VAR195; wire VAR32; wire [31:0]VAR95; wire VAR18; wire [3:0]VAR125; wire VAR103; wire [3:0]VAR35; wire [3:0]VAR17; wire [3:0]VAR98; wire VAR127; wire VAR173; wire VAR213; wire VAR131; wire VAR136; wire VAR72; wire VAR151; wire VAR193; wire VAR87; wire VAR202; wire [0:0]VAR116; wire VAR167; wire VAR115; wire VAR91; wire VAR147; wire VAR83; wire VAR123; wire VAR177; wire VAR166; wire VAR56; wire VAR33; wire VAR188; wire VAR182; wire VAR118; wire VAR155; wire VAR196; wire VAR74; wire VAR180; wire VAR203; wire VAR59; wire VAR165; wire VAR42; wire VAR60; wire VAR104; wire VAR133; wire VAR184; wire VAR81; wire VAR66; wire VAR61; assign VAR110 = VAR142[6:0]; assign VAR82 = VAR68; assign VAR7 = VAR97[6:0]; assign VAR156 = VAR13; assign VAR36 = VAR94; assign VAR71 = VAR77; assign VAR93 = VAR109[31:0]; assign VAR129 = VAR181[3:0]; assign VAR86 = VAR191; assign VAR101 = VAR14; assign VAR11 = VAR102; assign VAR21[1:0] = VAR29; assign VAR76 = VAR135; assign VAR189[31:0] = VAR79; assign VAR9[1:0] = VAR195; assign VAR112 = VAR32; assign VAR57 = VAR18; assign VAR78 = VAR45; assign VAR130 = VAR163; assign VAR199[1:0] = VAR146; assign VAR62 = VAR134; assign VAR88[31:0] = VAR120; assign VAR44[1:0] = VAR117; assign VAR137 = VAR75; assign VAR49 = VAR186; assign VAR175 = VAR147; assign VAR40 = VAR83; assign VAR92 = VAR177; assign VAR54 = VAR166; assign VAR12 = VAR33; assign VAR119 = VAR188; assign VAR58 = VAR118; assign VAR140 = VAR155; assign VAR211 = VAR74; assign VAR157 = VAR180; assign VAR10 = VAR59; assign VAR65 = VAR165; assign VAR212 = VAR60; assign VAR73 = VAR104; assign VAR2 = VAR184; assign VAR149 = VAR81; assign VAR100 = VAR47[8:0]; assign VAR187 = VAR214; assign VAR52 = VAR132[8:0]; assign VAR158 = VAR96; assign VAR164 = VAR168; assign VAR15 = VAR194; assign VAR95 = VAR51[31:0]; assign VAR125 = VAR46[3:0]; assign VAR103 = VAR23; assign VAR115 = VAR39; assign VAR91 = VAR141; assign VAR123 = VAR85; assign VAR56 = VAR198; assign VAR182 = VAR43; assign VAR196 = VAR90; assign VAR203 = VAR63; assign VAR42 = VAR150; assign VAR133 = VAR55; assign VAR66 = VAR53; assign VAR61 = VAR30; VAR5 VAR145 (.VAR204(VAR35), .VAR105(VAR17), .VAR108(VAR98), .VAR53(VAR66), .VAR89(VAR100), .VAR30(VAR61), .VAR41(VAR14), .VAR3(VAR187), .VAR107(VAR52), .VAR152(VAR102), .VAR69(VAR158), .VAR183(VAR164), .VAR20(VAR29), .VAR124(VAR135), .VAR111(VAR79), .VAR210(VAR15), .VAR143(VAR195), .VAR190(VAR32), .VAR114(VAR95), .VAR206(VAR18), .VAR179(VAR125), .VAR4(VAR103)); VAR113 VAR26 (.VAR39(VAR115), .VAR201(VAR127), .VAR1(VAR173), .VAR64(VAR213), .VAR19(VAR131), .VAR176(VAR136), .VAR185(VAR72), .VAR53(VAR66), .VAR89(VAR110), .VAR30(VAR61), .VAR41(VAR45), .VAR3(VAR82), .VAR107(VAR7), .VAR152(VAR163), .VAR69(VAR156), .VAR183(VAR36), .VAR20(VAR146), .VAR124(VAR134), .VAR111(VAR120), .VAR210(VAR71), .VAR143(VAR117), .VAR190(VAR75), .VAR114(VAR93), .VAR206(VAR186), .VAR179(VAR129), .VAR4(VAR86), .VAR154(VAR151), .VAR128(VAR193), .VAR6(VAR87), .VAR192(VAR202), .VAR139(VAR116), .VAR8(VAR167)); VAR50 VAR205 (.VAR24(VAR202), .VAR197(VAR116), .VAR25(VAR167), .VAR121(VAR127), .VAR16(VAR173), .VAR84(VAR213), .VAR160(VAR131), .VAR70(VAR136), .VAR126(VAR72), .VAR178(VAR151), .VAR209(VAR193), .VAR171(VAR87), .VAR31(VAR35), .VAR153(VAR17), .VAR80(VAR98), .VAR138(VAR123), .VAR172(VAR177), .VAR170(VAR166), .VAR162(VAR56), .VAR122(VAR33), .VAR22(VAR188), .VAR27(VAR182), .VAR34(VAR118), .VAR38(VAR155), .VAR161(VAR196), .VAR67(VAR74), .VAR99(VAR180), .VAR48(VAR203), .VAR159(VAR59), .VAR208(VAR165), .VAR148(VAR42), .VAR207(VAR60), .VAR28(VAR104), .VAR200(VAR133), .VAR106(VAR184), .VAR144(VAR81), .VAR37(VAR91), .VAR174(VAR147), .VAR169(VAR83)); endmodule
mit
Fabeltranm/FPGA-Game-D1
HW/RTL/01BLUETOOTH/Version_02/02 verilog/periferico_BT/peripheral_bt.v
1,967
module MODULE1(clk , rst , din , VAR10 , addr , rd , wr, dout, VAR14, VAR7 ); input clk; input rst; input [15:0]din; input VAR10; input [3:0]addr; input rd; input wr; output reg [15:0]dout; output VAR7; output VAR14; reg [5:0] VAR8; reg VAR16; reg [7:0] VAR6; wire VAR13; wire VAR3; wire VAR4; wire VAR9; VAR12 VAR19(.VAR11(VAR7), .VAR2(VAR9), .VAR5(clk), .reset(rst), .dout(VAR13), .din(VAR6), .enable(VAR16), .VAR1(VAR3), .VAR15(VAR4), .VAR18(VAR14)); always @(*) VAR17 (addr) 4'h0:begin VAR8 = (VAR10 && wr) ? 5'b00001 : 5'b00000 ;end 4'h2:begin VAR8 = (VAR10 && rd) ? 5'b00010 : 5'b00000 ;end 4'h4:begin VAR8 = (VAR10 && rd) ? 5'b00100 : 5'b00000 ;end 4'h6:begin VAR8 = (VAR10 && rd) ? 5'b01000 : 5'b00000 ;end 4'h8:begin VAR8 = (VAR10 && rd) ? 5'b10000 : 5'b00000 ;end default:begin VAR8=5'b00000 ; end endcase end always @(negedge clk) begin if (VAR8[0]==1) begin VAR6<=din[7:0]; VAR16=1; end else begin if (VAR3) VAR16=0; end end always @(negedge clk) VAR17 (VAR8) 5'b00010: dout[0]= VAR4; 5'b00100: dout[0]= VAR9; 5'b01000: dout[0]= VAR3; 5'b10000: dout[7:0] = VAR13; default: dout=0; endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/inv/sky130_fd_sc_hvl__inv_8.v
2,003
module MODULE2 ( VAR2 , VAR7 , VAR5, VAR1, VAR3 , VAR6 ); output VAR2 ; input VAR7 ; input VAR5; input VAR1; input VAR3 ; input VAR6 ; VAR4 VAR8 ( .VAR2(VAR2), .VAR7(VAR7), .VAR5(VAR5), .VAR1(VAR1), .VAR3(VAR3), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR2, VAR7 ); output VAR2; input VAR7; supply1 VAR5; supply0 VAR1; supply1 VAR3 ; supply0 VAR6 ; VAR4 VAR8 ( .VAR2(VAR2), .VAR7(VAR7) ); endmodule
apache-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/Multipliers/26bit/BinaryKOA/ks16.v
1,893
module MODULE1(VAR5, VAR4, VAR11); input wire [15:0] VAR5; input wire [15:0] VAR4; output wire [30:0] VAR11; wire [14:0] VAR12; wire [14:0] VAR9; wire [14:0] VAR7; wire [7:0] VAR2; wire [7:0] VAR10; VAR1 VAR6(VAR5[7:0], VAR4[7:0], VAR9); VAR1 VAR8(VAR5[15:8], VAR4[15:8], VAR12); assign VAR2[7:0] = VAR5[15:8] ^ VAR5[7:0]; assign VAR10[7:0] = VAR4[15:8] ^ VAR4[7:0]; VAR1 VAR3(VAR2, VAR10, VAR7); assign VAR11[00] = VAR9[00]; assign VAR11[01] = VAR9[01]; assign VAR11[02] = VAR9[02]; assign VAR11[03] = VAR9[03]; assign VAR11[04] = VAR9[04]; assign VAR11[05] = VAR9[05]; assign VAR11[06] = VAR9[06]; assign VAR11[07] = VAR9[07]; assign VAR11[08] = VAR9[08] ^ VAR12[00] ^ VAR9[00] ^ VAR7[00]; assign VAR11[09] = VAR9[09] ^ VAR12[01] ^ VAR9[01] ^ VAR7[01]; assign VAR11[10] = VAR9[10] ^ VAR12[02] ^ VAR9[02] ^ VAR7[02]; assign VAR11[11] = VAR9[11] ^ VAR12[03] ^ VAR9[03] ^ VAR7[03]; assign VAR11[12] = VAR9[12] ^ VAR12[04] ^ VAR9[04] ^ VAR7[04]; assign VAR11[13] = VAR9[13] ^ VAR12[05] ^ VAR9[05] ^ VAR7[05]; assign VAR11[14] = VAR9[14] ^ VAR12[06] ^ VAR9[06] ^ VAR7[06]; assign VAR11[15] = VAR12[07] ^ VAR9[07] ^ VAR7[07]; assign VAR11[16] = VAR12[08] ^ VAR9[08] ^ VAR7[08] ^ VAR12[00]; assign VAR11[17] = VAR12[09] ^ VAR9[09] ^ VAR7[09] ^ VAR12[01]; assign VAR11[18] = VAR12[10] ^ VAR9[10] ^ VAR7[10] ^ VAR12[02]; assign VAR11[19] = VAR12[11] ^ VAR9[11] ^ VAR7[11] ^ VAR12[03]; assign VAR11[20] = VAR12[12] ^ VAR9[12] ^ VAR7[12] ^ VAR12[04]; assign VAR11[21] = VAR12[13] ^ VAR9[13] ^ VAR7[13] ^ VAR12[05]; assign VAR11[22] = VAR12[14] ^ VAR9[14] ^ VAR7[14] ^ VAR12[06]; assign VAR11[23] = VAR12[07]; assign VAR11[24] = VAR12[08]; assign VAR11[25] = VAR12[09]; assign VAR11[26] = VAR12[10]; assign VAR11[27] = VAR12[11]; assign VAR11[28] = VAR12[12]; assign VAR11[29] = VAR12[13]; assign VAR11[30] = VAR12[14]; endmodule
gpl-3.0
fabianz66/cursos-tec
taller-digital/Proyecto Final/Referencias/mpx/mpx_regfile_xil.v
5,791
module MODULE1 ( VAR39, VAR14, VAR16, VAR26, VAR22, VAR24, VAR4, VAR23, VAR30, VAR38 ); input VAR39 ; input VAR14 ; input VAR16 ; input VAR26 ; input [4:0] VAR22 ; input [4:0] VAR24 ; input [4:0] VAR4 ; output [31:0] VAR23 ; output [31:0] VAR30 ; input [31:0] VAR38 ; reg [4:0] VAR37; wire [31:0] VAR31; wire [31:0] VAR36; reg VAR1; wire [31:0] VAR8; wire [31:0] VAR17; wire [31:0] VAR29; wire [31:0] VAR35; wire VAR10; wire VAR18; reg [31:0] VAR23; reg [31:0] VAR30; always @ (VAR39 or VAR22 or VAR24 or VAR4 or VAR38 or VAR31 or VAR36 or VAR14 or VAR26) begin if (VAR22 == 5'b00000) VAR23 <= 32'h00000000; end else VAR23 <= VAR31; if (VAR24 == 5'b00000) VAR30 <= 32'h00000000; else VAR30 <= VAR36; VAR37 <= VAR4[4:0]; if ((VAR4 != 5'b00000) & (VAR26 == 1'b1)) VAR1 <= 1'b1; else VAR1 <= 1'b0; end generate begin genvar VAR11; for (VAR11=0;VAR11<32;VAR11=VAR11+1) begin : VAR9 VAR6 VAR32(.VAR21(VAR39), .VAR13(VAR10), .VAR15(VAR37[0]), .VAR2(VAR37[1]), .VAR7(VAR37[2]), .VAR19(VAR37[3]), .VAR12(VAR38[VAR11]), .VAR27(VAR22[0]), .VAR5(VAR22[1]), .VAR34(VAR22[2]), .VAR33(VAR22[3]), .VAR3(VAR8[VAR11]), .VAR25()); VAR6 VAR20(.VAR21(VAR39), .VAR13(VAR18), .VAR15(VAR37[0]), .VAR2(VAR37[1]), .VAR7(VAR37[2]), .VAR19(VAR37[3]), .VAR12(VAR38[VAR11]), .VAR27(VAR22[0]), .VAR5(VAR22[1]), .VAR34(VAR22[2]), .VAR33(VAR22[3]), .VAR3(VAR17[VAR11]), .VAR25()); VAR6 VAR28(.VAR21(VAR39), .VAR13(VAR10), .VAR15(VAR37[0]), .VAR2(VAR37[1]), .VAR7(VAR37[2]), .VAR19(VAR37[3]), .VAR12(VAR38[VAR11]), .VAR27(VAR24[0]), .VAR5(VAR24[1]), .VAR34(VAR24[2]), .VAR33(VAR24[3]), .VAR3(VAR29[VAR11]), .VAR25()); VAR6 VAR40(.VAR21(VAR39), .VAR13(VAR18), .VAR15(VAR37[0]), .VAR2(VAR37[1]), .VAR7(VAR37[2]), .VAR19(VAR37[3]), .VAR12(VAR38[VAR11]), .VAR27(VAR24[0]), .VAR5(VAR24[1]), .VAR34(VAR24[2]), .VAR33(VAR24[3]), .VAR3(VAR35[VAR11]), .VAR25()); end end endgenerate assign VAR31 = (VAR22[4] == 1'b0) ? VAR8 : VAR17; assign VAR36 = (VAR24[4] == 1'b0) ? VAR29 : VAR35; assign VAR10 = (VAR1 & ~ (VAR37[4])); assign VAR18 = (VAR1 & VAR37[4]); endmodule
mit
peteasa/parallella-fpga
ohLocal/common/dv/dv_ctrl_local.v
2,459
module MODULE1( VAR12, VAR15, VAR10, VAR13, VAR14, VAR16, VAR7 ); parameter VAR3 = 10; parameter VAR5 = VAR3/2; parameter VAR9 = 100; parameter VAR8 = VAR9/2; parameter VAR6 = 50000; parameter VAR4 = 10000; output VAR12; output VAR15; output VAR10; output VAR13; input VAR14; input VAR16; input VAR7; reg VAR12; reg VAR13; reg VAR15=0; reg VAR10=0; reg [6:0] VAR1; reg [6:0] VAR2; integer VAR17,VAR11; begin end begin begin
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/dlygate4sd2/sky130_fd_sc_hdll__dlygate4sd2.pp.symbol.v
1,330
module MODULE1 ( input VAR4 , output VAR1 , input VAR6 , input VAR2, input VAR3, input VAR5 ); endmodule
apache-2.0
twlostow/dsi-shield
hdl/rtl/hpdmc/spartan6/hpdmc_idelay32.v
9,689
module MODULE1( input [31:0] VAR8, output [31:0] VAR36, input VAR35, input VAR26, input VAR20, input VAR29, input VAR19, input VAR47, input VAR30 ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR37 ( .VAR8(VAR8[0]), .VAR36(VAR36[0]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR42 ( .VAR8(VAR8[1]), .VAR36(VAR36[1]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR24 ( .VAR8(VAR8[2]), .VAR36(VAR36[2]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR5 ( .VAR8(VAR8[3]), .VAR36(VAR36[3]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR2 ( .VAR8(VAR8[4]), .VAR36(VAR36[4]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR28 ( .VAR8(VAR8[5]), .VAR36(VAR36[5]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR18 ( .VAR8(VAR8[6]), .VAR36(VAR36[6]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR31 ( .VAR8(VAR8[7]), .VAR36(VAR36[7]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR14 ( .VAR8(VAR8[8]), .VAR36(VAR36[8]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR11 ( .VAR8(VAR8[9]), .VAR36(VAR36[9]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR39 ( .VAR8(VAR8[10]), .VAR36(VAR36[10]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR1 ( .VAR8(VAR8[11]), .VAR36(VAR36[11]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR3 ( .VAR8(VAR8[12]), .VAR36(VAR36[12]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR6 ( .VAR8(VAR8[13]), .VAR36(VAR36[13]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR17 ( .VAR8(VAR8[14]), .VAR36(VAR36[14]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR7 ( .VAR8(VAR8[15]), .VAR36(VAR36[15]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR10 ( .VAR8(VAR8[16]), .VAR36(VAR36[16]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR4 ( .VAR8(VAR8[17]), .VAR36(VAR36[17]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR40 ( .VAR8(VAR8[18]), .VAR36(VAR36[18]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR43 ( .VAR8(VAR8[19]), .VAR36(VAR36[19]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR45 ( .VAR8(VAR8[20]), .VAR36(VAR36[20]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR23 ( .VAR8(VAR8[21]), .VAR36(VAR36[21]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR32 ( .VAR8(VAR8[22]), .VAR36(VAR36[22]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR48 ( .VAR8(VAR8[23]), .VAR36(VAR36[23]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR33 ( .VAR8(VAR8[24]), .VAR36(VAR36[24]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR41 ( .VAR8(VAR8[25]), .VAR36(VAR36[25]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR12 ( .VAR8(VAR8[26]), .VAR36(VAR36[26]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR34 ( .VAR8(VAR8[27]), .VAR36(VAR36[27]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR49 ( .VAR8(VAR8[28]), .VAR36(VAR36[28]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR46 ( .VAR8(VAR8[29]), .VAR36(VAR36[29]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR25 ( .VAR8(VAR8[30]), .VAR36(VAR36[30]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); VAR9 #( .VAR44("VAR8"), .VAR38("VAR13"), .VAR27("VAR22") ) VAR21 ( .VAR8(VAR8[31]), .VAR36(VAR36[31]), .VAR35(VAR35), .VAR26(VAR26), .VAR20(VAR20), .VAR19(VAR19), .VAR47(VAR47), .VAR30(VAR30), .VAR15(1'b0), .VAR29(VAR29), .VAR16(1'b1) ); endmodule
lgpl-3.0
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/mig_7series_v1_8_ui_rd_data.v
19,405
module MODULE1 # ( parameter VAR7 = 100, parameter VAR52 = 256, parameter VAR27 = 5, parameter VAR14 = "VAR88", parameter VAR18 = 2 , parameter VAR2 = "VAR9" ) ( VAR65, VAR47, VAR8, VAR13, VAR59, VAR23, VAR60, VAR58, rst, clk, VAR68, VAR72, VAR79, VAR89, VAR87, VAR1, VAR50 ); input rst; input clk; output wire VAR65; output wire [3:0] VAR47; reg [5:0] VAR64; reg VAR40; assign VAR65 = VAR40; wire VAR39; wire VAR51; reg [5:0] VAR86; generate begin : VAR94 wire VAR3 = ~VAR40 || VAR39; wire VAR22 = ~rst && (VAR40 || (VAR64[4:0] == 5'h1f)); always @(VAR64 or rst or VAR51 or VAR3) begin VAR86 = VAR64; if (rst) VAR86 = 6'b0; end else if (VAR3) VAR86 = VAR64 + 6'h1 + (VAR27 == 5 ? 0 : VAR51); end end endgenerate assign VAR47 = VAR64[3:0]; input VAR68; input [VAR27-1:0] VAR72; input VAR79; input VAR89; input [VAR52-1:0] VAR87; output reg VAR8; output reg VAR13; output reg [VAR52-1:0] VAR59; input [3:0] VAR1; reg [2*VAR18-1:0] VAR34 = 'b0; output wire [2*VAR18-1:0] VAR23; assign VAR23 = VAR34; input VAR50; output wire VAR60; output wire [VAR27-1:0] VAR58; localparam VAR66 = VAR52 + (VAR14 == "VAR88" ? 0 : 2*VAR18); localparam VAR54 = (VAR66/6); localparam VAR53 = VAR66 % 6; localparam VAR12 = VAR54 + ((VAR53 == 0 ) ? 0 : 1); localparam VAR76 = (VAR12*6); generate if (VAR2 == "VAR20") begin : VAR29 assign VAR39 = 1'b0; assign VAR51 = 1'b0; assign VAR60 = 1'b0; reg [VAR27-1:0] VAR41; wire [VAR27-1:0] VAR71 = rst ? 0 : VAR41 + VAR50; always @(posedge clk) VAR41 <= assign VAR58 = VAR71; if (VAR14 == "VAR88") begin : VAR82 always @(VAR87) VAR59 = VAR87; always @(VAR68) VAR8 = VAR68; always @(VAR89) VAR13 = VAR89; end else begin : VAR28 end end else begin : VAR21 wire VAR10 = ~VAR40 || VAR68; wire [4:0] VAR81 = VAR27 == 5 ? VAR72 : {VAR72, VAR79}; wire [1:0] VAR49; begin : VAR33 wire [4:0] VAR61 = VAR40 ? VAR81 : VAR64[4:0]; reg [4:0] VAR80; always @(posedge clk) VAR80 <= wire [1:0] VAR67; reg VAR56; wire [1:0] VAR11 = VAR40 ? {VAR89, ~(VAR79 ? VAR56 : VAR67[0])} : 2'b0; reg [1:0] VAR93; always @(posedge clk) VAR93 <= reg VAR24; VAR75 .VAR42(64'h0000000000000000), .VAR73(64'h0000000000000000), .VAR26(64'h0000000000000000) ) VAR6 ( .VAR37(VAR49), .VAR44(), .VAR74(VAR67), .VAR4(), .VAR35(VAR93), .VAR98(2'b0), .VAR17(VAR93), .VAR85(VAR93), .VAR77(VAR64[4:0]), .VAR55(5'b0), .VAR92(VAR61), .VAR78(VAR80), .VAR90(VAR24), .VAR91(clk) ); end wire [VAR76-1:0] VAR84; begin : VAR25 wire [VAR76-1:0] VAR46; if (VAR53 == 0) if (VAR14 == "VAR88") assign VAR46 = VAR87; end else assign VAR46 = {VAR1, VAR87}; end else if (VAR14 == "VAR88") assign VAR46 = {{6-VAR53{1'b0}}, VAR87}; else assign VAR46 = {{6-VAR53{1'b0}}, VAR1, VAR87}; reg [4:0] VAR62; genvar VAR97; for (VAR97=0; VAR97<VAR12; VAR97=VAR97+1) begin : VAR15 VAR75 .VAR42(64'h0000000000000000), .VAR73(64'h0000000000000000), .VAR26(64'h0000000000000000) ) VAR6 ( .VAR37(VAR84[((VAR97*6)+4)+:2]), .VAR44(VAR84[((VAR97*6)+2)+:2]), .VAR74(VAR84[((VAR97*6)+0)+:2]), .VAR4(), .VAR35(VAR46[((VAR97*6)+4)+:2]), .VAR98(VAR46[((VAR97*6)+2)+:2]), .VAR17(VAR46[((VAR97*6)+0)+:2]), .VAR85(2'b0), .VAR77(VAR62[4:0]), .VAR55(VAR62[4:0]), .VAR92(VAR62[4:0]), .VAR78(VAR81), .VAR90(VAR10), .VAR91(clk) ); end end wire VAR48 = (VAR49[0] == VAR64[5]); wire VAR69 = VAR68 && (VAR81[4:0] == VAR64[4:0]) ; assign VAR39 = VAR40 && (VAR69 || VAR48); wire VAR5 = VAR69 ? VAR89 : VAR49[1]; assign VAR51 = VAR39 && VAR5 && ~VAR64[0]; wire [VAR52-1:0] VAR31 = VAR69 ? VAR87 : VAR84[VAR52-1:0]; if (VAR14 != "VAR88") begin : VAR30 wire [3:0] VAR63 = VAR69 ? VAR1 : VAR84[VAR52+:4]; always @(posedge clk) VAR34 <= end reg VAR95; wire VAR36 = VAR95 && VAR13; reg [VAR27:0] VAR45; wire [VAR27:0] VAR16 = VAR45 - 1; wire [VAR27:0] VAR43 = VAR45 + 1; begin : VAR32 reg [VAR27:0] VAR70; always @(VAR36 or VAR45 or VAR50 or rst or VAR16 or VAR43) begin VAR70 = VAR45; if (rst) VAR70 = 0; end else case ({VAR50, VAR36}) 2'b01 : VAR70 = VAR16; 2'b10 : VAR70 = VAR43; endcase end assign VAR60 = VAR70[VAR27]; VAR83: cover property (@(posedge clk) (~rst && VAR60)); VAR96: cover property (@(posedge clk) (~rst && VAR50 && VAR36 && (VAR45 == 'hf))); VAR57: assert property (@(posedge clk) (rst || !((VAR45 == 'b0) && (VAR70 == 'h1f)))); VAR38: assert property (@(posedge clk) (rst || !((VAR45 == 'h10) && (VAR70 == 'h11)))); end reg [VAR27-1:0] VAR41; assign VAR58 = VAR41; begin : VAR19 reg [VAR27-1:0] VAR71; always @(VAR50 or VAR41 or rst) begin VAR71 = VAR41; if (rst) VAR71 = 0; end else if (VAR50) VAR71 = VAR41 + 1; end always @(posedge clk) VAR41 <= end end endgenerate endmodule
mit
c4puter/bridge-hdl
modules/drac_wb_adapter/drac_wb_adapter.v
4,386
module MODULE1 ( output VAR14, output VAR2, output [33:5] VAR21, output [255:0] VAR19, output [31:0] VAR22, input [255:0] VAR6, input VAR15, input VAR3, input [35:0] VAR8, input VAR17, input [3:0] VAR12, input VAR18, input VAR13, input [31:0] VAR7, output [31:0] VAR9, output VAR1, input VAR10, input reset ); reg [31:0] VAR16; reg [255:0] VAR5; reg [31:0] VAR4; reg [33:2] addr; reg VAR23 = 1'b0; reg VAR11 = 1'b0; reg read = 1'b0; reg write = 1'b0; reg VAR20 = 1'b0; always @(posedge VAR10) begin if (VAR18 && !VAR20 && VAR17) begin case (VAR8[2:0]) 3'b000: VAR5[31:0] <= VAR7; 3'b001: VAR5[63:32] <= VAR7; 3'b010: VAR5[95:64] <= VAR7; 3'b011: VAR5[127:96] <= VAR7; 3'b100: VAR5[159:128] <= VAR7; 3'b101: VAR5[191:160] <= VAR7; 3'b110: VAR5[223:192] <= VAR7; 3'b111: VAR5[255:224] <= VAR7; endcase case (VAR8[2:0]) 3'b000: VAR4 <= 32'hFFFFFFF0; 3'b001: VAR4 <= 32'hFFFFFF0F; 3'b010: VAR4 <= 32'hFFFFF0FF; 3'b011: VAR4 <= 32'hFFFF0FFF; 3'b100: VAR4 <= 32'hFFF0FFFF; 3'b101: VAR4 <= 32'hFF0FFFFF; 3'b110: VAR4 <= 32'hF0FFFFFF; 3'b111: VAR4 <= 32'h0FFFFFFF; endcase end if (VAR18 && !VAR20) begin addr[33:2] <= VAR8[31:0]; end end always @(posedge VAR10 or posedge reset) begin if (reset) begin read <= 1'b0; write <= 1'b0; VAR11 <= 1'b0; VAR20 <= 1'b0; end else begin VAR20 <= VAR18; if (VAR18 && !VAR20 && !VAR17) begin read <= 1'b1; end else if (VAR18 && !VAR20 && VAR17) begin write <= 1'b1; end if (VAR23) begin read <= 1'b0; write <= 1'b0; VAR11 <= 1'b1; end if (VAR11) begin VAR11 <= 1'b0; end end end always @(posedge VAR3 or posedge reset) begin if (reset) begin VAR23 <= 1'b0; end else begin if (VAR15) begin VAR23 <= 1'b1; end if (VAR11) begin VAR23 <= 1'b0; end if (VAR15) case (addr[4:2]) 3'b000: VAR16 <= VAR6[31:0]; 3'b001: VAR16 <= VAR6[63:32]; 3'b010: VAR16 <= VAR6[95:64]; 3'b011: VAR16 <= VAR6[127:96]; 3'b100: VAR16 <= VAR6[159:128]; 3'b101: VAR16 <= VAR6[191:160]; 3'b110: VAR16 <= VAR6[223:192]; 3'b111: VAR16 <= VAR6[255:224]; endcase end end assign VAR9 = VAR16; assign VAR1 = VAR11; assign VAR14 = read; assign VAR2 = write; assign VAR19 = VAR5; assign VAR22 = VAR4; assign VAR21 = addr[33:5]; endmodule
gpl-2.0
markusC64/1541ultimate2
fpga/nios_dut/nios_dut/synthesis/submodules/nios_dut_mm_interconnect_0_avalon_st_adapter.v
6,158
module MODULE1 #( parameter VAR18 = 34, parameter VAR8 = 0, parameter VAR23 = 34, parameter VAR12 = 0, parameter VAR16 = 0, parameter VAR5 = 0, parameter VAR22 = 1, parameter VAR1 = 1, parameter VAR7 = 0, parameter VAR21 = 34, parameter VAR6 = 0, parameter VAR17 = 1, parameter VAR10 = 0, parameter VAR13 = 1, parameter VAR14 = 1, parameter VAR15 = 0 ) ( input wire VAR9, input wire VAR25, input wire [33:0] VAR20, input wire VAR24, output wire VAR19, output wire [33:0] VAR3, output wire VAR11, input wire VAR4, output wire [0:0] VAR2 ); generate if (VAR18 != 34) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
gpl-3.0
tdaede/daala_zynq
daala_4x4_transpose_1.0/hdl/daala_4x4_transpose_v1_0_S00_AXIS.v
4,931
module MODULE1 # ( parameter integer VAR17 = 32 ) ( input wire VAR21, input wire VAR18, output wire VAR6, input wire [VAR17-1 : 0] VAR2, input wire [(VAR17/8)-1 : 0] VAR15, input wire VAR7, input wire VAR4 ); function integer VAR13 (input integer VAR23); begin for(VAR13=0; VAR23>0; VAR13=VAR13+1) VAR23 = VAR23 >> 1; end endfunction localparam VAR10 = 8; localparam VAR19 = VAR13(VAR10-1); parameter [1:0] VAR16 = 1'b0, VAR22 = 1'b1; wire VAR12; reg VAR5; genvar VAR1; wire VAR8; reg VAR14; reg [VAR19-1:0] VAR20; reg VAR3; assign VAR6 = VAR12; always @(posedge VAR21) begin if (!VAR18) begin VAR5 <= VAR16; end else case (VAR5) VAR16: if (VAR4) begin VAR5 <= VAR22; end else begin VAR5 <= VAR16; end VAR22: if (VAR3) begin VAR5 <= VAR16; end else begin VAR5 <= VAR22; end endcase end assign VAR12 = ((VAR5 == VAR22) && (VAR20 <= VAR10-1)); always@(posedge VAR21) begin if(!VAR18) begin VAR20 <= 0; VAR3 <= 1'b0; end else if (VAR20 <= VAR10-1) begin if (VAR8) begin VAR20 <= VAR20 + 1; VAR3 <= 1'b0; end if ((VAR20 == VAR10-1)|| VAR7) begin VAR3 <= 1'b1; end end end assign VAR8 = VAR4 && VAR12; generate for(VAR1=0; VAR1<= (VAR17/8-1); VAR1=VAR1+1) begin:VAR9 reg [(VAR17/4)-1:0] VAR11 [0 : VAR10-1]; always @( posedge VAR21 ) begin if (VAR8) begin VAR11[VAR20] <= VAR2[(VAR1*8+7) -: 8]; end end end endgenerate endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlxtp/sky130_fd_sc_ms__dlxtp.symbol.v
1,339
module MODULE1 ( input VAR5 , output VAR2 , input VAR3 ); supply1 VAR6; supply0 VAR1; supply1 VAR7 ; supply0 VAR4 ; endmodule
apache-2.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_auto_pc_3/synth/OpenSSD2_auto_pc_3.v
15,727
module MODULE1 ( VAR94, VAR73, VAR52, VAR64, VAR68, VAR107, VAR33, VAR26, VAR51, VAR109, VAR17, VAR96, VAR90, VAR57, VAR82, VAR9, VAR4, VAR105, VAR23, VAR48, VAR37, VAR75, VAR38, VAR110, VAR89, VAR22, VAR18, VAR31, VAR2, VAR45, VAR53, VAR60, VAR16, VAR30, VAR36, VAR47, VAR102, VAR66, VAR76, VAR98, VAR91, VAR69, VAR95, VAR32, VAR46, VAR63, VAR12, VAR103, VAR79, VAR71, VAR6, VAR3, VAR62, VAR93, VAR58, VAR35, VAR20, VAR77, VAR49, VAR56, VAR100, VAR8, VAR85, VAR99, VAR74, VAR87, VAR19, VAR81, VAR40, VAR92, VAR21, VAR29, VAR72, VAR50, VAR11, VAR43, VAR70, VAR15, VAR27 ); input wire VAR94; input wire VAR73; input wire [0 : 0] VAR52; input wire [31 : 0] VAR64; input wire [7 : 0] VAR68; input wire [2 : 0] VAR107; input wire [1 : 0] VAR33; input wire [0 : 0] VAR26; input wire [3 : 0] VAR51; input wire [2 : 0] VAR109; input wire [3 : 0] VAR17; input wire [3 : 0] VAR96; input wire VAR90; output wire VAR57; input wire [63 : 0] VAR82; input wire [7 : 0] VAR9; input wire VAR4; input wire VAR105; output wire VAR23; output wire [0 : 0] VAR48; output wire [1 : 0] VAR37; output wire VAR75; input wire VAR38; input wire [0 : 0] VAR110; input wire [31 : 0] VAR89; input wire [7 : 0] VAR22; input wire [2 : 0] VAR18; input wire [1 : 0] VAR31; input wire [0 : 0] VAR2; input wire [3 : 0] VAR45; input wire [2 : 0] VAR53; input wire [3 : 0] VAR60; input wire [3 : 0] VAR16; input wire VAR30; output wire VAR36; output wire [0 : 0] VAR47; output wire [63 : 0] VAR102; output wire [1 : 0] VAR66; output wire VAR76; output wire VAR98; input wire VAR91; output wire [0 : 0] VAR69; output wire [31 : 0] VAR95; output wire [3 : 0] VAR32; output wire [2 : 0] VAR46; output wire [1 : 0] VAR63; output wire [1 : 0] VAR12; output wire [3 : 0] VAR103; output wire [2 : 0] VAR79; output wire [3 : 0] VAR71; output wire VAR6; input wire VAR3; output wire [0 : 0] VAR62; output wire [63 : 0] VAR93; output wire [7 : 0] VAR58; output wire VAR35; output wire VAR20; input wire VAR77; input wire [0 : 0] VAR49; input wire [1 : 0] VAR56; input wire VAR100; output wire VAR8; output wire [0 : 0] VAR85; output wire [31 : 0] VAR99; output wire [3 : 0] VAR74; output wire [2 : 0] VAR87; output wire [1 : 0] VAR19; output wire [1 : 0] VAR81; output wire [3 : 0] VAR40; output wire [2 : 0] VAR92; output wire [3 : 0] VAR21; output wire VAR29; input wire VAR72; input wire [0 : 0] VAR50; input wire [63 : 0] VAR11; input wire [1 : 0] VAR43; input wire VAR70; input wire VAR15; output wire VAR27; VAR42 #( .VAR14("VAR78"), .VAR83(1), .VAR106(0), .VAR61(0), .VAR44(1), .VAR86(32), .VAR111(64), .VAR55(1), .VAR108(1), .VAR84(0), .VAR25(1), .VAR88(1), .VAR24(1), .VAR39(1), .VAR65(1), .VAR1(2) ) VAR13 ( .VAR94(VAR94), .VAR73(VAR73), .VAR52(VAR52), .VAR64(VAR64), .VAR68(VAR68), .VAR107(VAR107), .VAR33(VAR33), .VAR26(VAR26), .VAR51(VAR51), .VAR109(VAR109), .VAR17(VAR17), .VAR96(VAR96), .VAR101(1'VAR104), .VAR90(VAR90), .VAR57(VAR57), .VAR112(1'VAR104), .VAR82(VAR82), .VAR9(VAR9), .VAR4(VAR4), .VAR5(1'VAR104), .VAR105(VAR105), .VAR23(VAR23), .VAR48(VAR48), .VAR37(VAR37), .VAR97(), .VAR75(VAR75), .VAR38(VAR38), .VAR110(VAR110), .VAR89(VAR89), .VAR22(VAR22), .VAR18(VAR18), .VAR31(VAR31), .VAR2(VAR2), .VAR45(VAR45), .VAR53(VAR53), .VAR60(VAR60), .VAR16(VAR16), .VAR34(1'VAR104), .VAR30(VAR30), .VAR36(VAR36), .VAR47(VAR47), .VAR102(VAR102), .VAR66(VAR66), .VAR76(VAR76), .VAR41(), .VAR98(VAR98), .VAR91(VAR91), .VAR69(VAR69), .VAR95(VAR95), .VAR32(VAR32), .VAR46(VAR46), .VAR63(VAR63), .VAR12(VAR12), .VAR103(VAR103), .VAR79(VAR79), .VAR28(), .VAR71(VAR71), .VAR80(), .VAR6(VAR6), .VAR3(VAR3), .VAR62(VAR62), .VAR93(VAR93), .VAR58(VAR58), .VAR35(VAR35), .VAR7(), .VAR20(VAR20), .VAR77(VAR77), .VAR49(VAR49), .VAR56(VAR56), .VAR59(1'VAR104), .VAR100(VAR100), .VAR8(VAR8), .VAR85(VAR85), .VAR99(VAR99), .VAR74(VAR74), .VAR87(VAR87), .VAR19(VAR19), .VAR81(VAR81), .VAR40(VAR40), .VAR92(VAR92), .VAR54(), .VAR21(VAR21), .VAR10(), .VAR29(VAR29), .VAR72(VAR72), .VAR50(VAR50), .VAR11(VAR11), .VAR43(VAR43), .VAR70(VAR70), .VAR67(1'VAR104), .VAR15(VAR15), .VAR27(VAR27) ); endmodule
gpl-3.0
eda-globetrotter/PicenoDecoders
extra_credit/spare/build1/ee577bHw2q1a.v
9,012
module MODULE1(VAR12,VAR21,VAR15,VAR17,VAR9, VAR14,VAR2,VAR8,clk,reset); output reg VAR12,VAR21,VAR15,VAR17,VAR9; input [6:0] VAR14,VAR2; input VAR8,clk,reset; reg [3:0] state, VAR10; reg [6:0] VAR7; reg VAR20; parameter VAR11 = 4'b0000; parameter VAR13 = 4'b0001; parameter VAR1 = 4'b0010; parameter VAR6 = 4'b0011; parameter VAR3 = 4'b0100; parameter VAR19 = 4'b0101; parameter VAR4 = 4'b0110; parameter VAR18 = 4'b0111; parameter VAR5 = 4'b1000; parameter VAR16 = 4'b1001; always @(posedge clk) begin if (reset) state <= VAR11; end else state <= VAR10; end always @(*) begin case (state) VAR11: begin if(VAR14 < VAR2) begin VAR7 = VAR14; end else begin VAR7 = VAR14 - VAR2; end if (VAR8 & (VAR7 == 0)) begin VAR10 <= VAR19; end else if (VAR8 & (VAR7 >= 7'd25)) begin VAR10 <= VAR13; end else if (VAR8 & (VAR7 >= 7'd10)) begin VAR10 <= VAR1; end else if (VAR8 & (VAR7 >= 7'd5)) begin VAR10 <= VAR6; end else if (VAR8 & (VAR7 >= 7'd1)) begin VAR10 <= VAR3; end else begin VAR10 <= VAR19; end end VAR13: begin VAR7 = VAR7 - 7'd25; if (VAR7 >= 7'd25) begin VAR10 <= VAR4; end else if (VAR7 >= 7'd10) begin VAR10 <= VAR1; end else if (VAR7 >= 7'd5) begin VAR10 <= VAR6; end else if (VAR7 >= 7'd1) begin VAR10 <= VAR3; end else begin VAR10 <= VAR19; end end VAR1: begin VAR7 = VAR7 - 7'd10; if (VAR7 >= 7'd25) begin VAR10 <= VAR13; end else if (VAR7 >= 7'd10) begin VAR10 <= VAR18; end else if (VAR7 >= 7'd5) begin VAR10 <= VAR6; end else if (VAR7 >= 7'd1) begin VAR10 <= VAR3; end else begin VAR10 <= VAR19; end end VAR6: begin VAR7 = VAR7 - 7'd5; if (VAR7 >= 7'd25) begin VAR10 <= VAR13; end else if (VAR7 >= 7'd10) begin VAR10 <= VAR1; end else if (VAR7 >= 7'd5) begin VAR10 <= VAR5; end else if (VAR7 >= 7'd1) begin VAR10 <= VAR3; end else begin VAR10 <= VAR19; end end VAR3: begin VAR7 = VAR7 - 7'd1; if (VAR7 >= 7'd25) begin VAR10 <= VAR13; end else if (VAR7 >= 7'd10) begin VAR10 <= VAR1; end else if (VAR7 >= 7'd5) begin VAR10 <= VAR6; end else if (VAR7 >= 7'd1) begin VAR10 <= VAR16; end else if (VAR7 == 0) begin VAR10 <= VAR19; end end VAR19: begin if ((VAR7 < 7'd5) & (VAR7 > 0)) begin VAR10 <= VAR3; end else begin if (VAR8) begin VAR10 <= VAR11; end else begin VAR10 <= VAR19; end end end VAR4: begin VAR10 <= VAR13; end VAR18: begin VAR10 <= VAR1; end VAR5: begin VAR10 <= VAR6; end VAR16: begin VAR10 <= VAR3; end default: begin VAR10 <= VAR11; end endcase end always @(state) begin case(state) VAR11: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 0; end VAR13: begin VAR12 <= 1; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 0; end VAR1: begin VAR12 <= 0; VAR21 <= 1; VAR15 <= 0; VAR17 <= 0; VAR9 <= 0; end VAR6: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 1; VAR17 <= 0; VAR9 <= 0; end VAR3: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 1; VAR9 <= 0; end VAR19: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 1; end VAR4: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 0; end VAR18: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 0; end VAR5: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 0; end VAR16: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 0; end default: begin VAR12 <= 0; VAR21 <= 0; VAR15 <= 0; VAR17 <= 0; VAR9 <= 1; end endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nand3/sky130_fd_sc_hs__nand3_2.v
2,048
module MODULE2 ( VAR4 , VAR6 , VAR8 , VAR2 , VAR7, VAR5 ); output VAR4 ; input VAR6 ; input VAR8 ; input VAR2 ; input VAR7; input VAR5; VAR1 VAR3 ( .VAR4(VAR4), .VAR6(VAR6), .VAR8(VAR8), .VAR2(VAR2), .VAR7(VAR7), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR4, VAR6, VAR8, VAR2 ); output VAR4; input VAR6; input VAR8; input VAR2; supply1 VAR7; supply0 VAR5; VAR1 VAR3 ( .VAR4(VAR4), .VAR6(VAR6), .VAR8(VAR8), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/clkmux2/sky130_fd_sc_hdll__clkmux2.blackbox.v
1,282
module MODULE1 ( VAR8 , VAR7, VAR1, VAR3 ); output VAR8 ; input VAR7; input VAR1; input VAR3 ; supply1 VAR4; supply0 VAR6; supply1 VAR5 ; supply0 VAR2 ; endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/to_send/ngnp_added_monitor/ngnp/src/packet_memory_block.v
4,531
module MODULE1( clk, VAR7, addr, VAR11, VAR20 ); input clk; input [3:0] VAR7; input [5:0] addr; input [31:0] VAR11; output [31:0] VAR20; genvar VAR16; generate for(VAR16 = 0; VAR16 < 4; VAR16 = VAR16 + 1) begin:VAR5 VAR15 #(.VAR17(64'h0000000000000000)) VAR24( .VAR8(VAR20[VAR16*8]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); VAR15 #(.VAR17(64'h0000000000000000)) VAR13( .VAR8(VAR20[VAR16*8+1]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8+1]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); VAR15 #(.VAR17(64'h0000000000000000)) VAR19( .VAR8(VAR20[VAR16*8+2]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8+2]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); VAR15 #(.VAR17(64'h0000000000000000)) VAR10( .VAR8(VAR20[VAR16*8+3]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8+3]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); VAR15 #(.VAR17(64'h0000000000000000)) VAR12( .VAR8(VAR20[VAR16*8+4]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8+4]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); VAR15 #(.VAR17(64'h0000000000000000)) VAR2( .VAR8(VAR20[VAR16*8+5]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8+5]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); VAR15 #(.VAR17(64'h0000000000000000)) VAR4( .VAR8(VAR20[VAR16*8+6]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8+6]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); VAR15 #(.VAR17(64'h0000000000000000)) VAR21( .VAR8(VAR20[VAR16*8+7]), .VAR23(addr[0]), .VAR25(addr[1]), .VAR3(addr[2]), .VAR6(addr[3]), .VAR9(addr[4]), .VAR14(addr[5]), .VAR18(VAR11[VAR16*8+7]), .VAR1(clk), .VAR22(VAR7[VAR16]) ); end endgenerate endmodule
mit
silverfoxy/MIPS-Verilog
Pipeline/reg_bank.v
1,089
module MODULE1(clk, VAR2, VAR1, VAR6, VAR9, wr, VAR5, din, VAR8, VAR4, VAR3); input clk; input wr; input [4:0] VAR2, VAR6, VAR5; input [31:0] din; output reg[31:0] VAR1, VAR9; output wire[31:0] VAR8; output wire[31:0] VAR4; output wire[31:0] VAR3; reg [31:0] VAR7 [0:31]; assign VAR8 = VAR7[5'b00001]; assign VAR4 = VAR7[5'b00010]; assign VAR3 = VAR7[5'b00011]; always @(posedge clk) begin if (wr == 1'b1) begin VAR7[VAR5] = din; end end always @(negedge clk) begin VAR1 <= (VAR2 == 5'b0) ? 32'b0 : VAR7[VAR2]; VAR9 <= (VAR6 == 5'b0) ? 32'b0 : VAR7[VAR6]; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/mux2/sky130_fd_sc_hdll__mux2_4.v
2,203
module MODULE1 ( VAR10 , VAR4 , VAR1 , VAR5 , VAR7, VAR3, VAR6 , VAR2 ); output VAR10 ; input VAR4 ; input VAR1 ; input VAR5 ; input VAR7; input VAR3; input VAR6 ; input VAR2 ; VAR8 VAR9 ( .VAR10(VAR10), .VAR4(VAR4), .VAR1(VAR1), .VAR5(VAR5), .VAR7(VAR7), .VAR3(VAR3), .VAR6(VAR6), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR10 , VAR4, VAR1, VAR5 ); output VAR10 ; input VAR4; input VAR1; input VAR5 ; supply1 VAR7; supply0 VAR3; supply1 VAR6 ; supply0 VAR2 ; VAR8 VAR9 ( .VAR10(VAR10), .VAR4(VAR4), .VAR1(VAR1), .VAR5(VAR5) ); endmodule
apache-2.0
545/Atari7800
new_atari/project_1/project_1.srcs/sources_1/imports/Atari7800/riot/riot.v
5,238
module MODULE1(VAR20, VAR13, VAR17, VAR14, VAR28, VAR1, VAR7, VAR11, VAR10, VAR19, VAR30, VAR2, VAR29, VAR33); input [6:0] VAR20; input [7:0] VAR13; output [7:0] VAR17; input VAR14, VAR28, VAR1, VAR7, VAR11, VAR19; output VAR10; input [7:0] VAR30, VAR29; output [7:0] VAR2, VAR33; reg [7:0] VAR17; reg [7:0] VAR22[127:0]; reg [7:0] VAR27, VAR12; reg [7:0] VAR21, VAR15; wire VAR8; reg VAR3; assign VAR8 = (VAR30[7] & ~VAR21[7]) | (VAR27[7] & VAR21[7]); assign VAR2 = VAR27 & VAR21; assign VAR33 = VAR12 & VAR15; reg [8:0] VAR24; reg [9:0] VAR25; reg [1:0] VAR26; reg VAR18, VAR36, VAR4, VAR6, VAR35; wire [9:0] VAR32[3:0]; assign VAR32[0] = 10'd0; assign VAR32[1] = 10'd7; assign VAR32[2] = 10'd63; assign VAR32[3] = 10'd1023; assign VAR10 = ~(VAR18 & VAR4 | VAR36 & VAR6); wire [6:0] VAR16; reg [6:0] VAR9; assign VAR16 = {VAR7, VAR1, VAR20[4:0]}; reg [7:0] VAR23; integer VAR31; always @(posedge VAR19) begin if (~VAR11) begin VAR27 <= 8'b0; VAR21 <= 8'b0; VAR12 <= 8'b0; VAR15 <= 8'b0; VAR18 <= 1'b0; VAR36 <= 1'b0; VAR6 <= 1'b0; VAR35 <= 1'b0; for (VAR31 = 0; VAR31 < 128; VAR31 = VAR31 + 1) VAR22[VAR31] <= 8'b0; VAR3 <= 1'b0; VAR9 <= VAR34; VAR23 <= 8'b0; end else if (VAR14 & ~VAR28) begin VAR3 <= VAR8; VAR9 <= VAR16; VAR23 <= VAR13; casex (VAR16) default: if (VAR24 == 9'b111111111) VAR18 <= 1'b1; endcase casex (VAR16) default: VAR36 <= VAR36 | (VAR8 != VAR3 & VAR8 == VAR35); endcase casex(VAR16) VAR5: VAR17 <= VAR22[VAR20]; VAR35 <= VAR20[0]; VAR6 <= VAR20[1]; end endcase end else begin if (VAR24 == 9'b111111111) VAR18 <= 1'b1; VAR3 <= VAR8; VAR36 <= VAR36 | (VAR8 != VAR3 & VAR8 == VAR35); VAR9 <=VAR34; end end always @(negedge VAR19)begin if (~VAR11) begin VAR24 <= 9'b0; VAR26 <= 2'b0; VAR25 <= 10'b0; VAR4 <= 1'b0; end else casex (VAR9) VAR24 <= {1'b0, VAR23}; VAR26 <= VAR9[1:0]; VAR25 <= VAR32[VAR9[1:0]]; VAR4 <= VAR9[3]; end default:if (VAR24 != 9'b100000000) begin if (VAR25 != 10'b0) VAR25 <= VAR25 - 10'b1; end else begin if (VAR24 == 9'b0) begin VAR25 <= 10'b0; VAR26 <= 2'b0; end else VAR25 <= VAR32[VAR26]; VAR24 <= VAR24 - 9'b1; end end endcase end endmodule
gpl-2.0
CospanDesign/nysa-verilog
verilog/wishbone/slave/wb_nh_lcd/rtl/nh_lcd_data_writer.v
6,064
module MODULE1#( parameter VAR19 = 12 )( input rst, input clk, output [31:0] VAR52, input VAR33, input [31:0] VAR35, input VAR49, output [1:0] VAR14, input [1:0] VAR17, input VAR4, output [23:0] VAR13, input [31:0] VAR23, output VAR50, output [7:0] VAR36, input [7:0] VAR30, output VAR6, output VAR26, output VAR27, input VAR41 ); localparam VAR57 = 4'h0; localparam VAR42 = 4'h1; localparam VAR28 = 4'h2; localparam VAR16 = 4'h3; localparam VAR24 = 4'h4; localparam VAR18 = 4'h5; localparam VAR9 = 4'h6; localparam VAR3 = 4'h7; reg [3:0] state; wire [7:0] VAR32; wire [7:0] VAR53; wire [7:0] VAR10; reg VAR43; wire VAR22; reg VAR31; wire [23:0] VAR2; wire [31:0] VAR11; reg [23:0] VAR39; reg [31:0] VAR48; reg VAR25; reg VAR21; reg VAR54; reg VAR7; reg [7:0] VAR37; VAR40 #( .VAR46(32), .VAR29(VAR19) .VAR29(2) )VAR45 ( .reset (rst ), .VAR34 (clk ), .VAR56 (VAR14 ), .VAR51 (VAR17 ), .VAR44 (VAR13 ), .VAR5 (VAR4 ), .VAR15 (VAR23 ), .VAR1 (clk ), .VAR8 (VAR43 ), .VAR55 (VAR22 ), .VAR38 (VAR31 ), .VAR20 (VAR2 ), .VAR47 (VAR11 ) ); assign VAR32 = VAR11[31:24]; assign VAR53 = VAR11[23:16]; assign VAR10 = VAR11[15:8]; assign VAR50 = VAR54; assign VAR36 = VAR37; assign VAR6 = VAR25; assign VAR26 = VAR21; assign VAR27 = VAR7; assign VAR52[0] = VAR33; assign VAR52[1] = VAR50; assign VAR52[2] = VAR6; assign VAR52[3] = VAR26; assign VAR52[11:4] = VAR27 ? VAR36 : VAR30; assign VAR52[16:13] = state; assign VAR52[21] = VAR27; assign VAR52[31:22] = 10'b0; always @ (posedge clk) begin if (rst) begin state <= VAR57; VAR37 <= 0; VAR25 <= 0; VAR21 <= 0; VAR54 <= 1; VAR7 <= 1; VAR39 <= 0; VAR43 <= 0; VAR31 <= 0; VAR48 <= 0; end else begin VAR25 <= 0; VAR43 <= 0; VAR54 <= 1; if (VAR22 && !VAR31) begin VAR39 <= 0; VAR31 <= 1; end case (state) VAR57: begin if (VAR33) begin if (VAR31) begin if (VAR48 >= VAR35) begin VAR48 <= 0; end else if (VAR48 == 0) begin if (VAR41) begin VAR54 <= 0; VAR25 <= 1; VAR37 <= VAR12; state <= VAR42; end end else begin state <= VAR28; end end end else begin VAR48 <= 0; end end VAR42: begin state <= VAR28; end VAR28: begin VAR25 <= 1; VAR37 <= VAR32[7:0]; state <= VAR16; end VAR16: begin state <= VAR24; end VAR24: begin VAR25 <= 1; VAR37 <= VAR53[7:0]; state <= VAR18; end VAR18: begin state <= VAR9; end VAR9: begin if (VAR39 < VAR2 - 1) begin VAR43 <= 1; end VAR25 <= 1; VAR37 <= VAR10[7:0]; state <= VAR3; end VAR3: begin VAR48 <= VAR48 + 1; if (VAR39 < VAR2 - 1) begin VAR39 <= VAR39 + 1; state <= VAR28; end else begin VAR31 <= 0; state <= VAR57; end end endcase end end endmodule
mit
zKarp/Karpentium-Processor
src/verilog/controller.v
4,034
module MODULE1(clk,VAR17,VAR18,VAR2,VAR20,VAR10,VAR5,VAR9,VAR1,VAR14,VAR19,VAR15,VAR3,VAR4,VAR11,VAR6,VAR13,VAR7); input clk,VAR17; input [3:0]VAR18; output reg VAR20,VAR10,VAR5; output reg [1:0] VAR2,VAR9; output VAR1,VAR14,VAR19,VAR15,VAR3,VAR4,VAR11,VAR6; reg VAR1,VAR14,VAR19,VAR15,VAR3,VAR4,VAR11,VAR6; output reg VAR7; output reg [2:0]VAR13; reg [3:0]VAR16; reg [5:0]VAR12; reg [5:0]VAR8;
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
models/udp_dff_pr_pp_pg_n/sky130_fd_sc_hdll__udp_dff_pr_pp_pg_n.symbol.v
1,486
module MODULE1 ( input VAR4 , output VAR6 , input VAR2 , input VAR7 , input VAR3, input VAR1 , input VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a22o/sky130_fd_sc_ls__a22o.functional.v
1,511
module MODULE1 ( VAR11 , VAR1, VAR7, VAR12, VAR10 ); output VAR11 ; input VAR1; input VAR7; input VAR12; input VAR10; wire VAR3 ; wire VAR6 ; wire VAR2; and VAR9 (VAR3 , VAR12, VAR10 ); and VAR4 (VAR6 , VAR1, VAR7 ); or VAR8 (VAR2, VAR6, VAR3); buf VAR5 (VAR11 , VAR2 ); endmodule
apache-2.0
walkthetalk/fsref
ip/axis_reshaper/src/axis_reshaper.v
5,135
module MODULE1 # ( parameter integer VAR22 = 8, parameter integer VAR10 = 2, parameter integer VAR33 = 12, parameter integer VAR1 = 12 ) ( input wire clk, input wire VAR14, input wire VAR2, input wire [VAR22-1:0] VAR9, input wire VAR18, input wire VAR13, output reg VAR12, output wire VAR17, output wire [VAR22-1:0] VAR7, output wire VAR8, output wire VAR30, input wire VAR34, output wire [VAR33-1:0] VAR26, output wire [VAR1-1:0]VAR31 ); reg VAR16[1:0]; reg [VAR22-1:0] VAR4[1:0]; reg VAR24[1:0]; reg VAR21[1:0]; wire VAR25; assign VAR25 = VAR2 && VAR12; assign VAR17 = VAR16[0]; assign VAR7 = VAR4[0]; assign VAR30 = VAR21[0]; assign VAR8 = VAR24[0]; reg [VAR33-1:0] VAR20; reg [VAR33-1:0] VAR29; wire VAR19; wire VAR32; reg[VAR10-1:0] VAR27; reg [VAR1-1:0] VAR23; reg [VAR1-1:0] VAR5; wire VAR28; wire VAR3; assign VAR19 = (VAR20 != VAR29); assign VAR32 = (VAR20 != 0); assign VAR28 = (VAR23 != VAR5); assign VAR3 = (VAR23 != 0); assign VAR26 = VAR20; assign VAR31 = VAR23; reg VAR15; wire VAR11; assign VAR11 = VAR25 && VAR15; reg VAR6; always @ (posedge clk) begin if (VAR14 == 1'b0) VAR6 <= 0; end else if (VAR25) VAR6 <= VAR13; end always @ (posedge clk) begin if (VAR14 == 1'b0) begin VAR29 <= 0; VAR20 <= 0; end else if (VAR25) begin if (VAR18 || VAR6) begin VAR29 <= 0; VAR20 <= VAR29; end else begin VAR29 <= VAR29 + 1; VAR20 <= VAR20; end end end always @ (posedge clk) begin if (VAR14 == 1'b0) begin VAR5 <= 0; VAR23 <= 0; end else if (VAR25) begin if (VAR18) begin VAR5 <= 0; VAR23 <= VAR5; end else if (VAR6) VAR5 <= VAR5 + 1; end end always @ (posedge clk) begin if (VAR14 == 1'b0) VAR27 <= 0; end else if (VAR25) begin if (VAR18) VAR27[VAR10-1:1] <= VAR27[VAR10-2:0]; if (VAR19) begin if (VAR18 || VAR6) VAR27[0] <= 0; end else if (VAR28) begin if (VAR18) VAR27[0] <= 0; end else if (VAR18) VAR27[0] <= 1; end end always @ (posedge clk) begin if (VAR14 == 1'b0) VAR15 <= 0; end else if (VAR25 && VAR13 && !VAR28 && (VAR27 == {VAR10{1'b1}})) VAR15 <= 1; end always @ (posedge clk) begin if (VAR14 == 1'b0) begin VAR16[1] <= 0; VAR4[1] <= 0; VAR24[1] <= 0; VAR21[1] <= 0; end else if (VAR11) begin if (VAR16[1]) begin VAR16[1] <= 1; VAR4[1] <= VAR9; VAR24[1] <= VAR18; VAR21[1] <= VAR13; end else if (VAR16[0] && ~VAR34) begin VAR16[1] <= 1; VAR4[1] <= VAR9; VAR24[1] <= VAR18; VAR21[1] <= VAR13; end else VAR16[1] <= 0; end else if (~VAR16[0] || VAR34) begin VAR16[1] <= 0; end end always @ (posedge clk) begin if (VAR14 == 1'b0) begin VAR16[0] <= 0; VAR4[0] <= 0; VAR24[0] <= 0; VAR21[0] <= 0; end else if (~VAR16[0] || VAR34) begin if (VAR16[1]) begin VAR16[0] <= 1; VAR4[0] <= VAR4[1]; VAR24[0] <= VAR24[1]; VAR21[0] <= VAR21[1]; end else if (VAR11) begin VAR16[0] <= 1; VAR4[0] <= VAR9; VAR24[0] <= VAR18; VAR21[0] <= VAR13; end else begin VAR16[0] <= 0; end end end always @ (posedge clk) begin if (VAR14 == 1'b0) VAR12 <= 0; end else begin case ({VAR16[1], VAR16[0]}) 2'b00, 2'b10: VAR12 <= 1; 2'b01: VAR12 <= (~VAR12 || VAR34); 2'b11: VAR12 <= (~VAR12 && VAR34); endcase end end endmodule
gpl-3.0
Jafet95/proy_3_grupo_2_sem_1_2016
contador_AD_SS_2dig.v
4,764
module MODULE1 ( input wire clk, input wire reset, input wire [3:0] VAR1, input wire VAR7, input wire VAR8, output wire [7:0] VAR3); localparam VAR2 = 6; reg [VAR2-1:0] VAR9, VAR4; wire [VAR2-1:0] VAR5; reg [3:0] VAR10, VAR6; always@(posedge clk, posedge reset) begin if(reset) begin VAR9 <= 6'b0; end else begin VAR9 <= VAR4; end end always@* begin if (VAR1 == 1) begin if (VAR7) begin if (VAR9 >= 6'd59) VAR4 = 6'd0; end else VAR4 = VAR9 + 6'd1; end else if (VAR8) begin if (VAR9 == 6'd0) VAR4 = 6'd59; end else VAR4 = VAR9 - 6'd1; end else VAR4 = VAR9; end else VAR4 = VAR9; end assign VAR5 = VAR9; always@* begin case(VAR5) 6'd0: begin VAR10 = 4'b0000; VAR6 = 4'b0000; end 6'd1: begin VAR10 = 4'b0000; VAR6 = 4'b0001; end 6'd2: begin VAR10 = 4'b0000; VAR6 = 4'b0010; end 6'd3: begin VAR10 = 4'b0000; VAR6 = 4'b0011; end 6'd4: begin VAR10 = 4'b0000; VAR6 = 4'b0100; end 6'd5: begin VAR10 = 4'b0000; VAR6 = 4'b0101; end 6'd6: begin VAR10 = 4'b0000; VAR6 = 4'b0110; end 6'd7: begin VAR10 = 4'b0000; VAR6 = 4'b0111; end 6'd8: begin VAR10 = 4'b0000; VAR6 = 4'b1000; end 6'd9: begin VAR10 = 4'b0000; VAR6 = 4'b1001; end 6'd10: begin VAR10 = 4'b0001; VAR6 = 4'b0000; end 6'd11: begin VAR10 = 4'b0001; VAR6 = 4'b0001; end 6'd12: begin VAR10 = 4'b0001; VAR6 = 4'b0010; end 6'd13: begin VAR10 = 4'b0001; VAR6 = 4'b0011; end 6'd14: begin VAR10 = 4'b0001; VAR6 = 4'b0100; end 6'd15: begin VAR10 = 4'b0001; VAR6 = 4'b0101; end 6'd16: begin VAR10 = 4'b0001; VAR6 = 4'b0110; end 6'd17: begin VAR10 = 4'b0001; VAR6 = 4'b0111; end 6'd18: begin VAR10 = 4'b0001; VAR6 = 4'b1000; end 6'd19: begin VAR10 = 4'b0001; VAR6 = 4'b1001; end 6'd20: begin VAR10 = 4'b0010; VAR6 = 4'b0000; end 6'd21: begin VAR10 = 4'b0010; VAR6 = 4'b0001; end 6'd22: begin VAR10 = 4'b0010; VAR6 = 4'b0010; end 6'd23: begin VAR10 = 4'b0010; VAR6 = 4'b0011; end 6'd24: begin VAR10 = 4'b0010; VAR6 = 4'b0100; end 6'd25: begin VAR10 = 4'b0010; VAR6 = 4'b0101; end 6'd26: begin VAR10 = 4'b0010; VAR6 = 4'b0110; end 6'd27: begin VAR10 = 4'b0010; VAR6 = 4'b0111; end 6'd28: begin VAR10 = 4'b0010; VAR6 = 4'b1000; end 6'd29: begin VAR10 = 4'b0010; VAR6 = 4'b1001; end 6'd30: begin VAR10 = 4'b0011; VAR6 = 4'b0000; end 6'd31: begin VAR10 = 4'b0011; VAR6 = 4'b0001; end 6'd32: begin VAR10 = 4'b0011; VAR6 = 4'b0010; end 6'd33: begin VAR10 = 4'b0011; VAR6 = 4'b0011; end 6'd34: begin VAR10 = 4'b0011; VAR6 = 4'b0100; end 6'd35: begin VAR10 = 4'b0011; VAR6 = 4'b0101; end 6'd36: begin VAR10 = 4'b0011; VAR6 = 4'b0110; end 6'd37: begin VAR10 = 4'b0011; VAR6 = 4'b0111; end 6'd38: begin VAR10 = 4'b0011; VAR6 = 4'b1000; end 6'd39: begin VAR10 = 4'b0011; VAR6 = 4'b1001; end 6'd40: begin VAR10 = 4'b0100; VAR6 = 4'b0000; end 6'd41: begin VAR10 = 4'b0100; VAR6 = 4'b0001; end 6'd42: begin VAR10 = 4'b0100; VAR6 = 4'b0010; end 6'd43: begin VAR10 = 4'b0100; VAR6 = 4'b0011; end 6'd44: begin VAR10 = 4'b0100; VAR6 = 4'b0100; end 6'd45: begin VAR10 = 4'b0100; VAR6 = 4'b0101; end 6'd46: begin VAR10 = 4'b0100; VAR6 = 4'b0110; end 6'd47: begin VAR10 = 4'b0100; VAR6 = 4'b0111; end 6'd48: begin VAR10 = 4'b0100; VAR6 = 4'b1000; end 6'd49: begin VAR10 = 4'b0100; VAR6 = 4'b1001; end 6'd50: begin VAR10 = 4'b0101; VAR6 = 4'b0000; end 6'd51: begin VAR10 = 4'b0101; VAR6 = 4'b0001; end 6'd52: begin VAR10 = 4'b0101; VAR6 = 4'b0010; end 6'd53: begin VAR10 = 4'b0101; VAR6 = 4'b0011; end 6'd54: begin VAR10 = 4'b0101; VAR6 = 4'b0100; end 6'd55: begin VAR10 = 4'b0101; VAR6 = 4'b0101; end 6'd56: begin VAR10 = 4'b0101; VAR6 = 4'b0110; end 6'd57: begin VAR10 = 4'b0101; VAR6 = 4'b0111; end 6'd58: begin VAR10 = 4'b0101; VAR6 = 4'b1000; end 6'd59: begin VAR10 = 4'b0101; VAR6 = 4'b1001; end default: begin VAR10 = 0; VAR6 = 0; end endcase end assign VAR3 = {VAR10,VAR6}; endmodule
mit
bluespec/Flute
builds/AWSteria_Core_Flute_RV64_Linux/Verilog_RTL_PLATFORM_AWSF1/mkNear_Mem_IO_AXI4.v
97,898
module MODULE1(VAR170, VAR2, VAR201, VAR165, VAR48, VAR338, VAR320, VAR258, VAR3, VAR47, VAR251, VAR292, VAR168, VAR91, VAR200, VAR310, VAR127, VAR196, VAR259, VAR75, VAR245, VAR26, VAR138, VAR134, VAR341, VAR122, VAR132, VAR265, VAR162, VAR29, VAR250, VAR303, VAR183, VAR92, VAR98, VAR112, VAR95, VAR81, VAR205, VAR246, VAR238, VAR108, VAR314, VAR53, VAR192, VAR233, VAR121, VAR83, VAR159, VAR242, VAR86, VAR56, VAR266, VAR171, VAR318, VAR328); input VAR170; input VAR2; input VAR201; output VAR165; input VAR48; output VAR338; input [63 : 0] VAR320; input [63 : 0] VAR258; input VAR3; output VAR47; input VAR251; input [15 : 0] VAR292; input [63 : 0] VAR168; input [7 : 0] VAR91; input [2 : 0] VAR200; input [1 : 0] VAR310; input VAR127; input [3 : 0] VAR196; input [2 : 0] VAR259; input [3 : 0] VAR75; input [3 : 0] VAR245; output VAR26; input VAR138; input [63 : 0] VAR134; input [7 : 0] VAR341; input VAR122; output VAR132; output VAR265; output [15 : 0] VAR162; output [1 : 0] VAR29; input VAR250; input VAR303; input [15 : 0] VAR183; input [63 : 0] VAR92; input [7 : 0] VAR98; input [2 : 0] VAR112; input [1 : 0] VAR95; input VAR81; input [3 : 0] VAR205; input [2 : 0] VAR246; input [3 : 0] VAR238; input [3 : 0] VAR108; output VAR314; output VAR53; output [15 : 0] VAR192; output [63 : 0] VAR233; output [1 : 0] VAR121; output VAR83; input VAR159; output [63 : 0] VAR242; input VAR86; output VAR56; output VAR266; input VAR171; output VAR318; output VAR328; wire [63 : 0] VAR233, VAR242; wire [15 : 0] VAR162, VAR192; wire [1 : 0] VAR29, VAR121; wire VAR328, VAR266, VAR165, VAR338, VAR47, VAR314, VAR26, VAR265, VAR83, VAR53, VAR132, VAR318, VAR56; wire [63 : 0] VAR78, VAR27, VAR261, VAR39, VAR151; wire VAR42, VAR36; reg [3 : 0] VAR167; wire [3 : 0] VAR32; wire VAR189; reg [63 : 0] VAR326; wire [63 : 0] VAR61; wire VAR94; reg [63 : 0] VAR267; wire [63 : 0] VAR149; wire VAR8; reg [63 : 0] VAR176; wire [63 : 0] VAR321; wire VAR52; reg [63 : 0] VAR269; wire [63 : 0] VAR51; wire VAR281; reg VAR60; wire VAR20, VAR197; reg VAR313; reg VAR193; wire VAR336; reg VAR268; wire VAR103, VAR143; reg VAR16; wire VAR18, VAR240; wire VAR217, VAR185, VAR290, VAR104, VAR203; wire VAR136, VAR325, VAR156, VAR284, VAR163; wire VAR55, VAR256, VAR187, VAR225, VAR249, VAR97, VAR124; wire VAR102, VAR152, VAR224, VAR191, VAR109, VAR208, VAR199; wire [108 : 0] VAR133, VAR146; wire VAR198, VAR12, VAR113, VAR111, VAR96; wire [82 : 0] VAR339, VAR218; wire VAR273, VAR236, VAR285, VAR333, VAR7; wire [108 : 0] VAR248, VAR161; wire VAR85, VAR180, VAR37, VAR253, VAR234; wire [72 : 0] VAR172, VAR301; wire VAR308, VAR87, VAR54, VAR140, VAR19; wire [17 : 0] VAR166, VAR145; wire VAR312, VAR62, VAR263, VAR90, VAR206; wire VAR38, VAR213, VAR9, VAR157, VAR220, VAR69, VAR43, VAR66, VAR128, VAR215, VAR340, VAR257, VAR120, VAR231, VAR64, VAR237, VAR212, VAR110, VAR296, VAR34, VAR276, VAR15, VAR25, VAR214, VAR46, VAR270, VAR181, VAR228, VAR129, VAR44, VAR73, VAR332, VAR101, VAR35; wire VAR23, VAR316, VAR204, VAR327, VAR188, VAR243; reg [31 : 0] VAR59; reg [31 : 0] VAR100; reg [31 : 0] VAR277; reg [31 : 0] VAR329; reg [31 : 0] VAR222; reg [31 : 0] VAR337; reg [31 : 0] VAR30; reg [31 : 0] VAR93; reg [31 : 0] VAR115; reg [31 : 0] VAR291; reg [31 : 0] VAR324; reg [31 : 0] VAR131; reg [31 : 0] VAR164; reg [31 : 0] VAR105; reg [31 : 0] VAR311; reg [31 : 0] VAR283; reg [31 : 0] VAR309; reg [31 : 0] VAR226; reg [31 : 0] VAR216; reg [31 : 0] VAR294; reg [31 : 0] VAR175; reg [31 : 0] VAR80; reg [31 : 0] VAR144; reg [31 : 0] VAR195; reg [31 : 0] VAR227; reg [31 : 0] VAR70; reg [31 : 0] VAR139; reg [31 : 0] VAR50; reg [63 : 0] VAR235; reg [1 : 0] VAR153, VAR207; wire [63 : 0] VAR76, VAR297, VAR141, VAR255, VAR10, VAR31, VAR72, VAR169, VAR293, VAR17, VAR77, VAR262, VAR84; wire [7 : 0] VAR6, VAR280, VAR154, VAR125, VAR155, VAR82, VAR89, VAR322; wire [1 : 0] VAR114, VAR79; wire VAR274, VAR4, VAR148, VAR230, VAR1, VAR14, VAR158, VAR137; assign VAR165 = VAR203 ; assign VAR64 = VAR203 ; assign VAR332 = VAR201 ; assign VAR338 = VAR156 ; assign VAR237 = VAR156 ; assign VAR101 = VAR48 ; assign VAR47 = VAR16 ; assign VAR212 = VAR16 ; assign VAR35 = VAR3 ; assign VAR128 = 1'd1 ; assign VAR270 = 1'd1 ; assign VAR26 = VAR234 ; assign VAR257 = 1'd1 ; assign VAR129 = 1'd1 ; assign VAR132 = VAR19 ; assign VAR265 = VAR263 ; assign VAR162 = VAR145[17:2] ; assign VAR29 = VAR145[1:0] ; assign VAR215 = 1'd1 ; assign VAR181 = 1'd1 ; assign VAR66 = 1'd1 ; assign VAR46 = 1'd1 ; assign VAR314 = VAR96 ; assign VAR53 = VAR285 ; assign VAR192 = VAR218[82:67] ; assign VAR233 = VAR218[66:3] ; assign VAR121 = VAR218[2:1] ; assign VAR83 = VAR218[0] ; assign VAR340 = 1'd1 ; assign VAR228 = 1'd1 ; assign VAR242 = VAR326 ; assign VAR56 = VAR191 ; assign VAR266 = VAR109 ; assign VAR231 = VAR109 ; assign VAR73 = VAR86 ; assign VAR318 = VAR225 ; assign VAR328 = VAR249 ; assign VAR120 = VAR249 ; assign VAR44 = VAR171 ; VAR331 #(.VAR106(1'd1)) VAR219(.VAR305(VAR2), .VAR170(VAR170), .VAR190(VAR104), .VAR302(VAR185), .VAR22(VAR217), .VAR13(VAR203), .VAR88(VAR290)); VAR331 #(.VAR106(1'd1)) VAR186(.VAR305(VAR2), .VAR170(VAR170), .VAR190(VAR284), .VAR302(VAR325), .VAR22(VAR136), .VAR13(VAR163), .VAR88(VAR156)); VAR306 #(.VAR57(32'd1), .VAR106(1'd1)) VAR275(.VAR305(VAR2), .VAR170(VAR170), .VAR130(VAR187), .VAR190(VAR97), .VAR302(VAR256), .VAR22(VAR55), .VAR99(VAR225), .VAR13(VAR124), .VAR88(VAR249)); VAR306 #(.VAR57(32'd1), .VAR106(1'd1)) VAR342(.VAR305(VAR2), .VAR170(VAR170), .VAR130(VAR224), .VAR190(VAR208), .VAR302(VAR152), .VAR22(VAR102), .VAR99(VAR191), .VAR13(VAR199), .VAR88(VAR109)); VAR306 #(.VAR57(32'd109), .VAR106(1'd1)) VAR40(.VAR305(VAR2), .VAR170(VAR170), .VAR130(VAR133), .VAR190(VAR111), .VAR302(VAR12), .VAR22(VAR198), .VAR99(VAR146), .VAR13(VAR96), .VAR88(VAR113)); VAR306 #(.VAR57(32'd83), .VAR106(1'd1)) VAR209(.VAR305(VAR2), .VAR170(VAR170), .VAR130(VAR339), .VAR190(VAR333), .VAR302(VAR236), .VAR22(VAR273), .VAR99(VAR218), .VAR13(VAR7), .VAR88(VAR285)); VAR306 #(.VAR57(32'd109), .VAR106(1'd1)) VAR194(.VAR305(VAR2), .VAR170(VAR170), .VAR130(VAR248), .VAR190(VAR253), .VAR302(VAR180), .VAR22(VAR85), .VAR99(VAR161), .VAR13(VAR234), .VAR88(VAR37)); VAR306 #(.VAR57(32'd73), .VAR106(1'd1)) VAR135(.VAR305(VAR2), .VAR170(VAR170), .VAR130(VAR172), .VAR190(VAR140), .VAR302(VAR87), .VAR22(VAR308), .VAR99(VAR301), .VAR13(VAR19), .VAR88(VAR54)); VAR306 #(.VAR57(32'd18), .VAR106(1'd1)) VAR174(.VAR305(VAR2), .VAR170(VAR170), .VAR130(VAR166), .VAR190(VAR90), .VAR302(VAR62), .VAR22(VAR312), .VAR99(VAR145), .VAR13(VAR206), .VAR88(VAR263)); assign VAR213 = VAR230 || VAR199 ; assign VAR296 = VAR213 ; assign VAR220 = VAR243 ; assign VAR15 = VAR243 ; assign VAR38 = VAR16 && !VAR290 ; assign VAR110 = VAR38 ; assign VAR9 = VAR34 ; assign VAR34 = VAR113 && VAR7 && VAR16 && !VAR290 ; assign VAR43 = VAR16 && VAR326 != 64'hFFFFFFFFFFFFFFFF && !VAR290 ; assign VAR214 = VAR43 ; assign VAR157 = VAR137 && VAR16 && !VAR290 ; assign VAR276 = VAR157 ; assign VAR69 = VAR290 ; assign VAR25 = VAR188 ; assign VAR23 = VAR276 && !VAR14 && (VAR297 == 64'h000000000000BFF8 || VAR297 == 64'h000000000000BFFC) ; assign VAR316 = VAR276 && !VAR14 && (VAR297 == 64'h0000000000004000 || VAR297 == 64'h0000000000004004) ; assign VAR204 = VAR276 && !VAR14 && VAR297 == 64'h0 && !VAR148 ; assign VAR188 = VAR290 && !VAR15 ; assign VAR243 = VAR290 && VAR163 && !VAR16 ; assign VAR327 = VAR326 >= VAR267 ; assign VAR78 = VAR326 + 64'd1 ; assign VAR42 = VAR276 && !VAR14 && (VAR297 == 64'h000000000000BFF8 || VAR297 == 64'h000000000000BFFC) || VAR15 ; assign VAR27 = VAR23 ? VAR255 : 64'd0 ; assign VAR261 = VAR42 ? VAR27 : VAR31 ; assign VAR36 = VAR276 && !VAR14 && (VAR297 == 64'h0000000000004000 || VAR297 == 64'h0000000000004004) || VAR15 ; assign VAR39 = VAR316 ? VAR10 : 64'hFFFFFFFFFFFFFFFF ; assign VAR151 = VAR36 ? VAR39 : VAR267 ; assign VAR32 = 4'h0 ; assign VAR189 = 1'b0 ; assign VAR61 = VAR261 ; assign VAR94 = 1'b1 ; assign VAR149 = VAR151 ; assign VAR8 = 1'b1 ; assign VAR321 = VAR320 ; assign VAR52 = VAR3 ; assign VAR51 = VAR258 ; assign VAR281 = VAR3 ; assign VAR20 = VAR204 && VAR301[9] ; assign VAR197 = VAR276 && !VAR14 && VAR297 == 64'h0 && !VAR148 || VAR15 ; always@(VAR316 or VAR110 or VAR327 or VAR15) case (1'b1) VAR316: VAR193 = 1'd0; VAR110: VAR193 = VAR327; VAR15: VAR193 = 1'd0; default: VAR193 = 1'b0 ; endcase assign VAR336 = VAR276 && !VAR14 && (VAR297 == 64'h0000000000004000 || VAR297 == 64'h0000000000004004) || VAR110 || VAR15 ; assign VAR103 = !VAR15 && VAR313 ; assign VAR143 = VAR296 || VAR15 ; assign VAR18 = !VAR25 ; assign VAR240 = VAR25 || VAR15 ; assign VAR104 = VAR201 ; assign VAR185 = VAR243 ; assign VAR217 = 1'b0 ; assign VAR284 = VAR243 ; assign VAR325 = VAR48 ; assign VAR136 = 1'b0 ; assign VAR187 = VAR301[9] ; assign VAR97 = VAR204 ; assign VAR256 = VAR171 ; assign VAR55 = VAR243 ; assign VAR224 = VAR313 ; assign VAR208 = VAR296 && !VAR230 ; assign VAR152 = VAR86 ; assign VAR102 = VAR243 ; assign VAR133 = { VAR183, VAR92, VAR98, VAR112, VAR95, VAR81, VAR205, VAR246, VAR238, VAR108 } ; assign VAR111 = VAR303 && VAR96 ; assign VAR12 = VAR34 ; assign VAR198 = VAR243 ; assign VAR339 = { VAR146[108:93], VAR169, VAR114, 1'd1 } ; assign VAR333 = VAR34 ; assign VAR236 = VAR159 && VAR285 ; assign VAR273 = VAR243 ; assign VAR248 = { VAR292, VAR168, VAR91, VAR200, VAR310, VAR127, VAR196, VAR259, VAR75, VAR245 } ; assign VAR253 = VAR251 && VAR234 ; assign VAR180 = VAR157 ; assign VAR85 = VAR243 ; assign VAR172 = { VAR134, VAR341, VAR122 } ; assign VAR140 = VAR138 && VAR19 ; assign VAR87 = VAR157 ; assign VAR308 = VAR243 ; assign VAR166 = { VAR161[108:93], VAR79 } ; assign VAR90 = VAR157 ; assign VAR62 = VAR250 && VAR263 ; assign VAR312 = VAR243 ; assign VAR274 = VAR167 > 4'd1 ; assign VAR4 = !VAR14 && (VAR297 == 64'h0000000000004000 || VAR297 == 64'h0000000000004004) && VAR274 ; assign VAR6 = {8{VAR301[1]}} ; assign VAR280 = {8{VAR301[2]}} ; assign VAR154 = {8{VAR301[3]}} ; assign VAR125 = {8{VAR301[4]}} ; assign VAR155 = {8{VAR301[5]}} ; assign VAR82 = {8{VAR301[6]}} ; assign VAR89 = {8{VAR301[7]}} ; assign VAR322 = {8{VAR301[8]}} ; assign VAR76 = VAR146[92:29] - VAR176 ; assign VAR297 = VAR161[92:29] - VAR176 ; assign VAR141 = { VAR322, VAR89, VAR82, VAR155, VAR125, VAR154, VAR280, VAR6 } ; assign VAR255 = VAR77 | VAR262 ; assign VAR10 = VAR293 | VAR262 ; assign VAR31 = VAR43 ? VAR78 : VAR326 ; assign VAR72 = { 63'd0, VAR60 } ; assign VAR148 = VAR60 == VAR301[9] ; assign VAR230 = VAR313 == VAR268 ; assign VAR114 = VAR1 ? 2'b11 : VAR153 ; assign VAR1 = VAR146[92:29] < VAR176 ; assign VAR14 = VAR161[92:29] < VAR176 ; assign VAR158 = (VAR297 == 64'h0000000000004000 || VAR297 == 64'h0000000000004004) && VAR10 > VAR31 && VAR17 > 64'h0000000100000000 ; assign VAR137 = VAR37 && VAR54 && VAR206 && (VAR14 || VAR297 != 64'h0 || VAR148 || VAR124) ; assign VAR79 = VAR14 ? 2'b11 : VAR207 ; assign VAR169 = VAR1 ? 64'd0 : VAR235 ; assign VAR293 = VAR267 & VAR84 ; assign VAR17 = VAR10 - VAR31 ; assign VAR77 = VAR31 & VAR84 ; assign VAR262 = VAR301[72:9] & VAR141 ; assign VAR84 = { ~VAR322, ~VAR89, ~VAR82, ~VAR155, ~VAR125, ~VAR154, ~VAR280, ~VAR6 } ; always@(VAR76) begin case (VAR76) 64'h0, 64'h0000000000000004, 64'h0000000000004000, 64'h0000000000004004, 64'h000000000000BFF8, 64'h000000000000BFFC: VAR153 = 2'b0; default: VAR153 = 2'b11; endcase end always@(VAR76 or VAR72 or VAR267 or VAR326) begin case (VAR76) 64'h0: VAR235 = VAR72; 64'h0000000000000004: VAR235 = 64'd0; 64'h0000000000004000, 64'h0000000000004004: VAR235 = VAR267; 64'h000000000000BFF8, 64'h000000000000BFFC: VAR235 = VAR326; default: VAR235 = 64'd0; endcase end always@(VAR297) begin case (VAR297) 64'h0, 64'h0000000000000004, 64'h0000000000004000, 64'h0000000000004004, 64'h000000000000BFF8, 64'h000000000000BFFC: VAR207 = 2'b0; default: VAR207 = 2'b11; endcase end always@(posedge VAR170) begin if (VAR2 == VAR223) begin VAR167 <= VAR24 4'd0; VAR326 <= VAR24 64'd0; VAR267 <= VAR24 64'hFFFFFFFFFFFFFFFF; VAR313 <= VAR24 1'd0; VAR268 <= VAR24 1'd0; VAR16 <= VAR24 1'd0; end else begin if (VAR189) VAR167 <= VAR24 VAR32; if (VAR94) VAR326 <= VAR24 VAR61; if (VAR8) VAR267 <= VAR24 VAR149; if (VAR336) VAR313 <= VAR24 VAR193; if (VAR143) VAR268 <= VAR24 VAR103; if (VAR240) VAR16 <= VAR24 VAR18; end if (VAR52) VAR176 <= VAR24 VAR321; if (VAR281) VAR269 <= VAR24 VAR51; if (VAR197) VAR60 <= VAR24 VAR20; end begin VAR167 = 4'hA; VAR326 = 64'hAAAAAAAAAAAAAAAA; VAR267 = 64'hAAAAAAAAAAAAAAAA; VAR176 = 64'hAAAAAAAAAAAAAAAA; VAR269 = 64'hAAAAAAAAAAAAAAAA; VAR60 = 1'h0; VAR313 = 1'h0; VAR268 = 1'h0; VAR16 = 1'h0; end always@(negedge VAR170) begin if (VAR2 != VAR223) if (VAR86 && VAR274) begin VAR59 = VAR21; end VAR139 = VAR59 / 32'd10; if (VAR2 != VAR223) if (VAR86 && VAR274) VAR252("%0d: VAR107: VAR278: %VAR178", VAR139, VAR191); if (VAR2 != VAR223) if (VAR171 && VAR274) begin VAR100 = VAR21; end VAR50 = VAR100 / 32'd10; if (VAR2 != VAR223) if (VAR171 && VAR274) VAR252("%0d: VAR107: VAR264: %VAR178", VAR50, VAR225); if (VAR2 != VAR223) if (VAR296 && !VAR230 && VAR274) begin VAR277 = VAR21; end VAR283 = VAR277 / 32'd10; if (VAR2 != VAR223) if (VAR296 && !VAR230 && VAR274) VAR252("%0d: VAR107.VAR286: VAR313 VAR241 VAR300 %0d", VAR283, VAR313); if (VAR2 != VAR223) if (VAR15 && VAR167 != 4'd0) begin VAR329 = VAR21; end VAR311 = VAR329 / 32'd10; if (VAR2 != VAR223) if (VAR15 && VAR167 != 4'd0) if (VAR2 != VAR223) if (VAR34 && VAR274) begin VAR222 = VAR21; end VAR309 = VAR222 / 32'd10; if (VAR2 != VAR223) if (VAR34 && VAR274) if (VAR2 != VAR223) if (VAR34 && VAR274) (" "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("VAR119 { ", "VAR260: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[108:93]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR142: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[92:29]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR229: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[28:21]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR330: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[20:18]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR126: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[17:16]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR5: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[15]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR58: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[14:11]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR123: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[10:8]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR68: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[7:4]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR150: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[3:0]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR33: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR34 && VAR274) ("\VAR298"); if (VAR2 != VAR223) if (VAR34 && VAR1) begin VAR337 = VAR21; end VAR226 = VAR337 / 32'd10; if (VAR2 != VAR223) if (VAR34 && VAR1) VAR252("%0d: VAR247: VAR107.VAR304: VAR295 addr", VAR226); if (VAR2 != VAR223) if (VAR34 && VAR1) (" "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("VAR119 { ", "VAR260: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[108:93]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR142: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[92:29]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR229: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[28:21]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR330: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[20:18]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR126: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[17:16]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR5: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[15]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR58: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[14:11]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR123: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[10:8]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR68: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[7:4]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR150: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", VAR146[3:0]); if (VAR2 != VAR223) if (VAR34 && VAR1) (", ", "VAR33: "); if (VAR2 != VAR223) if (VAR34 && VAR1) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR34 && VAR1) ("\VAR298"); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) begin VAR30 = VAR21; end VAR216 = VAR30 / 32'd10; if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) VAR252("%0d: VAR247: VAR107.VAR304: VAR295 addr", VAR216); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (" "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("VAR119 { ", "VAR260: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[108:93]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR142: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[92:29]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR229: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[28:21]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR330: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[20:18]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR126: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[17:16]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR5: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[15]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR58: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[14:11]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR123: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[10:8]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR68: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[7:4]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR150: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR146[3:0]); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) (", ", "VAR33: "); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR34 && (VAR1 || VAR76 != 64'h0 && VAR76 != 64'h0000000000004000 && VAR76 != 64'h000000000000BFF8 && VAR76 != 64'h0000000000000004 && VAR76 != 64'h0000000000004004 && VAR76 != 64'h000000000000BFFC)) ("\VAR298"); if (VAR2 != VAR223) if (VAR34 && VAR274) (" "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("VAR11 { ", "VAR244: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR146[108:93]); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR202: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR169); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR45: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", VAR114); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR116: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("VAR118"); if (VAR2 != VAR223) if (VAR34 && VAR274) (", ", "VAR239: "); if (VAR2 != VAR223) if (VAR34 && VAR274) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR34 && VAR274) ("\VAR298"); if (VAR2 != VAR223) if (VAR276 && VAR274) begin VAR93 = VAR21; end VAR294 = VAR93 / 32'd10; if (VAR2 != VAR223) if (VAR276 && VAR274) if (VAR2 != VAR223) if (VAR276 && VAR274) (" "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("VAR317 { ", "VAR74: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[108:93]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR272: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[92:29]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR67: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[28:21]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR71: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[20:18]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR335: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[17:16]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR282: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[15]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR179: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[14:11]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR271: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[10:8]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR315: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[7:4]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR173: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[3:0]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR288: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR276 && VAR274) ("\VAR298"); if (VAR2 != VAR223) if (VAR276 && VAR274) (" "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("VAR289 { ", "VAR221: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR301[72:9]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR65: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR301[8:1]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR334: "); if (VAR2 != VAR223) if (VAR276 && VAR274 && VAR301[0]) ("VAR118"); if (VAR2 != VAR223) if (VAR276 && VAR274 && !VAR301[0]) ("VAR28"); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR147: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR276 && VAR274) ("\VAR298"); if (VAR2 != VAR223) if (VAR276 && VAR14) begin VAR115 = VAR21; end VAR175 = VAR115 / 32'd10; if (VAR2 != VAR223) if (VAR276 && VAR14) VAR252("%0d: VAR247: VAR107.VAR117: VAR295 addr", VAR175); if (VAR2 != VAR223) if (VAR276 && VAR14) (" "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("VAR317 { ", "VAR74: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[108:93]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR272: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[92:29]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR67: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[28:21]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR71: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[20:18]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR335: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[17:16]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR282: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[15]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR179: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[14:11]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR271: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[10:8]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR315: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[7:4]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR173: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR161[3:0]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR288: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR276 && VAR14) ("\VAR298"); if (VAR2 != VAR223) if (VAR276 && VAR14) (" "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("VAR289 { ", "VAR221: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR301[72:9]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR65: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", VAR301[8:1]); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR334: "); if (VAR2 != VAR223) if (VAR276 && VAR14 && VAR301[0]) ("VAR118"); if (VAR2 != VAR223) if (VAR276 && VAR14 && !VAR301[0]) ("VAR28"); if (VAR2 != VAR223) if (VAR276 && VAR14) (", ", "VAR147: "); if (VAR2 != VAR223) if (VAR276 && VAR14) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR276 && VAR14) ("\VAR298"); if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 == 64'h0 && !VAR148 && VAR274) if (VAR2 != VAR223) if (VAR276 && VAR4) if (VAR2 != VAR223) if (VAR276 && VAR4) if (VAR2 != VAR223) if (VAR276 && VAR4) if (VAR2 != VAR223) if (VAR276 && VAR4) if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR158) begin VAR291 = VAR21; end VAR80 = VAR291 / 32'd10; if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR158) VAR252("%0d: VAR177: VAR307 large VAR49 VAR182: VAR160 %0d VAR323 %0d", VAR80, VAR31, VAR10); if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 == 64'h000000000000BFF8 && VAR274) if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 == 64'h000000000000BFF8 && VAR274) if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 == 64'h000000000000BFF8 && VAR274) if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 == 64'h000000000000BFFC && VAR274) if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 == 64'h000000000000BFFC && VAR274) if (VAR2 != VAR223) if (VAR276 && !VAR14 && VAR297 == 64'h000000000000BFFC && VAR274) if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) begin VAR324 = VAR21; end VAR144 = VAR324 / 32'd10; if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) VAR252("%0d: VAR247: VAR107.VAR117: VAR295 addr", VAR144); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (" "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("VAR317 { ", "VAR74: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[108:93]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR272: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[92:29]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR67: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[28:21]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR71: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[20:18]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR335: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[17:16]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR282: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[15]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR179: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[14:11]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR271: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[10:8]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR315: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[7:4]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR173: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR161[3:0]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR288: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("\VAR298"); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (" "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("VAR289 { ", "VAR221: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR301[72:9]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR65: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", VAR301[8:1]); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR334: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC) && VAR301[0]) ("VAR118"); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC) && !VAR301[0]) ("VAR28"); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) (", ", "VAR147: "); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR276 && (VAR14 || VAR297 != 64'h0 && VAR297 != 64'h0000000000000004 && VAR297 != 64'h0000000000004000 && VAR297 != 64'h0000000000004004 && VAR297 != 64'h000000000000BFF8 && VAR297 != 64'h000000000000BFFC)) ("\VAR298"); if (VAR2 != VAR223) if (VAR276 && VAR274) (" "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("VAR254 { ", "VAR287: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR161[108:93]); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR210: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", VAR79); if (VAR2 != VAR223) if (VAR276 && VAR274) (", ", "VAR184: "); if (VAR2 != VAR223) if (VAR276 && VAR274) ("'VAR319%VAR319", 1'd0, " }"); if (VAR2 != VAR223) if (VAR276 && VAR274) ("\VAR298"); if (VAR2 != VAR223) if (VAR3 && VAR320[1:0] != 2'd0) begin VAR131 = VAR21; end VAR195 = VAR131 / 32'd10; if (VAR2 != VAR223) if (VAR3 && VAR320[1:0] != 2'd0) VAR252("%0d: VAR177: VAR107.VAR299: VAR41 0x%0h VAR232 not 4-VAR63-VAR279", VAR195, VAR320); if (VAR2 != VAR223) if (VAR3 && VAR258[1:0] != 2'd0) begin VAR164 = VAR21; end VAR227 = VAR164 / 32'd10; if (VAR2 != VAR223) if (VAR3 && VAR258[1:0] != 2'd0) VAR252("%0d: VAR177: VAR107.VAR299: VAR211 0x%0h VAR232 not 4-VAR63-VAR279", VAR227, VAR258); if (VAR2 != VAR223) if (VAR3) begin VAR105 = VAR21; end VAR70 = VAR105 / 32'd10; if (VAR2 != VAR223) if (VAR3) VAR252("%0d: VAR107.VAR299: VAR41 0x%0h VAR211 0x%0h", VAR70, VAR320, VAR258); end endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/temac_v6/example_design/v6_emac_v1_3_block.v
14,569
module MODULE1 ( VAR64, VAR77, VAR65, VAR5, VAR69, VAR21, VAR18, VAR8, VAR11, VAR53, VAR75, VAR80, VAR55, VAR60, VAR30, VAR84, VAR68, VAR87, VAR2, VAR14, VAR36, VAR81, VAR71, VAR6, VAR29, VAR62, VAR41, VAR58, VAR13, VAR12, VAR15, VAR9, VAR22, VAR7, VAR3, VAR50, VAR52, VAR72, VAR28, VAR56, VAR82, VAR74, VAR61, VAR32, VAR1, VAR48 ); output VAR64; input VAR77; output [7:0] VAR65; output VAR5; output VAR69; output VAR21; output VAR18; output [6:0] VAR8; output VAR11; output VAR53; input [7:0] VAR75; input VAR80; output VAR55; input VAR60; input VAR30; output VAR84; output VAR68; input [7:0] VAR87; output VAR2; output VAR14; output VAR36; input VAR81; input [15:0] VAR71; input VAR6; input VAR29; output [7:0] VAR62; output VAR41; output VAR58; output VAR13; input [7:0] VAR12; input VAR15; input VAR9; input VAR22; output VAR7; input VAR3; output VAR50; output VAR52; input VAR72; input [1:0] VAR28; input VAR56; input VAR82; input [9:0] VAR74; input [31:0] VAR61; output VAR32; output [31:0] VAR1; input VAR48; wire VAR27; wire VAR49; reg [3:0] VAR76; wire VAR25; wire VAR46; wire VAR47; wire VAR67; wire VAR38; wire VAR78; wire VAR24; wire VAR63; wire [7:0] VAR19; wire VAR17; wire VAR57; wire [7:0] VAR85; wire VAR33; wire VAR37; wire VAR83; wire VAR59; wire VAR40; wire VAR20; assign VAR27 = VAR48; assign VAR49 = VAR27; VAR39 VAR42 ( .VAR48 (VAR49), .VAR62 (VAR62), .VAR41 (VAR41), .VAR58 (VAR58), .VAR13 (VAR13), .VAR12 (VAR12), .VAR15 (VAR15), .VAR9 (VAR9), .VAR10 (VAR19), .VAR16 (VAR24), .VAR70 (VAR63), .VAR77 (VAR78), .VAR4 (VAR85), .VAR43 (VAR17), .VAR31 (VAR57), .VAR51 (VAR22) ); assign VAR37 = VAR29; assign VAR78 = VAR77; assign VAR33 = VAR6; assign VAR67 = VAR77; assign VAR46 = VAR33; assign VAR64 = VAR38; VAR23 VAR86 ( .VAR73 (VAR25), .VAR66 (VAR46), .VAR65 (VAR65), .VAR5 (VAR5), .VAR54 (), .VAR69 (VAR69), .VAR21 (VAR21), .VAR18 (VAR18), .VAR8 (VAR8), .VAR11 (VAR11), .VAR53 (VAR53), .VAR35 (VAR47), .VAR45 (VAR67), .VAR75 (VAR75), .VAR80 (VAR80), .VAR26 (1'b0), .VAR55 (VAR55), .VAR60 (VAR60), .VAR30 (VAR30), .VAR84 (VAR84), .VAR68 (VAR68), .VAR87 (VAR87), .VAR2 (VAR2), .VAR14 (VAR14), .VAR36 (VAR36), .VAR81 (VAR81), .VAR71 (VAR71), .VAR29 (VAR37), .VAR34 (VAR38), .VAR79 (VAR78), .VAR62 (VAR19), .VAR41 (VAR24), .VAR58 (VAR63), .VAR12 (VAR85), .VAR15 (VAR17), .VAR9 (VAR57), .VAR22 (VAR33), .VAR7 (VAR83), .VAR3 (VAR59), .VAR50 (VAR40), .VAR52 (VAR20), .VAR72 (VAR72), .VAR28 (VAR28), .VAR56 (VAR56), .VAR82 (VAR82), .VAR74 (VAR74), .VAR61 (VAR61), .VAR32 (VAR32), .VAR1 (VAR1), .VAR44 (1'b1), .VAR48 (VAR49) ); assign VAR7 = VAR83; assign VAR59 = VAR3; assign VAR50 = VAR40; assign VAR52 = VAR20; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a41o/sky130_fd_sc_hd__a41o_1.v
2,426
module MODULE2 ( VAR7 , VAR2 , VAR12 , VAR8 , VAR10 , VAR1 , VAR3, VAR4, VAR5 , VAR9 ); output VAR7 ; input VAR2 ; input VAR12 ; input VAR8 ; input VAR10 ; input VAR1 ; input VAR3; input VAR4; input VAR5 ; input VAR9 ; VAR6 VAR11 ( .VAR7(VAR7), .VAR2(VAR2), .VAR12(VAR12), .VAR8(VAR8), .VAR10(VAR10), .VAR1(VAR1), .VAR3(VAR3), .VAR4(VAR4), .VAR5(VAR5), .VAR9(VAR9) ); endmodule module MODULE2 ( VAR7 , VAR2, VAR12, VAR8, VAR10, VAR1 ); output VAR7 ; input VAR2; input VAR12; input VAR8; input VAR10; input VAR1; supply1 VAR3; supply0 VAR4; supply1 VAR5 ; supply0 VAR9 ; VAR6 VAR11 ( .VAR7(VAR7), .VAR2(VAR2), .VAR12(VAR12), .VAR8(VAR8), .VAR10(VAR10), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o311ai/sky130_fd_sc_ms__o311ai.functional.v
1,481
module MODULE1 ( VAR11 , VAR7, VAR8, VAR5, VAR9, VAR4 ); output VAR11 ; input VAR7; input VAR8; input VAR5; input VAR9; input VAR4; wire VAR3 ; wire VAR2; or VAR10 (VAR3 , VAR8, VAR7, VAR5 ); nand VAR6 (VAR2, VAR4, VAR3, VAR9); buf VAR1 (VAR11 , VAR2 ); endmodule
apache-2.0
eda-globetrotter/MarcheProcessor
final/src/tosynth Folder/alu.v
176,760
module MODULE1 (VAR21,VAR12,VAR2,VAR11,VAR27); output [0:127] VAR27; input [0:127] VAR21; input [0:127] VAR12; input [0:1] VAR2; input [0:4] VAR11; parameter VAR10 = 128'hffffffffffffffffffffffffffffffff; reg [0:127] VAR27; reg [0:127] VAR37; reg [0:15] VAR6; reg [0:15] VAR7; reg [0:15] VAR13; reg [0:15] VAR24; reg [0:15] VAR16; reg [0:15] VAR14; reg [0:15] VAR26; reg [0:15] VAR33; reg [0:15] VAR5; reg [0:15] VAR1; reg [0:15] VAR3; reg [0:15] VAR31; reg [0:15] VAR8; reg [0:15] VAR17; reg [0:15] VAR23; reg [0:15] VAR22; reg [0:31] VAR29; reg [0:31] VAR34; reg [0:31] VAR9; reg [0:31] VAR36; reg [0:31] VAR25; reg [0:31] VAR4; reg [0:31] VAR19; reg [0:31] VAR20; reg [0:31] VAR32; integer VAR35; integer VAR30; integer VAR28; always @(VAR21 or VAR12 or VAR2 or VAR11) begin VAR37=128'd0; VAR6=16'd0; VAR7=16'd0; VAR13=16'd0; VAR24=16'd0; VAR16=16'd0; VAR14=16'd0; VAR26=16'd0; VAR33=16'd0; VAR5=16'd0; VAR1=16'd0; VAR3=16'd0; VAR31=16'd0; VAR8=16'd0; VAR17=16'd0; VAR23=16'd0; VAR22=16'd0; VAR29=32'd0; VAR34=32'd0; VAR36=32'd0; VAR25=32'd0; VAR4=32'd0; VAR19=32'd0; VAR20=32'd0; VAR32=32'd0; case(VAR11) begin case(VAR2) VAR27[0:7]<=VAR21[0:7]<<VAR12[5:7]; VAR27[8:15]<=VAR21[8:15]<<VAR12[13:15]; VAR27[16:23]<=VAR21[16:23]<<VAR12[21:23]; VAR27[24:31]<=VAR21[24:31]<<VAR12[29:31]; VAR27[32:39]<=VAR21[32:39]<<VAR12[37:39]; VAR27[40:47]<=VAR21[40:47]<<VAR12[45:47]; VAR27[48:55]<=VAR21[48:55]<<VAR12[53:55]; VAR27[56:63]<=VAR21[56:63]<<VAR12[61:63]; VAR27[64:71]<=VAR21[64:71]<<VAR12[69:71]; VAR27[72:79]<=VAR21[72:79]<<VAR12[77:79]; VAR27[80:87]<=VAR21[80:87]<<VAR12[85:87]; VAR27[88:95]<=VAR21[88:95]<<VAR12[93:95]; VAR27[96:103]<=VAR21[96:103]<<VAR12[101:103]; VAR27[104:111]<=VAR21[104:111]<<VAR12[109:111]; VAR27[112:119]<=VAR21[112:119]<<VAR12[117:119]; VAR27[120:127]<=VAR21[120:127]<<VAR12[125:127]; end VAR27[0:15]<=VAR21[0:15]<<VAR12[12:15]; VAR27[16:31]<=VAR21[16:31]<<VAR12[28:31]; VAR27[32:47]<=VAR21[32:47]<<VAR12[44:47]; VAR27[48:63]<=VAR21[48:63]<<VAR12[60:63]; VAR27[64:79]<=VAR21[64:79]<<VAR12[76:79]; VAR27[80:95]<=VAR21[80:95]<<VAR12[92:95]; VAR27[96:111]<=VAR21[96:111]<<VAR12[108:111]; VAR27[112:127]<=VAR21[112:127]<<VAR12[124:127]; end VAR27[0:31]<=VAR21[0:31]<<VAR12[27:31]; VAR27[32:63]<=VAR21[32:63]<<VAR12[59:63]; VAR27[64:95]<=VAR21[64:95]<<VAR12[91:95]; VAR27[96:127]<=VAR21[96:127]<<VAR12[123:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) VAR27[0:7]<=VAR21[0:7]>>VAR12[5:7]; VAR27[8:15]<=VAR21[8:15]>>VAR12[13:15]; VAR27[16:23]<=VAR21[16:23]>>VAR12[21:23]; VAR27[24:31]<=VAR21[24:31]>>VAR12[29:31]; VAR27[32:39]<=VAR21[32:39]>>VAR12[37:39]; VAR27[40:47]<=VAR21[40:47]>>VAR12[45:47]; VAR27[48:55]<=VAR21[48:55]>>VAR12[53:55]; VAR27[56:63]<=VAR21[56:63]>>VAR12[61:63]; VAR27[64:71]<=VAR21[64:71]>>VAR12[69:71]; VAR27[72:79]<=VAR21[72:79]>>VAR12[77:79]; VAR27[80:87]<=VAR21[80:87]>>VAR12[85:87]; VAR27[88:95]<=VAR21[88:95]>>VAR12[93:95]; VAR27[96:103]<=VAR21[96:103]>>VAR12[101:103]; VAR27[104:111]<=VAR21[104:111]>>VAR12[109:111]; VAR27[112:119]<=VAR21[112:119]>>VAR12[117:119]; VAR27[120:127]<=VAR21[120:127]>>VAR12[125:127]; end VAR27[0:15]<=VAR21[0:15]>>VAR12[12:15]; VAR27[16:31]<=VAR21[16:31]>>VAR12[28:31]; VAR27[32:47]<=VAR21[32:47]>>VAR12[44:47]; VAR27[48:63]<=VAR21[48:63]>>VAR12[60:63]; VAR27[64:79]<=VAR21[64:79]>>VAR12[76:79]; VAR27[80:95]<=VAR21[80:95]>>VAR12[92:95]; VAR27[96:111]<=VAR21[96:111]>>VAR12[108:111]; VAR27[112:127]<=VAR21[112:127]>>VAR12[124:127]; end VAR27[0:31]<=VAR21[0:31]>>VAR12[27:31]; VAR27[32:63]<=VAR21[32:63]>>VAR12[59:63]; VAR27[64:95]<=VAR21[64:95]>>VAR12[91:95]; VAR27[96:127]<=VAR21[96:127]>>VAR12[123:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) VAR27[0:7]<=VAR21[0:7]+VAR12[0:7]; VAR27[8:15]<=VAR21[8:15]+VAR12[8:15]; VAR27[16:23]<=VAR21[16:23]+VAR12[16:23]; VAR27[24:31]<=VAR21[24:31]+VAR12[24:31]; VAR27[32:39]<=VAR21[32:39]+VAR12[32:39]; VAR27[40:47]<=VAR21[40:47]+VAR12[40:47]; VAR27[48:55]<=VAR21[48:55]+VAR12[48:55]; VAR27[56:63]<=VAR21[56:63]+VAR12[56:63]; VAR27[64:71]<=VAR21[64:71]+VAR12[64:71]; VAR27[72:79]<=VAR21[72:79]+VAR12[72:79]; VAR27[80:87]<=VAR21[80:87]+VAR12[80:87]; VAR27[88:95]<=VAR21[88:95]+VAR12[88:95]; VAR27[96:103]<=VAR21[96:103]+VAR12[96:103]; VAR27[104:111]<=VAR21[104:111]+VAR12[104:111]; VAR27[112:119]<=VAR21[112:119]+VAR12[112:119]; VAR27[120:127]<=VAR21[120:127]+VAR12[120:127]; end VAR27[0:15]<=VAR21[0:15]+VAR12[0:15]; VAR27[16:31]<=VAR21[16:31]+VAR12[16:31]; VAR27[32:47]<=VAR21[32:47]+VAR12[32:47]; VAR27[48:63]<=VAR21[48:63]+VAR12[48:63]; VAR27[64:79]<=VAR21[64:79]+VAR12[64:79]; VAR27[80:95]<=VAR21[80:95]+VAR12[80:95]; VAR27[96:111]<=VAR21[96:111]+VAR12[96:111]; VAR27[112:127]<=VAR21[112:127]+VAR12[112:127]; end VAR27[0:31]<=VAR21[0:31]+VAR12[0:31]; VAR27[32:63]<=VAR21[32:63]+VAR12[32:63]; VAR27[64:95]<=VAR21[64:95]+VAR12[64:95]; VAR27[96:127]<=VAR21[96:127]+VAR12[96:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) VAR27[0:7]<=VAR21[0:7]&VAR12[0:7]; VAR27[8:15]<=VAR21[8:15]&VAR12[8:15]; VAR27[16:23]<=VAR21[16:23]&VAR12[16:23]; VAR27[24:31]<=VAR21[24:31]&VAR12[24:31]; VAR27[32:39]<=VAR21[32:39]&VAR12[32:39]; VAR27[40:47]<=VAR21[40:47]&VAR12[40:47]; VAR27[48:55]<=VAR21[48:55]&VAR12[48:55]; VAR27[56:63]<=VAR21[56:63]&VAR12[56:63]; VAR27[64:71]<=VAR21[64:71]&VAR12[64:71]; VAR27[72:79]<=VAR21[72:79]&VAR12[72:79]; VAR27[80:87]<=VAR21[80:87]&VAR12[80:87]; VAR27[88:95]<=VAR21[88:95]&VAR12[88:95]; VAR27[96:103]<=VAR21[96:103]&VAR12[96:103]; VAR27[104:111]<=VAR21[104:111]&VAR12[104:111]; VAR27[112:119]<=VAR21[112:119]&VAR12[112:119]; VAR27[120:127]<=VAR21[120:127]&VAR12[120:127]; end VAR27[0:15]<=VAR21[0:15]&VAR12[0:15]; VAR27[16:31]<=VAR21[16:31]&VAR12[16:31]; VAR27[32:47]<=VAR21[32:47]&VAR12[32:47]; VAR27[48:63]<=VAR21[48:63]&VAR12[48:63]; VAR27[64:79]<=VAR21[64:79]&VAR12[64:79]; VAR27[80:95]<=VAR21[80:95]&VAR12[80:95]; VAR27[96:111]<=VAR21[96:111]&VAR12[96:111]; VAR27[112:127]<=VAR21[112:127]&VAR12[112:127]; end VAR27[0:31]<=VAR21[0:31]&VAR12[0:31]; VAR27[32:63]<=VAR21[32:63]&VAR12[32:63]; VAR27[64:95]<=VAR21[64:95]&VAR12[64:95]; VAR27[96:127]<=VAR21[96:127]&VAR12[96:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) VAR27[0:7]<=~VAR21[0:7]; VAR27[8:15]<=~VAR21[8:15]; VAR27[16:23]<=~VAR21[16:23]; VAR27[24:31]<=~VAR21[24:31]; VAR27[32:39]<=~VAR21[32:39]; VAR27[40:47]<=~VAR21[40:47]; VAR27[48:55]<=~VAR21[48:55]; VAR27[56:63]<=~VAR21[56:63]; VAR27[64:71]<=~VAR21[64:71]; VAR27[72:79]<=~VAR21[72:79]; VAR27[80:87]<=~VAR21[80:87]; VAR27[88:95]<=~VAR21[88:95]; VAR27[96:103]<=~VAR21[96:103]; VAR27[104:111]<=~VAR21[104:111]; VAR27[112:119]<=~VAR21[112:119]; VAR27[120:127]<=~VAR21[120:127]; end VAR27[0:15]<=~VAR21[0:15]; VAR27[16:31]<=~VAR21[16:31]; VAR27[32:47]<=~VAR21[32:47]; VAR27[48:63]<=~VAR21[48:63]; VAR27[64:79]<=~VAR21[64:79]; VAR27[80:95]<=~VAR21[80:95]; VAR27[96:111]<=~VAR21[96:111]; VAR27[112:127]<=~VAR21[112:127]; end VAR27[0:31]<=~VAR21[0:31]; VAR27[32:63]<=~VAR21[32:63]; VAR27[64:95]<=~VAR21[64:95]; VAR27[96:127]<=~VAR21[96:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) VAR27[0:7]<=VAR21[0:7]|VAR12[0:7]; VAR27[8:15]<=VAR21[8:15]|VAR12[8:15]; VAR27[16:23]<=VAR21[16:23]|VAR12[16:23]; VAR27[24:31]<=VAR21[24:31]|VAR12[24:31]; VAR27[32:39]<=VAR21[32:39]|VAR12[32:39]; VAR27[40:47]<=VAR21[40:47]|VAR12[40:47]; VAR27[48:55]<=VAR21[48:55]|VAR12[48:55]; VAR27[56:63]<=VAR21[56:63]|VAR12[56:63]; VAR27[64:71]<=VAR21[64:71]|VAR12[64:71]; VAR27[72:79]<=VAR21[72:79]|VAR12[72:79]; VAR27[80:87]<=VAR21[80:87]|VAR12[80:87]; VAR27[88:95]<=VAR21[88:95]|VAR12[88:95]; VAR27[96:103]<=VAR21[96:103]|VAR12[96:103]; VAR27[104:111]<=VAR21[104:111]|VAR12[104:111]; VAR27[112:119]<=VAR21[112:119]|VAR12[112:119]; VAR27[120:127]<=VAR21[120:127]|VAR12[120:127]; end VAR27[0:15]<=VAR21[0:15]|VAR12[0:15]; VAR27[16:31]<=VAR21[16:31]|VAR12[16:31]; VAR27[32:47]<=VAR21[32:47]|VAR12[32:47]; VAR27[48:63]<=VAR21[48:63]|VAR12[48:63]; VAR27[64:79]<=VAR21[64:79]|VAR12[64:79]; VAR27[80:95]<=VAR21[80:95]|VAR12[80:95]; VAR27[96:111]<=VAR21[96:111]|VAR12[96:111]; VAR27[112:127]<=VAR21[112:127]|VAR12[112:127]; end VAR27[0:31]<=VAR21[0:31]|VAR12[0:31]; VAR27[32:63]<=VAR21[32:63]|VAR12[32:63]; VAR27[64:95]<=VAR21[64:95]|VAR12[64:95]; VAR27[96:127]<=VAR21[96:127]|VAR12[96:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) VAR27[0:7]<=VAR21[0:7]^VAR12[0:7]; VAR27[8:15]<=VAR21[8:15]^VAR12[8:15]; VAR27[16:23]<=VAR21[16:23]^VAR12[16:23]; VAR27[24:31]<=VAR21[24:31]^VAR12[24:31]; VAR27[32:39]<=VAR21[32:39]^VAR12[32:39]; VAR27[40:47]<=VAR21[40:47]^VAR12[40:47]; VAR27[48:55]<=VAR21[48:55]^VAR12[48:55]; VAR27[56:63]<=VAR21[56:63]^VAR12[56:63]; VAR27[64:71]<=VAR21[64:71]^VAR12[64:71]; VAR27[72:79]<=VAR21[72:79]^VAR12[72:79]; VAR27[80:87]<=VAR21[80:87]^VAR12[80:87]; VAR27[88:95]<=VAR21[88:95]^VAR12[88:95]; VAR27[96:103]<=VAR21[96:103]^VAR12[96:103]; VAR27[104:111]<=VAR21[104:111]^VAR12[104:111]; VAR27[112:119]<=VAR21[112:119]^VAR12[112:119]; VAR27[120:127]<=VAR21[120:127]^VAR12[120:127]; end VAR27[0:15]<=VAR21[0:15]^VAR12[0:15]; VAR27[16:31]<=VAR21[16:31]^VAR12[16:31]; VAR27[32:47]<=VAR21[32:47]^VAR12[32:47]; VAR27[48:63]<=VAR21[48:63]^VAR12[48:63]; VAR27[64:79]<=VAR21[64:79]^VAR12[64:79]; VAR27[80:95]<=VAR21[80:95]^VAR12[80:95]; VAR27[96:111]<=VAR21[96:111]^VAR12[96:111]; VAR27[112:127]<=VAR21[112:127]^VAR12[112:127]; end VAR27[0:31]<=VAR21[0:31]^VAR12[0:31]; VAR27[32:63]<=VAR21[32:63]^VAR12[32:63]; VAR27[64:95]<=VAR21[64:95]^VAR12[64:95]; VAR27[96:127]<=VAR21[96:127]^VAR12[96:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) VAR27[0:7]<=VAR21[0:7]-VAR12[0:7]; VAR27[8:15]<=VAR21[8:15]-VAR12[8:15]; VAR27[16:23]<=VAR21[16:23]-VAR12[16:23]; VAR27[24:31]<=VAR21[24:31]-VAR12[24:31]; VAR27[32:39]<=VAR21[32:39]-VAR12[32:39]; VAR27[40:47]<=VAR21[40:47]-VAR12[40:47]; VAR27[48:55]<=VAR21[48:55]-VAR12[48:55]; VAR27[56:63]<=VAR21[56:63]-VAR12[56:63]; VAR27[64:71]<=VAR21[64:71]-VAR12[64:71]; VAR27[72:79]<=VAR21[72:79]-VAR12[72:79]; VAR27[80:87]<=VAR21[80:87]-VAR12[80:87]; VAR27[88:95]<=VAR21[88:95]-VAR12[88:95]; VAR27[96:103]<=VAR21[96:103]-VAR12[96:103]; VAR27[104:111]<=VAR21[104:111]-VAR12[104:111]; VAR27[112:119]<=VAR21[112:119]-VAR12[112:119]; VAR27[120:127]<=VAR21[120:127]-VAR12[120:127]; end VAR27[0:15]<=VAR21[0:15]-VAR12[0:15]; VAR27[16:31]<=VAR21[16:31]-VAR12[16:31]; VAR27[32:47]<=VAR21[32:47]-VAR12[32:47]; VAR27[48:63]<=VAR21[48:63]-VAR12[48:63]; VAR27[64:79]<=VAR21[64:79]-VAR12[64:79]; VAR27[80:95]<=VAR21[80:95]-VAR12[80:95]; VAR27[96:111]<=VAR21[96:111]-VAR12[96:111]; VAR27[112:127]<=VAR21[112:127]-VAR12[112:127]; end VAR27[0:31]<=VAR21[0:31]-VAR12[0:31]; VAR27[32:63]<=VAR21[32:63]-VAR12[32:63]; VAR27[64:95]<=VAR21[64:95]-VAR12[64:95]; VAR27[96:127]<=VAR21[96:127]-VAR12[96:127]; end default: begin VAR27<=128'd0; end endcase end begin case(VAR12[4:7]) 4'd0: VAR27[0:7]<=VAR21[0:7]; 4'd1: VAR27[0:7]<=VAR21[8:15]; 4'd2: VAR27[0:7]<=VAR21[16:23]; 4'd3: VAR27[0:7]<=VAR21[24:31]; 4'd4: VAR27[0:7]<=VAR21[32:39]; 4'd5: VAR27[0:7]<=VAR21[40:47]; 4'd6: VAR27[0:7]<=VAR21[48:55]; 4'd7: VAR27[0:7]<=VAR21[56:63]; 4'd8: VAR27[0:7]<=VAR21[64:71]; 4'd9: VAR27[0:7]<=VAR21[72:79]; 4'd10: VAR27[0:7]<=VAR21[80:87]; 4'd11: VAR27[0:7]<=VAR21[88:95]; 4'd12: VAR27[0:7]<=VAR21[96:103]; 4'd13: VAR27[0:7]<=VAR21[104:111]; 4'd14: VAR27[0:7]<=VAR21[112:119]; 4'd15: VAR27[0:7]<=VAR21[120:127]; endcase case(VAR12[12:15]) 4'd0: VAR27[8:15]<=VAR21[0:7]; 4'd1: VAR27[8:15]<=VAR21[8:15]; 4'd2: VAR27[8:15]<=VAR21[16:23]; 4'd3: VAR27[8:15]<=VAR21[24:31]; 4'd4: VAR27[8:15]<=VAR21[32:39]; 4'd5: VAR27[8:15]<=VAR21[40:47]; 4'd6: VAR27[8:15]<=VAR21[48:55]; 4'd7: VAR27[8:15]<=VAR21[56:63]; 4'd8: VAR27[8:15]<=VAR21[64:71]; 4'd9: VAR27[8:15]<=VAR21[72:79]; 4'd10: VAR27[8:15]<=VAR21[80:87]; 4'd11: VAR27[8:15]<=VAR21[88:95]; 4'd12: VAR27[8:15]<=VAR21[96:103]; 4'd13: VAR27[8:15]<=VAR21[104:111]; 4'd14: VAR27[8:15]<=VAR21[112:119]; 4'd15: VAR27[8:15]<=VAR21[120:127]; endcase case(VAR12[20:23]) 4'd0: VAR27[16:23]<=VAR21[0:7]; 4'd1: VAR27[16:23]<=VAR21[8:15]; 4'd2: VAR27[16:23]<=VAR21[16:23]; 4'd3: VAR27[16:23]<=VAR21[24:31]; 4'd4: VAR27[16:23]<=VAR21[32:39]; 4'd5: VAR27[16:23]<=VAR21[40:47]; 4'd6: VAR27[16:23]<=VAR21[48:55]; 4'd7: VAR27[16:23]<=VAR21[56:63]; 4'd8: VAR27[16:23]<=VAR21[64:71]; 4'd9: VAR27[16:23]<=VAR21[72:79]; 4'd10: VAR27[16:23]<=VAR21[80:87]; 4'd11: VAR27[16:23]<=VAR21[88:95]; 4'd12: VAR27[16:23]<=VAR21[96:103]; 4'd13: VAR27[16:23]<=VAR21[104:111]; 4'd14: VAR27[16:23]<=VAR21[112:119]; 4'd15: VAR27[16:23]<=VAR21[120:127]; endcase case(VAR12[28:31]) 4'd0: VAR27[24:31]<=VAR21[0:7]; 4'd1: VAR27[24:31]<=VAR21[8:15]; 4'd2: VAR27[24:31]<=VAR21[16:23]; 4'd3: VAR27[24:31]<=VAR21[24:31]; 4'd4: VAR27[24:31]<=VAR21[32:39]; 4'd5: VAR27[24:31]<=VAR21[40:47]; 4'd6: VAR27[24:31]<=VAR21[48:55]; 4'd7: VAR27[24:31]<=VAR21[56:63]; 4'd8: VAR27[24:31]<=VAR21[64:71]; 4'd9: VAR27[24:31]<=VAR21[72:79]; 4'd10: VAR27[24:31]<=VAR21[80:87]; 4'd11: VAR27[24:31]<=VAR21[88:95]; 4'd12: VAR27[24:31]<=VAR21[96:103]; 4'd13: VAR27[24:31]<=VAR21[104:111]; 4'd14: VAR27[24:31]<=VAR21[112:119]; 4'd15: VAR27[24:31]<=VAR21[120:127]; endcase case(VAR12[36:39]) 4'd0: VAR27[32:39]<=VAR21[0:7]; 4'd1: VAR27[32:39]<=VAR21[8:15]; 4'd2: VAR27[32:39]<=VAR21[16:23]; 4'd3: VAR27[32:39]<=VAR21[24:31]; 4'd4: VAR27[32:39]<=VAR21[32:39]; 4'd5: VAR27[32:39]<=VAR21[40:47]; 4'd6: VAR27[32:39]<=VAR21[48:55]; 4'd7: VAR27[32:39]<=VAR21[56:63]; 4'd8: VAR27[32:39]<=VAR21[64:71]; 4'd9: VAR27[32:39]<=VAR21[72:79]; 4'd10: VAR27[32:39]<=VAR21[80:87]; 4'd11: VAR27[32:39]<=VAR21[88:95]; 4'd12: VAR27[32:39]<=VAR21[96:103]; 4'd13: VAR27[32:39]<=VAR21[104:111]; 4'd14: VAR27[32:39]<=VAR21[112:119]; 4'd15: VAR27[32:39]<=VAR21[120:127]; endcase case(VAR12[44:47]) 4'd0: VAR27[40:47]<=VAR21[0:7]; 4'd1: VAR27[40:47]<=VAR21[8:15]; 4'd2: VAR27[40:47]<=VAR21[16:23]; 4'd3: VAR27[40:47]<=VAR21[24:31]; 4'd4: VAR27[40:47]<=VAR21[32:39]; 4'd5: VAR27[40:47]<=VAR21[40:47]; 4'd6: VAR27[40:47]<=VAR21[48:55]; 4'd7: VAR27[40:47]<=VAR21[56:63]; 4'd8: VAR27[40:47]<=VAR21[64:71]; 4'd9: VAR27[40:47]<=VAR21[72:79]; 4'd10: VAR27[40:47]<=VAR21[80:87]; 4'd11: VAR27[40:47]<=VAR21[88:95]; 4'd12: VAR27[40:47]<=VAR21[96:103]; 4'd13: VAR27[40:47]<=VAR21[104:111]; 4'd14: VAR27[40:47]<=VAR21[112:119]; 4'd15: VAR27[40:47]<=VAR21[120:127]; endcase case(VAR12[52:55]) 4'd0: VAR27[48:55]<=VAR21[0:7]; 4'd1: VAR27[48:55]<=VAR21[8:15]; 4'd2: VAR27[48:55]<=VAR21[16:23]; 4'd3: VAR27[48:55]<=VAR21[24:31]; 4'd4: VAR27[48:55]<=VAR21[32:39]; 4'd5: VAR27[48:55]<=VAR21[40:47]; 4'd6: VAR27[48:55]<=VAR21[48:55]; 4'd7: VAR27[48:55]<=VAR21[56:63]; 4'd8: VAR27[48:55]<=VAR21[64:71]; 4'd9: VAR27[48:55]<=VAR21[72:79]; 4'd10: VAR27[48:55]<=VAR21[80:87]; 4'd11: VAR27[48:55]<=VAR21[88:95]; 4'd12: VAR27[48:55]<=VAR21[96:103]; 4'd13: VAR27[48:55]<=VAR21[104:111]; 4'd14: VAR27[48:55]<=VAR21[112:119]; 4'd15: VAR27[48:55]<=VAR21[120:127]; endcase case(VAR12[60:63]) 4'd0: VAR27[56:63]<=VAR21[0:7]; 4'd1: VAR27[56:63]<=VAR21[8:15]; 4'd2: VAR27[56:63]<=VAR21[16:23]; 4'd3: VAR27[56:63]<=VAR21[24:31]; 4'd4: VAR27[56:63]<=VAR21[32:39]; 4'd5: VAR27[56:63]<=VAR21[40:47]; 4'd6: VAR27[56:63]<=VAR21[48:55]; 4'd7: VAR27[56:63]<=VAR21[56:63]; 4'd8: VAR27[56:63]<=VAR21[64:71]; 4'd9: VAR27[56:63]<=VAR21[72:79]; 4'd10: VAR27[56:63]<=VAR21[80:87]; 4'd11: VAR27[56:63]<=VAR21[88:95]; 4'd12: VAR27[56:63]<=VAR21[96:103]; 4'd13: VAR27[56:63]<=VAR21[104:111]; 4'd14: VAR27[56:63]<=VAR21[112:119]; 4'd15: VAR27[56:63]<=VAR21[120:127]; endcase case(VAR12[68:71]) 4'd0: VAR27[64:71]<=VAR21[0:7]; 4'd1: VAR27[64:71]<=VAR21[8:15]; 4'd2: VAR27[64:71]<=VAR21[16:23]; 4'd3: VAR27[64:71]<=VAR21[24:31]; 4'd4: VAR27[64:71]<=VAR21[32:39]; 4'd5: VAR27[64:71]<=VAR21[40:47]; 4'd6: VAR27[64:71]<=VAR21[48:55]; 4'd7: VAR27[64:71]<=VAR21[56:63]; 4'd8: VAR27[64:71]<=VAR21[64:71]; 4'd9: VAR27[64:71]<=VAR21[72:79]; 4'd10: VAR27[64:71]<=VAR21[80:87]; 4'd11: VAR27[64:71]<=VAR21[88:95]; 4'd12: VAR27[64:71]<=VAR21[96:103]; 4'd13: VAR27[64:71]<=VAR21[104:111]; 4'd14: VAR27[64:71]<=VAR21[112:119]; 4'd15: VAR27[64:71]<=VAR21[120:127]; endcase case(VAR12[76:79]) 4'd0: VAR27[72:79]<=VAR21[0:7]; 4'd1: VAR27[72:79]<=VAR21[8:15]; 4'd2: VAR27[72:79]<=VAR21[16:23]; 4'd3: VAR27[72:79]<=VAR21[24:31]; 4'd4: VAR27[72:79]<=VAR21[32:39]; 4'd5: VAR27[72:79]<=VAR21[40:47]; 4'd6: VAR27[72:79]<=VAR21[48:55]; 4'd7: VAR27[72:79]<=VAR21[56:63]; 4'd8: VAR27[72:79]<=VAR21[64:71]; 4'd9: VAR27[72:79]<=VAR21[72:79]; 4'd10: VAR27[72:79]<=VAR21[80:87]; 4'd11: VAR27[72:79]<=VAR21[88:95]; 4'd12: VAR27[72:79]<=VAR21[96:103]; 4'd13: VAR27[72:79]<=VAR21[104:111]; 4'd14: VAR27[72:79]<=VAR21[112:119]; 4'd15: VAR27[72:79]<=VAR21[120:127]; endcase case(VAR12[84:87]) 4'd0: VAR27[80:87]<=VAR21[0:7]; 4'd1: VAR27[80:87]<=VAR21[8:15]; 4'd2: VAR27[80:87]<=VAR21[16:23]; 4'd3: VAR27[80:87]<=VAR21[24:31]; 4'd4: VAR27[80:87]<=VAR21[32:39]; 4'd5: VAR27[80:87]<=VAR21[40:47]; 4'd6: VAR27[80:87]<=VAR21[48:55]; 4'd7: VAR27[80:87]<=VAR21[56:63]; 4'd8: VAR27[80:87]<=VAR21[64:71]; 4'd9: VAR27[80:87]<=VAR21[72:79]; 4'd10: VAR27[80:87]<=VAR21[80:87]; 4'd11: VAR27[80:87]<=VAR21[88:95]; 4'd12: VAR27[80:87]<=VAR21[96:103]; 4'd13: VAR27[80:87]<=VAR21[104:111]; 4'd14: VAR27[80:87]<=VAR21[112:119]; 4'd15: VAR27[80:87]<=VAR21[120:127]; endcase case(VAR12[92:95]) 4'd0: VAR27[88:95]<=VAR21[0:7]; 4'd1: VAR27[88:95]<=VAR21[8:15]; 4'd2: VAR27[88:95]<=VAR21[16:23]; 4'd3: VAR27[88:95]<=VAR21[24:31]; 4'd4: VAR27[88:95]<=VAR21[32:39]; 4'd5: VAR27[88:95]<=VAR21[40:47]; 4'd6: VAR27[88:95]<=VAR21[48:55]; 4'd7: VAR27[88:95]<=VAR21[56:63]; 4'd8: VAR27[88:95]<=VAR21[64:71]; 4'd9: VAR27[88:95]<=VAR21[72:79]; 4'd10: VAR27[88:95]<=VAR21[80:87]; 4'd11: VAR27[88:95]<=VAR21[88:95]; 4'd12: VAR27[88:95]<=VAR21[96:103]; 4'd13: VAR27[88:95]<=VAR21[104:111]; 4'd14: VAR27[88:95]<=VAR21[112:119]; 4'd15: VAR27[88:95]<=VAR21[120:127]; endcase case(VAR12[100:103]) 4'd0: VAR27[96:103]<=VAR21[0:7]; 4'd1: VAR27[96:103]<=VAR21[8:15]; 4'd2: VAR27[96:103]<=VAR21[16:23]; 4'd3: VAR27[96:103]<=VAR21[24:31]; 4'd4: VAR27[96:103]<=VAR21[32:39]; 4'd5: VAR27[96:103]<=VAR21[40:47]; 4'd6: VAR27[96:103]<=VAR21[48:55]; 4'd7: VAR27[96:103]<=VAR21[56:63]; 4'd8: VAR27[96:103]<=VAR21[64:71]; 4'd9: VAR27[96:103]<=VAR21[72:79]; 4'd10: VAR27[96:103]<=VAR21[80:87]; 4'd11: VAR27[96:103]<=VAR21[88:95]; 4'd12: VAR27[96:103]<=VAR21[96:103]; 4'd13: VAR27[96:103]<=VAR21[104:111]; 4'd14: VAR27[96:103]<=VAR21[112:119]; 4'd15: VAR27[96:103]<=VAR21[120:127]; endcase case(VAR12[108:111]) 4'd0: VAR27[104:111]<=VAR21[0:7]; 4'd1: VAR27[104:111]<=VAR21[8:15]; 4'd2: VAR27[104:111]<=VAR21[16:23]; 4'd3: VAR27[104:111]<=VAR21[24:31]; 4'd4: VAR27[104:111]<=VAR21[32:39]; 4'd5: VAR27[104:111]<=VAR21[40:47]; 4'd6: VAR27[104:111]<=VAR21[48:55]; 4'd7: VAR27[104:111]<=VAR21[56:63]; 4'd8: VAR27[104:111]<=VAR21[64:71]; 4'd9: VAR27[104:111]<=VAR21[72:79]; 4'd10: VAR27[104:111]<=VAR21[80:87]; 4'd11: VAR27[104:111]<=VAR21[88:95]; 4'd12: VAR27[104:111]<=VAR21[96:103]; 4'd13: VAR27[104:111]<=VAR21[104:111]; 4'd14: VAR27[104:111]<=VAR21[112:119]; 4'd15: VAR27[104:111]<=VAR21[120:127]; endcase case(VAR12[116:119]) 4'd0: VAR27[112:119]<=VAR21[112:119]; 4'd1: VAR27[112:119]<=VAR21[8:15]; 4'd2: VAR27[112:119]<=VAR21[16:23]; 4'd3: VAR27[112:119]<=VAR21[24:31]; 4'd4: VAR27[112:119]<=VAR21[32:39]; 4'd5: VAR27[112:119]<=VAR21[40:47]; 4'd6: VAR27[112:119]<=VAR21[48:55]; 4'd7: VAR27[112:119]<=VAR21[56:63]; 4'd8: VAR27[112:119]<=VAR21[64:71]; 4'd9: VAR27[112:119]<=VAR21[72:79]; 4'd10: VAR27[112:119]<=VAR21[80:87]; 4'd11: VAR27[112:119]<=VAR21[88:95]; 4'd12: VAR27[112:119]<=VAR21[96:103]; 4'd13: VAR27[112:119]<=VAR21[104:111]; 4'd14: VAR27[112:119]<=VAR21[112:119]; 4'd15: VAR27[112:119]<=VAR21[120:127]; endcase case(VAR12[124:127]) 4'd0: VAR27[120:127]<=VAR21[0:7]; 4'd1: VAR27[120:127]<=VAR21[8:15]; 4'd2: VAR27[120:127]<=VAR21[16:23]; 4'd3: VAR27[120:127]<=VAR21[24:31]; 4'd4: VAR27[120:127]<=VAR21[32:39]; 4'd5: VAR27[120:127]<=VAR21[40:47]; 4'd6: VAR27[120:127]<=VAR21[48:55]; 4'd7: VAR27[120:127]<=VAR21[56:63]; 4'd8: VAR27[120:127]<=VAR21[64:71]; 4'd9: VAR27[120:127]<=VAR21[72:79]; 4'd10: VAR27[120:127]<=VAR21[80:87]; 4'd11: VAR27[120:127]<=VAR21[88:95]; 4'd12: VAR27[120:127]<=VAR21[96:103]; 4'd13: VAR27[120:127]<=VAR21[104:111]; 4'd14: VAR27[120:127]<=VAR21[112:119]; 4'd15: VAR27[120:127]<=VAR21[120:127]; endcase end begin case(VAR2) begin case(VAR12[2:4]) 3'd0: begin VAR27[0:127]<=VAR21[0:127]; end 3'd1: begin VAR27[0:7]<={VAR21[1:7],{1'b0}}; VAR27[8:15]<={VAR21[9:15],{1'b0}}; VAR27[16:23]<={VAR21[17:23],{1'b0}}; VAR27[24:31]<={VAR21[25:31],{1'b0}}; VAR27[32:39]<={VAR21[33:39],{1'b0}}; VAR27[40:47]<={VAR21[41:47],{1'b0}}; VAR27[48:55]<={VAR21[49:55],{1'b0}}; VAR27[56:63]<={VAR21[57:63],{1'b0}}; VAR27[64:71]<={VAR21[65:71],{1'b0}}; VAR27[72:79]<={VAR21[73:79],{1'b0}}; VAR27[80:87]<={VAR21[81:87],{1'b0}}; VAR27[88:95]<={VAR21[89:95],{1'b0}}; VAR27[96:103]<={VAR21[97:103],{1'b0}}; VAR27[104:111]<={VAR21[105:111],{1'b0}}; VAR27[112:119]<={VAR21[113:119],{1'b0}}; VAR27[120:127]<={VAR21[121:127],{1'b0}}; end 3'd2: begin VAR27[0:7]<={VAR21[2:7],{2{1'b0}}}; VAR27[8:15]<={VAR21[10:15],{2{1'b0}}}; VAR27[16:23]<={VAR21[18:23],{2{1'b0}}}; VAR27[24:31]<={VAR21[26:31],{2{1'b0}}}; VAR27[32:39]<={VAR21[34:39],{2{1'b0}}}; VAR27[40:47]<={VAR21[42:47],{2{1'b0}}}; VAR27[48:55]<={VAR21[50:55],{2{1'b0}}}; VAR27[56:63]<={VAR21[58:63],{2{1'b0}}}; VAR27[64:71]<={VAR21[66:71],{2{1'b0}}}; VAR27[72:79]<={VAR21[74:79],{2{1'b0}}}; VAR27[80:87]<={VAR21[82:87],{2{1'b0}}}; VAR27[88:95]<={VAR21[90:95],{2{1'b0}}}; VAR27[96:103]<={VAR21[98:103],{2{1'b0}}}; VAR27[104:111]<={VAR21[106:111],{2{1'b0}}}; VAR27[112:119]<={VAR21[114:119],{2{1'b0}}}; VAR27[120:127]<={VAR21[122:127],{2{1'b0}}}; end 3'd3: begin VAR27[0:7]<={VAR21[3:7],{3{1'b0}}}; VAR27[8:15]<={VAR21[11:15],{3{1'b0}}}; VAR27[16:23]<={VAR21[19:23],{3{1'b0}}}; VAR27[24:31]<={VAR21[27:31],{3{1'b0}}}; VAR27[32:39]<={VAR21[35:39],{3{1'b0}}}; VAR27[40:47]<={VAR21[43:47],{3{1'b0}}}; VAR27[48:55]<={VAR21[51:55],{3{1'b0}}}; VAR27[56:63]<={VAR21[59:63],{3{1'b0}}}; VAR27[64:71]<={VAR21[67:71],{3{1'b0}}}; VAR27[72:79]<={VAR21[75:79],{3{1'b0}}}; VAR27[80:87]<={VAR21[83:87],{3{1'b0}}}; VAR27[88:95]<={VAR21[91:95],{3{1'b0}}}; VAR27[96:103]<={VAR21[99:103],{3{1'b0}}}; VAR27[104:111]<={VAR21[107:111],{3{1'b0}}}; VAR27[112:119]<={VAR21[115:119],{3{1'b0}}}; VAR27[120:127]<={VAR21[123:127],{3{1'b0}}}; end 3'd4: begin VAR27[0:7]<={VAR21[4:7],{4{1'b0}}}; VAR27[8:15]<={VAR21[12:15],{4{1'b0}}}; VAR27[16:23]<={VAR21[20:23],{4{1'b0}}}; VAR27[24:31]<={VAR21[28:31],{4{1'b0}}}; VAR27[32:39]<={VAR21[36:39],{4{1'b0}}}; VAR27[40:47]<={VAR21[44:47],{4{1'b0}}}; VAR27[48:55]<={VAR21[52:55],{4{1'b0}}}; VAR27[56:63]<={VAR21[60:63],{4{1'b0}}}; VAR27[64:71]<={VAR21[68:71],{4{1'b0}}}; VAR27[72:79]<={VAR21[76:79],{4{1'b0}}}; VAR27[80:87]<={VAR21[84:87],{4{1'b0}}}; VAR27[88:95]<={VAR21[92:95],{4{1'b0}}}; VAR27[96:103]<={VAR21[100:103],{4{1'b0}}}; VAR27[104:111]<={VAR21[108:111],{4{1'b0}}}; VAR27[112:119]<={VAR21[116:119],{4{1'b0}}}; VAR27[120:127]<={VAR21[124:127],{4{1'b0}}}; end 3'd5: begin VAR27[0:7]<={VAR21[5:7],{5{1'b0}}}; VAR27[8:15]<={VAR21[13:15],{5{1'b0}}}; VAR27[16:23]<={VAR21[21:23],{5{1'b0}}}; VAR27[24:31]<={VAR21[29:31],{5{1'b0}}}; VAR27[32:39]<={VAR21[37:39],{5{1'b0}}}; VAR27[40:47]<={VAR21[45:47],{5{1'b0}}}; VAR27[48:55]<={VAR21[53:55],{5{1'b0}}}; VAR27[56:63]<={VAR21[61:63],{5{1'b0}}}; VAR27[64:71]<={VAR21[69:71],{5{1'b0}}}; VAR27[72:79]<={VAR21[77:79],{5{1'b0}}}; VAR27[80:87]<={VAR21[85:87],{5{1'b0}}}; VAR27[88:95]<={VAR21[93:95],{5{1'b0}}}; VAR27[96:103]<={VAR21[101:103],{5{1'b0}}}; VAR27[104:111]<={VAR21[109:111],{5{1'b0}}}; VAR27[112:119]<={VAR21[117:119],{5{1'b0}}}; VAR27[120:127]<={VAR21[125:127],{5{1'b0}}}; end 3'd6: begin VAR27[0:7]<={VAR21[6:7],{6{1'b0}}}; VAR27[8:15]<={VAR21[14:15],{6{1'b0}}}; VAR27[16:23]<={VAR21[22:23],{6{1'b0}}}; VAR27[24:31]<={VAR21[30:31],{6{1'b0}}}; VAR27[32:39]<={VAR21[38:39],{6{1'b0}}}; VAR27[40:47]<={VAR21[46:47],{6{1'b0}}}; VAR27[48:55]<={VAR21[54:55],{6{1'b0}}}; VAR27[56:63]<={VAR21[62:63],{6{1'b0}}}; VAR27[64:71]<={VAR21[70:71],{6{1'b0}}}; VAR27[72:79]<={VAR21[78:79],{6{1'b0}}}; VAR27[80:87]<={VAR21[86:87],{6{1'b0}}}; VAR27[88:95]<={VAR21[94:95],{6{1'b0}}}; VAR27[96:103]<={VAR21[102:103],{6{1'b0}}}; VAR27[104:111]<={VAR21[110:111],{6{1'b0}}}; VAR27[112:119]<={VAR21[118:119],{6{1'b0}}}; VAR27[120:127]<={VAR21[126:127],{6{1'b0}}}; end 3'd7: begin VAR27[0:7]<={VAR21[7],{7{1'b0}}}; VAR27[8:15]<={VAR21[15],{7{1'b0}}}; VAR27[16:23]<={VAR21[23],{7{1'b0}}}; VAR27[24:31]<={VAR21[31],{7{1'b0}}}; VAR27[32:39]<={VAR21[39],{7{1'b0}}}; VAR27[40:47]<={VAR21[47],{7{1'b0}}}; VAR27[48:55]<={VAR21[55],{7{1'b0}}}; VAR27[56:63]<={VAR21[63],{7{1'b0}}}; VAR27[64:71]<={VAR21[71],{7{1'b0}}}; VAR27[72:79]<={VAR21[79],{7{1'b0}}}; VAR27[80:87]<={VAR21[87],{7{1'b0}}}; VAR27[88:95]<={VAR21[95],{7{1'b0}}}; VAR27[96:103]<={VAR21[103],{7{1'b0}}}; VAR27[104:111]<={VAR21[111],{7{1'b0}}}; VAR27[112:119]<={VAR21[119],{7{1'b0}}}; VAR27[120:127]<={VAR21[127],{7{1'b0}}}; end default: begin VAR27<=128'b0; end endcase end begin case(VAR12[1:4]) 4'd0: begin VAR27[0:127]<=VAR21[0:127]; end 4'd1: begin VAR27[0:15]<={VAR21[1:15],{1'b0}}; VAR27[16:31]<={VAR21[17:31],{1'b0}}; VAR27[32:47]<={VAR21[33:47],{1'b0}}; VAR27[48:63]<={VAR21[49:63],{1'b0}}; VAR27[64:79]<={VAR21[65:79],{1'b0}}; VAR27[80:95]<={VAR21[81:95],{1'b0}}; VAR27[96:111]<={VAR21[97:111],{1'b0}}; VAR27[112:127]<={VAR21[113:127],{1'b0}}; end 4'd2: begin VAR27[0:15]<={VAR21[2:15],{2{1'b0}}}; VAR27[16:31]<={VAR21[18:31],{2{1'b0}}}; VAR27[32:47]<={VAR21[34:47],{2{1'b0}}}; VAR27[48:63]<={VAR21[50:63],{2{1'b0}}}; VAR27[64:79]<={VAR21[66:79],{2{1'b0}}}; VAR27[80:95]<={VAR21[82:95],{2{1'b0}}}; VAR27[96:111]<={VAR21[98:111],{2{1'b0}}}; VAR27[112:127]<={VAR21[114:127],{2{1'b0}}}; end 4'd3: begin VAR27[0:15]<={VAR21[3:15],{3{1'b0}}}; VAR27[16:31]<={VAR21[19:31],{3{1'b0}}}; VAR27[32:47]<={VAR21[35:47],{3{1'b0}}}; VAR27[48:63]<={VAR21[51:63],{3{1'b0}}}; VAR27[64:79]<={VAR21[67:79],{3{1'b0}}}; VAR27[80:95]<={VAR21[83:95],{3{1'b0}}}; VAR27[96:111]<={VAR21[99:111],{3{1'b0}}}; VAR27[112:127]<={VAR21[115:127],{3{1'b0}}}; end 4'd4: begin VAR27[0:15]<={VAR21[4:15],{4{1'b0}}}; VAR27[16:31]<={VAR21[20:31],{4{1'b0}}}; VAR27[32:47]<={VAR21[36:47],{4{1'b0}}}; VAR27[48:63]<={VAR21[52:63],{4{1'b0}}}; VAR27[64:79]<={VAR21[68:79],{4{1'b0}}}; VAR27[80:95]<={VAR21[84:95],{4{1'b0}}}; VAR27[96:111]<={VAR21[100:111],{4{1'b0}}}; VAR27[112:127]<={VAR21[116:127],{4{1'b0}}}; end 4'd5: begin VAR27[0:15]<={VAR21[5:15],{5{1'b0}}}; VAR27[16:31]<={VAR21[21:31],{5{1'b0}}}; VAR27[32:47]<={VAR21[37:47],{5{1'b0}}}; VAR27[48:63]<={VAR21[52:63],{5{1'b0}}}; VAR27[64:79]<={VAR21[69:79],{5{1'b0}}}; VAR27[80:95]<={VAR21[85:95],{5{1'b0}}}; VAR27[96:111]<={VAR21[101:111],{5{1'b0}}}; VAR27[112:127]<={VAR21[117:127],{5{1'b0}}}; end 4'd6: begin VAR27[0:15]<={VAR21[6:15],{6{1'b0}}}; VAR27[16:31]<={VAR21[22:31],{6{1'b0}}}; VAR27[32:47]<={VAR21[38:47],{6{1'b0}}}; VAR27[48:63]<={VAR21[53:63],{6{1'b0}}}; VAR27[64:79]<={VAR21[70:79],{6{1'b0}}}; VAR27[80:95]<={VAR21[86:95],{6{1'b0}}}; VAR27[96:111]<={VAR21[102:111],{6{1'b0}}}; VAR27[112:127]<={VAR21[118:127],{6{1'b0}}}; end 4'd7: begin VAR27[0:15]<={VAR21[7:15],{7{1'b0}}}; VAR27[16:31]<={VAR21[23:31],{7{1'b0}}}; VAR27[32:47]<={VAR21[39:47],{7{1'b0}}}; VAR27[48:63]<={VAR21[54:63],{7{1'b0}}}; VAR27[64:79]<={VAR21[71:79],{7{1'b0}}}; VAR27[80:95]<={VAR21[87:95],{7{1'b0}}}; VAR27[96:111]<={VAR21[103:111],{7{1'b0}}}; VAR27[112:127]<={VAR21[119:127],{7{1'b0}}}; end 4'd8: begin VAR27[0:15]<={VAR21[8:15],{8{1'b0}}}; VAR27[16:31]<={VAR21[24:31],{8{1'b0}}}; VAR27[32:47]<={VAR21[40:47],{8{1'b0}}}; VAR27[48:63]<={VAR21[55:63],{8{1'b0}}}; VAR27[64:79]<={VAR21[72:79],{8{1'b0}}}; VAR27[80:95]<={VAR21[88:95],{8{1'b0}}}; VAR27[96:111]<={VAR21[104:111],{8{1'b0}}}; VAR27[112:127]<={VAR21[120:127],{8{1'b0}}}; end 4'd9: begin VAR27[0:15]<={VAR21[9:15],{9{1'b0}}}; VAR27[16:31]<={VAR21[25:31],{9{1'b0}}}; VAR27[32:47]<={VAR21[41:47],{9{1'b0}}}; VAR27[48:63]<={VAR21[56:63],{9{1'b0}}}; VAR27[64:79]<={VAR21[73:79],{9{1'b0}}}; VAR27[80:95]<={VAR21[89:95],{9{1'b0}}}; VAR27[96:111]<={VAR21[105:111],{9{1'b0}}}; VAR27[112:127]<={VAR21[121:127],{9{1'b0}}}; end 4'd10: begin VAR27[0:15]<={VAR21[10:15],{10{1'b0}}}; VAR27[16:31]<={VAR21[26:31],{10{1'b0}}}; VAR27[32:47]<={VAR21[42:47],{10{1'b0}}}; VAR27[48:63]<={VAR21[58:63],{10{1'b0}}}; VAR27[64:79]<={VAR21[74:79],{10{1'b0}}}; VAR27[80:95]<={VAR21[90:95],{10{1'b0}}}; VAR27[96:111]<={VAR21[106:111],{10{1'b0}}}; VAR27[112:127]<={VAR21[122:127],{10{1'b0}}}; end 4'd11: begin VAR27[0:15]<={VAR21[11:15],{11{1'b0}}}; VAR27[16:31]<={VAR21[27:31],{11{1'b0}}}; VAR27[32:47]<={VAR21[43:47],{11{1'b0}}}; VAR27[48:63]<={VAR21[59:63],{11{1'b0}}}; VAR27[64:79]<={VAR21[75:79],{11{1'b0}}}; VAR27[80:95]<={VAR21[91:95],{11{1'b0}}}; VAR27[96:111]<={VAR21[107:111],{11{1'b0}}}; VAR27[112:127]<={VAR21[123:127],{11{1'b0}}}; end 4'd12: begin VAR27[0:15]<={VAR21[12:15],{12{1'b0}}}; VAR27[16:31]<={VAR21[28:31],{12{1'b0}}}; VAR27[32:47]<={VAR21[44:47],{12{1'b0}}}; VAR27[48:63]<={VAR21[60:63],{12{1'b0}}}; VAR27[64:79]<={VAR21[76:79],{12{1'b0}}}; VAR27[80:95]<={VAR21[92:95],{12{1'b0}}}; VAR27[96:111]<={VAR21[108:111],{12{1'b0}}}; VAR27[112:127]<={VAR21[124:127],{12{1'b0}}}; end 4'd13: begin VAR27[0:15]<={VAR21[13:15],{13{1'b0}}}; VAR27[16:31]<={VAR21[29:31],{13{1'b0}}}; VAR27[32:47]<={VAR21[45:47],{13{1'b0}}}; VAR27[48:63]<={VAR21[61:63],{13{1'b0}}}; VAR27[64:79]<={VAR21[77:79],{13{1'b0}}}; VAR27[80:95]<={VAR21[93:95],{13{1'b0}}}; VAR27[96:111]<={VAR21[109:111],{13{1'b0}}}; VAR27[112:127]<={VAR21[125:127],{13{1'b0}}}; end 4'd14: begin VAR27[0:15]<={VAR21[14:15],{14{1'b0}}}; VAR27[16:31]<={VAR21[30:31],{14{1'b0}}}; VAR27[32:47]<={VAR21[46:47],{14{1'b0}}}; VAR27[48:63]<={VAR21[62:63],{14{1'b0}}}; VAR27[64:79]<={VAR21[78:79],{14{1'b0}}}; VAR27[80:95]<={VAR21[94:95],{14{1'b0}}}; VAR27[96:111]<={VAR21[110:111],{14{1'b0}}}; VAR27[112:127]<={VAR21[126:127],{14{1'b0}}}; end 4'd15: begin VAR27[0:15]<={VAR21[15],{15{1'b0}}}; VAR27[16:31]<={VAR21[31],{15{1'b0}}}; VAR27[32:47]<={VAR21[47],{15{1'b0}}}; VAR27[48:63]<={VAR21[63],{15{1'b0}}}; VAR27[64:79]<={VAR21[79],{15{1'b0}}}; VAR27[80:95]<={VAR21[95],{15{1'b0}}}; VAR27[96:111]<={VAR21[111],{15{1'b0}}}; VAR27[112:127]<={VAR21[127],{15{1'b0}}}; end default: begin VAR27<=128'b0; end endcase end begin case(VAR12[0:4]) 5'd0: begin VAR27[0:127]<=VAR21[0:127]; end 5'd1: begin VAR27[0:31]<={VAR21[1:31],{1'b0}}; VAR27[32:63]<={VAR21[33:63],{1'b0}}; VAR27[64:95]<={VAR21[65:95],{1'b0}}; VAR27[96:127]<={VAR21[97:127],{1'b0}}; end 5'd2: begin VAR27[0:31]<={VAR21[2:31],{2{1'b0}}}; VAR27[32:63]<={VAR21[34:63],{2{1'b0}}}; VAR27[64:95]<={VAR21[66:95],{2{1'b0}}}; VAR27[96:127]<={VAR21[98:127],{2{1'b0}}}; end 5'd3: begin VAR27[0:31]<={VAR21[3:31],{3{1'b0}}}; VAR27[32:63]<={VAR21[35:63],{3{1'b0}}}; VAR27[64:95]<={VAR21[67:95],{3{1'b0}}}; VAR27[96:127]<={VAR21[99:127],{3{1'b0}}}; end 5'd4: begin VAR27[0:31]<={VAR21[4:31],{4{1'b0}}}; VAR27[32:63]<={VAR21[36:63],{4{1'b0}}}; VAR27[64:95]<={VAR21[68:95],{4{1'b0}}}; VAR27[96:127]<={VAR21[100:127],{4{1'b0}}}; end 5'd5: begin VAR27[0:31]<={VAR21[5:31],{5{1'b0}}}; VAR27[32:63]<={VAR21[37:63],{5{1'b0}}}; VAR27[64:95]<={VAR21[69:95],{5{1'b0}}}; VAR27[96:127]<={VAR21[101:127],{5{1'b0}}}; end 5'd6: begin VAR27[0:31]<={VAR21[6:31],{6{1'b0}}}; VAR27[32:63]<={VAR21[38:63],{6{1'b0}}}; VAR27[64:95]<={VAR21[70:95],{6{1'b0}}}; VAR27[96:127]<={VAR21[102:127],{6{1'b0}}}; end 5'd7: begin VAR27[0:31]<={VAR21[7:31],{7{1'b0}}}; VAR27[32:63]<={VAR21[39:63],{7{1'b0}}}; VAR27[64:95]<={VAR21[71:95],{7{1'b0}}}; VAR27[96:127]<={VAR21[103:127],{7{1'b0}}}; end 5'd8: begin VAR27[0:31]<={VAR21[8:31],{8{1'b0}}}; VAR27[32:63]<={VAR21[40:63],{8{1'b0}}}; VAR27[64:95]<={VAR21[72:95],{8{1'b0}}}; VAR27[96:127]<={VAR21[104:127],{8{1'b0}}}; end 5'd9: begin VAR27[0:31]<={VAR21[9:31],{9{1'b0}}}; VAR27[32:63]<={VAR21[41:63],{9{1'b0}}}; VAR27[64:95]<={VAR21[73:95],{9{1'b0}}}; VAR27[96:127]<={VAR21[105:127],{9{1'b0}}}; end 5'd10: begin VAR27[0:31]<={VAR21[10:31],{10{1'b0}}}; VAR27[32:63]<={VAR21[42:63],{10{1'b0}}}; VAR27[64:95]<={VAR21[74:95],{10{1'b0}}}; VAR27[96:127]<={VAR21[106:127],{10{1'b0}}}; end 5'd11: begin VAR27[0:31]<={VAR21[11:31],{11{1'b0}}}; VAR27[32:63]<={VAR21[43:63],{11{1'b0}}}; VAR27[64:95]<={VAR21[75:95],{11{1'b0}}}; VAR27[96:127]<={VAR21[107:127],{11{1'b0}}}; end 5'd12: begin VAR27[0:31]<={VAR21[12:31],{12{1'b0}}}; VAR27[32:63]<={VAR21[44:63],{12{1'b0}}}; VAR27[64:95]<={VAR21[76:95],{12{1'b0}}}; VAR27[96:127]<={VAR21[108:127],{12{1'b0}}}; end 5'd13: begin VAR27[0:31]<={VAR21[13:31],{13{1'b0}}}; VAR27[32:63]<={VAR21[45:63],{13{1'b0}}}; VAR27[64:95]<={VAR21[77:95],{13{1'b0}}}; VAR27[96:127]<={VAR21[109:127],{13{1'b0}}}; end 5'd14: begin VAR27[0:31]<={VAR21[14:31],{14{1'b0}}}; VAR27[32:63]<={VAR21[46:63],{14{1'b0}}}; VAR27[64:95]<={VAR21[78:95],{14{1'b0}}}; VAR27[96:127]<={VAR21[110:127],{14{1'b0}}}; end 5'd15: begin VAR27[0:31]<={VAR21[15:31],{15{1'b0}}}; VAR27[32:63]<={VAR21[47:63],{15{1'b0}}}; VAR27[64:95]<={VAR21[79:95],{15{1'b0}}}; VAR27[96:127]<={VAR21[111:127],{15{1'b0}}}; end 5'd16: begin VAR27[0:31]<={VAR21[16:31],{16{1'b0}}}; VAR27[32:63]<={VAR21[48:63],{16{1'b0}}}; VAR27[64:95]<={VAR21[80:95],{16{1'b0}}}; VAR27[96:127]<={VAR21[112:127],{16{1'b0}}}; end 5'd17: begin VAR27[0:31]<={VAR21[17:31],{17{1'b0}}}; VAR27[32:63]<={VAR21[49:63],{17{1'b0}}}; VAR27[64:95]<={VAR21[81:95],{17{1'b0}}}; VAR27[96:127]<={VAR21[113:127],{17{1'b0}}}; end 5'd18: begin VAR27[0:31]<={VAR21[18:31],{18{1'b0}}}; VAR27[32:63]<={VAR21[50:63],{18{1'b0}}}; VAR27[64:95]<={VAR21[82:95],{18{1'b0}}}; VAR27[96:127]<={VAR21[114:127],{18{1'b0}}}; end 5'd19: begin VAR27[0:31]<={VAR21[19:31],{19{1'b0}}}; VAR27[32:63]<={VAR21[51:63],{19{1'b0}}}; VAR27[64:95]<={VAR21[83:95],{19{1'b0}}}; VAR27[96:127]<={VAR21[115:127],{19{1'b0}}}; end 5'd20: begin VAR27[0:31]<={VAR21[20:31],{20{1'b0}}}; VAR27[32:63]<={VAR21[52:63],{20{1'b0}}}; VAR27[64:95]<={VAR21[84:95],{20{1'b0}}}; VAR27[96:127]<={VAR21[116:127],{20{1'b0}}}; end 5'd21: begin VAR27[0:31]<={VAR21[21:31],{21{1'b0}}}; VAR27[32:63]<={VAR21[53:63],{21{1'b0}}}; VAR27[64:95]<={VAR21[85:95],{21{1'b0}}}; VAR27[96:127]<={VAR21[117:127],{21{1'b0}}}; end 5'd22: begin VAR27[0:31]<={VAR21[22:31],{22{1'b0}}}; VAR27[32:63]<={VAR21[54:63],{22{1'b0}}}; VAR27[64:95]<={VAR21[86:95],{22{1'b0}}}; VAR27[96:127]<={VAR21[118:127],{22{1'b0}}}; end 5'd23: begin VAR27[0:31]<={VAR21[23:31],{23{1'b0}}}; VAR27[32:63]<={VAR21[55:63],{23{1'b0}}}; VAR27[64:95]<={VAR21[87:95],{23{1'b0}}}; VAR27[96:127]<={VAR21[119:127],{23{1'b0}}}; end 5'd24: begin VAR27[0:31]<={VAR21[24:31],{24{1'b0}}}; VAR27[32:63]<={VAR21[56:63],{24{1'b0}}}; VAR27[64:95]<={VAR21[88:95],{24{1'b0}}}; VAR27[96:127]<={VAR21[120:127],{24{1'b0}}}; end 5'd25: begin VAR27[0:31]<={VAR21[25:31],{25{1'b0}}}; VAR27[32:63]<={VAR21[57:63],{25{1'b0}}}; VAR27[64:95]<={VAR21[89:95],{25{1'b0}}}; VAR27[96:127]<={VAR21[121:127],{25{1'b0}}}; end 5'd26: begin VAR27[0:31]<={VAR21[26:31],{26{1'b0}}}; VAR27[32:63]<={VAR21[58:63],{26{1'b0}}}; VAR27[64:95]<={VAR21[90:95],{26{1'b0}}}; VAR27[96:127]<={VAR21[122:127],{26{1'b0}}}; end 5'd27: begin VAR27[0:31]<={VAR21[27:31],{27{1'b0}}}; VAR27[32:63]<={VAR21[59:63],{27{1'b0}}}; VAR27[64:95]<={VAR21[91:95],{27{1'b0}}}; VAR27[96:127]<={VAR21[123:127],{27{1'b0}}}; end 5'd28: begin VAR27[0:31]<={VAR21[28:31],{28{1'b0}}}; VAR27[32:63]<={VAR21[60:63],{28{1'b0}}}; VAR27[64:95]<={VAR21[92:95],{28{1'b0}}}; VAR27[96:127]<={VAR21[124:127],{28{1'b0}}}; end 5'd29: begin VAR27[0:31]<={VAR21[29:31],{29{1'b0}}}; VAR27[32:63]<={VAR21[61:63],{29{1'b0}}}; VAR27[64:95]<={VAR21[93:95],{29{1'b0}}}; VAR27[96:127]<={VAR21[125:127],{29{1'b0}}}; end 5'd30: begin VAR27[0:31]<={VAR21[30:31],{30{1'b0}}}; VAR27[32:63]<={VAR21[62:63],{30{1'b0}}}; VAR27[64:95]<={VAR21[94:95],{30{1'b0}}}; VAR27[96:127]<={VAR21[126:127],{30{1'b0}}}; end 5'd31: begin VAR27[0:31]<={VAR21[31],{31{1'b0}}}; VAR27[32:63]<={VAR21[63],{31{1'b0}}}; VAR27[64:95]<={VAR21[95],{31{1'b0}}}; VAR27[96:127]<={VAR21[127],{31{1'b0}}}; end default: begin VAR27<=128'b0; end endcase end default: VAR27<=128'b0; endcase end begin case(VAR2) begin case(VAR12[2:4]) 3'd0: begin VAR27[0:127]<=VAR21[0:127]; end 3'd1: begin VAR27[0:7]<={{1'b0},VAR21[0:6]}; VAR27[8:15]<={{1'b0},VAR21[8:14]}; VAR27[16:23]<={{1'b0},VAR21[16:22]}; VAR27[24:31]<={{1'b0},VAR21[24:30]}; VAR27[32:39]<={{1'b0},VAR21[32:38]}; VAR27[40:47]<={{1'b0},VAR21[40:46]}; VAR27[48:55]<={{1'b0},VAR21[48:54]}; VAR27[56:63]<={{1'b0},VAR21[56:62]}; VAR27[64:71]<={{1'b0},VAR21[64:70]}; VAR27[72:79]<={{1'b0},VAR21[72:78]}; VAR27[80:87]<={{1'b0},VAR21[80:86]}; VAR27[88:95]<={{1'b0},VAR21[88:94]}; VAR27[96:103]<={{1'b0},VAR21[96:102]}; VAR27[104:111]<={{1'b0},VAR21[104:110]}; VAR27[112:119]<={{1'b0},VAR21[112:118]}; VAR27[120:127]<={{1'b0},VAR21[120:126]}; end 3'd2: begin VAR27[0:7]<={{2{1'b0}},VAR21[0:5]}; VAR27[8:15]<={{2{1'b0}},VAR21[8:13]}; VAR27[16:23]<={{2{1'b0}},VAR21[16:21]}; VAR27[24:31]<={{2{1'b0}},VAR21[24:29]}; VAR27[32:39]<={{2{1'b0}},VAR21[32:37]}; VAR27[40:47]<={{2{1'b0}},VAR21[40:45]}; VAR27[48:55]<={{2{1'b0}},VAR21[48:53]}; VAR27[56:63]<={{2{1'b0}},VAR21[56:61]}; VAR27[64:71]<={{2{1'b0}},VAR21[64:69]}; VAR27[72:79]<={{2{1'b0}},VAR21[72:77]}; VAR27[80:87]<={{2{1'b0}},VAR21[80:85]}; VAR27[88:95]<={{2{1'b0}},VAR21[88:93]}; VAR27[96:103]<={{2{1'b0}},VAR21[96:101]}; VAR27[104:111]<={{2{1'b0}},VAR21[104:109]}; VAR27[112:119]<={{2{1'b0}},VAR21[112:117]}; VAR27[120:127]<={{2{1'b0}},VAR21[120:125]}; end 3'd3: begin VAR27[0:7]<={{3{1'b0}},VAR21[0:4]}; VAR27[8:15]<={{3{1'b0}},VAR21[8:12]}; VAR27[16:23]<={{3{1'b0}},VAR21[16:20]}; VAR27[24:31]<={{3{1'b0}},VAR21[24:28]}; VAR27[32:39]<={{3{1'b0}},VAR21[32:36]}; VAR27[40:47]<={{3{1'b0}},VAR21[40:44]}; VAR27[48:55]<={{3{1'b0}},VAR21[48:52]}; VAR27[56:63]<={{3{1'b0}},VAR21[56:60]}; VAR27[64:71]<={{3{1'b0}},VAR21[64:68]}; VAR27[72:79]<={{3{1'b0}},VAR21[72:76]}; VAR27[80:87]<={{3{1'b0}},VAR21[80:84]}; VAR27[88:95]<={{3{1'b0}},VAR21[88:92]}; VAR27[96:103]<={{3{1'b0}},VAR21[96:100]}; VAR27[104:111]<={{3{1'b0}},VAR21[104:108]}; VAR27[112:119]<={{3{1'b0}},VAR21[112:116]}; VAR27[120:127]<={{3{1'b0}},VAR21[120:124]}; end 3'd4: begin VAR27[0:7]<={{4{1'b0}},VAR21[0:3]}; VAR27[8:15]<={{4{1'b0}},VAR21[8:11]}; VAR27[16:23]<={{4{1'b0}},VAR21[16:19]}; VAR27[24:31]<={{4{1'b0}},VAR21[24:27]}; VAR27[32:39]<={{4{1'b0}},VAR21[32:35]}; VAR27[40:47]<={{4{1'b0}},VAR21[40:43]}; VAR27[48:55]<={{4{1'b0}},VAR21[48:51]}; VAR27[56:63]<={{4{1'b0}},VAR21[56:69]}; VAR27[64:71]<={{4{1'b0}},VAR21[64:67]}; VAR27[72:79]<={{4{1'b0}},VAR21[72:75]}; VAR27[80:87]<={{4{1'b0}},VAR21[80:83]}; VAR27[88:95]<={{4{1'b0}},VAR21[88:91]}; VAR27[96:103]<={{4{1'b0}},VAR21[96:99]}; VAR27[104:111]<={{4{1'b0}},VAR21[104:107]}; VAR27[112:119]<={{4{1'b0}},VAR21[112:115]}; VAR27[120:127]<={{4{1'b0}},VAR21[120:123]}; end 3'd5: begin VAR27[0:7]<={{5{1'b0}},VAR21[0:2]}; VAR27[8:15]<={{5{1'b0}},VAR21[8:10]}; VAR27[16:23]<={{5{1'b0}},VAR21[16:18]}; VAR27[24:31]<={{5{1'b0}},VAR21[24:26]}; VAR27[32:39]<={{5{1'b0}},VAR21[32:34]}; VAR27[40:47]<={{5{1'b0}},VAR21[40:42]}; VAR27[48:55]<={{5{1'b0}},VAR21[48:50]}; VAR27[56:63]<={{5{1'b0}},VAR21[56:68]}; VAR27[64:71]<={{5{1'b0}},VAR21[64:66]}; VAR27[72:79]<={{5{1'b0}},VAR21[72:74]}; VAR27[80:87]<={{5{1'b0}},VAR21[80:82]}; VAR27[88:95]<={{5{1'b0}},VAR21[88:90]}; VAR27[96:103]<={{5{1'b0}},VAR21[96:98]}; VAR27[104:111]<={{5{1'b0}},VAR21[104:106]}; VAR27[112:119]<={{5{1'b0}},VAR21[112:114]}; VAR27[120:127]<={{5{1'b0}},VAR21[120:122]}; end 3'd6: begin VAR27[0:7]<={{6{1'b0}},VAR21[0:1]}; VAR27[8:15]<={{6{1'b0}},VAR21[8:9]}; VAR27[16:23]<={{6{1'b0}},VAR21[16:17]}; VAR27[24:31]<={{6{1'b0}},VAR21[24:25]}; VAR27[32:39]<={{6{1'b0}},VAR21[32:33]}; VAR27[40:47]<={{6{1'b0}},VAR21[40:41]}; VAR27[48:55]<={{6{1'b0}},VAR21[48:49]}; VAR27[56:63]<={{6{1'b0}},VAR21[56:67]}; VAR27[64:71]<={{6{1'b0}},VAR21[64:65]}; VAR27[72:79]<={{6{1'b0}},VAR21[72:73]}; VAR27[80:87]<={{6{1'b0}},VAR21[80:81]}; VAR27[88:95]<={{6{1'b0}},VAR21[88:89]}; VAR27[96:103]<={{6{1'b0}},VAR21[96:97]}; VAR27[104:111]<={{6{1'b0}},VAR21[104:105]}; VAR27[112:119]<={{6{1'b0}},VAR21[112:113]}; VAR27[120:127]<={{6{1'b0}},VAR21[120:121]}; end 3'd7: begin VAR27[0:7]<={{7{1'b0}},VAR21[0]}; VAR27[8:15]<={{7{1'b0}},VAR21[8]}; VAR27[16:23]<={{7{1'b0}},VAR21[16]}; VAR27[24:31]<={{7{1'b0}},VAR21[24]}; VAR27[32:39]<={{7{1'b0}},VAR21[32]}; VAR27[40:47]<={{7{1'b0}},VAR21[40]}; VAR27[48:55]<={{7{1'b0}},VAR21[48]}; VAR27[56:63]<={{7{1'b0}},VAR21[56]}; VAR27[64:71]<={{7{1'b0}},VAR21[64]}; VAR27[72:79]<={{7{1'b0}},VAR21[72]}; VAR27[80:87]<={{7{1'b0}},VAR21[80]}; VAR27[88:95]<={{7{1'b0}},VAR21[88]}; VAR27[96:103]<={{7{1'b0}},VAR21[96]}; VAR27[104:111]<={{7{1'b0}},VAR21[104]}; VAR27[112:119]<={{7{1'b0}},VAR21[112]}; VAR27[120:127]<={{7{1'b0}},VAR21[120]}; end default: begin VAR27<=128'b0; end endcase end begin case(VAR12[1:4]) 4'd0: begin VAR27[0:127]<=VAR21[0:127]; end 4'd1: begin VAR27[0:15]<={{1'b0},VAR21[0:14]}; VAR27[16:31]<={{1'b0},VAR21[16:30]}; VAR27[32:47]<={{1'b0},VAR21[32:46]}; VAR27[48:63]<={{1'b0},VAR21[48:62]}; VAR27[64:79]<={{1'b0},VAR21[64:78]}; VAR27[80:95]<={{1'b0},VAR21[80:94]}; VAR27[96:111]<={{1'b0},VAR21[96:110]}; VAR27[112:127]<={{1'b0},VAR21[112:126]}; end 4'd2: begin VAR27[0:15]<={{2{1'b0}},VAR21[0:13]}; VAR27[16:31]<={{2{1'b0}},VAR21[16:29]}; VAR27[32:47]<={{2{1'b0}},VAR21[32:45]}; VAR27[48:63]<={{2{1'b0}},VAR21[48:61]}; VAR27[64:79]<={{2{1'b0}},VAR21[64:77]}; VAR27[80:95]<={{2{1'b0}},VAR21[80:93]}; VAR27[96:111]<={{2{1'b0}},VAR21[96:109]}; VAR27[112:127]<={{2{1'b0}},VAR21[112:125]}; end 4'd3: begin VAR27[0:15]<={{3{1'b0}},VAR21[0:12]}; VAR27[16:31]<={{3{1'b0}},VAR21[16:28]}; VAR27[32:47]<={{3{1'b0}},VAR21[32:44]}; VAR27[48:63]<={{3{1'b0}},VAR21[48:60]}; VAR27[64:79]<={{3{1'b0}},VAR21[64:76]}; VAR27[80:95]<={{3{1'b0}},VAR21[80:92]}; VAR27[96:111]<={{3{1'b0}},VAR21[96:108]}; VAR27[112:127]<={{3{1'b0}},VAR21[112:124]}; end 4'd4: begin VAR27[0:15]<={{4{1'b0}},VAR21[0:11]}; VAR27[16:31]<={{4{1'b0}},VAR21[16:27]}; VAR27[32:47]<={{4{1'b0}},VAR21[32:43]}; VAR27[48:63]<={{4{1'b0}},VAR21[48:59]}; VAR27[64:79]<={{4{1'b0}},VAR21[64:75]}; VAR27[80:95]<={{4{1'b0}},VAR21[80:91]}; VAR27[96:111]<={{4{1'b0}},VAR21[96:107]}; VAR27[112:127]<={{4{1'b0}},VAR21[112:123]}; end 4'd5: begin VAR27[0:15]<={{5{1'b0}},VAR21[0:10]}; VAR27[16:31]<={{5{1'b0}},VAR21[16:26]}; VAR27[32:47]<={{5{1'b0}},VAR21[32:42]}; VAR27[48:63]<={{5{1'b0}},VAR21[48:58]}; VAR27[64:79]<={{5{1'b0}},VAR21[64:74]}; VAR27[80:95]<={{5{1'b0}},VAR21[80:90]}; VAR27[96:111]<={{5{1'b0}},VAR21[96:106]}; VAR27[112:127]<={{5{1'b0}},VAR21[112:122]}; end 4'd6: begin VAR27[0:15]<={{6{1'b0}},VAR21[0:9]}; VAR27[16:31]<={{6{1'b0}},VAR21[16:25]}; VAR27[32:47]<={{6{1'b0}},VAR21[32:41]}; VAR27[48:63]<={{6{1'b0}},VAR21[48:57]}; VAR27[64:79]<={{6{1'b0}},VAR21[64:73]}; VAR27[80:95]<={{6{1'b0}},VAR21[80:89]}; VAR27[96:111]<={{6{1'b0}},VAR21[96:105]}; VAR27[112:127]<={{6{1'b0}},VAR21[112:121]}; end 4'd7: begin VAR27[0:15]<={{7{1'b0}},VAR21[0:8]}; VAR27[16:31]<={{7{1'b0}},VAR21[16:24]}; VAR27[32:47]<={{7{1'b0}},VAR21[32:40]}; VAR27[48:63]<={{7{1'b0}},VAR21[48:56]}; VAR27[64:79]<={{7{1'b0}},VAR21[64:72]}; VAR27[80:95]<={{7{1'b0}},VAR21[80:88]}; VAR27[96:111]<={{7{1'b0}},VAR21[96:104]}; VAR27[112:127]<={{7{1'b0}},VAR21[112:120]}; end 4'd8: begin VAR27[0:15]<={{8{1'b0}},VAR21[0:7]}; VAR27[16:31]<={{8{1'b0}},VAR21[16:23]}; VAR27[32:47]<={{8{1'b0}},VAR21[32:39]}; VAR27[48:63]<={{8{1'b0}},VAR21[48:55]}; VAR27[64:79]<={{8{1'b0}},VAR21[64:71]}; VAR27[80:95]<={{8{1'b0}},VAR21[80:87]}; VAR27[96:111]<={{8{1'b0}},VAR21[96:103]}; VAR27[112:127]<={{8{1'b0}},VAR21[112:119]}; end 4'd9: begin VAR27[0:15]<={{9{1'b0}},VAR21[0:6]}; VAR27[16:31]<={{9{1'b0}},VAR21[16:22]}; VAR27[32:47]<={{9{1'b0}},VAR21[32:38]}; VAR27[48:63]<={{9{1'b0}},VAR21[48:54]}; VAR27[64:79]<={{9{1'b0}},VAR21[64:70]}; VAR27[80:95]<={{9{1'b0}},VAR21[80:86]}; VAR27[96:111]<={{9{1'b0}},VAR21[96:102]}; VAR27[112:127]<={{9{1'b0}},VAR21[112:118]}; end 4'd10: begin VAR27[0:15]<={{10{1'b0}},VAR21[0:5]}; VAR27[16:31]<={{10{1'b0}},VAR21[16:21]}; VAR27[32:47]<={{10{1'b0}},VAR21[32:37]}; VAR27[48:63]<={{10{1'b0}},VAR21[48:53]}; VAR27[64:79]<={{10{1'b0}},VAR21[64:69]}; VAR27[80:95]<={{10{1'b0}},VAR21[80:85]}; VAR27[96:111]<={{10{1'b0}},VAR21[96:101]}; VAR27[112:127]<={{10{1'b0}},VAR21[112:117]}; end 4'd11: begin VAR27[0:15]<={{11{1'b0}},VAR21[0:4]}; VAR27[16:31]<={{11{1'b0}},VAR21[16:20]}; VAR27[32:47]<={{11{1'b0}},VAR21[32:36]}; VAR27[48:63]<={{11{1'b0}},VAR21[48:52]}; VAR27[64:79]<={{11{1'b0}},VAR21[64:68]}; VAR27[80:95]<={{11{1'b0}},VAR21[80:84]}; VAR27[96:111]<={{11{1'b0}},VAR21[96:100]}; VAR27[112:127]<={{11{1'b0}},VAR21[112:116]}; end 4'd12: begin VAR27[0:15]<={{12{1'b0}},VAR21[0:3]}; VAR27[16:31]<={{12{1'b0}},VAR21[16:19]}; VAR27[32:47]<={{12{1'b0}},VAR21[32:35]}; VAR27[48:63]<={{12{1'b0}},VAR21[48:51]}; VAR27[64:79]<={{12{1'b0}},VAR21[64:67]}; VAR27[80:95]<={{12{1'b0}},VAR21[80:83]}; VAR27[96:111]<={{12{1'b0}},VAR21[96:99]}; VAR27[112:127]<={{12{1'b0}},VAR21[112:115]}; end 4'd13: begin VAR27[0:15]<={{13{1'b0}},VAR21[0:2]}; VAR27[16:31]<={{13{1'b0}},VAR21[16:18]}; VAR27[32:47]<={{13{1'b0}},VAR21[32:34]}; VAR27[48:63]<={{13{1'b0}},VAR21[48:50]}; VAR27[64:79]<={{13{1'b0}},VAR21[64:66]}; VAR27[80:95]<={{13{1'b0}},VAR21[80:82]}; VAR27[96:111]<={{13{1'b0}},VAR21[96:98]}; VAR27[112:127]<={{13{1'b0}},VAR21[112:114]}; end 4'd14: begin VAR27[0:15]<={{14{1'b0}},VAR21[0:1]}; VAR27[16:31]<={{14{1'b0}},VAR21[16:17]}; VAR27[32:47]<={{14{1'b0}},VAR21[32:33]}; VAR27[48:63]<={{14{1'b0}},VAR21[48:49]}; VAR27[64:79]<={{14{1'b0}},VAR21[64:65]}; VAR27[80:95]<={{14{1'b0}},VAR21[80:81]}; VAR27[96:111]<={{14{1'b0}},VAR21[96:97]}; VAR27[112:127]<={{14{1'b0}},VAR21[112:113]}; end 4'd15: begin VAR27[0:15]<={{15{1'b0}},VAR21[0]}; VAR27[16:31]<={{15{1'b0}},VAR21[16]}; VAR27[32:47]<={{15{1'b0}},VAR21[32]}; VAR27[48:63]<={{15{1'b0}},VAR21[48]}; VAR27[64:79]<={{15{1'b0}},VAR21[64]}; VAR27[80:95]<={{15{1'b0}},VAR21[80]}; VAR27[96:111]<={{15{1'b0}},VAR21[96]}; VAR27[112:127]<={{15{1'b0}},VAR21[112]}; end default: begin VAR27<=128'b0; end endcase end begin case(VAR12[0:4]) 5'd0: begin VAR27[0:127]<=VAR21[0:127]; end 5'd1: begin VAR27[0:31]<={{1'b0},VAR21[0:30]}; VAR27[32:63]<={{1'b0},VAR21[32:62]}; VAR27[64:95]<={{1'b0},VAR21[64:94]}; VAR27[96:127]<={{1'b0},VAR21[96:126]}; end 5'd2: begin VAR27[0:31]<={{2{1'b0}},VAR21[0:29]}; VAR27[32:63]<={{2{1'b0}},VAR21[32:61]}; VAR27[64:95]<={{2{1'b0}},VAR21[64:93]}; VAR27[96:127]<={{2{1'b0}},VAR21[96:125]}; end 5'd3: begin VAR27[0:31]<={{3{1'b0}},VAR21[0:28]}; VAR27[32:63]<={{3{1'b0}},VAR21[32:60]}; VAR27[64:95]<={{3{1'b0}},VAR21[64:92]}; VAR27[96:127]<={{3{1'b0}},VAR21[96:124]}; end 5'd4: begin VAR27[0:31]<={{4{1'b0}},VAR21[0:27]}; VAR27[32:63]<={{4{1'b0}},VAR21[32:59]}; VAR27[64:95]<={{4{1'b0}},VAR21[64:91]}; VAR27[96:127]<={{4{1'b0}},VAR21[96:123]}; end 5'd5: begin VAR27[0:31]<={{5{1'b0}},VAR21[0:26]}; VAR27[32:63]<={{5{1'b0}},VAR21[32:58]}; VAR27[64:95]<={{5{1'b0}},VAR21[64:90]}; VAR27[96:127]<={{5{1'b0}},VAR21[96:122]}; end 5'd6: begin VAR27[0:31]<={{6{1'b0}},VAR21[0:25]}; VAR27[32:63]<={{6{1'b0}},VAR21[32:57]}; VAR27[64:95]<={{6{1'b0}},VAR21[64:89]}; VAR27[96:127]<={{6{1'b0}},VAR21[96:121]}; end 5'd7: begin VAR27[0:31]<={{7{1'b0}},VAR21[0:24]}; VAR27[32:63]<={{7{1'b0}},VAR21[32:56]}; VAR27[64:95]<={{7{1'b0}},VAR21[64:88]}; VAR27[96:127]<={{7{1'b0}},VAR21[96:120]}; end 5'd8: begin VAR27[0:31]<={{8{1'b0}},VAR21[0:23]}; VAR27[32:63]<={{8{1'b0}},VAR21[32:55]}; VAR27[64:95]<={{8{1'b0}},VAR21[64:87]}; VAR27[96:127]<={{8{1'b0}},VAR21[96:119]}; end 5'd9: begin VAR27[0:31]<={{9{1'b0}},VAR21[0:22]}; VAR27[32:63]<={{9{1'b0}},VAR21[32:54]}; VAR27[64:95]<={{9{1'b0}},VAR21[64:86]}; VAR27[96:127]<={{9{1'b0}},VAR21[96:118]}; end 5'd10: begin VAR27[0:31]<={{10{1'b0}},VAR21[0:21]}; VAR27[32:63]<={{10{1'b0}},VAR21[32:53]}; VAR27[64:95]<={{10{1'b0}},VAR21[64:85]}; VAR27[96:127]<={{10{1'b0}},VAR21[96:117]}; end 5'd11: begin VAR27[0:31]<={{11{1'b0}},VAR21[0:20]}; VAR27[32:63]<={{11{1'b0}},VAR21[32:52]}; VAR27[64:95]<={{11{1'b0}},VAR21[64:84]}; VAR27[96:127]<={{11{1'b0}},VAR21[96:116]}; end 5'd12: begin VAR27[0:31]<={{12{1'b0}},VAR21[0:19]}; VAR27[32:63]<={{12{1'b0}},VAR21[32:51]}; VAR27[64:95]<={{12{1'b0}},VAR21[64:83]}; VAR27[96:127]<={{12{1'b0}},VAR21[96:115]}; end 5'd13: begin VAR27[0:31]<={{13{1'b0}},VAR21[0:18]}; VAR27[32:63]<={{13{1'b0}},VAR21[32:50]}; VAR27[64:95]<={{13{1'b0}},VAR21[64:82]}; VAR27[96:127]<={{13{1'b0}},VAR21[96:114]}; end 5'd14: begin VAR27[0:31]<={{14{1'b0}},VAR21[0:17]}; VAR27[32:63]<={{14{1'b0}},VAR21[32:49]}; VAR27[64:95]<={{14{1'b0}},VAR21[64:81]}; VAR27[96:127]<={{14{1'b0}},VAR21[96:113]}; end 5'd15: begin VAR27[0:31]<={{15{1'b0}},VAR21[0:16]}; VAR27[32:63]<={{15{1'b0}},VAR21[32:48]}; VAR27[64:95]<={{15{1'b0}},VAR21[64:80]}; VAR27[96:127]<={{15{1'b0}},VAR21[96:112]}; end 5'd16: begin VAR27[0:31]<={{16{1'b0}},VAR21[0:15]}; VAR27[32:63]<={{16{1'b0}},VAR21[32:47]}; VAR27[64:95]<={{16{1'b0}},VAR21[64:79]}; VAR27[96:127]<={{16{1'b0}},VAR21[96:111]}; end 5'd17: begin VAR27[0:31]<={{17{1'b0}},VAR21[0:14]}; VAR27[32:63]<={{17{1'b0}},VAR21[32:46]}; VAR27[64:95]<={{17{1'b0}},VAR21[64:78]}; VAR27[96:127]<={{17{1'b0}},VAR21[96:110]}; end 5'd18: begin VAR27[0:31]<={{18{1'b0}},VAR21[0:13]}; VAR27[32:63]<={{18{1'b0}},VAR21[32:45]}; VAR27[64:95]<={{18{1'b0}},VAR21[64:77]}; VAR27[96:127]<={{18{1'b0}},VAR21[96:109]}; end 5'd19: begin VAR27[0:31]<={{19{1'b0}},VAR21[0:12]}; VAR27[32:63]<={{19{1'b0}},VAR21[32:44]}; VAR27[64:95]<={{19{1'b0}},VAR21[64:76]}; VAR27[96:127]<={{19{1'b0}},VAR21[96:108]}; end 5'd20: begin VAR27[0:31]<={{20{1'b0}},VAR21[0:11]}; VAR27[32:63]<={{20{1'b0}},VAR21[32:43]}; VAR27[64:95]<={{20{1'b0}},VAR21[64:75]}; VAR27[96:127]<={{20{1'b0}},VAR21[96:107]}; end 5'd21: begin VAR27[0:31]<={{21{1'b0}},VAR21[0:10]}; VAR27[32:63]<={{21{1'b0}},VAR21[32:42]}; VAR27[64:95]<={{21{1'b0}},VAR21[64:74]}; VAR27[96:127]<={{21{1'b0}},VAR21[96:106]}; end 5'd22: begin VAR27[0:31]<={{22{1'b0}},VAR21[0:9]}; VAR27[32:63]<={{22{1'b0}},VAR21[32:41]}; VAR27[64:95]<={{22{1'b0}},VAR21[64:73]}; VAR27[96:127]<={{22{1'b0}},VAR21[96:105]}; end 5'd23: begin VAR27[0:31]<={{23{1'b0}},VAR21[0:8]}; VAR27[32:63]<={{23{1'b0}},VAR21[32:40]}; VAR27[64:95]<={{23{1'b0}},VAR21[64:72]}; VAR27[96:127]<={{23{1'b0}},VAR21[96:104]}; end 5'd24: begin VAR27[0:31]<={{24{1'b0}},VAR21[0:7]}; VAR27[32:63]<={{24{1'b0}},VAR21[32:39]}; VAR27[64:95]<={{24{1'b0}},VAR21[64:71]}; VAR27[96:127]<={{24{1'b0}},VAR21[96:103]}; end 5'd25: begin VAR27[0:31]<={{25{1'b0}},VAR21[0:6]}; VAR27[32:63]<={{25{1'b0}},VAR21[32:38]}; VAR27[64:95]<={{25{1'b0}},VAR21[64:70]}; VAR27[96:127]<={{25{1'b0}},VAR21[96:102]}; end 5'd26: begin VAR27[0:31]<={{26{1'b0}},VAR21[0:5]}; VAR27[32:63]<={{26{1'b0}},VAR21[32:37]}; VAR27[64:95]<={{26{1'b0}},VAR21[64:69]}; VAR27[96:127]<={{26{1'b0}},VAR21[96:101]}; end 5'd27: begin VAR27[0:31]<={{27{1'b0}},VAR21[0:4]}; VAR27[32:63]<={{27{1'b0}},VAR21[32:36]}; VAR27[64:95]<={{27{1'b0}},VAR21[64:68]}; VAR27[96:127]<={{27{1'b0}},VAR21[96:100]}; end 5'd28: begin VAR27[0:31]<={{28{1'b0}},VAR21[0:3]}; VAR27[32:63]<={{28{1'b0}},VAR21[32:35]}; VAR27[64:95]<={{28{1'b0}},VAR21[64:67]}; VAR27[96:127]<={{28{1'b0}},VAR21[96:99]}; end 5'd29: begin VAR27[0:31]<={{29{1'b0}},VAR21[0:2]}; VAR27[32:63]<={{29{1'b0}},VAR21[32:34]}; VAR27[64:95]<={{29{1'b0}},VAR21[64:66]}; VAR27[96:127]<={{29{1'b0}},VAR21[96:98]}; end 5'd30: begin VAR27[0:31]<={{30{1'b0}},VAR21[0:1]}; VAR27[32:63]<={{30{1'b0}},VAR21[32:33]}; VAR27[64:95]<={{30{1'b0}},VAR21[64:65]}; VAR27[96:127]<={{30{1'b0}},VAR21[96:97]}; end 5'd31: begin VAR27[0:31]<={{31{1'b0}},VAR21[0]}; VAR27[32:63]<={{31{1'b0}},VAR21[32]}; VAR27[64:95]<={{31{1'b0}},VAR21[64]}; VAR27[96:127]<={{31{1'b0}},VAR21[96]}; end default: begin VAR27<=128'b0; end endcase end default: begin VAR27<=128'b0; end endcase end begin case(VAR2) begin case(VAR12[2:4]) 3'd0: begin VAR27[0:127]<=VAR21[0:127]; end 3'd1: begin VAR27[0:7]<={{VAR21[0]},VAR21[0:6]}; VAR27[8:15]<={{VAR21[8]},VAR21[8:14]}; VAR27[16:23]<={{VAR21[16]},VAR21[16:22]}; VAR27[24:31]<={{VAR21[24]},VAR21[24:30]}; VAR27[32:39]<={{VAR21[32]},VAR21[32:38]}; VAR27[40:47]<={{VAR21[40]},VAR21[40:46]}; VAR27[48:55]<={{VAR21[48]},VAR21[48:54]}; VAR27[56:63]<={{VAR21[56]},VAR21[56:62]}; VAR27[64:71]<={{VAR21[64]},VAR21[64:70]}; VAR27[72:79]<={{VAR21[72]},VAR21[72:78]}; VAR27[80:87]<={{VAR21[80]},VAR21[80:86]}; VAR27[88:95]<={{VAR21[88]},VAR21[88:94]}; VAR27[96:103]<={{VAR21[96]},VAR21[96:102]}; VAR27[104:111]<={{VAR21[104]},VAR21[104:110]}; VAR27[112:119]<={{VAR21[112]},VAR21[112:118]}; VAR27[120:127]<={{VAR21[120]},VAR21[120:126]}; end 3'd2: begin VAR27[0:7]<={{2{VAR21[0]}},VAR21[0:5]}; VAR27[8:15]<={{2{VAR21[8]}},VAR21[8:13]}; VAR27[16:23]<={{2{VAR21[16]}},VAR21[16:21]}; VAR27[24:31]<={{2{VAR21[24]}},VAR21[24:29]}; VAR27[32:39]<={{2{VAR21[32]}},VAR21[32:37]}; VAR27[40:47]<={{2{VAR21[40]}},VAR21[40:45]}; VAR27[48:55]<={{2{VAR21[48]}},VAR21[48:53]}; VAR27[56:63]<={{2{VAR21[56]}},VAR21[56:61]}; VAR27[64:71]<={{2{VAR21[64]}},VAR21[64:69]}; VAR27[72:79]<={{2{VAR21[72]}},VAR21[72:77]}; VAR27[80:87]<={{2{VAR21[80]}},VAR21[80:85]}; VAR27[88:95]<={{2{VAR21[88]}},VAR21[88:93]}; VAR27[96:103]<={{2{VAR21[96]}},VAR21[96:101]}; VAR27[104:111]<={{2{VAR21[104]}},VAR21[104:109]}; VAR27[112:119]<={{2{VAR21[112]}},VAR21[112:117]}; VAR27[120:127]<={{2{VAR21[120]}},VAR21[120:125]}; end 3'd3: begin VAR27[0:7]<={{3{VAR21[0]}},VAR21[0:4]}; VAR27[8:15]<={{3{VAR21[8]}},VAR21[8:12]}; VAR27[16:23]<={{3{VAR21[16]}},VAR21[16:20]}; VAR27[24:31]<={{3{VAR21[24]}},VAR21[24:28]}; VAR27[32:39]<={{3{VAR21[32]}},VAR21[32:36]}; VAR27[40:47]<={{3{VAR21[40]}},VAR21[40:44]}; VAR27[48:55]<={{3{VAR21[48]}},VAR21[48:52]}; VAR27[56:63]<={{3{VAR21[56]}},VAR21[56:60]}; VAR27[64:71]<={{3{VAR21[64]}},VAR21[64:68]}; VAR27[72:79]<={{3{VAR21[72]}},VAR21[72:76]}; VAR27[80:87]<={{3{VAR21[80]}},VAR21[80:84]}; VAR27[88:95]<={{3{VAR21[88]}},VAR21[88:92]}; VAR27[96:103]<={{3{VAR21[96]}},VAR21[96:100]}; VAR27[104:111]<={{3{VAR21[104]}},VAR21[104:108]}; VAR27[112:119]<={{3{VAR21[112]}},VAR21[112:116]}; VAR27[120:127]<={{3{VAR21[120]}},VAR21[120:124]}; end 3'd4: begin VAR27[0:7]<={{4{VAR21[0]}},VAR21[0:3]}; VAR27[8:15]<={{4{VAR21[8]}},VAR21[8:11]}; VAR27[16:23]<={{4{VAR21[16]}},VAR21[16:19]}; VAR27[24:31]<={{4{VAR21[24]}},VAR21[24:27]}; VAR27[32:39]<={{4{VAR21[32]}},VAR21[32:35]}; VAR27[40:47]<={{4{VAR21[40]}},VAR21[40:43]}; VAR27[48:55]<={{4{VAR21[48]}},VAR21[48:51]}; VAR27[56:63]<={{4{VAR21[56]}},VAR21[56:69]}; VAR27[64:71]<={{4{VAR21[64]}},VAR21[64:67]}; VAR27[72:79]<={{4{VAR21[72]}},VAR21[72:75]}; VAR27[80:87]<={{4{VAR21[80]}},VAR21[80:83]}; VAR27[88:95]<={{4{VAR21[88]}},VAR21[88:91]}; VAR27[96:103]<={{4{VAR21[96]}},VAR21[96:99]}; VAR27[104:111]<={{4{VAR21[104]}},VAR21[104:107]}; VAR27[112:119]<={{4{VAR21[112]}},VAR21[112:115]}; VAR27[120:127]<={{4{VAR21[120]}},VAR21[120:123]}; end 3'd5: begin VAR27[0:7]<={{5{VAR21[0]}},VAR21[0:2]}; VAR27[8:15]<={{5{VAR21[8]}},VAR21[8:10]}; VAR27[16:23]<={{5{VAR21[16]}},VAR21[16:18]}; VAR27[24:31]<={{5{VAR21[24]}},VAR21[24:26]}; VAR27[32:39]<={{5{VAR21[32]}},VAR21[32:34]}; VAR27[40:47]<={{5{VAR21[40]}},VAR21[40:42]}; VAR27[48:55]<={{5{VAR21[48]}},VAR21[48:50]}; VAR27[56:63]<={{5{VAR21[56]}},VAR21[56:68]}; VAR27[64:71]<={{5{VAR21[64]}},VAR21[64:66]}; VAR27[72:79]<={{5{VAR21[72]}},VAR21[72:74]}; VAR27[80:87]<={{5{VAR21[80]}},VAR21[80:82]}; VAR27[88:95]<={{5{VAR21[88]}},VAR21[88:90]}; VAR27[96:103]<={{5{VAR21[96]}},VAR21[96:98]}; VAR27[104:111]<={{5{VAR21[104]}},VAR21[104:106]}; VAR27[112:119]<={{5{VAR21[112]}},VAR21[112:114]}; VAR27[120:127]<={{5{VAR21[120]}},VAR21[120:122]}; end 3'd6: begin VAR27[0:7]<={{6{VAR21[0]}},VAR21[0:1]}; VAR27[8:15]<={{6{VAR21[8]}},VAR21[8:9]}; VAR27[16:23]<={{6{VAR21[16]}},VAR21[16:17]}; VAR27[24:31]<={{6{VAR21[24]}},VAR21[24:25]}; VAR27[32:39]<={{6{VAR21[32]}},VAR21[32:33]}; VAR27[40:47]<={{6{VAR21[40]}},VAR21[40:41]}; VAR27[48:55]<={{6{VAR21[48]}},VAR21[48:49]}; VAR27[56:63]<={{6{VAR21[56]}},VAR21[56:67]}; VAR27[64:71]<={{6{VAR21[64]}},VAR21[64:65]}; VAR27[72:79]<={{6{VAR21[72]}},VAR21[72:73]}; VAR27[80:87]<={{6{VAR21[80]}},VAR21[80:81]}; VAR27[88:95]<={{6{VAR21[88]}},VAR21[88:89]}; VAR27[96:103]<={{6{VAR21[96]}},VAR21[96:97]}; VAR27[104:111]<={{6{VAR21[104]}},VAR21[104:105]}; VAR27[112:119]<={{6{VAR21[112]}},VAR21[112:113]}; VAR27[120:127]<={{6{VAR21[120]}},VAR21[120:121]}; end 3'd7: begin VAR27[0:7]<={{7{VAR21[0]}},VAR21[0]}; VAR27[8:15]<={{7{VAR21[8]}},VAR21[8]}; VAR27[16:23]<={{7{VAR21[16]}},VAR21[16]}; VAR27[24:31]<={{7{VAR21[24]}},VAR21[24]}; VAR27[32:39]<={{7{VAR21[32]}},VAR21[32]}; VAR27[40:47]<={{7{VAR21[40]}},VAR21[40]}; VAR27[48:55]<={{7{VAR21[48]}},VAR21[48]}; VAR27[56:63]<={{7{VAR21[56]}},VAR21[56]}; VAR27[64:71]<={{7{VAR21[64]}},VAR21[64]}; VAR27[72:79]<={{7{VAR21[72]}},VAR21[72]}; VAR27[80:87]<={{7{VAR21[80]}},VAR21[80]}; VAR27[88:95]<={{7{VAR21[88]}},VAR21[88]}; VAR27[96:103]<={{7{VAR21[96]}},VAR21[96]}; VAR27[104:111]<={{7{VAR21[104]}},VAR21[104]}; VAR27[112:119]<={{7{VAR21[112]}},VAR21[112]}; VAR27[120:127]<={{7{VAR21[120]}},VAR21[120]}; end default: begin VAR27<=128'b0; end endcase end begin case(VAR12[1:4]) 4'd0: begin VAR27[0:127]<=VAR21[0:127]; end 4'd1: begin VAR27[0:15]<={{VAR21[0]},VAR21[0:14]}; VAR27[16:31]<={{VAR21[16]},VAR21[16:30]}; VAR27[32:47]<={{VAR21[32]},VAR21[32:46]}; VAR27[48:63]<={{VAR21[48]},VAR21[48:62]}; VAR27[64:79]<={{VAR21[64]},VAR21[64:78]}; VAR27[80:95]<={{VAR21[80]},VAR21[80:94]}; VAR27[96:111]<={{VAR21[96]},VAR21[96:110]}; VAR27[112:127]<={{VAR21[112]},VAR21[112:126]}; end 4'd2: begin VAR27[0:15]<={{2{VAR21[0]}},VAR21[0:13]}; VAR27[16:31]<={{2{VAR21[16]}},VAR21[16:29]}; VAR27[32:47]<={{2{VAR21[32]}},VAR21[32:45]}; VAR27[48:63]<={{2{VAR21[48]}},VAR21[48:61]}; VAR27[64:79]<={{2{VAR21[64]}},VAR21[64:77]}; VAR27[80:95]<={{2{VAR21[80]}},VAR21[80:93]}; VAR27[96:111]<={{2{VAR21[96]}},VAR21[96:109]}; VAR27[112:127]<={{2{VAR21[112]}},VAR21[112:125]}; end 4'd3: begin VAR27[0:15]<={{3{VAR21[0]}},VAR21[0:12]}; VAR27[16:31]<={{3{VAR21[16]}},VAR21[16:28]}; VAR27[32:47]<={{3{VAR21[32]}},VAR21[32:44]}; VAR27[48:63]<={{3{VAR21[48]}},VAR21[48:60]}; VAR27[64:79]<={{3{VAR21[64]}},VAR21[64:76]}; VAR27[80:95]<={{3{VAR21[80]}},VAR21[80:92]}; VAR27[96:111]<={{3{VAR21[96]}},VAR21[96:108]}; VAR27[112:127]<={{3{VAR21[112]}},VAR21[112:124]}; end 4'd4: begin VAR27[0:15]<={{4{VAR21[0]}},VAR21[0:11]}; VAR27[16:31]<={{4{VAR21[8]}},VAR21[16:27]}; VAR27[32:47]<={{4{VAR21[16]}},VAR21[32:43]}; VAR27[48:63]<={{4{VAR21[32]}},VAR21[48:59]}; VAR27[64:79]<={{4{VAR21[48]}},VAR21[64:75]}; VAR27[80:95]<={{4{VAR21[64]}},VAR21[80:91]}; VAR27[96:111]<={{4{VAR21[80]}},VAR21[96:107]}; VAR27[112:127]<={{4{VAR21[112]}},VAR21[112:123]}; end 4'd5: begin VAR27[0:15]<={{5{VAR21[0]}},VAR21[0:10]}; VAR27[16:31]<={{5{VAR21[16]}},VAR21[16:26]}; VAR27[32:47]<={{5{VAR21[32]}},VAR21[32:42]}; VAR27[48:63]<={{5{VAR21[48]}},VAR21[48:58]}; VAR27[64:79]<={{5{VAR21[64]}},VAR21[64:74]}; VAR27[80:95]<={{5{VAR21[80]}},VAR21[80:90]}; VAR27[96:111]<={{5{VAR21[96]}},VAR21[96:106]}; VAR27[112:127]<={{5{VAR21[112]}},VAR21[112:122]}; end 4'd6: begin VAR27[0:15]<={{6{VAR21[0]}},VAR21[0:9]}; VAR27[16:31]<={{6{VAR21[16]}},VAR21[16:25]}; VAR27[32:47]<={{6{VAR21[32]}},VAR21[32:41]}; VAR27[48:63]<={{6{VAR21[48]}},VAR21[48:57]}; VAR27[64:79]<={{6{VAR21[64]}},VAR21[64:73]}; VAR27[80:95]<={{6{VAR21[80]}},VAR21[80:89]}; VAR27[96:111]<={{6{VAR21[96]}},VAR21[96:105]}; VAR27[112:127]<={{6{VAR21[112]}},VAR21[112:121]}; end 4'd7: begin VAR27[0:15]<={{7{VAR21[0]}},VAR21[0:8]}; VAR27[16:31]<={{7{VAR21[16]}},VAR21[16:24]}; VAR27[32:47]<={{7{VAR21[32]}},VAR21[32:40]}; VAR27[48:63]<={{7{VAR21[48]}},VAR21[48:56]}; VAR27[64:79]<={{7{VAR21[64]}},VAR21[64:72]}; VAR27[80:95]<={{7{VAR21[80]}},VAR21[80:88]}; VAR27[96:111]<={{7{VAR21[96]}},VAR21[96:104]}; VAR27[112:127]<={{7{VAR21[112]}},VAR21[112:120]}; end 4'd8: begin VAR27[0:15]<={{8{VAR21[0]}},VAR21[0:7]}; VAR27[16:31]<={{8{VAR21[16]}},VAR21[16:23]}; VAR27[32:47]<={{8{VAR21[32]}},VAR21[32:39]}; VAR27[48:63]<={{8{VAR21[48]}},VAR21[48:55]}; VAR27[64:79]<={{8{VAR21[64]}},VAR21[64:71]}; VAR27[80:95]<={{8{VAR21[80]}},VAR21[80:87]}; VAR27[96:111]<={{8{VAR21[96]}},VAR21[96:103]}; VAR27[112:127]<={{8{VAR21[112]}},VAR21[112:119]}; end 4'd9: begin VAR27[0:15]<={{9{VAR21[0]}},VAR21[0:6]}; VAR27[16:31]<={{9{VAR21[16]}},VAR21[16:22]}; VAR27[32:47]<={{9{VAR21[32]}},VAR21[32:38]}; VAR27[48:63]<={{9{VAR21[48]}},VAR21[48:54]}; VAR27[64:79]<={{9{VAR21[64]}},VAR21[64:70]}; VAR27[80:95]<={{9{VAR21[80]}},VAR21[80:86]}; VAR27[96:111]<={{9{VAR21[96]}},VAR21[96:102]}; VAR27[112:127]<={{9{VAR21[112]}},VAR21[112:118]}; end 4'd10: begin VAR27[0:15]<={{10{VAR21[0]}},VAR21[0:5]}; VAR27[16:31]<={{10{VAR21[16]}},VAR21[16:21]}; VAR27[32:47]<={{10{VAR21[32]}},VAR21[32:37]}; VAR27[48:63]<={{10{VAR21[48]}},VAR21[48:53]}; VAR27[64:79]<={{10{VAR21[64]}},VAR21[64:69]}; VAR27[80:95]<={{10{VAR21[80]}},VAR21[80:85]}; VAR27[96:111]<={{10{VAR21[96]}},VAR21[96:101]}; VAR27[112:127]<={{10{VAR21[112]}},VAR21[112:117]}; end 4'd11: begin VAR27[0:15]<={{11{VAR21[0]}},VAR21[0:4]}; VAR27[16:31]<={{11{VAR21[16]}},VAR21[16:20]}; VAR27[32:47]<={{11{VAR21[32]}},VAR21[32:36]}; VAR27[48:63]<={{11{VAR21[48]}},VAR21[48:52]}; VAR27[64:79]<={{11{VAR21[64]}},VAR21[64:68]}; VAR27[80:95]<={{11{VAR21[80]}},VAR21[80:84]}; VAR27[96:111]<={{11{VAR21[96]}},VAR21[96:100]}; VAR27[112:127]<={{11{VAR21[112]}},VAR21[112:116]}; end 4'd12: begin VAR27[0:15]<={{12{VAR21[0]}},VAR21[0:3]}; VAR27[16:31]<={{12{VAR21[16]}},VAR21[16:19]}; VAR27[32:47]<={{12{VAR21[32]}},VAR21[32:35]}; VAR27[48:63]<={{12{VAR21[48]}},VAR21[48:51]}; VAR27[64:79]<={{12{VAR21[64]}},VAR21[64:67]}; VAR27[80:95]<={{12{VAR21[80]}},VAR21[80:83]}; VAR27[96:111]<={{12{VAR21[96]}},VAR21[96:99]}; VAR27[112:127]<={{12{VAR21[112]}},VAR21[112:115]}; end 4'd13: begin VAR27[0:15]<={{13{VAR21[0]}},VAR21[0:2]}; VAR27[16:31]<={{13{VAR21[16]}},VAR21[16:18]}; VAR27[32:47]<={{13{VAR21[32]}},VAR21[32:34]}; VAR27[48:63]<={{13{VAR21[48]}},VAR21[48:50]}; VAR27[64:79]<={{13{VAR21[64]}},VAR21[64:66]}; VAR27[80:95]<={{13{VAR21[80]}},VAR21[80:82]}; VAR27[96:111]<={{13{VAR21[96]}},VAR21[96:98]}; VAR27[112:127]<={{13{VAR21[112]}},VAR21[112:114]}; end 4'd14: begin VAR27[0:15]<={{14{VAR21[0]}},VAR21[0:1]}; VAR27[16:31]<={{14{VAR21[16]}},VAR21[16:17]}; VAR27[32:47]<={{14{VAR21[32]}},VAR21[32:33]}; VAR27[48:63]<={{14{VAR21[48]}},VAR21[48:49]}; VAR27[64:79]<={{14{VAR21[64]}},VAR21[64:65]}; VAR27[80:95]<={{14{VAR21[80]}},VAR21[80:81]}; VAR27[96:111]<={{14{VAR21[96]}},VAR21[96:97]}; VAR27[112:127]<={{14{VAR21[112]}},VAR21[112:113]}; end 4'd15: begin VAR27[0:15]<={{15{VAR21[0]}},VAR21[0]}; VAR27[16:31]<={{15{VAR21[16]}},VAR21[16]}; VAR27[32:47]<={{15{VAR21[32]}},VAR21[32]}; VAR27[48:63]<={{15{VAR21[48]}},VAR21[48]}; VAR27[64:79]<={{15{VAR21[64]}},VAR21[64]}; VAR27[80:95]<={{15{VAR21[80]}},VAR21[80]}; VAR27[96:111]<={{15{VAR21[96]}},VAR21[96]}; VAR27[112:127]<={{15{VAR21[112]}},VAR21[112]}; end default: begin VAR27<=128'b0; end endcase end begin case(VAR12[0:4]) 5'd0: begin VAR27[0:127]<=VAR21[0:127]; end 5'd1: begin VAR27[0:31]<={{VAR21[0]},VAR21[0:30]}; VAR27[32:63]<={{VAR21[32]},VAR21[32:62]}; VAR27[64:95]<={{VAR21[64]},VAR21[64:94]}; VAR27[96:127]<={{VAR21[96]},VAR21[96:126]}; end 5'd2: begin VAR27[0:31]<={{2{VAR21[0]}},VAR21[0:29]}; VAR27[32:63]<={{2{VAR21[32]}},VAR21[32:61]}; VAR27[64:95]<={{2{VAR21[64]}},VAR21[64:93]}; VAR27[96:127]<={{2{VAR21[96]}},VAR21[96:125]}; end 5'd3: begin VAR27[0:31]<={{3{VAR21[0]}},VAR21[0:28]}; VAR27[32:63]<={{3{VAR21[32]}},VAR21[32:60]}; VAR27[64:95]<={{3{VAR21[64]}},VAR21[64:92]}; VAR27[96:127]<={{3{VAR21[96]}},VAR21[96:124]}; end 5'd4: begin VAR27[0:31]<={{4{VAR21[0]}},VAR21[0:27]}; VAR27[32:63]<={{4{VAR21[32]}},VAR21[32:59]}; VAR27[64:95]<={{4{VAR21[64]}},VAR21[64:91]}; VAR27[96:127]<={{4{VAR21[96]}},VAR21[96:123]}; end 5'd5: begin VAR27[0:31]<={{5{VAR21[0]}},VAR21[0:26]}; VAR27[32:63]<={{5{VAR21[32]}},VAR21[32:58]}; VAR27[64:95]<={{5{VAR21[64]}},VAR21[64:90]}; VAR27[96:127]<={{5{VAR21[96]}},VAR21[96:122]}; end 5'd6: begin VAR27[0:31]<={{6{VAR21[0]}},VAR21[0:25]}; VAR27[32:63]<={{6{VAR21[32]}},VAR21[32:57]}; VAR27[64:95]<={{6{VAR21[64]}},VAR21[64:89]}; VAR27[96:127]<={{6{VAR21[96]}},VAR21[96:121]}; end 5'd7: begin VAR27[0:31]<={{7{VAR21[0]}},VAR21[0:24]}; VAR27[32:63]<={{7{VAR21[32]}},VAR21[32:56]}; VAR27[64:95]<={{7{VAR21[64]}},VAR21[64:88]}; VAR27[96:127]<={{7{VAR21[96]}},VAR21[96:120]}; end 5'd8: begin VAR27[0:31]<={{8{VAR21[0]}},VAR21[0:23]}; VAR27[32:63]<={{8{VAR21[32]}},VAR21[32:55]}; VAR27[64:95]<={{8{VAR21[64]}},VAR21[64:87]}; VAR27[96:127]<={{8{VAR21[96]}},VAR21[96:119]}; end 5'd9: begin VAR27[0:31]<={{9{VAR21[0]}},VAR21[0:22]}; VAR27[32:63]<={{9{VAR21[32]}},VAR21[32:54]}; VAR27[64:95]<={{9{VAR21[64]}},VAR21[64:86]}; VAR27[96:127]<={{9{VAR21[96]}},VAR21[96:118]}; end 5'd10: begin VAR27[0:31]<={{10{VAR21[0]}},VAR21[0:21]}; VAR27[32:63]<={{10{VAR21[32]}},VAR21[32:53]}; VAR27[64:95]<={{10{VAR21[64]}},VAR21[64:85]}; VAR27[96:127]<={{10{VAR21[96]}},VAR21[96:117]}; end 5'd11: begin VAR27[0:31]<={{11{VAR21[0]}},VAR21[0:20]}; VAR27[32:63]<={{11{VAR21[32]}},VAR21[32:52]}; VAR27[64:95]<={{11{VAR21[64]}},VAR21[64:84]}; VAR27[96:127]<={{11{VAR21[96]}},VAR21[96:116]}; end 5'd12: begin VAR27[0:31]<={{12{VAR21[0]}},VAR21[0:19]}; VAR27[32:63]<={{12{VAR21[32]}},VAR21[32:51]}; VAR27[64:95]<={{12{VAR21[64]}},VAR21[64:83]}; VAR27[96:127]<={{12{VAR21[96]}},VAR21[96:115]}; end 5'd13: begin VAR27[0:31]<={{13{VAR21[0]}},VAR21[0:18]}; VAR27[32:63]<={{13{VAR21[32]}},VAR21[32:50]}; VAR27[64:95]<={{13{VAR21[64]}},VAR21[64:82]}; VAR27[96:127]<={{13{VAR21[96]}},VAR21[96:114]}; end 5'd14: begin VAR27[0:31]<={{14{VAR21[0]}},VAR21[0:17]}; VAR27[32:63]<={{14{VAR21[32]}},VAR21[32:49]}; VAR27[64:95]<={{14{VAR21[64]}},VAR21[64:81]}; VAR27[96:127]<={{14{VAR21[96]}},VAR21[96:113]}; end 5'd15: begin VAR27[0:31]<={{15{VAR21[0]}},VAR21[0:16]}; VAR27[32:63]<={{15{VAR21[32]}},VAR21[32:48]}; VAR27[64:95]<={{15{VAR21[64]}},VAR21[64:80]}; VAR27[96:127]<={{15{VAR21[96]}},VAR21[96:112]}; end 5'd16: begin VAR27[0:31]<={{16{VAR21[0]}},VAR21[0:15]}; VAR27[32:63]<={{16{VAR21[32]}},VAR21[32:47]}; VAR27[64:95]<={{16{VAR21[64]}},VAR21[64:79]}; VAR27[96:127]<={{16{VAR21[96]}},VAR21[96:111]}; end 5'd17: begin VAR27[0:31]<={{17{VAR21[0]}},VAR21[0:14]}; VAR27[32:63]<={{17{VAR21[32]}},VAR21[32:46]}; VAR27[64:95]<={{17{VAR21[64]}},VAR21[64:78]}; VAR27[96:127]<={{17{VAR21[96]}},VAR21[96:110]}; end 5'd18: begin VAR27[0:31]<={{18{VAR21[0]}},VAR21[0:13]}; VAR27[32:63]<={{18{VAR21[32]}},VAR21[32:45]}; VAR27[64:95]<={{18{VAR21[64]}},VAR21[64:77]}; VAR27[96:127]<={{18{VAR21[96]}},VAR21[96:109]}; end 5'd19: begin VAR27[0:31]<={{19{VAR21[0]}},VAR21[0:12]}; VAR27[32:63]<={{19{VAR21[32]}},VAR21[32:44]}; VAR27[64:95]<={{19{VAR21[64]}},VAR21[64:76]}; VAR27[96:127]<={{19{VAR21[96]}},VAR21[96:108]}; end 5'd20: begin VAR27[0:31]<={{20{VAR21[0]}},VAR21[0:11]}; VAR27[32:63]<={{20{VAR21[32]}},VAR21[32:43]}; VAR27[64:95]<={{20{VAR21[64]}},VAR21[64:75]}; VAR27[96:127]<={{20{VAR21[96]}},VAR21[96:107]}; end 5'd21: begin VAR27[0:31]<={{21{VAR21[0]}},VAR21[0:10]}; VAR27[32:63]<={{21{VAR21[32]}},VAR21[32:42]}; VAR27[64:95]<={{21{VAR21[64]}},VAR21[64:74]}; VAR27[96:127]<={{21{VAR21[96]}},VAR21[96:106]}; end 5'd22: begin VAR27[0:31]<={{22{VAR21[0]}},VAR21[0:9]}; VAR27[32:63]<={{22{VAR21[32]}},VAR21[32:41]}; VAR27[64:95]<={{22{VAR21[64]}},VAR21[64:73]}; VAR27[96:127]<={{22{VAR21[96]}},VAR21[96:105]}; end 5'd23: begin VAR27[0:31]<={{23{VAR21[0]}},VAR21[0:8]}; VAR27[32:63]<={{23{VAR21[32]}},VAR21[32:40]}; VAR27[64:95]<={{23{VAR21[64]}},VAR21[64:72]}; VAR27[96:127]<={{23{VAR21[96]}},VAR21[96:104]}; end 5'd24: begin VAR27[0:31]<={{24{VAR21[0]}},VAR21[0:7]}; VAR27[32:63]<={{24{VAR21[32]}},VAR21[32:39]}; VAR27[64:95]<={{24{VAR21[64]}},VAR21[64:71]}; VAR27[96:127]<={{24{VAR21[96]}},VAR21[96:103]}; end 5'd25: begin VAR27[0:31]<={{25{VAR21[0]}},VAR21[0:6]}; VAR27[32:63]<={{25{VAR21[32]}},VAR21[32:38]}; VAR27[64:95]<={{25{VAR21[64]}},VAR21[64:70]}; VAR27[96:127]<={{25{VAR21[96]}},VAR21[96:102]}; end 5'd26: begin VAR27[0:31]<={{26{VAR21[0]}},VAR21[0:5]}; VAR27[32:63]<={{26{VAR21[32]}},VAR21[32:37]}; VAR27[64:95]<={{26{VAR21[64]}},VAR21[64:69]}; VAR27[96:127]<={{26{VAR21[96]}},VAR21[96:101]}; end 5'd27: begin VAR27[0:31]<={{27{VAR21[0]}},VAR21[0:4]}; VAR27[32:63]<={{27{VAR21[32]}},VAR21[32:36]}; VAR27[64:95]<={{27{VAR21[64]}},VAR21[64:68]}; VAR27[96:127]<={{27{VAR21[96]}},VAR21[96:100]}; end 5'd28: begin VAR27[0:31]<={{28{VAR21[0]}},VAR21[0:3]}; VAR27[32:63]<={{28{VAR21[32]}},VAR21[32:35]}; VAR27[64:95]<={{28{VAR21[64]}},VAR21[64:67]}; VAR27[96:127]<={{28{VAR21[96]}},VAR21[96:99]}; end 5'd29: begin VAR27[0:31]<={{29{VAR21[0]}},VAR21[0:2]}; VAR27[32:63]<={{29{VAR21[32]}},VAR21[32:34]}; VAR27[64:95]<={{29{VAR21[64]}},VAR21[64:66]}; VAR27[96:127]<={{29{VAR21[96]}},VAR21[96:98]}; end 5'd30: begin VAR27[0:31]<={{30{VAR21[0]}},VAR21[0:1]}; VAR27[32:63]<={{30{VAR21[32]}},VAR21[32:33]}; VAR27[64:95]<={{30{VAR21[64]}},VAR21[64:65]}; VAR27[96:127]<={{30{VAR21[96]}},VAR21[96:97]}; end 5'd31: begin VAR27[0:31]<={{31{VAR21[0]}},VAR21[0]}; VAR27[32:63]<={{31{VAR21[32]}},VAR21[32]}; VAR27[64:95]<={{31{VAR21[64]}},VAR21[64]}; VAR27[96:127]<={{31{VAR21[96]}},VAR21[96]}; end default: begin VAR27<=128'b0; end endcase end default: begin VAR27<=128'b0; end endcase end begin case(VAR2) begin case(VAR12[5:7]) 3'd0: VAR27[0:7]<=VAR21[0:7]; 3'd1: VAR27[0:7]<={{1{VAR21[0]}},VAR21[0:6]}; 3'd2: VAR27[0:7]<={{2{VAR21[0]}},VAR21[0:5]}; 3'd3: VAR27[0:7]<={{3{VAR21[0]}},VAR21[0:4]}; 3'd4: VAR27[0:7]<={{4{VAR21[0]}},VAR21[0:3]}; 3'd5: VAR27[0:7]<={{5{VAR21[0]}},VAR21[0:2]}; 3'd6: VAR27[0:7]<={{6{VAR21[0]}},VAR21[0:1]}; 3'd7: VAR27[0:7]<={{7{VAR21[0]}},VAR21[0]}; default: VAR27[0:7]<=8'b0; endcase case(VAR12[13:15]) 3'd0: VAR27[8:15]<=VAR21[8:15]; 3'd1: VAR27[8:15]<={{1{VAR21[8]}},VAR21[8:14]}; 3'd2: VAR27[8:15]<={{2{VAR21[8]}},VAR21[8:13]}; 3'd3: VAR27[8:15]<={{3{VAR21[8]}},VAR21[8:12]}; 3'd4: VAR27[8:15]<={{4{VAR21[8]}},VAR21[8:11]}; 3'd5: VAR27[8:15]<={{5{VAR21[8]}},VAR21[8:10]}; 3'd6: VAR27[8:15]<={{6{VAR21[8]}},VAR21[8:9]}; 3'd7: VAR27[8:15]<={{7{VAR21[8]}},VAR21[8]}; default: VAR27[8:15]<=8'b0; endcase case(VAR12[21:23]) 3'd0: VAR27[16:23]<=VAR21[16:23]; 3'd1: VAR27[16:23]<={{1{VAR21[16]}},VAR21[16:22]}; 3'd2: VAR27[16:23]<={{2{VAR21[16]}},VAR21[16:21]}; 3'd3: VAR27[16:23]<={{3{VAR21[16]}},VAR21[16:20]}; 3'd4: VAR27[16:23]<={{4{VAR21[16]}},VAR21[16:19]}; 3'd5: VAR27[16:23]<={{5{VAR21[16]}},VAR21[16:18]}; 3'd6: VAR27[16:23]<={{6{VAR21[16]}},VAR21[16:17]}; 3'd7: VAR27[16:23]<={{7{VAR21[16]}},VAR21[16]}; default: VAR27[16:23]<=8'b0; endcase case(VAR12[29:31]) 3'd0: VAR27[24:31]<=VAR21[24:31]; 3'd1: VAR27[24:31]<={{1{VAR21[24]}},VAR21[24:30]}; 3'd2: VAR27[24:31]<={{2{VAR21[24]}},VAR21[24:29]}; 3'd3: VAR27[24:31]<={{3{VAR21[24]}},VAR21[24:28]}; 3'd4: VAR27[24:31]<={{4{VAR21[24]}},VAR21[24:27]}; 3'd5: VAR27[24:31]<={{5{VAR21[24]}},VAR21[24:26]}; 3'd6: VAR27[24:31]<={{6{VAR21[24]}},VAR21[24:25]}; 3'd7: VAR27[24:31]<={{7{VAR21[24]}},VAR21[24]}; default: VAR27[24:31]<=8'b0; endcase case(VAR12[37:39]) 3'd0: VAR27[32:39]<=VAR21[32:39]; 3'd1: VAR27[32:39]<={{1{VAR21[32]}},VAR21[32:38]}; 3'd2: VAR27[32:39]<={{2{VAR21[32]}},VAR21[32:37]}; 3'd3: VAR27[32:39]<={{3{VAR21[32]}},VAR21[32:36]}; 3'd4: VAR27[32:39]<={{4{VAR21[32]}},VAR21[32:35]}; 3'd5: VAR27[32:39]<={{5{VAR21[32]}},VAR21[32:34]}; 3'd6: VAR27[32:39]<={{6{VAR21[32]}},VAR21[32:33]}; 3'd7: VAR27[32:39]<={{7{VAR21[32]}},VAR21[32]}; default: VAR27[32:39]<=8'b0; endcase case(VAR12[45:47]) 3'd0: VAR27[40:47]<=VAR21[40:47]; 3'd1: VAR27[40:47]<={{1{VAR21[40]}},VAR21[40:46]}; 3'd2: VAR27[40:47]<={{2{VAR21[40]}},VAR21[40:45]}; 3'd3: VAR27[40:47]<={{3{VAR21[40]}},VAR21[40:44]}; 3'd4: VAR27[40:47]<={{4{VAR21[40]}},VAR21[40:43]}; 3'd5: VAR27[40:47]<={{5{VAR21[40]}},VAR21[40:42]}; 3'd6: VAR27[40:47]<={{6{VAR21[40]}},VAR21[40:41]}; 3'd7: VAR27[40:47]<={{7{VAR21[40]}},VAR21[40]}; default: VAR27[40:47]<=8'b0; endcase case(VAR12[53:55]) 3'd0: VAR27[48:55]<=VAR21[48:55]; 3'd1: VAR27[48:55]<={{1{VAR21[48]}},VAR21[48:54]}; 3'd2: VAR27[48:55]<={{2{VAR21[48]}},VAR21[48:53]}; 3'd3: VAR27[48:55]<={{3{VAR21[48]}},VAR21[48:52]}; 3'd4: VAR27[48:55]<={{4{VAR21[48]}},VAR21[48:51]}; 3'd5: VAR27[48:55]<={{5{VAR21[48]}},VAR21[48:50]}; 3'd6: VAR27[48:55]<={{6{VAR21[48]}},VAR21[48:49]}; 3'd7: VAR27[48:55]<={{7{VAR21[48]}},VAR21[48]}; default: VAR27[48:55]<=8'b0; endcase case(VAR12[61:63]) 3'd0: VAR27[56:63]<=VAR21[56:63]; 3'd1: VAR27[56:63]<={{1{VAR21[56]}},VAR21[56:62]}; 3'd2: VAR27[56:63]<={{2{VAR21[56]}},VAR21[56:61]}; 3'd3: VAR27[56:63]<={{3{VAR21[56]}},VAR21[56:60]}; 3'd4: VAR27[56:63]<={{4{VAR21[56]}},VAR21[56:59]}; 3'd5: VAR27[56:63]<={{5{VAR21[56]}},VAR21[56:58]}; 3'd6: VAR27[56:63]<={{6{VAR21[56]}},VAR21[56:57]}; 3'd7: VAR27[56:63]<={{7{VAR21[56]}},VAR21[56]}; default: VAR27[56:63]<=8'b0; endcase case(VAR12[69:71]) 3'd0: VAR27[64:71]<=VAR21[64:71]; 3'd1: VAR27[64:71]<={{1{VAR21[64]}},VAR21[64:70]}; 3'd2: VAR27[64:71]<={{2{VAR21[64]}},VAR21[64:69]}; 3'd3: VAR27[64:71]<={{3{VAR21[64]}},VAR21[64:68]}; 3'd4: VAR27[64:71]<={{4{VAR21[64]}},VAR21[64:67]}; 3'd5: VAR27[64:71]<={{5{VAR21[64]}},VAR21[64:66]}; 3'd6: VAR27[64:71]<={{6{VAR21[64]}},VAR21[64:65]}; 3'd7: VAR27[64:71]<={{7{VAR21[64]}},VAR21[64]}; default: VAR27[64:71]<=8'b0; endcase case(VAR12[77:79]) 3'd0: VAR27[72:79]<=VAR21[72:79]; 3'd1: VAR27[72:79]<={{1{VAR21[72]}},VAR21[72:78]}; 3'd2: VAR27[72:79]<={{2{VAR21[72]}},VAR21[72:77]}; 3'd3: VAR27[72:79]<={{3{VAR21[72]}},VAR21[72:76]}; 3'd4: VAR27[72:79]<={{4{VAR21[72]}},VAR21[72:75]}; 3'd5: VAR27[72:79]<={{5{VAR21[72]}},VAR21[72:74]}; 3'd6: VAR27[72:79]<={{6{VAR21[72]}},VAR21[72:73]}; 3'd7: VAR27[72:79]<={{7{VAR21[72]}},VAR21[72]}; default: VAR27[72:79]<=8'b0; endcase case(VAR12[85:87]) 3'd0: VAR27[80:87]<=VAR21[80:87]; 3'd1: VAR27[80:87]<={{1{VAR21[80]}},VAR21[80:86]}; 3'd2: VAR27[80:87]<={{2{VAR21[80]}},VAR21[80:85]}; 3'd3: VAR27[80:87]<={{3{VAR21[80]}},VAR21[80:84]}; 3'd4: VAR27[80:87]<={{4{VAR21[80]}},VAR21[80:83]}; 3'd5: VAR27[80:87]<={{5{VAR21[80]}},VAR21[80:82]}; 3'd6: VAR27[80:87]<={{6{VAR21[80]}},VAR21[80:81]}; 3'd7: VAR27[80:87]<={{7{VAR21[80]}},VAR21[80]}; default: VAR27[80:87]<=8'b0; endcase case(VAR12[93:95]) 3'd0: VAR27[88:95]<=VAR21[88:95]; 3'd1: VAR27[88:95]<={{1{VAR21[88]}},VAR21[88:94]}; 3'd2: VAR27[88:95]<={{2{VAR21[88]}},VAR21[88:93]}; 3'd3: VAR27[88:95]<={{3{VAR21[88]}},VAR21[88:92]}; 3'd4: VAR27[88:95]<={{4{VAR21[88]}},VAR21[88:91]}; 3'd5: VAR27[88:95]<={{5{VAR21[88]}},VAR21[88:90]}; 3'd6: VAR27[88:95]<={{6{VAR21[88]}},VAR21[88:89]}; 3'd7: VAR27[88:95]<={{7{VAR21[88]}},VAR21[88]}; default: VAR27[88:95]<=8'b0; endcase case(VAR12[101:103]) 3'd0: VAR27[96:103]<=VAR21[96:103]; 3'd1: VAR27[96:103]<={{1{VAR21[96]}},VAR21[96:102]}; 3'd2: VAR27[96:103]<={{2{VAR21[96]}},VAR21[96:101]}; 3'd3: VAR27[96:103]<={{3{VAR21[96]}},VAR21[96:100]}; 3'd4: VAR27[96:103]<={{4{VAR21[96]}},VAR21[96:99]}; 3'd5: VAR27[96:103]<={{5{VAR21[96]}},VAR21[96:98]}; 3'd6: VAR27[96:103]<={{6{VAR21[96]}},VAR21[96:97]}; 3'd7: VAR27[96:103]<={{7{VAR21[96]}},VAR21[96]}; default: VAR27[96:103]<=8'b0; endcase case(VAR12[109:111]) 3'd0: VAR27[104:111]<=VAR21[104:111]; 3'd1: VAR27[104:111]<={{1{VAR21[104]}},VAR21[104:110]}; 3'd2: VAR27[104:111]<={{2{VAR21[104]}},VAR21[104:109]}; 3'd3: VAR27[104:111]<={{3{VAR21[104]}},VAR21[104:108]}; 3'd4: VAR27[104:111]<={{4{VAR21[104]}},VAR21[104:107]}; 3'd5: VAR27[104:111]<={{5{VAR21[104]}},VAR21[104:106]}; 3'd6: VAR27[104:111]<={{6{VAR21[104]}},VAR21[104:105]}; 3'd7: VAR27[104:111]<={{7{VAR21[104]}},VAR21[104]}; default: VAR27[104:111]<=8'b0; endcase case(VAR12[117:119]) 3'd0: VAR27[112:119]<=VAR21[112:119]; 3'd1: VAR27[112:119]<={{1{VAR21[112]}},VAR21[112:118]}; 3'd2: VAR27[112:119]<={{2{VAR21[112]}},VAR21[112:117]}; 3'd3: VAR27[112:119]<={{3{VAR21[112]}},VAR21[112:116]}; 3'd4: VAR27[112:119]<={{4{VAR21[112]}},VAR21[112:115]}; 3'd5: VAR27[112:119]<={{5{VAR21[112]}},VAR21[112:114]}; 3'd6: VAR27[112:119]<={{6{VAR21[112]}},VAR21[112:113]}; 3'd7: VAR27[112:119]<={{7{VAR21[112]}},VAR21[112]}; default: VAR27[112:119]<=8'b0; endcase case(VAR12[125:127]) 3'd0: VAR27[120:127]<=VAR21[120:127]; 3'd1: VAR27[120:127]<={{1{VAR21[120]}},VAR21[120:126]}; 3'd2: VAR27[120:127]<={{2{VAR21[120]}},VAR21[120:125]}; 3'd3: VAR27[120:127]<={{3{VAR21[120]}},VAR21[120:124]}; 3'd4: VAR27[120:127]<={{4{VAR21[120]}},VAR21[120:123]}; 3'd5: VAR27[120:127]<={{5{VAR21[120]}},VAR21[120:122]}; 3'd6: VAR27[120:127]<={{6{VAR21[120]}},VAR21[120:121]}; 3'd7: VAR27[120:127]<={{7{VAR21[120]}},VAR21[120]}; default: VAR27[120:127]<=8'b0; endcase end begin case(VAR12[12:15]) 4'd0: VAR27[0:15]<=VAR21[0:15]; 4'd1: VAR27[0:15]<={{1{VAR21[0]}},VAR21[0:14]}; 4'd2: VAR27[0:15]<={{2{VAR21[0]}},VAR21[0:13]}; 4'd3: VAR27[0:15]<={{3{VAR21[0]}},VAR21[0:12]}; 4'd4: VAR27[0:15]<={{4{VAR21[0]}},VAR21[0:11]}; 4'd5: VAR27[0:15]<={{5{VAR21[0]}},VAR21[0:10]}; 4'd6: VAR27[0:15]<={{6{VAR21[0]}},VAR21[0:9]}; 4'd7: VAR27[0:15]<={{7{VAR21[0]}},VAR21[0:8]}; 4'd8: VAR27[0:15]<={{8{VAR21[0]}},VAR21[0:7]}; 4'd9: VAR27[0:15]<={{9{VAR21[0]}},VAR21[0:6]}; 4'd10: VAR27[0:15]<={{10{VAR21[0]}},VAR21[0:5]}; 4'd11: VAR27[0:15]<={{11{VAR21[0]}},VAR21[0:4]}; 4'd12: VAR27[0:15]<={{12{VAR21[0]}},VAR21[0:3]}; 4'd13: VAR27[0:15]<={{13{VAR21[0]}},VAR21[0:2]}; 4'd14: VAR27[0:15]<={{14{VAR21[0]}},VAR21[0:1]}; 4'd15: VAR27[0:15]<={{15{VAR21[0]}},VAR21[0]}; default: VAR27[0:15]<=16'b0; endcase case(VAR12[28:31]) 4'd0: VAR27[16:31]<=VAR21[16:31]; 4'd1: VAR27[16:31]<={{1{VAR21[16]}},VAR21[16:30]}; 4'd2: VAR27[16:31]<={{2{VAR21[16]}},VAR21[16:29]}; 4'd3: VAR27[16:31]<={{3{VAR21[16]}},VAR21[16:28]}; 4'd4: VAR27[16:31]<={{4{VAR21[16]}},VAR21[16:27]}; 4'd5: VAR27[16:31]<={{5{VAR21[16]}},VAR21[16:26]}; 4'd6: VAR27[16:31]<={{6{VAR21[16]}},VAR21[16:25]}; 4'd7: VAR27[16:31]<={{7{VAR21[16]}},VAR21[16:24]}; 4'd8: VAR27[16:31]<={{8{VAR21[16]}},VAR21[16:23]}; 4'd9: VAR27[16:31]<={{9{VAR21[16]}},VAR21[16:22]}; 4'd10: VAR27[16:31]<={{10{VAR21[16]}},VAR21[16:21]}; 4'd11: VAR27[16:31]<={{11{VAR21[16]}},VAR21[16:20]}; 4'd12: VAR27[16:31]<={{12{VAR21[16]}},VAR21[16:19]}; 4'd13: VAR27[16:31]<={{13{VAR21[16]}},VAR21[16:18]}; 4'd14: VAR27[16:31]<={{14{VAR21[16]}},VAR21[16:17]}; 4'd15: VAR27[16:31]<={{15{VAR21[16]}},VAR21[16]}; default: VAR27[16:31]<=16'b0; endcase case(VAR12[44:47]) 4'd0: VAR27[32:47]<=VAR21[32:47]; 4'd1: VAR27[32:47]<={{1{VAR21[32]}},VAR21[32:46]}; 4'd2: VAR27[32:47]<={{2{VAR21[32]}},VAR21[32:45]}; 4'd3: VAR27[32:47]<={{3{VAR21[32]}},VAR21[32:44]}; 4'd4: VAR27[32:47]<={{4{VAR21[32]}},VAR21[32:43]}; 4'd5: VAR27[32:47]<={{5{VAR21[32]}},VAR21[32:42]}; 4'd6: VAR27[32:47]<={{6{VAR21[32]}},VAR21[32:41]}; 4'd7: VAR27[32:47]<={{7{VAR21[32]}},VAR21[32:40]}; 4'd8: VAR27[32:47]<={{8{VAR21[32]}},VAR21[32:39]}; 4'd9: VAR27[32:47]<={{9{VAR21[32]}},VAR21[32:38]}; 4'd10: VAR27[32:47]<={{10{VAR21[32]}},VAR21[32:37]}; 4'd11: VAR27[32:47]<={{11{VAR21[32]}},VAR21[32:36]}; 4'd12: VAR27[32:47]<={{12{VAR21[32]}},VAR21[32:35]}; 4'd13: VAR27[32:47]<={{13{VAR21[32]}},VAR21[32:34]}; 4'd14: VAR27[32:47]<={{14{VAR21[32]}},VAR21[32:33]}; 4'd15: VAR27[32:47]<={{15{VAR21[32]}},VAR21[32]}; endcase case(VAR12[60:63]) 4'd0: VAR27[48:63]<=VAR21[48:63]; 4'd1: VAR27[48:63]<={{1{VAR21[48]}},VAR21[48:62]}; 4'd2: VAR27[48:63]<={{2{VAR21[48]}},VAR21[48:61]}; 4'd3: VAR27[48:63]<={{3{VAR21[48]}},VAR21[48:60]}; 4'd4: VAR27[48:63]<={{4{VAR21[48]}},VAR21[48:59]}; 4'd5: VAR27[48:63]<={{5{VAR21[48]}},VAR21[48:58]}; 4'd6: VAR27[48:63]<={{6{VAR21[48]}},VAR21[48:57]}; 4'd7: VAR27[48:63]<={{7{VAR21[48]}},VAR21[48:56]}; 4'd8: VAR27[48:63]<={{8{VAR21[48]}},VAR21[48:55]}; 4'd9: VAR27[48:63]<={{9{VAR21[48]}},VAR21[48:54]}; 4'd10: VAR27[48:63]<={{10{VAR21[48]}},VAR21[48:53]}; 4'd11: VAR27[48:63]<={{11{VAR21[48]}},VAR21[48:52]}; 4'd12: VAR27[48:63]<={{12{VAR21[48]}},VAR21[48:51]}; 4'd13: VAR27[48:63]<={{13{VAR21[48]}},VAR21[48:50]}; 4'd14: VAR27[48:63]<={{14{VAR21[48]}},VAR21[48:49]}; 4'd15: VAR27[48:63]<={{15{VAR21[48]}},VAR21[48]}; default: VAR27[48:63]<=16'b0; endcase case(VAR12[76:79]) 4'd0: VAR27[64:79]<=VAR21[64:79]; 4'd1: VAR27[64:79]<={{1{VAR21[64]}},VAR21[64:78]}; 4'd2: VAR27[64:79]<={{2{VAR21[64]}},VAR21[64:77]}; 4'd3: VAR27[64:79]<={{3{VAR21[64]}},VAR21[64:76]}; 4'd4: VAR27[64:79]<={{4{VAR21[64]}},VAR21[64:75]}; 4'd5: VAR27[64:79]<={{5{VAR21[64]}},VAR21[64:74]}; 4'd6: VAR27[64:79]<={{6{VAR21[64]}},VAR21[64:73]}; 4'd7: VAR27[64:79]<={{7{VAR21[64]}},VAR21[64:72]}; 4'd8: VAR27[64:79]<={{8{VAR21[64]}},VAR21[64:71]}; 4'd9: VAR27[64:79]<={{9{VAR21[64]}},VAR21[64:70]}; 4'd10: VAR27[64:79]<={{10{VAR21[64]}},VAR21[64:69]}; 4'd11: VAR27[64:79]<={{11{VAR21[64]}},VAR21[64:68]}; 4'd12: VAR27[64:79]<={{12{VAR21[64]}},VAR21[64:67]}; 4'd13: VAR27[64:79]<={{13{VAR21[64]}},VAR21[64:66]}; 4'd14: VAR27[64:79]<={{14{VAR21[64]}},VAR21[64:65]}; 4'd15: VAR27[64:79]<={{15{VAR21[64]}},VAR21[64]}; default: VAR27[64:79]<=16'b0; endcase case(VAR12[92:95]) 4'd0: VAR27[80:95]<=VAR21[80:95]; 4'd1: VAR27[80:95]<={{1{VAR21[80]}},VAR21[80:94]}; 4'd2: VAR27[80:95]<={{2{VAR21[80]}},VAR21[80:93]}; 4'd3: VAR27[80:95]<={{3{VAR21[80]}},VAR21[80:92]}; 4'd4: VAR27[80:95]<={{4{VAR21[80]}},VAR21[80:91]}; 4'd5: VAR27[80:95]<={{5{VAR21[80]}},VAR21[80:90]}; 4'd6: VAR27[80:95]<={{6{VAR21[80]}},VAR21[80:89]}; 4'd7: VAR27[80:95]<={{7{VAR21[80]}},VAR21[80:88]}; 4'd8: VAR27[80:95]<={{8{VAR21[80]}},VAR21[80:87]}; 4'd9: VAR27[80:95]<={{9{VAR21[80]}},VAR21[80:86]}; 4'd10: VAR27[80:95]<={{10{VAR21[80]}},VAR21[80:85]}; 4'd11: VAR27[80:95]<={{11{VAR21[80]}},VAR21[80:84]}; 4'd12: VAR27[80:95]<={{12{VAR21[80]}},VAR21[80:83]}; 4'd13: VAR27[80:95]<={{13{VAR21[80]}},VAR21[80:82]}; 4'd14: VAR27[80:95]<={{14{VAR21[80]}},VAR21[80:81]}; 4'd15: VAR27[80:95]<={{15{VAR21[80]}},VAR21[80]}; default: VAR27[80:95]<=16'b0; endcase case(VAR12[92:111]) 4'd0: VAR27[96:111]<=VAR21[96:111]; 4'd1: VAR27[96:111]<={{1{VAR21[96]}},VAR21[96:110]}; 4'd2: VAR27[96:111]<={{2{VAR21[96]}},VAR21[96:109]}; 4'd3: VAR27[96:111]<={{3{VAR21[96]}},VAR21[96:108]}; 4'd4: VAR27[96:111]<={{4{VAR21[96]}},VAR21[96:107]}; 4'd5: VAR27[96:111]<={{5{VAR21[96]}},VAR21[96:106]}; 4'd6: VAR27[96:111]<={{6{VAR21[96]}},VAR21[96:105]}; 4'd7: VAR27[96:111]<={{7{VAR21[96]}},VAR21[96:104]}; 4'd8: VAR27[96:111]<={{8{VAR21[96]}},VAR21[96:103]}; 4'd9: VAR27[96:111]<={{9{VAR21[96]}},VAR21[96:102]}; 4'd10: VAR27[96:111]<={{10{VAR21[96]}},VAR21[96:101]}; 4'd11: VAR27[96:111]<={{11{VAR21[96]}},VAR21[96:100]}; 4'd12: VAR27[96:111]<={{12{VAR21[96]}},VAR21[96:99]}; 4'd13: VAR27[96:111]<={{13{VAR21[96]}},VAR21[96:98]}; 4'd14: VAR27[96:111]<={{14{VAR21[96]}},VAR21[96:97]}; 4'd15: VAR27[96:111]<={{15{VAR21[96]}},VAR21[96]}; default: VAR27[96:111]<=16'b0; endcase case(VAR12[92:127]) 4'd0: VAR27[112:127]<=VAR21[112:127]; 4'd1: VAR27[112:127]<={{1{VAR21[112]}},VAR21[112:126]}; 4'd2: VAR27[112:127]<={{2{VAR21[112]}},VAR21[112:125]}; 4'd3: VAR27[112:127]<={{3{VAR21[112]}},VAR21[112:124]}; 4'd4: VAR27[112:127]<={{4{VAR21[112]}},VAR21[112:123]}; 4'd5: VAR27[112:127]<={{5{VAR21[112]}},VAR21[112:122]}; 4'd6: VAR27[112:127]<={{6{VAR21[112]}},VAR21[112:121]}; 4'd7: VAR27[112:127]<={{7{VAR21[112]}},VAR21[112:120]}; 4'd8: VAR27[112:127]<={{8{VAR21[112]}},VAR21[112:119]}; 4'd9: VAR27[112:127]<={{9{VAR21[112]}},VAR21[112:118]}; 4'd10: VAR27[112:127]<={{10{VAR21[112]}},VAR21[112:117]}; 4'd11: VAR27[112:127]<={{11{VAR21[112]}},VAR21[112:116]}; 4'd12: VAR27[112:127]<={{12{VAR21[112]}},VAR21[112:115]}; 4'd13: VAR27[112:127]<={{13{VAR21[112]}},VAR21[112:114]}; 4'd14: VAR27[112:127]<={{14{VAR21[112]}},VAR21[112:113]}; 4'd15: VAR27[112:127]<={{15{VAR21[112]}},VAR21[112]}; default: VAR27[112:127]<=16'b0; endcase end begin case(VAR12[27:31]) 5'd0: VAR27[0:31]<=VAR21[0:31]; 5'd1: VAR27[0:31]<={{1{VAR21[0]}},VAR21[0:30]}; 5'd2: VAR27[0:31]<={{2{VAR21[0]}},VAR21[0:29]}; 5'd3: VAR27[0:31]<={{3{VAR21[0]}},VAR21[0:28]}; 5'd4: VAR27[0:31]<={{4{VAR21[0]}},VAR21[0:27]}; 5'd5: VAR27[0:31]<={{5{VAR21[0]}},VAR21[0:26]}; 5'd6: VAR27[0:31]<={{6{VAR21[0]}},VAR21[0:25]}; 5'd7: VAR27[0:31]<={{7{VAR21[0]}},VAR21[0:24]}; 5'd8: VAR27[0:31]<={{8{VAR21[0]}},VAR21[0:23]}; 5'd9: VAR27[0:31]<={{9{VAR21[0]}},VAR21[0:22]}; 5'd10: VAR27[0:31]<={{10{VAR21[0]}},VAR21[0:21]}; 5'd11: VAR27[0:31]<={{11{VAR21[0]}},VAR21[0:20]}; 5'd12: VAR27[0:31]<={{12{VAR21[0]}},VAR21[0:19]}; 5'd13: VAR27[0:31]<={{13{VAR21[0]}},VAR21[0:18]}; 5'd14: VAR27[0:31]<={{14{VAR21[0]}},VAR21[0:17]}; 5'd15: VAR27[0:31]<={{15{VAR21[0]}},VAR21[0:16]}; 5'd16: VAR27[0:31]<={{16{VAR21[0]}},VAR21[0:15]}; 5'd17: VAR27[0:31]<={{17{VAR21[0]}},VAR21[0:14]}; 5'd18: VAR27[0:31]<={{18{VAR21[0]}},VAR21[0:13]}; 5'd19: VAR27[0:31]<={{19{VAR21[0]}},VAR21[0:12]}; 5'd20: VAR27[0:31]<={{20{VAR21[0]}},VAR21[0:11]}; 5'd21: VAR27[0:31]<={{21{VAR21[0]}},VAR21[0:10]}; 5'd22: VAR27[0:31]<={{22{VAR21[0]}},VAR21[0:9]}; 5'd23: VAR27[0:31]<={{23{VAR21[0]}},VAR21[0:8]}; 5'd24: VAR27[0:31]<={{24{VAR21[0]}},VAR21[0:7]}; 5'd25: VAR27[0:31]<={{25{VAR21[0]}},VAR21[0:6]}; 5'd26: VAR27[0:31]<={{26{VAR21[0]}},VAR21[0:5]}; 5'd27: VAR27[0:31]<={{27{VAR21[0]}},VAR21[0:4]}; 5'd28: VAR27[0:31]<={{28{VAR21[0]}},VAR21[0:3]}; 5'd29: VAR27[0:31]<={{29{VAR21[0]}},VAR21[0:2]}; 5'd30: VAR27[0:31]<={{30{VAR21[0]}},VAR21[0:1]}; 5'd31: VAR27[0:31]<={{31{VAR21[0]}},VAR21[0]}; default: VAR27[0:31]<=32'b0; endcase case(VAR12[59:63]) 5'd0: VAR27[32:63]<=VAR21[32:63]; 5'd1: VAR27[32:63]<={{1{VAR21[32]}},VAR21[32:62]}; 5'd2: VAR27[32:63]<={{2{VAR21[32]}},VAR21[32:61]}; 5'd3: VAR27[32:63]<={{3{VAR21[32]}},VAR21[32:60]}; 5'd4: VAR27[32:63]<={{4{VAR21[32]}},VAR21[32:59]}; 5'd5: VAR27[32:63]<={{5{VAR21[32]}},VAR21[32:58]}; 5'd6: VAR27[32:63]<={{6{VAR21[32]}},VAR21[32:57]}; 5'd7: VAR27[32:63]<={{7{VAR21[32]}},VAR21[32:56]}; 5'd8: VAR27[32:63]<={{8{VAR21[32]}},VAR21[32:55]}; 5'd9: VAR27[32:63]<={{9{VAR21[32]}},VAR21[32:54]}; 5'd10: VAR27[32:63]<={{10{VAR21[32]}},VAR21[32:53]}; 5'd11: VAR27[32:63]<={{11{VAR21[32]}},VAR21[32:52]}; 5'd12: VAR27[32:63]<={{12{VAR21[32]}},VAR21[32:51]}; 5'd13: VAR27[32:63]<={{13{VAR21[32]}},VAR21[32:50]}; 5'd14: VAR27[32:63]<={{14{VAR21[32]}},VAR21[32:49]}; 5'd15: VAR27[32:63]<={{15{VAR21[32]}},VAR21[32:48]}; 5'd16: VAR27[32:63]<={{16{VAR21[32]}},VAR21[32:47]}; 5'd17: VAR27[32:63]<={{17{VAR21[32]}},VAR21[32:46]}; 5'd18: VAR27[32:63]<={{18{VAR21[32]}},VAR21[32:45]}; 5'd19: VAR27[32:63]<={{19{VAR21[32]}},VAR21[32:44]}; 5'd20: VAR27[32:63]<={{20{VAR21[32]}},VAR21[32:43]}; 5'd21: VAR27[32:63]<={{21{VAR21[32]}},VAR21[32:42]}; 5'd22: VAR27[32:63]<={{22{VAR21[32]}},VAR21[32:41]}; 5'd23: VAR27[32:63]<={{23{VAR21[32]}},VAR21[32:40]}; 5'd24: VAR27[32:63]<={{24{VAR21[32]}},VAR21[32:39]}; 5'd25: VAR27[32:63]<={{25{VAR21[32]}},VAR21[32:38]}; 5'd26: VAR27[32:63]<={{26{VAR21[32]}},VAR21[32:37]}; 5'd27: VAR27[32:63]<={{27{VAR21[32]}},VAR21[32:36]}; 5'd28: VAR27[32:63]<={{28{VAR21[32]}},VAR21[32:35]}; 5'd29: VAR27[32:63]<={{29{VAR21[32]}},VAR21[32:34]}; 5'd30: VAR27[32:63]<={{30{VAR21[32]}},VAR21[32:33]}; 5'd31: VAR27[32:63]<={{31{VAR21[32]}},VAR21[32]}; default: VAR27[32:63]<=32'b0; endcase case(VAR12[91:95]) 5'd0: VAR27[64:95]<=VAR21[64:95]; 5'd1: VAR27[64:95]<={{1{VAR21[64]}},VAR21[64:94]}; 5'd2: VAR27[64:95]<={{2{VAR21[64]}},VAR21[64:93]}; 5'd3: VAR27[64:95]<={{3{VAR21[64]}},VAR21[64:92]}; 5'd4: VAR27[64:95]<={{4{VAR21[64]}},VAR21[64:91]}; 5'd5: VAR27[64:95]<={{5{VAR21[64]}},VAR21[64:90]}; 5'd6: VAR27[64:95]<={{6{VAR21[64]}},VAR21[64:89]}; 5'd7: VAR27[64:95]<={{7{VAR21[64]}},VAR21[64:88]}; 5'd8: VAR27[64:95]<={{8{VAR21[64]}},VAR21[64:87]}; 5'd9: VAR27[64:95]<={{9{VAR21[64]}},VAR21[64:86]}; 5'd10: VAR27[64:95]<={{10{VAR21[64]}},VAR21[64:85]}; 5'd11: VAR27[64:95]<={{11{VAR21[64]}},VAR21[64:84]}; 5'd12: VAR27[64:95]<={{12{VAR21[64]}},VAR21[64:83]}; 5'd13: VAR27[64:95]<={{13{VAR21[64]}},VAR21[64:82]}; 5'd14: VAR27[64:95]<={{14{VAR21[64]}},VAR21[64:81]}; 5'd15: VAR27[64:95]<={{15{VAR21[64]}},VAR21[64:80]}; 5'd16: VAR27[64:95]<={{16{VAR21[64]}},VAR21[64:79]}; 5'd17: VAR27[64:95]<={{17{VAR21[64]}},VAR21[64:78]}; 5'd18: VAR27[64:95]<={{18{VAR21[64]}},VAR21[64:77]}; 5'd19: VAR27[64:95]<={{19{VAR21[64]}},VAR21[64:76]}; 5'd20: VAR27[64:95]<={{20{VAR21[64]}},VAR21[64:75]}; 5'd21: VAR27[64:95]<={{21{VAR21[64]}},VAR21[64:74]}; 5'd22: VAR27[64:95]<={{22{VAR21[64]}},VAR21[64:73]}; 5'd23: VAR27[64:95]<={{23{VAR21[64]}},VAR21[64:72]}; 5'd24: VAR27[64:95]<={{24{VAR21[64]}},VAR21[64:71]}; 5'd25: VAR27[64:95]<={{25{VAR21[64]}},VAR21[64:70]}; 5'd26: VAR27[64:95]<={{26{VAR21[64]}},VAR21[64:69]}; 5'd27: VAR27[64:95]<={{27{VAR21[64]}},VAR21[64:68]}; 5'd28: VAR27[64:95]<={{28{VAR21[64]}},VAR21[64:67]}; 5'd29: VAR27[64:95]<={{29{VAR21[64]}},VAR21[64:66]}; 5'd30: VAR27[64:95]<={{30{VAR21[64]}},VAR21[64:65]}; 5'd31: VAR27[64:95]<={{31{VAR21[64]}},VAR21[64]}; default: VAR27[64:95]<=32'b0; endcase case(VAR12[123:127]) 5'd0: VAR27[96:127]<=VAR21[96:127]; 5'd1: VAR27[96:127]<={{1{VAR21[96]}},VAR21[96:126]}; 5'd2: VAR27[96:127]<={{2{VAR21[96]}},VAR21[96:125]}; 5'd3: VAR27[96:127]<={{3{VAR21[96]}},VAR21[96:124]}; 5'd4: VAR27[96:127]<={{4{VAR21[96]}},VAR21[96:123]}; 5'd5: VAR27[96:127]<={{5{VAR21[96]}},VAR21[96:122]}; 5'd6: VAR27[96:127]<={{6{VAR21[96]}},VAR21[96:121]}; 5'd7: VAR27[96:127]<={{7{VAR21[96]}},VAR21[96:120]}; 5'd8: VAR27[96:127]<={{8{VAR21[96]}},VAR21[96:119]}; 5'd9: VAR27[96:127]<={{9{VAR21[96]}},VAR21[96:118]}; 5'd10: VAR27[96:127]<={{10{VAR21[96]}},VAR21[96:117]}; 5'd11: VAR27[96:127]<={{11{VAR21[96]}},VAR21[96:116]}; 5'd12: VAR27[96:127]<={{12{VAR21[96]}},VAR21[96:115]}; 5'd13: VAR27[96:127]<={{13{VAR21[96]}},VAR21[96:114]}; 5'd14: VAR27[96:127]<={{14{VAR21[96]}},VAR21[96:113]}; 5'd15: VAR27[96:127]<={{15{VAR21[96]}},VAR21[96:112]}; 5'd16: VAR27[96:127]<={{16{VAR21[96]}},VAR21[96:111]}; 5'd17: VAR27[96:127]<={{17{VAR21[96]}},VAR21[96:110]}; 5'd18: VAR27[96:127]<={{18{VAR21[96]}},VAR21[96:109]}; 5'd19: VAR27[96:127]<={{19{VAR21[96]}},VAR21[96:108]}; 5'd20: VAR27[96:127]<={{20{VAR21[96]}},VAR21[96:107]}; 5'd21: VAR27[96:127]<={{21{VAR21[96]}},VAR21[96:106]}; 5'd22: VAR27[96:127]<={{22{VAR21[96]}},VAR21[96:105]}; 5'd23: VAR27[96:127]<={{23{VAR21[96]}},VAR21[96:104]}; 5'd24: VAR27[96:127]<={{24{VAR21[96]}},VAR21[96:103]}; 5'd25: VAR27[96:127]<={{25{VAR21[96]}},VAR21[96:102]}; 5'd26: VAR27[96:127]<={{26{VAR21[96]}},VAR21[96:101]}; 5'd27: VAR27[96:127]<={{27{VAR21[96]}},VAR21[96:100]}; 5'd28: VAR27[96:127]<={{28{VAR21[96]}},VAR21[96:99]}; 5'd29: VAR27[96:127]<={{29{VAR21[96]}},VAR21[96:98]}; 5'd30: VAR27[96:127]<={{30{VAR21[96]}},VAR21[96:97]}; 5'd31: VAR27[96:127]<={{31{VAR21[96]}},VAR21[96]}; default: VAR27[96:127]<=32'b0; endcase end default VAR27<=128'b0; endcase end begin case(VAR2) (VAR18+2'b1): begin VAR7[8:15]=VAR12[0:7]; VAR7[0:7]=8'd0; if(VAR21[0]==1'd1) begin VAR6[8:15]=1+~VAR21[0:7]; if(VAR12[0]==1'd1) begin VAR7[8:15]=1+~VAR12[0:7]; end else begin VAR7[8:15]=VAR12[0:7]; end end else begin VAR6[8:15]=VAR21[0:7]; end VAR6[0:7]=8'd0; if(VAR7[15]==1'd1) begin VAR37[0:15]=VAR37[0:15] - VAR6[0:15]; end else begin VAR37[0:15]=VAR37[0:15]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR7[VAR35]==1'b1) && (VAR7[VAR35+1]==1'b0)) begin VAR37[0:15]=VAR37[0:15]-(VAR6<<(7-(VAR35%8))); end else if((VAR7[VAR35]==1'b0) && (VAR7[VAR35+1]==1'b1)) begin VAR37[0:15]=VAR37[0:15]+(VAR6<<(7-(VAR35%8))); end else begin VAR37[0:15]=VAR37[0:15]+0; end end if(VAR6[8]==1'd1) begin VAR27[0:15]<=1+~VAR37[0:15]; end else begin VAR27[0:15]<=VAR37[0:15]; end VAR24[8:15]=VAR12[16:23]; VAR24[0:7]=8'd0; if(VAR21[16]==1'd1) begin VAR13[8:15]=1+~VAR21[16:23]; if(VAR12[16]==1'd1) begin VAR24[8:15]=1+~VAR12[16:23]; end else begin VAR24[8:15]=VAR12[16:23]; end end else begin VAR13[8:15]=VAR21[16:23]; end VAR13[0:7]=8'd0; if(VAR24[15]==1'd1) begin VAR37[16:31]=VAR37[16:31] - VAR13[0:15]; end else begin VAR37[16:31]=VAR37[16:31]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR24[VAR35]==1'b1) && (VAR24[VAR35+1]==1'b0)) begin VAR37[16:31]=VAR37[16:31]-(VAR13<<(7-(VAR35%8))); end else if((VAR24[VAR35]==1'b0) && (VAR24[VAR35+1]==1'b1)) begin VAR37[16:31]=VAR37[16:31]+(VAR13<<(7-(VAR35%8))); end else begin VAR37[16:31]=VAR37[16:31]+0; end end if(VAR13[8]==1'd1) begin VAR27[16:31]<=1+~VAR37[16:31]; end else begin VAR27[16:31]<=VAR37[16:31]; end VAR14[8:15]=VAR12[32:39]; VAR14[0:7]=8'd0; if(VAR21[32]==1'd1) begin VAR16[8:15]=1+~VAR21[32:39]; if(VAR12[32]==1'd1) begin VAR14[8:15]=1+~VAR12[32:39]; end else begin VAR14[8:15]=VAR12[32:39]; end end else begin VAR16[8:15]=VAR21[32:39]; end VAR16[0:7]=8'd0; if(VAR14[15]==1'd1) begin VAR37[32:47]=VAR37[32:47] - VAR16[0:15]; end else begin VAR37[32:47]=VAR37[32:47]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR14[VAR35]==1'b1) && (VAR14[VAR35+1]==1'b0)) begin VAR37[32:47]=VAR37[32:47]-(VAR16<<(7-(VAR35%8))); end else if((VAR14[VAR35]==1'b0) && (VAR14[VAR35+1]==1'b1)) begin VAR37[32:47]=VAR37[32:47]+(VAR16<<(7-(VAR35%8))); end else begin VAR37[32:47]=VAR37[32:47]+0; end end if(VAR16[8]==1'd1) begin VAR27[32:47]<=1+~VAR37[32:47]; end else begin VAR27[32:47]<=VAR37[32:47]; end VAR33[8:15]=VAR12[48:55]; VAR33[0:7]=8'd0; if(VAR21[48]==1'd1) begin VAR26[8:15]=1+~VAR21[48:55]; if(VAR12[48]==1'd1) begin VAR33[8:15]=1+~VAR12[48:55]; end else begin VAR33[8:15]=VAR12[48:55]; end end else begin VAR26[8:15]=VAR21[48:55]; end VAR26[0:7]=8'd0; if(VAR33[15]==1'd1) begin VAR37[48:63]=VAR37[48:63] - VAR26[0:15]; end else begin VAR37[48:63]=VAR37[48:63]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR33[VAR35]==1'b1) && (VAR33[VAR35+1]==1'b0)) begin VAR37[48:63]=VAR37[48:63]-(VAR26<<(7-(VAR35%8))); end else if((VAR33[VAR35]==1'b0) && (VAR33[VAR35+1]==1'b1)) begin VAR37[48:63]=VAR37[48:63]+(VAR26<<(7-(VAR35%8))); end else begin VAR37[48:63]=VAR37[48:63]+0; end end if(VAR26[8]==1'd1) begin VAR27[48:63]<=1+~VAR37[48:63]; end else begin VAR27[48:63]<=VAR37[48:63]; end VAR1[8:15]=VAR12[64:71]; VAR1[0:7]=8'd0; if(VAR21[64]==1'd1) begin VAR5[8:15]=1+~VAR21[64:71]; if(VAR12[64]==1'd1) begin VAR1[8:15]=1+~VAR12[64:71]; end else begin VAR1[8:15]=VAR12[64:71]; end end else begin VAR5[8:15]=VAR21[64:71]; end VAR5[0:7]=8'd0; if(VAR1[15]==1'd1) begin VAR37[64:79]=VAR37[64:79] - VAR5[0:15]; end else begin VAR37[64:79]=VAR37[64:79]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR1[VAR35]==1'b1) && (VAR1[VAR35+1]==1'b0)) begin VAR37[64:79]=VAR37[64:79]-(VAR5<<(7-(VAR35%8))); end else if((VAR1[VAR35]==1'b0) && (VAR1[VAR35+1]==1'b1)) begin VAR37[64:79]=VAR37[64:79]+(VAR5<<(7-(VAR35%8))); end else begin VAR37[64:79]=VAR37[64:79]+0; end end if(VAR5[8]==1'd1) begin VAR27[64:79]<=1+~VAR37[64:79]; end else begin VAR27[64:79]<=VAR37[64:79]; end VAR31[8:15]=VAR12[80:87]; VAR31[0:7]=8'd0; if(VAR21[80]==1'd1) begin VAR3[8:15]=1+~VAR21[80:87]; if(VAR12[80]==1'd1) begin VAR31[8:15]=1+~VAR12[80:87]; end else begin VAR31[8:15]=VAR12[80:87]; end end else begin VAR3[8:15]=VAR21[80:87]; end VAR3[0:7]=8'd0; if(VAR31[15]==1'd1) begin VAR37[80:95]=VAR37[80:95] - VAR3[0:15]; end else begin VAR37[80:95]=VAR37[80:95]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR31[VAR35]==1'b1) && (VAR31[VAR35+1]==1'b0)) begin VAR37[80:95]=VAR37[80:95]-(VAR3<<(7-(VAR35%8))); end else if((VAR31[VAR35]==1'b0) && (VAR31[VAR35+1]==1'b1)) begin VAR37[80:95]=VAR37[80:95]+(VAR3<<(7-(VAR35%8))); end else begin VAR37[80:95]=VAR37[80:95]+0; end end if(VAR3[8]==1'd1) begin VAR27[80:95]<=1+~VAR37[80:95]; end else begin VAR27[80:95]<=VAR37[80:95]; end VAR17[8:15]=VAR12[96:103]; VAR17[0:7]=8'd0; if(VAR21[96]==1'd1) begin VAR8[8:15]=1+~VAR21[96:103]; if(VAR12[96]==1'd1) begin VAR17[8:15]=1+~VAR12[96:103]; end else begin VAR17[8:15]=VAR12[96:103]; end end else begin VAR8[8:15]=VAR21[96:103]; end VAR8[0:7]=8'd0; if(VAR17[15]==1'd1) begin VAR37[96:111]=VAR37[96:111] - VAR8[0:15]; end else begin VAR37[96:111]=VAR37[96:111]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR17[VAR35]==1'b1) && (VAR17[VAR35+1]==1'b0)) begin VAR37[96:111]=VAR37[96:111]-(VAR8<<(7-(VAR35%8))); end else if((VAR17[VAR35]==1'b0) && (VAR17[VAR35+1]==1'b1)) begin VAR37[96:111]=VAR37[96:111]+(VAR8<<(7-(VAR35%8))); end else begin VAR37[96:111]=VAR37[96:111]+0; end end if(VAR8[8]==1'd1) begin VAR27[96:111]<=1+~VAR37[96:111]; end else begin VAR27[96:111]<=VAR37[96:111]; end VAR22[8:15]=VAR12[112:119]; VAR22[0:7]=8'd0; if(VAR21[112]==1'd1) begin VAR23[8:15]=1+~VAR21[112:119]; if(VAR12[112]==1'd1) begin VAR22[8:15]=1+~VAR12[112:119]; end else begin VAR22[8:15]=VAR12[112:119]; end end else begin VAR23[8:15]=VAR21[112:119]; end VAR23[0:7]=8'd0; if(VAR22[15]==1'd1) begin VAR37[112:127]=VAR37[112:127] - VAR23[0:15]; end else begin VAR37[112:127]=VAR37[112:127]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR22[VAR35]==1'b1) && (VAR22[VAR35+1]==1'b0)) begin VAR37[112:127]=VAR37[112:127]-(VAR23<<(7-(VAR35%8))); end else if((VAR22[VAR35]==1'b0) && (VAR22[VAR35+1]==1'b1)) begin VAR37[112:127]=VAR37[112:127]+(VAR23<<(7-(VAR35%8))); end else begin VAR37[112:127]=VAR37[112:127]+0; end end if(VAR23[8]==1'd1) begin VAR27[112:127]<=1+~VAR37[112:127]; end else begin VAR27[112:127]<=VAR37[112:127]; end end (VAR15+2'b1): begin VAR34[16:31]=VAR12[0:15]; VAR34[0:15]=16'd0; if(VAR21[0]==1'd1) begin VAR29[16:31]=1+~VAR21[0:15]; if(VAR12[0]==1'd1) begin VAR34[16:31]=1+~VAR12[0:15]; end else begin VAR34[16:31]=VAR12[0:15]; end end else begin VAR29[16:31]=VAR21[0:15]; end VAR29[0:15]=16'd0; if(VAR34[31]==1'd1) begin VAR37[0:31]=VAR37[0:31] - VAR29[0:31]; end else begin VAR37[0:31]=VAR37[0:31]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR34[VAR35]==1'b1) && (VAR34[VAR35+1]==1'b0)) begin VAR37[0:31]=VAR37[0:31]-(VAR29<<(15-(VAR35%16))); end else if((VAR34[VAR35]==1'b0) && (VAR34[VAR35+1]==1'b1)) begin VAR37[0:31]=VAR37[0:31]+(VAR29<<(15-(VAR35%16))); end else begin VAR37[0:31]=VAR37[0:31]+0; end end if(VAR29[16]==1'd1) begin VAR27[0:31]<=1+~VAR37[0:31]; end else begin VAR27[0:31]<=VAR37[0:31]; end VAR25[16:31]=VAR12[32:47]; VAR25[0:15]=16'd0; if(VAR21[32]==1'd1) begin VAR36[16:31]=1+~VAR21[32:47]; if(VAR12[32]==1'd1) begin VAR25[16:31]=1+~VAR12[32:47]; end else begin VAR25[16:31]=VAR12[32:47]; end end else begin VAR36[16:31]=VAR21[0:15]; end VAR36[0:15]=16'd0; if(VAR25[31]==1'd1) begin VAR37[32:63]=VAR37[32:63] - VAR36[0:31]; end else begin VAR37[32:63]=VAR37[32:63]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR25[VAR35]==1'b1) && (VAR25[VAR35+1]==1'b0)) begin VAR37[32:63]=VAR37[32:63]-(VAR36<<(15-(VAR35%16))); end else if((VAR25[VAR35]==1'b0) && (VAR25[VAR35+1]==1'b1)) begin VAR37[32:63]=VAR37[32:63]+(VAR36<<(15-(VAR35%16))); end else begin VAR37[32:63]=VAR37[32:63]+0; end end if(VAR36[16]==1'd1) begin VAR27[32:63]<=1+~VAR37[32:63]; end else begin VAR27[32:63]<=VAR37[32:63]; end VAR19[16:31]=VAR12[64:79]; VAR19[0:15]=16'd0; if(VAR21[64]==1'd1) begin VAR4[16:31]=1+~VAR21[64:79]; if(VAR12[64]==1'd1) begin VAR19[16:31]=1+~VAR12[64:79]; end else begin VAR19[16:31]=VAR12[64:79]; end end else begin VAR4[16:31]=VAR21[64:79]; end VAR4[0:15]=16'd0; if(VAR19[31]==1'd1) begin VAR37[64:95]=VAR37[64:95] - VAR4[0:31]; end else begin VAR37[64:95]=VAR37[64:95]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR19[VAR35]==1'b1) && (VAR19[VAR35+1]==1'b0)) begin VAR37[64:95]=VAR37[64:95]-(VAR4<<(15-(VAR35%16))); end else if((VAR19[VAR35]==1'b0) && (VAR19[VAR35+1]==1'b1)) begin VAR37[64:95]=VAR37[64:95]+(VAR4<<(15-(VAR35%16))); end else begin VAR37[64:95]=VAR37[64:95]+0; end end if(VAR4[16]==1'd1) begin VAR27[64:95]<=1+~VAR37[64:95]; end else begin VAR27[64:95]<=VAR37[64:95]; end VAR32[16:31]=VAR12[96:111]; VAR32[0:15]=16'd0; if(VAR21[96]==1'd1) begin VAR20[16:31]=1+~VAR21[96:111]; if(VAR12[96]==1'd1) begin VAR32[16:31]=1+~VAR12[96:111]; end else begin VAR32[16:31]=VAR12[96:111]; end end else begin VAR20[16:31]=VAR21[96:111]; end VAR20[0:15]=16'd0; if(VAR32[31]==1'd1) begin VAR37[96:127]=VAR37[96:127] - VAR20[0:31]; end else begin VAR37[96:127]=VAR37[96:127]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR32[VAR35]==1'b1) && (VAR32[VAR35+1]==1'b0)) begin VAR37[96:127]=VAR37[96:127]-(VAR20<<(15-(VAR35%16))); end else if((VAR32[VAR35]==1'b0) && (VAR32[VAR35+1]==1'b1)) begin VAR37[96:127]=VAR37[96:127]+(VAR20<<(15-(VAR35%16))); end else begin VAR37[96:127]=VAR37[96:127]+0; end end if(VAR20[16]==1'd1) begin VAR27[96:127]<=1+~VAR37[96:127]; end else begin VAR27[96:127]<=VAR37[96:127]; end end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) (VAR18+2'b1): begin VAR7[8:15]=VAR12[8:15]; VAR7[0:7]=8'd0; if(VAR21[8]==1'd1) begin VAR6[8:15]=1+~VAR21[8:15]; if(VAR12[8]==1'd1) begin VAR7[8:15]=1+~VAR12[8:15]; end else begin VAR7[8:15]=VAR12[8:15]; end end else begin VAR6[8:15]=VAR21[8:15]; end VAR6[0:7]=8'd0; if(VAR7[15]==1'd1) begin VAR37[0:15]=VAR37[0:15] - VAR6[0:15]; end else begin VAR37[0:15]=VAR37[0:15]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR7[VAR35]==1'b1) && (VAR7[VAR35+1]==1'b0)) begin VAR37[0:15]=VAR37[0:15]-(VAR6<<(7-(VAR35%8))); end else if((VAR7[VAR35]==1'b0) && (VAR7[VAR35+1]==1'b1)) begin VAR37[0:15]=VAR37[0:15]+(VAR6<<(7-(VAR35%8))); end else begin VAR37[0:15]=VAR37[0:15]+0; end end if(VAR6[8]==1'd1) begin VAR27[0:15]<=1+~VAR37[0:15]; end else begin VAR27[0:15]<=VAR37[0:15]; end VAR24[8:15]=VAR12[24:31]; VAR24[0:7]=8'd0; if(VAR21[24]==1'd1) begin VAR13[8:15]=1+~VAR21[24:31]; if(VAR12[24]==1'd1) begin VAR24[8:15]=1+~VAR12[24:31]; end else begin VAR24[8:15]=VAR12[24:31]; end end else begin VAR13[8:15]=VAR21[24:31]; end VAR13[0:7]=8'd0; if(VAR24[15]==1'd1) begin VAR37[16:31]=VAR37[16:31] - VAR13[0:15]; end else begin VAR37[16:31]=VAR37[16:31]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR24[VAR35]==1'b1) && (VAR24[VAR35+1]==1'b0)) begin VAR37[16:31]=VAR37[16:31]-(VAR13<<(7-(VAR35%8))); end else if((VAR24[VAR35]==1'b0) && (VAR24[VAR35+1]==1'b1)) begin VAR37[16:31]=VAR37[16:31]+(VAR13<<(7-(VAR35%8))); end else begin VAR37[16:31]=VAR37[16:31]+0; end end if(VAR13[8]==1'd1) begin VAR27[16:31]<=1+~VAR37[16:31]; end else begin VAR27[16:31]<=VAR37[16:31]; end VAR14[8:15]=VAR12[40:47]; VAR14[0:7]=8'd0; if(VAR21[40]==1'd1) begin VAR16[8:15]=1+~VAR21[40:47]; if(VAR12[40]==1'd1) begin VAR14[8:15]=1+~VAR12[40:47]; end else begin VAR14[8:15]=VAR12[40:47]; end end else begin VAR16[8:15]=VAR21[40:47]; end VAR16[0:7]=8'd0; if(VAR14[15]==1'd1) begin VAR37[32:47]=VAR37[32:47] - VAR16[0:15]; end else begin VAR37[32:47]=VAR37[32:47]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR14[VAR35]==1'b1) && (VAR14[VAR35+1]==1'b0)) begin VAR37[32:47]=VAR37[32:47]-(VAR16<<(7-(VAR35%8))); end else if((VAR14[VAR35]==1'b0) && (VAR14[VAR35+1]==1'b1)) begin VAR37[32:47]=VAR37[32:47]+(VAR16<<(7-(VAR35%8))); end else begin VAR37[32:47]=VAR37[32:47]+0; end end if(VAR16[8]==1'd1) begin VAR27[32:47]<=1+~VAR37[32:47]; end else begin VAR27[32:47]<=VAR37[32:47]; end VAR33[8:15]=VAR12[56:63]; VAR33[0:7]=8'd0; if(VAR21[56]==1'd1) begin VAR26[8:15]=1+~VAR21[56:63]; if(VAR12[56]==1'd1) begin VAR33[8:15]=1+~VAR12[56:63]; end else begin VAR33[8:15]=VAR12[56:63]; end end else begin VAR26[8:15]=VAR21[56:63]; end VAR26[0:7]=8'd0; if(VAR33[15]==1'd1) begin VAR37[48:63]=VAR37[48:63] - VAR26[0:15]; end else begin VAR37[48:63]=VAR37[48:63]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR33[VAR35]==1'b1) && (VAR33[VAR35+1]==1'b0)) begin VAR37[48:63]=VAR37[48:63]-(VAR26<<(7-(VAR35%8))); end else if((VAR33[VAR35]==1'b0) && (VAR33[VAR35+1]==1'b1)) begin VAR37[48:63]=VAR37[48:63]+(VAR26<<(7-(VAR35%8))); end else begin VAR37[48:63]=VAR37[48:63]+0; end end if(VAR26[8]==1'd1) begin VAR27[48:63]<=1+~VAR37[48:63]; end else begin VAR27[48:63]<=VAR37[48:63]; end VAR1[8:15]=VAR12[72:79]; VAR1[0:7]=8'd0; if(VAR21[72]==1'd1) begin VAR5[8:15]=1+~VAR21[72:79]; if(VAR12[72]==1'd1) begin VAR1[8:15]=1+~VAR12[72:79]; end else begin VAR1[8:15]=VAR12[72:79]; end end else begin VAR5[8:15]=VAR21[72:79]; end VAR5[0:7]=8'd0; if(VAR1[15]==1'd1) begin VAR37[64:79]=VAR37[64:79] - VAR5[0:15]; end else begin VAR37[64:79]=VAR37[64:79]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR1[VAR35]==1'b1) && (VAR1[VAR35+1]==1'b0)) begin VAR37[64:79]=VAR37[64:79]-(VAR5<<(7-(VAR35%8))); end else if((VAR1[VAR35]==1'b0) && (VAR1[VAR35+1]==1'b1)) begin VAR37[64:79]=VAR37[64:79]+(VAR5<<(7-(VAR35%8))); end else begin VAR37[64:79]=VAR37[64:79]+0; end end if(VAR5[8]==1'd1) begin VAR27[64:79]<=1+~VAR37[64:79]; end else begin VAR27[64:79]<=VAR37[64:79]; end VAR31[8:15]=VAR12[88:95]; VAR31[0:7]=8'd0; if(VAR21[88]==1'd1) begin VAR3[8:15]=1+~VAR21[88:95]; if(VAR12[88]==1'd1) begin VAR31[8:15]=1+~VAR12[88:95]; end else begin VAR31[8:15]=VAR12[88:95]; end end else begin VAR3[8:15]=VAR21[88:95]; end VAR3[0:7]=8'd0; if(VAR31[15]==1'd1) begin VAR37[80:95]=VAR37[80:95] - VAR3[0:15]; end else begin VAR37[80:95]=VAR37[80:95]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR31[VAR35]==1'b1) && (VAR31[VAR35+1]==1'b0)) begin VAR37[80:95]=VAR37[80:95]-(VAR3<<(7-(VAR35%8))); end else if((VAR31[VAR35]==1'b0) && (VAR31[VAR35+1]==1'b1)) begin VAR37[80:95]=VAR37[80:95]+(VAR3<<(7-(VAR35%8))); end else begin VAR37[80:95]=VAR37[80:95]+0; end end if(VAR3[8]==1'd1) begin VAR27[80:95]<=1+~VAR37[80:95]; end else begin VAR27[80:95]<=VAR37[80:95]; end VAR17[8:15]=VAR12[104:111]; VAR17[0:7]=8'd0; if(VAR21[104]==1'd1) begin VAR8[8:15]=1+~VAR21[104:111]; if(VAR12[104]==1'd1) begin VAR17[8:15]=1+~VAR12[104:111]; end else begin VAR17[8:15]=VAR12[104:111]; end end else begin VAR8[8:15]=VAR21[104:111]; end VAR8[0:7]=8'd0; if(VAR17[15]==1'd1) begin VAR37[96:111]=VAR37[96:111] - VAR8[0:15]; end else begin VAR37[96:111]=VAR37[96:111]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR17[VAR35]==1'b1) && (VAR17[VAR35+1]==1'b0)) begin VAR37[96:111]=VAR37[96:111]-(VAR8<<(7-(VAR35%8))); end else if((VAR17[VAR35]==1'b0) && (VAR17[VAR35+1]==1'b1)) begin VAR37[96:111]=VAR37[96:111]+(VAR8<<(7-(VAR35%8))); end else begin VAR37[96:111]=VAR37[96:111]+0; end end if(VAR8[8]==1'd1) begin VAR27[96:111]<=1+~VAR37[96:111]; end else begin VAR27[96:111]<=VAR37[96:111]; end VAR22[8:15]=VAR12[120:127]; VAR22[0:7]=8'd0; if(VAR21[120]==1'd1) begin VAR23[8:15]=1+~VAR21[120:127]; if(VAR12[120]==1'd1) begin VAR22[8:15]=1+~VAR12[120:127]; end else begin VAR22[8:15]=VAR12[120:127]; end end else begin VAR23[8:15]=VAR21[120:127]; end VAR23[0:7]=8'd0; if(VAR22[15]==1'd1) begin VAR37[112:127]=VAR37[112:127] - VAR23[0:15]; end else begin VAR37[112:127]=VAR37[112:127]+0; end for(VAR35=14; VAR35>=8; VAR35=VAR35-1) begin if((VAR22[VAR35]==1'b1) && (VAR22[VAR35+1]==1'b0)) begin VAR37[112:127]=VAR37[112:127]-(VAR23<<(7-(VAR35%8))); end else if((VAR22[VAR35]==1'b0) && (VAR22[VAR35+1]==1'b1)) begin VAR37[112:127]=VAR37[112:127]+(VAR23<<(7-(VAR35%8))); end else begin VAR37[112:127]=VAR37[112:127]+0; end end if(VAR23[8]==1'd1) begin VAR27[112:127]<=1+~VAR37[112:127]; end else begin VAR27[112:127]<=VAR37[112:127]; end end (VAR15+2'b1): begin VAR34[16:31]=VAR12[16:31]; VAR34[0:15]=16'd0; if(VAR21[16]==1'd1) begin VAR29[16:31]=1+~VAR21[16:31]; if(VAR12[16]==1'd1) begin VAR34[16:31]=1+~VAR12[16:31]; end else begin VAR34[16:31]=VAR12[16:31]; end end else begin VAR29[16:31]=VAR21[16:31]; end VAR29[0:15]=16'd0; if(VAR34[31]==1'd1) begin VAR37[0:31]=VAR37[0:31] - VAR29[0:31]; end else begin VAR37[0:31]=VAR37[0:31]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR34[VAR35]==1'b1) && (VAR34[VAR35+1]==1'b0)) begin VAR37[0:31]=VAR37[0:31]-(VAR29<<(15-(VAR35%16))); end else if((VAR34[VAR35]==1'b0) && (VAR34[VAR35+1]==1'b1)) begin VAR37[0:31]=VAR37[0:31]+(VAR29<<(15-(VAR35%16))); end else begin VAR37[0:31]=VAR37[0:31]+0; end end if(VAR29[16]==1'd1) begin VAR27[0:31]<=1+~VAR37[0:31]; end else begin VAR27[0:31]<=VAR37[0:31]; end VAR25[16:31]=VAR12[48:63]; VAR25[0:15]=16'd0; if(VAR21[48]==1'd1) begin VAR36[16:31]=1+~VAR21[48:63]; if(VAR12[48]==1'd1) begin VAR25[16:31]=1+~VAR12[48:63]; end else begin VAR25[16:31]=VAR12[48:63]; end end else begin VAR36[16:31]=VAR21[48:63]; end VAR36[0:15]=16'd0; if(VAR25[31]==1'd1) begin VAR37[32:63]=VAR37[32:63] - VAR36[0:31]; end else begin VAR37[32:63]=VAR37[32:63]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR25[VAR35]==1'b1) && (VAR25[VAR35+1]==1'b0)) begin VAR37[32:63]=VAR37[32:63]-(VAR36<<(15-(VAR35%16))); end else if((VAR25[VAR35]==1'b0) && (VAR25[VAR35+1]==1'b1)) begin VAR37[32:63]=VAR37[32:63]+(VAR36<<(15-(VAR35%16))); end else begin VAR37[32:63]=VAR37[32:63]+0; end end if(VAR36[16]==1'd1) begin VAR27[32:63]<=1+~VAR37[32:63]; end else begin VAR27[32:63]<=VAR37[32:63]; end VAR19[16:31]=VAR12[80:95]; VAR19[0:15]=16'd0; if(VAR21[80]==1'd1) begin VAR4[16:31]=1+~VAR21[80:95]; if(VAR12[80]==1'd1) begin VAR19[16:31]=1+~VAR12[80:95]; end else begin VAR19[16:31]=VAR12[80:95]; end end else begin VAR4[16:31]=VAR21[80:95]; end VAR4[0:15]=16'd0; if(VAR19[31]==1'd1) begin VAR37[64:95]=VAR37[64:95] - VAR4[0:31]; end else begin VAR37[64:95]=VAR37[64:95]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR19[VAR35]==1'b1) && (VAR19[VAR35+1]==1'b0)) begin VAR37[64:95]=VAR37[64:95]-(VAR4<<(15-(VAR35%16))); end else if((VAR19[VAR35]==1'b0) && (VAR19[VAR35+1]==1'b1)) begin VAR37[64:95]=VAR37[64:95]+(VAR4<<(15-(VAR35%16))); end else begin VAR37[64:95]=VAR37[64:95]+0; end end if(VAR4[16]==1'd1) begin VAR27[64:95]<=1+~VAR37[64:95]; end else begin VAR27[64:95]<=VAR37[64:95]; end VAR32[16:31]=VAR12[112:127]; VAR32[0:15]=16'd0; if(VAR21[112]==1'd1) begin VAR20[16:31]=1+~VAR21[112:127]; if(VAR12[112]==1'd1) begin VAR32[16:31]=1+~VAR12[112:127]; end else begin VAR32[16:31]=VAR12[112:127]; end end else begin VAR20[16:31]=VAR21[112:127]; end VAR20[0:15]=16'd0; if(VAR32[31]==1'd1) begin VAR37[96:127]=VAR37[96:127] - VAR20[0:31]; end else begin VAR37[96:127]=VAR37[96:127]+0; end for(VAR35=30; VAR35>=16; VAR35=VAR35-1) begin if((VAR32[VAR35]==1'b1) && (VAR32[VAR35+1]==1'b0)) begin VAR37[96:127]=VAR37[96:127]-(VAR20<<(15-(VAR35%16))); end else if((VAR32[VAR35]==1'b0) && (VAR32[VAR35+1]==1'b1)) begin VAR37[96:127]=VAR37[96:127]+(VAR20<<(15-(VAR35%16))); end else begin VAR37[96:127]=VAR37[96:127]+0; end end if(VAR20[16]==1'd1) begin VAR27[96:127]<=1+~VAR37[96:127]; end else begin VAR27[96:127]<=VAR37[96:127]; end end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) (VAR18+2'b1): begin VAR7={{8{1'b0}},VAR12[0+(16*0):7+(16*0)]}; VAR6={{8{1'b0}},VAR21[0+(16*0):7+(16*0)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*0):15+(16*0)]=VAR37[0+(16*0):15+(16*0)] + (VAR6[VAR30]?(VAR7<<(8'd15-VAR30)):16'b0); VAR24={{8{1'b0}},VAR12[0+(16*1):7+(16*1)]}; VAR13={{8{1'b0}},VAR21[0+(16*1):7+(16*1)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*1):15+(16*1)]=VAR37[0+(16*1):15+(16*1)] + (VAR13[VAR30]?(VAR24<<(8'd15-VAR30)):16'b0); VAR14={{8{1'b0}},VAR12[0+(16*2):7+(16*2)]}; VAR16={{8{1'b0}},VAR21[0+(16*2):7+(16*2)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*2):15+(16*2)]=VAR37[0+(16*2):15+(16*2)] + (VAR16[VAR30]?(VAR14<<(8'd15-VAR30)):16'b0); VAR33={{8{1'b0}},VAR12[0+(16*3):7+(16*3)]}; VAR26={{8{1'b0}},VAR21[0+(16*3):7+(16*3)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*3):15+(16*3)]=VAR37[0+(16*3):15+(16*3)] + (VAR26[VAR30]?(VAR33<<(8'd15-VAR30)):16'b0); VAR1={{8{1'b0}},VAR12[0+(16*4):7+(16*4)]}; VAR5={{8{1'b0}},VAR21[0+(16*4):7+(16*4)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*4):15+(16*4)]=VAR37[0+(16*4):15+(16*4)] + (VAR5[VAR30]?(VAR1<<(8'd15-VAR30)):16'b0); VAR31={{8{1'b0}},VAR12[0+(16*5):7+(16*5)]}; VAR3={{8{1'b0}},VAR21[0+(16*5):7+(16*5)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*5):15+(16*5)]=VAR37[0+(16*5):15+(16*5)] + (VAR3[VAR30]?(VAR31<<(8'd15-VAR30)):16'b0); VAR17={{8{1'b0}},VAR12[0+(16*6):7+(16*6)]}; VAR8={{8{1'b0}},VAR21[0+(16*6):7+(16*6)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*6):15+(16*6)]=VAR37[0+(16*6):15+(16*6)] + (VAR8[VAR30]?(VAR17<<(8'd15-VAR30)):16'b0); VAR22={{8{1'b0}},VAR12[0+(16*7):7+(16*7)]}; VAR23={{8{1'b0}},VAR21[0+(16*7):7+(16*7)]}; for (VAR30=15; VAR30>7; VAR30=VAR30-1) VAR37[0+(16*7):15+(16*7)]=VAR37[0+(16*7):15+(16*7)] + (VAR23[VAR30]?(VAR22<<(8'd15-VAR30)):16'b0); VAR27<=VAR37; end (VAR15+2'b1): begin VAR34={{16{1'b0}},VAR12[0+(32*0):15+(32*0)]}; VAR29={{16{1'b0}},VAR21[0+(32*0):15+(32*0)]}; for (VAR30=31; VAR30>15; VAR30=VAR30-1) VAR37[0+(32*0):31+(32*0)]=VAR37[0+(32*0):31+(32*0)] + (VAR29[VAR30]?(VAR34<<(8'd31-VAR30)):32'b0); VAR25={{16{1'b0}},VAR12[0+(32*1):15+(32*1)]}; VAR36={{16{1'b0}},VAR21[0+(32*1):15+(32*1)]}; for (VAR30=31; VAR30>15; VAR30=VAR30-1) VAR37[0+(32*1):31+(32*1)]=VAR37[0+(32*1):31+(32*1)] + (VAR36[VAR30]?(VAR25<<(8'd31-VAR30)):32'b0); VAR19={{16{1'b0}},VAR12[0+(32*2):15+(32*2)]}; VAR4={{16{1'b0}},VAR21[0+(32*2):15+(32*2)]}; for (VAR30=31; VAR30>15; VAR30=VAR30-1) VAR37[0+(32*2):31+(32*2)]=VAR37[0+(32*2):31+(32*2)] + (VAR4[VAR30]?(VAR19<<(8'd31-VAR30)):32'b0); VAR32={{16{1'b0}},VAR12[0+(32*3):15+(32*3)]}; VAR20={{16{1'b0}},VAR21[0+(32*3):15+(32*3)]}; for (VAR30=31; VAR30>15; VAR30=VAR30-1) VAR37[0+(32*3):31+(32*3)]=VAR37[0+(32*3):31+(32*3)] + (VAR20[VAR30]?(VAR32<<(8'd31-VAR30)):32'b0); VAR27<=VAR37; end default: begin VAR27<=128'd0; end endcase end begin case(VAR2) (VAR18+2'd1): begin VAR6[8:15]=VAR21[8:15]; VAR6[0:7]=8'd0; VAR7[0:15]={{8{1'b0}},VAR12[8:15]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[0:15]=VAR37[0:15]+((VAR6[VAR35]==1'd1)?(VAR7<<(8'd15-VAR35)):16'b0); end VAR13[8:15]=VAR21[24:31]; VAR13[0:7]=8'd0; VAR24[0:15]={{8{1'b0}},VAR12[24:31]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[16:31]=VAR37[16:31]+((VAR13[VAR35]==1'd1)?(VAR24<<(8'd15-VAR35)):16'b0); end VAR16[8:15]=VAR21[40:47]; VAR16[0:7]=8'd0; VAR14[0:15]={{8{1'b0}},VAR12[40:47]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[32:47]=VAR37[32:47]+((VAR16[VAR35]==1'd1)?(VAR14<<(8'd15-VAR35)):16'b0); end VAR26[8:15]=VAR21[56:63]; VAR26[0:7]=8'd0; VAR33[0:15]={{8{1'b0}},VAR12[56:63]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[48:63]=VAR37[48:63]+((VAR26[VAR35]==1'd1)?(VAR33<<(8'd15-VAR35)):16'b0); end VAR5[8:15]=VAR21[72:79]; VAR5[0:7]=8'd0; VAR1[0:15]={{8{1'b0}},VAR12[72:79]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[64:79]=VAR37[64:79]+((VAR5[VAR35]==1'd1)?(VAR1<<(8'd15-VAR35)):16'b0); end VAR3[8:15]=VAR21[88:95]; VAR3[0:7]=8'd0; VAR31[0:15]={{8{1'b0}},VAR12[88:95]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[80:95]=VAR37[80:95]+((VAR3[VAR35]==1'd1)?(VAR31<<(8'd15-VAR35)):16'b0); end VAR8[8:15]=VAR21[104:111]; VAR8[0:7]=8'd0; VAR17[0:15]={{8{1'b0}},VAR12[104:111]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[96:111]=VAR37[96:111]+((VAR8[VAR35]==1'd1)?(VAR17<<(8'd15-VAR35)):16'b0); end VAR23[8:15]=VAR21[120:127]; VAR23[0:7]=8'd0; VAR22[0:15]={{8{1'b0}},VAR12[120:127]}; for(VAR35=15; VAR35>=8; VAR35=VAR35-1) begin VAR37[112:127]=VAR37[112:127]+((VAR23[VAR35]==1'd1)?(VAR22<<(8'd15-VAR35)):16'b0); end VAR27<=VAR37; end (VAR15+2'b01): begin VAR29[0:31]={{16{1'b0}},VAR12[16:31]}; VAR34[0:31]={{16{1'b0}},VAR21[16:31]}; VAR36[0:31]={{16{1'b0}},VAR12[48:63]}; VAR25[0:31]={{16{1'b0}},VAR21[48:63]}; VAR4[0:31]={{16{1'b0}},VAR12[80:95]}; VAR19[0:31]={{16{1'b0}},VAR21[80:95]}; VAR20[0:31]={{16{1'b0}},VAR12[112:127]}; VAR32[0:31]={{16{1'b0}},VAR21[112:127]}; for(VAR35=31; VAR35>=16; VAR35=VAR35-1) begin VAR37[0:31]=VAR37[0:31]+((VAR29[VAR35]==1'd1)?(VAR34<<(16'd31-VAR35)):32'd0); VAR37[32:63]=VAR37[32:63]+((VAR36[VAR35]==1'd1)?(VAR25<<(16'd31-VAR35)):32'd0); VAR37[64:95]=VAR37[64:95]+((VAR4[VAR35]==1'd1)?(VAR19<<(16'd31-VAR35)):32'd0); VAR37[96:127]=VAR37[96:127]+((VAR20[VAR35]==1'd1)?(VAR32<<(16'd31-VAR35)):32'd0); end VAR27<=VAR37; end default: begin VAR27<=128'd0; end endcase end default: begin VAR27<=128'd0; end endcase end endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/sdr_lib/cordic.v
4,281
module MODULE1(VAR54, reset, enable, VAR40, VAR9, VAR19, VAR6, VAR66, VAR49 ); parameter VAR41 = 16; parameter VAR77 = 16; input VAR54; input reset; input enable; input [VAR41-1:0] VAR40, VAR9; output [VAR41-1:0] VAR6, VAR66; input [VAR77-1:0] VAR19; output [VAR77-1:0] VAR49; reg [VAR41+1:0] VAR39,VAR43; reg [VAR77-2:0] VAR57; wire [VAR41+1:0] VAR63,VAR46,VAR31,VAR65,VAR10,VAR60,VAR30,VAR55,VAR7,VAR69,VAR70,VAR64; wire [VAR41+1:0] VAR21,VAR42,VAR45,VAR27,VAR22,VAR72,VAR29,VAR13,VAR35,VAR59,VAR51,VAR75; wire [VAR77-2:0] VAR37,VAR38,VAR5,VAR17,VAR56,VAR78,VAR12,VAR76,VAR33,VAR58,VAR23,VAR48; wire [VAR41+1:0] VAR36 = {{2{VAR40[VAR41-1]}},VAR40}; wire [VAR41+1:0] VAR8 = {{2{VAR9[VAR41-1]}},VAR9}; localparam VAR28 = 15'd8192; localparam VAR79 = 15'd4836; localparam VAR20 = 15'd2555; localparam VAR2 = 15'd1297; localparam VAR68 = 15'd651; localparam VAR62 = 15'd326; localparam VAR34 = 15'd163; localparam VAR61 = 15'd81; localparam VAR80 = 15'd41; localparam VAR74 = 15'd20; localparam VAR11 = 15'd10; localparam VAR44 = 15'd5; localparam VAR18 = 15'd3; localparam VAR1 = 15'd1; localparam VAR67 = 15'd1; localparam VAR32 = 15'd0; localparam VAR50 = 15'd0; always @(posedge VAR54) if(reset) begin VAR39 <= 0; VAR43 <= 0; VAR57 <= 0; end else begin VAR57 <= VAR19[VAR77-2:0]; case (VAR19[VAR77-1:VAR77-2]) 2'b00, 2'b11 : begin VAR39 <= VAR36; VAR43 <= VAR8; end 2'b01, 2'b10 : begin VAR39 <= -VAR36; VAR43 <= -VAR8; end endcase end VAR4 #(VAR41+2,VAR77-1,0) VAR26 (VAR54,reset,enable,VAR39,VAR43,VAR57,VAR28,VAR63,VAR21,VAR37); VAR4 #(VAR41+2,VAR77-1,1) VAR73 (VAR54,reset,enable,VAR63,VAR21,VAR37,VAR79,VAR46,VAR42,VAR38); VAR4 #(VAR41+2,VAR77-1,2) VAR15 (VAR54,reset,enable,VAR46,VAR42,VAR38,VAR20,VAR31,VAR45,VAR5); VAR4 #(VAR41+2,VAR77-1,3) VAR16 (VAR54,reset,enable,VAR31,VAR45,VAR5,VAR2,VAR65,VAR27,VAR17); VAR4 #(VAR41+2,VAR77-1,4) VAR14 (VAR54,reset,enable,VAR65,VAR27,VAR17,VAR68,VAR10,VAR22,VAR56); VAR4 #(VAR41+2,VAR77-1,5) VAR3 (VAR54,reset,enable,VAR10,VAR22,VAR56,VAR62,VAR60,VAR72,VAR78); VAR4 #(VAR41+2,VAR77-1,6) VAR71 (VAR54,reset,enable,VAR60,VAR72,VAR78,VAR34,VAR30,VAR29,VAR12); VAR4 #(VAR41+2,VAR77-1,7) VAR47 (VAR54,reset,enable,VAR30,VAR29,VAR12,VAR61,VAR55,VAR13,VAR76); VAR4 #(VAR41+2,VAR77-1,8) VAR24 (VAR54,reset,enable,VAR55,VAR13,VAR76,VAR80,VAR7,VAR35,VAR33); VAR4 #(VAR41+2,VAR77-1,9) VAR52 (VAR54,reset,enable,VAR7,VAR35,VAR33,VAR74,VAR69,VAR59,VAR58); VAR4 #(VAR41+2,VAR77-1,10) VAR25 (VAR54,reset,enable,VAR69,VAR59,VAR58,VAR11,VAR70,VAR51,VAR23); VAR4 #(VAR41+2,VAR77-1,11) VAR53 (VAR54,reset,enable,VAR70,VAR51,VAR23,VAR44,VAR64,VAR75,VAR48); assign VAR6 = VAR64[VAR41:1]; assign VAR66 = VAR75[VAR41:1]; assign VAR49 = VAR48; endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/mux2/gf180mcu_fd_sc_mcu9t5v0__mux2_1.behavioral.pp.v
1,686
module MODULE1( VAR8, VAR4, VAR5, VAR9, VAR2, VAR6 ); input VAR9, VAR4, VAR5; inout VAR2, VAR6; output VAR8; VAR3 VAR1(.VAR8(VAR8),.VAR4(VAR4),.VAR5(VAR5),.VAR9(VAR9),.VAR2(VAR2),.VAR6(VAR6)); VAR3 VAR7(.VAR8(VAR8),.VAR4(VAR4),.VAR5(VAR5),.VAR9(VAR9),.VAR2(VAR2),.VAR6(VAR6));
apache-2.0