repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
iafnan/es2-hardwaresecurity | or1200/rtl/verilog/or1200/or1200_ic_top_wrapper.v | 8,755 | module MODULE1(
clk, rst,
VAR43, VAR31, VAR15, VAR10, VAR33, VAR20, VAR3,
VAR42, VAR47, VAR13,
VAR23,
VAR6, VAR11, VAR32,
VAR40, VAR38,
VAR22, VAR25, VAR27, VAR14, VAR2,
VAR26, VAR17, VAR4,
VAR18, VAR19, VAR34
);
parameter VAR28 = VAR56;
input clk;
input rst;
output [VAR28-1:0] VAR43;
output [31:0] VAR31;
output VAR15;
output VAR10;
output VAR33;
output [3:0] VAR20;
output VAR3;
input [VAR28-1:0] VAR42;
input VAR47;
input VAR13;
input VAR23;
input [31:0] VAR6;
input VAR11;
input VAR32;
input [3:0] VAR40;
input [3:0] VAR38;
output [VAR28-1:0] VAR22;
output VAR25;
output VAR27;
output VAR14;
output [3:0] VAR2;
input VAR26;
input [VAR36 - 1:0] VAR4;
output VAR17;
input VAR18;
input VAR19;
input [31:0] VAR34;
wire VAR48;
wire [VAR7-2:0] VAR46;
wire [VAR28-1:0] VAR12;
wire [VAR28-1:0] VAR21;
wire [3:0] VAR53;
wire VAR9;
wire [31:0] VAR41;
reg VAR30;
wire [VAR29:VAR54] VAR24;
wire VAR52;
wire VAR16;
wire VAR39;
wire VAR49;
wire VAR8 = VAR26;
wire VAR55 = VAR39;
assign VAR17 = VAR49;
assign VAR43 = 32'h00000000;
assign VAR33 = 1'b0;
assign VAR53[3:1] = 3'b0;
assign VAR2 = VAR14 ? VAR37 : VAR38;
VAR50 VAR50(
.clk(clk),
.rst(rst),
.VAR23(VAR23),
.VAR6(VAR6),
.VAR11(VAR11),
.VAR40(VAR40),
.VAR22(VAR22),
.VAR25(VAR25),
.VAR27(VAR27),
.VAR14(VAR14),
.VAR18(VAR18),
.VAR19(VAR19),
.VAR34(VAR34[VAR29:VAR54]),
.VAR31(VAR31),
.VAR15(VAR15),
.VAR10(VAR10),
.VAR20(VAR20),
.VAR3(VAR3),
.VAR42(VAR42),
.VAR47(VAR47),
.VAR13(VAR13),
.VAR41(VAR41),
.VAR53(VAR53[0]),
.VAR12(VAR12),
.VAR21(VAR21),
.VAR24(VAR24),
.VAR52(VAR52),
.VAR9(VAR9),
.VAR16(VAR16),
.VAR48(VAR48),
.VAR46(VAR46)
);
VAR45 VAR45(
.clk(clk),
.rst(rst),
.addr(VAR41[VAR29:2]),
.en(VAR23),
.VAR35(VAR53),
.VAR1(VAR42),
.VAR44(VAR21)
);
VAR51 VAR51(
.clk(clk),
.rst(rst),
.addr(VAR24),
.en(VAR52),
.VAR35(VAR9),
.VAR1({VAR41[31:VAR5], VAR16}),
.VAR48(VAR48),
.VAR46(VAR46)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dfbbn/sky130_fd_sc_lp__dfbbn.behavioral.pp.v | 2,820 | module MODULE1 (
VAR28 ,
VAR27 ,
VAR1 ,
VAR17 ,
VAR8 ,
VAR9,
VAR16 ,
VAR13 ,
VAR2 ,
VAR20
);
output VAR28 ;
output VAR27 ;
input VAR1 ;
input VAR17 ;
input VAR8 ;
input VAR9;
input VAR16 ;
input VAR13 ;
input VAR2 ;
input VAR20 ;
wire VAR19 ;
wire VAR25 ;
wire VAR29 ;
wire VAR7 ;
wire VAR10 ;
wire VAR6;
wire VAR14 ;
reg VAR15 ;
wire VAR26 ;
wire VAR18 ;
wire VAR23 ;
wire VAR24 ;
wire VAR22 ;
not VAR3 (VAR19 , VAR6 );
not VAR21 (VAR25 , VAR14 );
not VAR5 (VAR29 , VAR10 );
VAR11 VAR30 (VAR7 , VAR25, VAR19, VAR29, VAR26, VAR15, VAR16, VAR13);
assign VAR18 = ( VAR16 === 1'b1 );
assign VAR23 = ( VAR18 && ( VAR6 === 1'b1 ) );
assign VAR24 = ( VAR18 && ( VAR14 === 1'b1 ) );
assign VAR22 = ( VAR23 & VAR24 );
buf VAR4 (VAR28 , VAR7 );
not VAR12 (VAR27 , VAR7 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/and4b/sky130_fd_sc_ls__and4b.behavioral.pp.v | 1,988 | module MODULE1 (
VAR7 ,
VAR1 ,
VAR9 ,
VAR8 ,
VAR3 ,
VAR14,
VAR10,
VAR11 ,
VAR4
);
output VAR7 ;
input VAR1 ;
input VAR9 ;
input VAR8 ;
input VAR3 ;
input VAR14;
input VAR10;
input VAR11 ;
input VAR4 ;
wire VAR12 ;
wire VAR6 ;
wire VAR13;
not VAR17 (VAR12 , VAR1 );
and VAR15 (VAR6 , VAR12, VAR9, VAR8, VAR3 );
VAR2 VAR5 (VAR13, VAR6, VAR14, VAR10);
buf VAR16 (VAR7 , VAR13 );
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/oai33/gf180mcu_fd_sc_mcu7t5v0__oai33_4.functional.v | 1,838 | module MODULE1( VAR16, VAR7, VAR23, VAR13, VAR6, VAR21, VAR10 );
input VAR6, VAR21, VAR10, VAR23, VAR16, VAR7;
output VAR13;
wire VAR5;
not VAR14( VAR5, VAR6 );
wire VAR17;
not VAR8( VAR17, VAR21 );
wire VAR3;
not VAR2( VAR3, VAR10 );
wire VAR22;
and VAR11( VAR22, VAR5, VAR17, VAR3 );
wire VAR24;
not VAR20( VAR24, VAR23 );
wire VAR15;
not VAR12( VAR15, VAR16 );
wire VAR4;
not VAR18( VAR4, VAR7 );
wire VAR19;
and VAR1( VAR19, VAR24, VAR15, VAR4 );
or VAR9( VAR13, VAR22, VAR19 );
endmodule | apache-2.0 |
andykarpov/radio-86rk-wxeda | src/video/rambuffer.v | 10,608 | module MODULE1 (
VAR59,
VAR6,
VAR26,
VAR45,
VAR53,
VAR16,
VAR47,
VAR48,
VAR41);
input [16:0] VAR59;
input [16:0] VAR6;
input VAR26;
input [0:0] VAR45;
input [0:0] VAR53;
input VAR16;
input VAR47;
output [0:0] VAR48;
output [0:0] VAR41;
tri1 VAR26;
tri0 VAR16;
tri0 VAR47;
wire [0:0] VAR8;
wire [0:0] VAR24;
wire [0:0] VAR48 = VAR8[0:0];
wire [0:0] VAR41 = VAR24[0:0];
VAR22 VAR56 (
.VAR44 (VAR26),
.VAR16 (VAR16),
.VAR6 (VAR6),
.VAR53 (VAR53),
.VAR47 (VAR47),
.VAR59 (VAR59),
.VAR45 (VAR45),
.VAR48 (VAR8),
.VAR41 (VAR24),
.VAR49 (1'b0),
.VAR14 (1'b0),
.VAR5 (1'b0),
.VAR46 (1'b0),
.VAR50 (1'b1),
.VAR20 (1'b1),
.VAR27 (1'b1),
.VAR62 (1'b1),
.VAR19 (1'b1),
.VAR34 (1'b1),
.VAR58 (1'b1),
.VAR15 (),
.VAR57 (1'b1),
.VAR21 (1'b1));
VAR56.VAR25 = "VAR30",
VAR56.VAR55 = "VAR51",
VAR56.VAR10 = "VAR51",
VAR56.VAR3 = "VAR51",
VAR56.VAR11 = "VAR51",
VAR56.VAR43 = "VAR30",
VAR56.VAR52 = "VAR38 VAR12 VAR42",
VAR56.VAR39 = "VAR22",
VAR56.VAR2 = 131072,
VAR56.VAR37 = 131072,
VAR56.VAR35 = "VAR28",
VAR56.VAR7 = "VAR1",
VAR56.VAR61 = "VAR1",
VAR56.VAR63 = "VAR30",
VAR56.VAR64 = "VAR30",
VAR56.VAR9 = "VAR4",
VAR56.VAR18 = "VAR31",
VAR56.VAR33 = "VAR13",
VAR56.VAR32 = "VAR13",
VAR56.VAR17 = 17,
VAR56.VAR23 = 17,
VAR56.VAR40 = 1,
VAR56.VAR36 = 1,
VAR56.VAR60 = 1,
VAR56.VAR54 = 1,
VAR56.VAR29 = "VAR30";
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/a32oi/sky130_fd_sc_hd__a32oi.functional.pp.v | 2,238 | module MODULE1 (
VAR6 ,
VAR12 ,
VAR9 ,
VAR19 ,
VAR18 ,
VAR3 ,
VAR17,
VAR16,
VAR1 ,
VAR15
);
output VAR6 ;
input VAR12 ;
input VAR9 ;
input VAR19 ;
input VAR18 ;
input VAR3 ;
input VAR17;
input VAR16;
input VAR1 ;
input VAR15 ;
wire VAR4 ;
wire VAR13 ;
wire VAR5 ;
wire VAR7;
nand VAR10 (VAR4 , VAR9, VAR12, VAR19 );
nand VAR11 (VAR13 , VAR3, VAR18 );
and VAR14 (VAR5 , VAR4, VAR13 );
VAR2 VAR20 (VAR7, VAR5, VAR17, VAR16);
buf VAR8 (VAR6 , VAR7 );
endmodule | apache-2.0 |
DigitalLogicSummerTerm2015/mips-cpu-single-cycle | Control.v | 13,900 | module MODULE1(VAR14,VAR15,VAR1,VAR3,VAR9,VAR11,VAR7,
VAR5,VAR12,VAR6,VAR8,VAR4,VAR13,VAR10,VAR2);
output reg [2:0]VAR14;
output reg [1:0]VAR15;
output reg VAR1;
output reg VAR3;
output reg VAR9;
output reg [5:0]VAR11;
output reg VAR7;
output reg VAR5;
output reg VAR12;
output reg [1:0]VAR6;
output reg VAR8;
output reg VAR4;
input [31:0]VAR13;
input VAR10;
input VAR2;
always@(*)
begin
if(VAR10 & (~VAR2)) begin
VAR14 <= 3'b100;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b11;
end
else
begin
case(VAR13[31:26])
6'h23: begin
VAR14 <= 3'b000;
VAR15 <= 2'b01;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b000000;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 1;
VAR6 <= 2'b01;
VAR8 <= 1;
VAR4 <= 0;
end
6'h2b: begin
VAR14 <= 3'b000;
VAR1 <= 0;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b000000;
VAR7 <= 1;
VAR5 <= 1;
VAR12 <= 0;
VAR8 <= 1;
VAR4 <= 0;
end
6'h0f:
begin
case(VAR13[25:21])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b01;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b000000;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
VAR4 <= 1;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h08: begin
VAR14 <= 3'b000;
VAR15 <= 2'b01;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b000000;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
VAR8 <= 1;
VAR4 <= 0;
end
6'h09: begin
VAR14 <= 3'b000;
VAR15 <= 2'b01;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b000000;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
VAR8 <= 1;
VAR4 <= 0;
end
6'h0c: begin
VAR14 <= 3'b000;
VAR15 <= 2'b01;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b011000;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
VAR8 <= 0;
VAR4 <= 0;
end
6'h0a: begin
VAR14 <= 3'b000;
VAR15 <= 2'b01;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b110101;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
VAR8 <= 1;
VAR4 <= 0;
end
6'h0b: begin
VAR14 <= 3'b000;
VAR15 <= 2'b01;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 1;
VAR11 <= 6'b110101;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
VAR8 <= 0;
VAR4 <= 0;
end
6'h02: begin
VAR14 <= 3'b010;
VAR1 <= 0;
VAR5 <= 0;
VAR12 <= 0;
end
6'h03: begin
VAR14 <= 3'b010;
VAR15 <= 2'b10;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
6'h04: begin
VAR14 <= 3'b001;
VAR1 <= 0;
VAR11 <= 6'b110011;
VAR3 <= 0;
VAR9 <= 0;
VAR5 <= 0;
VAR12 <= 0;
end
6'h05: begin
VAR14 <= 3'b001;
VAR1 <= 0;
VAR11 <= 6'b110001;
VAR3 <= 0;
VAR9 <= 0;
VAR5 <= 0;
VAR12 <= 0;
end
6'h06:
begin
case(VAR13[20:16])
5'h00: begin
VAR14 <= 3'b001;
VAR1 <= 0;
VAR11 <= 6'b111101;
VAR3 <= 0;
VAR9 <= 0;
VAR5 <= 0;
VAR12 <= 0;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h07:
begin
case(VAR13[20:16])
5'h00: begin
VAR14 <= 3'b001;
VAR1 <= 0;
VAR11 <= 6'b111111;
VAR3 <= 0;
VAR9 <= 0;
VAR5 <= 0;
VAR12 <= 0;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h01:
begin
case(VAR13[20:16])
5'h01: begin
VAR14 <= 3'b001;
VAR1 <= 0;
VAR11 <= 6'b111001;
VAR3 <= 0;
VAR9 <= 0;
VAR5 <= 0;
VAR12 <= 0;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h00:
begin
case(VAR13[5:0])
6'h20:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b000000;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h21:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b000000;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h22:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b000001;
VAR7 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h23:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b000001;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h24:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b011000;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h25:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b011110;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h26:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b010110;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h27:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b010001;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 1;
VAR9 <= 0;
VAR11 <= 6'b100000;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
6'h02: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 1;
VAR9 <= 0;
VAR11 <= 6'b100001;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
6'h03: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 1;
VAR9 <= 0;
VAR11 <= 6'b100011;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
6'h2a:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b110101;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h2b:
begin
case(VAR13[10:6])
5'h00: begin
VAR14 <= 3'b000;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR3 <= 0;
VAR9 <= 0;
VAR11 <= 6'b110101;
VAR7 <= 0;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b00;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h08:
begin
case(VAR13[20:6])
15'h0: begin
VAR14 <= 3'b011;
VAR1 <= 0;
VAR5 <= 0;
VAR12 <= 0;
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
6'h09:
begin
if((VAR13[10:6] == 0) && (VAR13[15:11] == 0))
begin
VAR14 <= 3'b011;
VAR15 <= 2'b00;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
else begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
default: begin
VAR14 <= 3'b101;
VAR15 <= 2'b11;
VAR1 <= 1;
VAR5 <= 0;
VAR12 <= 0;
VAR6 <= 2'b10;
end
endcase
end
end
endmodule | mit |
Nrpickle/ECE272 | Lab5_TekBotSM/Section5_Top_tf.v | 1,113 | module MODULE1();
reg VAR3;
reg VAR6;
reg VAR1;
wire [3:0] VAR5;
VAR2 VAR4 (
.VAR3(VAR3),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR5(VAR5)
); | mit |
alexforencich/hdg2000 | fpga/lib/axis/rtl/axis_register_64.v | 5,281 | module MODULE1 #
(
parameter VAR24 = 64,
parameter VAR23 = (VAR24/8)
)
(
input wire clk,
input wire rst,
input wire [VAR24-1:0] VAR12,
input wire [VAR23-1:0] VAR17,
input wire VAR13,
output wire VAR21,
input wire VAR5,
input wire VAR1,
output wire [VAR24-1:0] VAR19,
output wire [VAR23-1:0] VAR20,
output wire VAR8,
input wire VAR2,
output wire VAR14,
output wire VAR16
);
reg VAR11 = 0;
reg [VAR24-1:0] VAR7 = 0;
reg [VAR23-1:0] VAR3 = 0;
reg VAR25 = 0;
reg VAR6 = 0;
reg VAR10 = 0;
reg [VAR24-1:0] VAR15 = 0;
reg [VAR23-1:0] VAR22 = 0;
reg VAR18 = 0;
reg VAR9 = 0;
reg VAR4 = 0;
assign VAR21 = VAR11;
assign VAR19 = VAR7;
assign VAR20 = VAR3;
assign VAR8 = VAR25;
assign VAR14 = VAR6;
assign VAR16 = VAR10;
always @(posedge clk or posedge rst) begin
if (rst) begin
VAR11 <= 0;
VAR7 <= 0;
VAR3 <= 0;
VAR25 <= 0;
VAR6 <= 0;
VAR10 <= 0;
VAR15 <= 0;
VAR22 <= 0;
VAR18 <= 0;
VAR9 <= 0;
VAR4 <= 0;
end else begin
VAR11 <= VAR2 | (~VAR18 & ~VAR25) | (~VAR18 & ~VAR13);
if (VAR11) begin
if (VAR2 | ~VAR25) begin
VAR7 <= VAR12;
VAR3 <= VAR17;
VAR25 <= VAR13;
VAR6 <= VAR5;
VAR10 <= VAR1;
end else begin
VAR15 <= VAR12;
VAR22 <= VAR17;
VAR18 <= VAR13;
VAR9 <= VAR5;
VAR4 <= VAR1;
end
end else if (VAR2) begin
VAR7 <= VAR15;
VAR3 <= VAR22;
VAR25 <= VAR18;
VAR6 <= VAR9;
VAR10 <= VAR4;
VAR15 <= 0;
VAR22 <= 0;
VAR18 <= 0;
VAR9 <= 0;
VAR4 <= 0;
end
end
end
endmodule | mit |
intelligenttoasters/CPC2.0 | FPGA/Quartus/custom/usb/serialInterfaceEngine/usbSerialInterfaceEngine.v | 11,297 | module MODULE1(
clk, rst,
VAR24,
VAR62,
VAR61,
VAR111,
VAR136,
VAR88,
VAR59,
VAR68,
VAR15,
VAR64,
VAR110,
VAR16,
VAR126,
VAR124,
VAR57,
VAR63,
VAR67,
VAR46
);
input clk, rst;
input [1:0] VAR24;
output VAR62;
output VAR67;
input VAR46;
output [1:0] VAR61;
output VAR111;
output VAR136;
output [1:0] VAR88;
output VAR59;
output [7:0] VAR68;
output VAR15;
output [7:0] VAR64;
input [7:0] VAR110;
input [7:0] VAR16;
output VAR126;
input VAR124;
input VAR57;
input VAR63;
wire clk, rst;
wire [1:0] VAR24;
wire VAR62;
wire [1:0] VAR61;
wire VAR111;
wire VAR67;
wire VAR136;
wire [1:0] VAR88;
wire VAR59;
wire [7:0] VAR68;
wire VAR15;
wire [7:0] VAR64;
wire [7:0] VAR110;
wire [7:0] VAR16;
wire VAR126;
wire VAR124;
wire VAR57;
wire VAR63;
wire VAR94;
wire VAR41;
wire [1:0] VAR32;
wire VAR96;
wire VAR97;
wire [1:0] VAR52;
wire VAR83;
wire VAR125;
wire VAR11;
wire VAR35;
wire VAR2;
wire [1:0] VAR73;
wire VAR89;
wire VAR40;
wire VAR121;
wire VAR66;
wire [1:0] VAR69;
wire VAR14;
wire VAR118;
wire VAR91;
wire [7:0] VAR19;
wire [7:0] VAR122;
wire [1:0] VAR82;
wire [1:0] VAR141;
wire VAR95;
wire [7:0] VAR44;
wire [7:0] VAR112;
wire VAR135;
wire VAR8;
wire [15:0] VAR108;
wire VAR30;
wire VAR114;
wire [4:0] VAR70;
wire VAR77;
wire [7:0] VAR140;
wire VAR17;
wire VAR129;
wire VAR79;
wire [15:0] VAR104;
wire VAR5;
wire VAR117;
wire [4:0] VAR105;
wire VAR92;
wire [7:0] VAR13;
wire VAR31;
wire VAR72;
wire VAR28;
wire VAR71;
wire VAR1;
wire VAR45;
wire VAR39;
wire VAR137;
wire VAR58;
VAR99 VAR98
(.VAR57(VAR57),
.VAR63(VAR63),
.VAR82(VAR82),
.VAR141(VAR141) );
VAR116 VAR74
(
.VAR27(VAR32),
.VAR96(VAR96),
.clk(clk),
.VAR88(VAR88),
.rst(rst) );
VAR134 VAR25
(.VAR82(VAR82),
.VAR141(VAR141),
.VAR51(VAR32),
.VAR68(VAR112),
.VAR64(VAR44),
.clk(clk),
.VAR41(VAR41),
.VAR94(VAR96),
.VAR95(VAR95),
.VAR59(VAR59),
.rst(rst),
.VAR135(VAR135),
.VAR58(VAR58),
.VAR63(VAR63)
);
VAR113 VAR106
(.VAR133(VAR8),
.VAR76(VAR108),
.VAR78(VAR30),
.VAR85(VAR114),
.VAR75(VAR70),
.VAR102(VAR77),
.VAR38(VAR129),
.VAR23(VAR140),
.VAR50(VAR44),
.VAR37(VAR112),
.VAR68(VAR68),
.VAR15(VAR15),
.VAR64(VAR64),
.clk(clk),
.VAR47(VAR95),
.rst(rst),
.VAR18(VAR17),
.VAR135(VAR135) );
VAR130 VAR101
(.VAR18(VAR17),
.VAR80(VAR70),
.VAR109(VAR114),
.VAR84(VAR77),
.VAR123(VAR140),
.ready(VAR129),
.clk(clk),
.rst(rst) );
VAR36 VAR7
(.VAR18(VAR17),
.VAR80(VAR108),
.VAR109(VAR8),
.VAR123(VAR140),
.ready(VAR30),
.clk(clk),
.rst(rst) );
VAR54 VAR4
(.VAR133(VAR79),
.VAR76(VAR104),
.VAR85(VAR117),
.VAR75(VAR105),
.VAR102(VAR92),
.VAR23(VAR13),
.VAR38(VAR72),
.VAR78(VAR5),
.VAR82(VAR82),
.VAR141(VAR141),
.VAR110(VAR110),
.VAR16(VAR16),
.VAR126(VAR126),
.VAR124(VAR124),
.VAR100(VAR122),
.VAR90(VAR19),
.VAR21(VAR66),
.VAR3(VAR69),
.VAR93(VAR14),
.VAR125(VAR35),
.VAR128(VAR118),
.VAR11(VAR91),
.clk(clk),
.VAR28(VAR28),
.VAR71(VAR71),
.rst(rst),
.VAR18(VAR31),
.VAR115(VAR1),
.VAR12(VAR45),
.VAR55(VAR63)
);
VAR130 VAR9
(.VAR18(VAR31),
.VAR80(VAR105),
.VAR109(VAR117),
.VAR84(VAR92),
.VAR123(VAR13),
.ready(VAR72),
.clk(clk),
.rst(rst) );
VAR36 VAR29
(.VAR18(VAR31),
.VAR80(VAR104),
.VAR109(VAR79),
.VAR123(VAR13),
.ready(VAR5),
.clk(clk),
.rst(rst) );
VAR42 VAR33
(.VAR82(VAR82),
.VAR141(VAR141),
.VAR120(VAR122),
.VAR22(VAR19),
.VAR21(VAR2),
.VAR3(VAR73),
.VAR93(VAR89),
.VAR125(VAR35),
.VAR128(VAR40),
.VAR11(VAR121),
.clk(clk),
.VAR28(VAR28),
.VAR71(VAR71),
.rst(rst),
.VAR115(VAR39),
.VAR53(VAR45)
);
VAR49 VAR10
(.VAR66(VAR66),
.VAR69(VAR69),
.VAR14(VAR14),
.VAR118(VAR118),
.VAR91(VAR91),
.VAR132(VAR52),
.VAR48(VAR83),
.VAR43(VAR125),
.VAR103(VAR35),
.VAR11(VAR11),
.clk(clk),
.VAR2(VAR2),
.VAR73(VAR73),
.VAR89(VAR89),
.VAR40(VAR40),
.VAR121(VAR121),
.rst(rst),
.VAR139(VAR1),
.VAR39(VAR39),
.VAR56(VAR137)
);
VAR81 VAR60
(.VAR87(VAR52),
.VAR127(VAR61),
.VAR6(VAR136),
.VAR86(VAR83),
.VAR20(VAR111),
.VAR125(VAR125),
.VAR11(VAR11),
.VAR97(VAR97),
.VAR119(VAR137),
.clk(clk),
.rst(rst)
);
VAR26 VAR107
(.VAR51(VAR24),
.VAR34(VAR62),
.VAR131(VAR32),
.VAR65(VAR41),
.VAR138(VAR96),
.VAR119(VAR63),
.VAR97(VAR97),
.clk(clk),
.rst(rst),
.VAR67(VAR67),
.VAR58(VAR58),
.VAR46(VAR46)
);
endmodule | gpl-3.0 |
racerxdl/LVDS-7-to-1-Serializer | src/maincore.v | 4,089 | module MODULE1(
input clk,
output VAR10,
output VAR26,
output VAR22,
output VAR38,
output VAR43,
output VAR3,
output VAR29,
output VAR6
);
parameter VAR18 = 1280;
parameter VAR15 = 800;
parameter VAR9 = 12;
parameter VAR39 = 192;
wire VAR44,VAR23,VAR24, VAR1;
reg [5:0] VAR7 = 0;
reg [5:0] VAR17 = 0;
reg [5:0] VAR40 = 0;
reg VAR21 = 1, VAR33 = 1, VAR5 = 0;
reg [10:0] VAR42 = 0; reg [10:0] VAR34 = 0;
reg [7:0] VAR41 = 0;
VAR19 #(
.VAR20 ("62.5ns"), .VAR12 (4),
.VAR2 (1)
)
VAR27 (
.VAR14 (clk),
.VAR25 (VAR44),
.VAR11 (1'b0),
.VAR28 (VAR1),
.VAR32 (VAR23),
.VAR30 (VAR24)
);
VAR8 VAR37 (.VAR35(VAR1), .VAR4(VAR44) ) ;
VAR36 VAR13 (
.VAR16(VAR23),
.VAR21(VAR21),
.VAR33(VAR33),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR40(VAR40),
.VAR17(VAR17),
.VAR10(VAR10),
.VAR26(VAR26),
.VAR22(VAR22),
.VAR38(VAR38),
.VAR43(VAR43),
.VAR3(VAR3),
.VAR29(VAR29),
.VAR6(VAR6)
);
reg [5:0] VAR31 = 0;
always @(posedge VAR23)
begin
VAR42 <= VAR42 + 1;
if(VAR42 == VAR18)
begin
VAR5 <= 0;
VAR21 <= 0;
end
if((VAR42 == 0) & (VAR34 < VAR15))
VAR5 <= 1;
if(VAR42 == (VAR18+VAR39))
VAR21 <= 1;
if(VAR42 == (VAR18+VAR39))
begin
if(VAR34 == VAR15)
begin
VAR33 <= 0;
VAR5 <= 0;
end
if(VAR34 == (VAR15+VAR9))
begin
VAR33 <= 1;
VAR31 <= VAR31 - 1;
VAR34 <= 0;
VAR42 <= 0;
end
else
VAR34 <= VAR34 +1;
end
if(VAR42 == (VAR18+VAR39))
VAR42 <= 0;
end
always @(posedge VAR23)
begin
if(VAR42 == VAR18)
begin
VAR17 <= 0;
VAR7 <= 0;
VAR40 <= 0;
end
else
begin
if( (VAR42 > 320 && VAR34 > 160) && ( VAR42 < 960 && VAR34 < 640) )
begin
VAR17 <= 0;
VAR7 <= 0;
VAR40 <= 0;
end
else if ( (VAR42 >= 317 && VAR34 >= 160 && VAR34 <= 640 && VAR42 <= 320) ||
(VAR42 >= 317 && VAR34 >= 157 && VAR34 <= 160 && VAR42 <= 963) ||
(VAR42 >= 960 && VAR34 >= 157 && VAR34 <= 640 && VAR42 <= 963) ||
(VAR42 >= 317 && VAR34 >= 640 && VAR34 <= 643 && VAR42 <= 963) )
begin
VAR7 <= 255;
VAR40 <= 0;
VAR17 <= 0;
end
else
begin
VAR7 <= ( ( (VAR34[5:0]+VAR31) ^ (VAR42[5:0]+VAR31) ) * 2 );
VAR17 <= ( ( (VAR34[5:0]+VAR31) ^ (VAR42[5:0]+VAR31) ) * 3 );
VAR40 <= ( ( (VAR34[5:0]+VAR31) ^ (VAR42[5:0]+VAR31) ) * 4 );
end
end
end
endmodule | mit |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/inv/gf180mcu_fd_sc_mcu7t5v0__inv_8.behavioral.v | 1,101 | module MODULE1( VAR5, VAR3 );
input VAR5;
output VAR3;
VAR2 VAR1(.VAR5(VAR5),.VAR3(VAR3));
VAR2 VAR4(.VAR5(VAR5),.VAR3(VAR3)); | apache-2.0 |
markusC64/1541ultimate2 | fpga/nios_c5/nios/synthesis/submodules/nios_altmemddr_0_full_mem_model.v | 24,309 | module MODULE1 (
VAR108,
VAR54,
VAR100,
VAR22,
VAR24,
VAR51,
VAR26
)
;
output [ 15: 0] VAR26;
input [ 15: 0] VAR108;
input [ 24: 0] VAR54;
input VAR100;
input [ 24: 0] VAR22;
input VAR24;
input VAR51;
reg [ 15: 0] VAR66 [33554431: 0];
wire [ 15: 0] VAR26;
reg [ 24: 0] VAR122;
always @(VAR54)
begin
VAR122 = VAR54;
end
assign VAR26 = VAR66[VAR122];
always @(posedge VAR24)
begin
if (VAR51)
VAR66[VAR22] <= VAR108;
end
endmodule
module MODULE2 (
VAR78,
VAR112,
VAR12,
VAR30,
VAR111,
VAR37,
VAR32,
VAR42,
VAR81,
VAR41,
VAR73,
VAR118,
VAR107,
VAR13,
VAR18
)
;
output VAR118;
inout [ 7: 0] VAR107;
inout VAR13;
inout VAR18;
input [ 13: 0] VAR78;
input [ 1: 0] VAR112;
input VAR12;
input VAR30;
input VAR111;
input VAR37;
input VAR32;
input VAR42;
input VAR81;
input VAR41;
input VAR73;
wire [ 23: 0] VAR60;
wire [ 13: 0] VAR11;
reg [ 3: 0] VAR2;
wire [ 8: 0] VAR61;
wire [ 1: 0] VAR90;
reg [ 2: 0] VAR68;
reg VAR16;
wire VAR28;
wire VAR74;
wire clk;
wire [ 2: 0] VAR116;
wire VAR101;
wire [ 1: 0] VAR3;
wire VAR99;
reg [ 1: 0] VAR44;
reg [ 15: 0] VAR104;
wire [ 7: 0] VAR115;
wire VAR4;
wire VAR19;
wire VAR45;
reg VAR57;
reg [ 7: 0] VAR80;
wire VAR118;
wire [ 15: 0] VAR109;
wire [ 7: 0] VAR107;
wire VAR13;
wire VAR18;
reg [ 13: 0] VAR7 [ 3: 0];
wire VAR123;
reg [ 24: 0] VAR98;
reg [ 24: 0] VAR95;
reg [ 24: 0] VAR10;
reg [ 24: 0] VAR55;
reg [ 24: 0] VAR87;
reg [ 24: 0] VAR39;
reg [ 24: 0] VAR69;
reg [ 24: 0] VAR83;
reg [ 24: 0] VAR43;
reg [ 24: 0] VAR14;
reg [ 24: 0] VAR114;
reg [ 24: 0] VAR31;
reg [ 24: 0] VAR9;
reg [ 24: 0] VAR86;
reg [ 24: 0] VAR89;
reg [ 24: 0] VAR15;
reg [ 24: 0] VAR47;
reg [ 24: 0] VAR102;
reg [ 24: 0] VAR63;
reg [ 24: 0] VAR34;
reg [ 24: 0] VAR88;
reg [ 24: 0] VAR58;
reg [ 24: 0] VAR27;
reg [ 25: 0] VAR103;
wire [ 24: 0] VAR79;
reg VAR40;
reg VAR1;
wire [ 15: 0] VAR52;
wire [ 7: 0] VAR84;
reg [ 4: 0] VAR64;
wire VAR65;
reg VAR20;
reg VAR106;
reg VAR38;
reg VAR36;
reg VAR67;
wire [ 24: 0] VAR93;
reg [ 15: 0] VAR48;
reg [ 7: 0] VAR110;
reg [ 3: 0] VAR71;
wire [ 23: 0] VAR97;
wire VAR50;
wire [ 24: 0] VAR53;
reg [ 24: 0] VAR105;
reg [ 24: 0] VAR70;
reg [ 24: 0] VAR23;
reg [ 24: 0] VAR25;
reg [ 24: 0] VAR120;
reg [ 24: 0] VAR49;
reg [ 24: 0] VAR119;
reg [ 24: 0] VAR96;
reg [ 24: 0] VAR117;
reg [ 24: 0] VAR35;
reg [ 24: 0] VAR91;
reg [ 24: 0] VAR56;
reg [ 24: 0] VAR62;
reg [ 24: 0] VAR113;
reg [ 24: 0] VAR77;
reg [ 24: 0] VAR94;
reg [ 24: 0] VAR59;
reg [ 24: 0] VAR121;
reg [ 24: 0] VAR5;
reg [ 24: 0] VAR46;
reg [ 24: 0] VAR6;
reg [ 25: 0] VAR17;
reg [ 25: 0] VAR75;
reg VAR33;
reg VAR85;
reg [ 4: 0] VAR76;
wire VAR29;
reg VAR72;
wire VAR82;
reg VAR92;
reg VAR21;
reg VAR8;
begin
begin
begin
begin
end
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
end
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin | gpl-3.0 |
Seeed-Studio/DSOQuad_SourceCode | FPGA_V2.5/IO_Ctrl.v | 4,832 | module MODULE1( VAR21, VAR39, VAR10, VAR12, VAR35, VAR28, VAR7, VAR20, VAR1, VAR33, VAR17,
VAR30, VAR19, VAR6, VAR5, VAR34, VAR25,
VAR8, VAR38, VAR11, VAR14, VAR18, VAR31,
VAR9, VAR3, VAR22,
VAR15, VAR32, VAR26, VAR27, VAR23, VAR16 );
input VAR21; input VAR39; input VAR10; input VAR12; input [17:0]VAR35; input VAR28; input VAR17; input VAR7; input VAR20; input VAR1; input VAR33;
input [15:0]VAR30; input [15:0]VAR19; input [15:0]VAR6;
input [15:0]VAR5; input [15:0]VAR34; input [15:0]VAR25;
input [15:0]VAR8; input [15:0]VAR38; input [15:0]VAR11;
input [15:0]VAR14; input [15:0]VAR18; input [15:0]VAR31;
output [11:0]VAR9; output [11:0]VAR3; output [31:0]VAR22;
output VAR15; output [ 7:0]VAR32; output [ 7:0]VAR26; output [15:0]VAR27; output [ 7:0]VAR23;
inout [15:0]VAR16;
reg [ 7:0]VAR32; reg [ 7:0]VAR26; reg [15:0]VAR27; reg [ 7:0]VAR23;
reg [ 7:0]VAR13;
reg [ 7:0]VAR2;
wire [15:0]VAR4 ;
reg [15:0]VAR29;
reg [ 7:0]VAR24;
wire [15:0]VAR36 ;
reg [11:0]VAR9;
reg [11:0]VAR3;
reg [31:0]VAR22;
assign VAR15 = VAR23[0];
assign VAR36 = VAR33 ? VAR29[15:0] : { 10'h000, VAR28, VAR20, VAR7, VAR17, VAR35[17:16] };
assign VAR4 = VAR1 ? VAR35[15:0] : VAR36;
assign VAR16 = ( VAR21 && !VAR39 ) ? VAR4 : 16'VAR37 ;
always @(posedge VAR10) begin
VAR2 <= { VAR2[6:0], VAR12 };
end
always @( negedge VAR39 ) begin
case( VAR24 )
4'b0000: VAR29 <= VAR30;
4'b0001: VAR29 <= VAR19;
4'b0010: VAR29 <= VAR6;
4'b0100: VAR29 <= VAR5;
4'b0101: VAR29 <= VAR34;
4'b0110: VAR29 <= VAR25;
4'b1000: VAR29 <= VAR8;
4'b1001: VAR29 <= VAR38;
4'b1010: VAR29 <= VAR11;
4'b1100: VAR29 <= VAR14;
4'b1101: VAR29 <= VAR18;
4'b1110: VAR29 <= VAR31;
default: VAR29 <= 0;
endcase
end
always @( posedge VAR12 ) begin
if ( !VAR10 ) begin
if ( VAR1 ) begin
VAR13 <= VAR2;
end else begin
case( VAR13 )
8'h00: begin
VAR32 <= VAR2;
VAR9 <= 4095;
VAR3 <= 150;
VAR22 <= 1;
end
8'h01: VAR26 <= VAR2;
8'h02: VAR27[ 7:0] <= VAR2;
8'h03: VAR27[15:8] <= VAR2;
8'h04: VAR23 [ 7:0] <= VAR2;
8'h05: VAR24 [ 7:0] <= VAR2;
8'h06: VAR9 [ 7:0] <= VAR2;
8'h07: VAR9 [11:8] <= VAR2;
8'h08: VAR3 [ 7:0] <= VAR2;
8'h09: VAR3 [11:8] <= VAR2;
8'h0A: VAR22 [ 7:0] <= VAR2;
8'h0B: VAR22 [15:8] <= VAR2;
8'h0C: VAR22 [23:16] <= VAR2;
8'h0D: VAR22 [31:24] <= VAR2;
endcase
end
end
end
endmodule | mit |
sirchuckalot/zet | cores/hpdmc_sdr16/rtl/hpdmc_busif.v | 1,440 | module MODULE1 #(
parameter VAR13 = 23
) (
input VAR7,
input VAR8,
input [VAR13-1:0] VAR11,
input VAR1,
input VAR2,
output VAR12,
output VAR10,
output VAR4,
output [VAR13-1-1:0] VAR6,
input VAR9,
input VAR3
);
reg VAR5;
assign VAR10 = VAR1 & VAR5;
assign VAR4 = VAR2;
assign VAR6 = VAR11[VAR13-1:1];
assign VAR12 = VAR3;
always @(posedge VAR7) begin
if(VAR8)
VAR5 = 1'b1;
end
else begin
if(VAR9)
VAR5 = 1'b0;
if(VAR3)
VAR5 = 1'b1;
end
end
endmodule | gpl-3.0 |
mrehkopf/sd2snes | verilog/sd2snes_cx4/cx4_datram.v | 10,709 | module MODULE1 (
VAR51,
VAR25,
VAR30,
VAR47,
VAR13,
VAR56,
VAR8,
VAR24,
VAR28);
input [11:0] VAR51;
input [11:0] VAR25;
input VAR30;
input [7:0] VAR47;
input [7:0] VAR13;
input VAR56;
input VAR8;
output [7:0] VAR24;
output [7:0] VAR28;
tri1 VAR30;
tri0 VAR56;
tri0 VAR8;
wire [7:0] VAR60;
wire [7:0] VAR61;
wire [7:0] VAR24 = VAR60[7:0];
wire [7:0] VAR28 = VAR61[7:0];
VAR41 VAR58 (
.VAR51 (VAR51),
.VAR25 (VAR25),
.VAR37 (VAR30),
.VAR47 (VAR47),
.VAR13 (VAR13),
.VAR56 (VAR56),
.VAR8 (VAR8),
.VAR24 (VAR60),
.VAR28 (VAR61),
.VAR42 (1'b0),
.VAR35 (1'b0),
.VAR48 (1'b0),
.VAR20 (1'b0),
.VAR59 (1'b1),
.VAR44 (1'b1),
.VAR5 (1'b1),
.VAR43 (1'b1),
.VAR21 (1'b1),
.VAR45 (1'b1),
.VAR39 (1'b1),
.VAR33 (),
.VAR9 (1'b1),
.VAR34 (1'b1));
VAR58.VAR65 = "VAR63",
VAR58.VAR49 = "VAR17",
VAR58.VAR31 = "VAR17",
VAR58.VAR4 = "VAR17",
VAR58.VAR32 = "VAR17",
VAR58.VAR38 = "VAR63",
VAR58.VAR16 = "VAR26 VAR36 VAR19",
VAR58.VAR11 = "VAR41",
VAR58.VAR29 = 3072,
VAR58.VAR15 = 3072,
VAR58.VAR7 = "VAR18",
VAR58.VAR62 = "VAR54",
VAR58.VAR64 = "VAR54",
VAR58.VAR50 = "VAR3",
VAR58.VAR1 = "VAR3",
VAR58.VAR46 = "VAR12",
VAR58.VAR57 = "VAR2",
VAR58.VAR14 = "VAR23",
VAR58.VAR10 = "VAR23",
VAR58.VAR55 = 12,
VAR58.VAR27 = 12,
VAR58.VAR40 = 8,
VAR58.VAR22 = 8,
VAR58.VAR53 = 1,
VAR58.VAR6 = 1,
VAR58.VAR52 = "VAR63";
endmodule | gpl-2.0 |
YosysHQ/yosys | techlibs/xilinx/ff_map.v | 4,943 | module \VAR15 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR8 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR23(VAR34));
wire VAR39 = 1;
endmodule
module \VAR43 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR27 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR23(VAR34));
wire VAR39 = 1;
endmodule
module \VAR5 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR25 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR19(VAR34));
wire VAR39 = 1;
endmodule
module \VAR17 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR32 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR19(VAR34));
wire VAR39 = 1;
endmodule
module \VAR38 (input VAR26, VAR40, VAR44, VAR33, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR2 #(.VAR11(VAR14), .VAR30(1'b1)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR23(VAR34), .VAR19(VAR33));
wire VAR39 = 1;
endmodule
module \VAR35 (input VAR26, VAR40, VAR44, VAR33, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR2 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR23(VAR34), .VAR19(VAR33));
wire VAR39 = 1;
endmodule
module \VAR1 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR18 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR34(VAR34));
wire VAR39 = 1;
endmodule
module \VAR28 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR9 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR34(VAR34));
wire VAR39 = 1;
endmodule
module \VAR4 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR37 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR33(VAR34));
wire VAR39 = 1;
endmodule
module \VAR24 (input VAR26, VAR40, VAR44, VAR34, output VAR3);
parameter VAR14 = 1'VAR31;
VAR36 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR40(VAR40), .VAR6(VAR44), .VAR33(VAR34));
wire VAR39 = 1;
endmodule
module \VAR12 (input VAR44, VAR34, VAR26, output VAR3);
parameter VAR14 = 1'VAR31;
VAR45 #(.VAR11(VAR14), .VAR20(1'b1)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR22(VAR44), .VAR21(1'b1), .VAR23(VAR34));
wire VAR39 = 1;
endmodule
module \VAR10 (input VAR44, VAR34, VAR26, output VAR3);
parameter VAR14 = 1'VAR31;
VAR45 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR22(VAR44), .VAR21(1'b1), .VAR23(VAR34));
wire VAR39 = 1;
endmodule
module \VAR16 (input VAR44, VAR34, VAR26, output VAR3);
parameter VAR14 = 1'VAR31;
VAR7 #(.VAR11(VAR14), .VAR20(1'b1)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR22(VAR44), .VAR21(1'b1), .VAR19(VAR34));
wire VAR39 = 1;
endmodule
module \VAR41 (input VAR44, VAR34, VAR26, output VAR3);
parameter VAR14 = 1'VAR31;
VAR7 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR22(VAR44), .VAR21(1'b1), .VAR19(VAR34));
wire VAR39 = 1;
endmodule
module \VAR42 (input VAR44, VAR33, VAR34, VAR26, output VAR3);
parameter VAR14 = 1'VAR31;
VAR46 #(.VAR11(VAR14), .VAR20(1'b1)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR22(VAR44), .VAR21(1'b1), .VAR23(VAR34), .VAR19(VAR33));
wire VAR39 = 1;
endmodule
module \VAR29 (input VAR44, VAR33, VAR34, VAR26, output VAR3);
parameter VAR14 = 1'VAR31;
VAR46 #(.VAR11(VAR14)) VAR13 (.VAR26(VAR26), .VAR3(VAR3), .VAR22(VAR44), .VAR21(1'b1), .VAR23(VAR34), .VAR19(VAR33));
wire VAR39 = 1;
endmodule | isc |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dlclkp/sky130_fd_sc_hs__dlclkp.blackbox.v | 1,223 | module MODULE1 (
VAR4,
VAR1,
VAR2
);
output VAR4;
input VAR1;
input VAR2 ;
supply1 VAR3;
supply0 VAR5;
endmodule | apache-2.0 |
mlohstroh/bubble-pushers | InstrDecod.v | 2,572 | module MODULE1(VAR7, VAR16, VAR17, VAR10, VAR15, VAR18, VAR13, VAR12, VAR6, VAR1, VAR8, VAR2, VAR5, VAR4);
input [31:0] VAR7; input [31:0] VAR16; input [31:0] VAR10; input [4:0] VAR15; input VAR17;
output [5:0] VAR18; output [5:0] VAR13; output [31:0] VAR12; output [31:0] VAR6; output [4:0] VAR1; output [4:0] VAR8; output [31:0] VAR5; output [31:0] VAR2; output [31:0] VAR4;
reg signed [31:0] VAR9 [0:31];
wire signed [31:0] VAR10;
wire signed [31:0] VAR16;
reg [5:0] VAR18, VAR13;
reg [4:0] VAR14, VAR1, VAR8;
reg signed [31:0] VAR12, VAR6;
reg signed [31:0] VAR2, VAR5, VAR4;
reg signed [31:0] VAR11;
integer VAR3;
begin
begin | gpl-3.0 |
gigglesninja/digital-system-design | spi/ipcore_dir/txreg.v | 13,640 | module MODULE1(
clk,
rst,
din,
VAR315,
VAR165,
dout,
VAR34,
VAR259
);
input clk;
input rst;
input [7 : 0] din;
input VAR315;
input VAR165;
output [7 : 0] dout;
output VAR34;
output VAR259;
VAR343 #(
.VAR59(0),
.VAR306(0),
.VAR113(0),
.VAR222(0),
.VAR199(0),
.VAR61(0),
.VAR214(0),
.VAR272(32),
.VAR322(1),
.VAR312(1),
.VAR191(1),
.VAR193(64),
.VAR255(4),
.VAR410(1),
.VAR112(0),
.VAR324(1),
.VAR33(64),
.VAR364(4),
.VAR135(8),
.VAR176(4),
.VAR150(4),
.VAR274(4),
.VAR54(0),
.VAR373(1),
.VAR143(0),
.VAR120(5),
.VAR95("VAR359"),
.VAR310(8),
.VAR183(1),
.VAR233(32),
.VAR390(64),
.VAR97(32),
.VAR82(64),
.VAR382(2),
.VAR15("0"),
.VAR334(8),
.VAR187(0),
.VAR3(1),
.VAR10(0),
.VAR101(0),
.VAR365(0),
.VAR38(0),
.VAR118(0),
.VAR357(0),
.VAR123(0),
.VAR200("VAR75"),
.VAR92(1),
.VAR159(0),
.VAR227(0),
.VAR28(0),
.VAR347(0),
.VAR51(0),
.VAR224(0),
.VAR241(0),
.VAR330(0),
.VAR7(0),
.VAR217(0),
.VAR268(0),
.VAR344(0),
.VAR229(0),
.VAR416(0),
.VAR204(1),
.VAR166(0),
.VAR45(0),
.VAR105(0),
.VAR182(0),
.VAR100(0),
.VAR263(0),
.VAR301(0),
.VAR380(0),
.VAR356(0),
.VAR37(0),
.VAR2(0),
.VAR234(0),
.VAR283(0),
.VAR378(0),
.VAR156(0),
.VAR338(0),
.VAR145(0),
.VAR70(0),
.VAR414(0),
.VAR367(0),
.VAR235(0),
.VAR231(0),
.VAR162(1),
.VAR258(0),
.VAR206(0),
.VAR423(0),
.VAR174(0),
.VAR39(0),
.VAR425(0),
.VAR419(0),
.VAR215(0),
.VAR407(1),
.VAR66(1),
.VAR173(1),
.VAR370(1),
.VAR52(1),
.VAR278(1),
.VAR321(0),
.VAR171(0),
.VAR213(1),
.VAR136("VAR359"),
.VAR17(1),
.VAR250(0),
.VAR405(0),
.VAR299(0),
.VAR86(1),
.VAR170("512x36"),
.VAR346(4),
.VAR362(1022),
.VAR56(1022),
.VAR341(1022),
.VAR80(1022),
.VAR247(1022),
.VAR424(1022),
.VAR295(5),
.VAR316(0),
.VAR128(0),
.VAR218(0),
.VAR403(0),
.VAR158(0),
.VAR157(0),
.VAR308(0),
.VAR402(15),
.VAR307(1023),
.VAR279(1023),
.VAR160(1023),
.VAR47(1023),
.VAR40(1023),
.VAR190(1023),
.VAR384(14),
.VAR21(0),
.VAR360(0),
.VAR172(0),
.VAR210(0),
.VAR188(0),
.VAR270(0),
.VAR129(0),
.VAR340(0),
.VAR374(5),
.VAR76(16),
.VAR169(1),
.VAR23(4),
.VAR242(0),
.VAR397(0),
.VAR320(0),
.VAR246(0),
.VAR74(0),
.VAR290(0),
.VAR6(0),
.VAR296(2),
.VAR401(0),
.VAR221(0),
.VAR369(0),
.VAR238(0),
.VAR313(1),
.VAR69(0),
.VAR167(0),
.VAR149(0),
.VAR106(0),
.VAR325(0),
.VAR244(0),
.VAR333(0),
.VAR99(0),
.VAR137(0),
.VAR186(1),
.VAR19(0),
.VAR396(0),
.VAR68(0),
.VAR155(0),
.VAR50(5),
.VAR178(16),
.VAR12(1024),
.VAR72(16),
.VAR13(1024),
.VAR168(16),
.VAR303(1024),
.VAR60(16),
.VAR318(1),
.VAR228(4),
.VAR415(10),
.VAR282(4),
.VAR175(10),
.VAR292(4),
.VAR223(10),
.VAR420(4),
.VAR261(1),
.VAR132(0)
)
VAR177 (
.VAR88(clk),
.VAR368(rst),
.VAR422(din),
.VAR302(VAR315),
.VAR376(VAR165),
.VAR262(dout),
.VAR181(VAR34),
.VAR203(VAR259),
.VAR375(),
.VAR115(),
.VAR240(),
.VAR98(),
.VAR225(),
.VAR189(),
.VAR94(),
.VAR237(),
.VAR275(),
.VAR366(),
.VAR184(),
.VAR127(),
.VAR8(),
.VAR196(),
.VAR256(),
.VAR46(),
.VAR20(),
.VAR260(),
.VAR323(),
.VAR408(),
.VAR371(),
.VAR114(),
.VAR24(),
.VAR230(),
.VAR147(),
.VAR64(),
.VAR116(),
.VAR385(),
.VAR139(),
.VAR9(),
.VAR146(),
.VAR286(),
.VAR108(),
.VAR103(),
.VAR53(),
.VAR41(),
.VAR71(),
.VAR294(),
.VAR336(),
.VAR119(),
.VAR391(),
.VAR388(),
.VAR413(),
.VAR331(),
.VAR25(),
.VAR163(),
.VAR355(),
.VAR18(),
.VAR90(),
.VAR153(),
.VAR96(),
.VAR253(),
.VAR55(),
.VAR379(),
.VAR236(),
.VAR363(),
.VAR400(),
.VAR130(),
.VAR353(),
.VAR372(),
.VAR1(),
.VAR232(),
.VAR29(),
.VAR185(),
.VAR216(),
.VAR208(),
.VAR14(),
.VAR332(),
.VAR418(),
.VAR194(),
.VAR142(),
.VAR93(),
.VAR335(),
.VAR349(),
.VAR271(),
.VAR291(),
.VAR49(),
.VAR78(),
.VAR133(),
.VAR393(),
.VAR219(),
.VAR205(),
.VAR239(),
.VAR138(),
.VAR254(),
.VAR31(),
.VAR328(),
.VAR27(),
.VAR300(),
.VAR314(),
.VAR62(),
.VAR304(),
.VAR326(),
.VAR201(),
.VAR91(),
.VAR67(),
.VAR63(),
.VAR195(),
.VAR287(),
.VAR164(),
.VAR198(),
.VAR220(),
.VAR4(),
.VAR104(),
.VAR16(),
.VAR22(),
.VAR109(),
.VAR44(),
.VAR42(),
.VAR348(),
.VAR180(),
.VAR202(),
.VAR361(),
.VAR305(),
.VAR131(),
.VAR226(),
.VAR197(),
.VAR352(),
.VAR339(),
.VAR404(),
.VAR386(),
.VAR152(),
.VAR381(),
.VAR264(),
.VAR281(),
.VAR277(),
.VAR280(),
.VAR395(),
.VAR121(),
.VAR399(),
.VAR337(),
.VAR285(),
.VAR134(),
.VAR311(),
.VAR265(),
.VAR89(),
.VAR309(),
.VAR394(),
.VAR266(),
.VAR284(),
.VAR151(),
.VAR411(),
.VAR412(),
.VAR409(),
.VAR297(),
.VAR392(),
.VAR43(),
.VAR288(),
.VAR65(),
.VAR32(),
.VAR252(),
.VAR57(),
.VAR327(),
.VAR148(),
.VAR245(),
.VAR125(),
.VAR351(),
.VAR243(),
.VAR209(),
.VAR212(),
.VAR354(),
.VAR267(),
.VAR87(),
.VAR48(),
.VAR111(),
.VAR389(),
.VAR192(),
.VAR161(),
.VAR248(),
.VAR383(),
.VAR207(),
.VAR319(),
.VAR211(),
.VAR179(),
.VAR293(),
.VAR417(),
.VAR73(),
.VAR144(),
.VAR35(),
.VAR141(),
.VAR58(),
.VAR79(),
.VAR11(),
.VAR77(),
.VAR81(),
.VAR102(),
.VAR154(),
.VAR317(),
.VAR269(),
.VAR251(),
.VAR26(),
.VAR421(),
.VAR107(),
.VAR117(),
.VAR329(),
.VAR358(),
.VAR350(),
.VAR257(),
.VAR276(),
.VAR110(),
.VAR345(),
.VAR249(),
.VAR342(),
.VAR124(),
.VAR406(),
.VAR5(),
.VAR122(),
.VAR298(),
.VAR398(),
.VAR387(),
.VAR85(),
.VAR36(),
.VAR126(),
.VAR83(),
.VAR140(),
.VAR377(),
.VAR30(),
.VAR273(),
.VAR289(),
.VAR84()
);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/or2/sky130_fd_sc_hdll__or2.pp.symbol.v | 1,265 | module MODULE1 (
input VAR2 ,
input VAR4 ,
output VAR5 ,
input VAR1 ,
input VAR7,
input VAR6,
input VAR3
);
endmodule | apache-2.0 |
tmatsuya/milkymist-ml401 | boards/xilinx-ml401/rtl/ddram.v | 4,133 | module MODULE1 #(
parameter VAR40 = 4'h0
) (
input VAR47,
input VAR90,
input [13:0] VAR22,
input VAR27,
input [31:0] VAR23,
output [31:0] VAR69,
input [VAR84-1:0] VAR52,
input VAR34,
input VAR50,
output VAR91,
input [7:0] VAR82,
input [63:0] VAR12,
output [63:0] VAR8,
output VAR65,
output VAR94,
input VAR1,
output VAR32,
output VAR46,
output VAR29,
output VAR4,
output VAR42,
output [12:0] VAR15,
output [1:0] VAR43,
output [3:0] VAR45,
inout [31:0] VAR73,
inout [3:0] VAR2
);
wire VAR83;
wire VAR54;
wire VAR21;
wire VAR64;
VAR74 #(
.VAR11(1.5),
.VAR3(3), .VAR71(2),
.VAR31("VAR75"),
.VAR70(VAR13),
.VAR49("VAR88"),
.VAR38("1X"),
.VAR9("VAR36"),
.VAR58("VAR93"),
.VAR66("VAR93"),
.VAR87("VAR17"),
.VAR35(16'hF0F0),
.VAR41(0),
.VAR86("VAR75")
) VAR37 (
.VAR80(VAR65),
.VAR20(),
.VAR63(VAR94),
.VAR5(),
.VAR95(VAR54),
.VAR59(),
.VAR67(),
.VAR10(),
.VAR18(),
.VAR24(VAR64),
.VAR33(VAR1),
.VAR61(VAR47),
.VAR55(1'b0)
);
wire VAR57;
wire VAR48;
wire VAR81;
wire VAR28;
VAR74 #(
.VAR11(1.5),
.VAR3(3), .VAR71(2),
.VAR31("VAR75"),
.VAR70(VAR13),
.VAR49("VAR72"),
.VAR38("1X"),
.VAR9("VAR36"),
.VAR58("VAR93"),
.VAR66("VAR93"),
.VAR87("VAR17"),
.VAR35(16'hF0F0),
.VAR41(0),
.VAR86("VAR75")
) VAR60 (
.VAR80(VAR83),
.VAR20(),
.VAR63(),
.VAR5(),
.VAR95(),
.VAR59(),
.VAR67(),
.VAR10(),
.VAR18(),
.VAR24(VAR28),
.VAR33(VAR83),
.VAR61(VAR47),
.VAR55(VAR90),
.VAR56(VAR57),
.VAR92(VAR48),
.VAR53(VAR81),
.VAR30(VAR47)
);
VAR76 VAR6(
.VAR14(VAR54),
.VAR62(VAR21)
);
VAR68 VAR89(
.VAR85(),
.VAR25(VAR21),
.VAR55(1'b0)
);
reg VAR83;
assign VAR65 = VAR47;
assign VAR94 = ~VAR47;
always @(VAR47) .5 VAR83 <= VAR47;
wire VAR64 = 1'b1;
wire VAR28 = 1'b1;
VAR39 #(
.VAR40(VAR40),
.VAR79(VAR84),
.VAR78(VAR77)
) VAR39 (
.VAR47(VAR47),
.VAR26(1'b0),
.VAR83(VAR83),
.VAR7(1'b0),
.VAR90(VAR90),
.VAR22(VAR22),
.VAR27(VAR27),
.VAR23(VAR23),
.VAR69(VAR69),
.VAR52(VAR52),
.VAR34(VAR34),
.VAR50(VAR50),
.VAR91(VAR91),
.VAR82(VAR82),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR32(VAR32),
.VAR46(VAR46),
.VAR29(VAR29),
.VAR4(VAR4),
.VAR42(VAR42),
.VAR45(VAR45),
.VAR15(VAR15),
.VAR43(VAR43),
.VAR73(VAR73),
.VAR2(VAR2),
.VAR51(VAR57),
.VAR16(VAR48),
.VAR44(VAR81),
.VAR19({VAR28, VAR64})
);
endmodule | lgpl-3.0 |
scalable-networks/ext | uhd/fpga/usrp2/gpif/packet_reframer.v | 1,782 | module MODULE1
(input clk, input reset, input VAR6,
input [15:0] VAR9,
input VAR12,
output VAR4,
output [18:0] VAR11,
output VAR3,
input VAR8,
output reg state,
output VAR2,
output reg [15:0] VAR7);
localparam VAR10 = 0;
localparam VAR1 = 1;
always @(posedge clk)
if(reset | VAR6)
state <= VAR10;
else
if(VAR12 & VAR8)
case(state)
VAR10 :
begin
VAR7 <= {VAR9[14:0],1'b0};
state <= VAR1;
end
VAR1 :
begin
if(VAR2) state <= VAR10;
VAR7 <= VAR7 - 1;
end
endcase
assign VAR4 = VAR8; assign VAR3 = VAR12;
wire VAR5 = 0;
assign VAR2 = (state == VAR1) & (VAR7 == 2);
wire VAR13 = (state == VAR10);
assign VAR11 = {VAR5, VAR2, VAR13, VAR9[15:0]};
endmodule | gpl-2.0 |
alexforencich/xfcp | lib/eth/rtl/eth_arb_mux.v | 13,315 | module MODULE1 #
(
parameter VAR60 = 4,
parameter VAR91 = 8,
parameter VAR2 = (VAR91>8),
parameter VAR76 = (VAR91/8),
parameter VAR85 = 0,
parameter VAR32 = 8,
parameter VAR82 = 0,
parameter VAR55 = 8,
parameter VAR88 = 1,
parameter VAR24 = 1,
parameter VAR71 = 0,
parameter VAR27 = 1
)
(
input wire clk,
input wire rst,
input wire [VAR60-1:0] VAR84,
output wire [VAR60-1:0] VAR22,
input wire [VAR60*48-1:0] VAR33,
input wire [VAR60*48-1:0] VAR40,
input wire [VAR60*16-1:0] VAR69,
input wire [VAR60*VAR91-1:0] VAR89,
input wire [VAR60*VAR76-1:0] VAR46,
input wire [VAR60-1:0] VAR43,
output wire [VAR60-1:0] VAR52,
input wire [VAR60-1:0] VAR68,
input wire [VAR60*VAR32-1:0] VAR26,
input wire [VAR60*VAR55-1:0] VAR83,
input wire [VAR60*VAR24-1:0] VAR34,
output wire VAR49,
input wire VAR38,
output wire [47:0] VAR15,
output wire [47:0] VAR6,
output wire [15:0] VAR48,
output wire [VAR91-1:0] VAR72,
output wire [VAR76-1:0] VAR4,
output wire VAR37,
input wire VAR47,
output wire VAR77,
output wire [VAR32-1:0] VAR44,
output wire [VAR55-1:0] VAR63,
output wire [VAR24-1:0] VAR81
);
parameter VAR16 = VAR53(VAR60);
reg VAR66 = 1'b0, VAR25;
reg [VAR60-1:0] VAR90 = {VAR60{1'b0}}, VAR86;
reg VAR10 = 1'b0, VAR59;
reg [47:0] VAR57 = 48'd0, VAR23;
reg [47:0] VAR11 = 48'd0, VAR73;
reg [15:0] VAR80 = 16'd0, VAR18;
wire [VAR60-1:0] request;
wire [VAR60-1:0] acknowledge;
wire [VAR60-1:0] VAR74;
wire VAR30;
wire [VAR16-1:0] VAR78;
reg [VAR91-1:0] VAR31;
reg [VAR76-1:0] VAR12;
reg VAR9;
reg VAR95 = 1'b0;
reg VAR61;
reg [VAR32-1:0] VAR56;
reg [VAR55-1:0] VAR54;
reg [VAR24-1:0] VAR29;
wire VAR79;
assign VAR22 = VAR90;
assign VAR52 = (VAR95 && VAR30) << VAR78;
assign VAR49 = VAR10;
assign VAR15 = VAR57;
assign VAR6 = VAR11;
assign VAR48 = VAR80;
wire [VAR91-1:0] VAR1 = VAR89[VAR78*VAR91 +: VAR91];
wire [VAR76-1:0] VAR19 = VAR46[VAR78*VAR76 +: VAR76];
wire VAR5 = VAR43[VAR78];
wire VAR67 = VAR52[VAR78];
wire VAR28 = VAR68[VAR78];
wire [VAR32-1:0] VAR45 = VAR26[VAR78*VAR32 +: VAR32];
wire [VAR55-1:0] VAR94 = VAR83[VAR78*VAR55 +: VAR55];
wire [VAR24-1:0] VAR17 = VAR34[VAR78*VAR24 +: VAR24];
VAR7 #(
.VAR35(VAR60),
.VAR71(VAR71),
.VAR51(1),
.VAR36(1),
.VAR27(VAR27)
)
VAR50 (
.clk(clk),
.rst(rst),
.request(request),
.acknowledge(acknowledge),
.VAR74(VAR74),
.VAR30(VAR30),
.VAR78(VAR78)
);
assign request = VAR84 & ~VAR74;
assign acknowledge = VAR74 & VAR43 & VAR52 & VAR68;
always @* begin
VAR25 = VAR66;
VAR86 = {VAR60{1'b0}};
VAR59 = VAR10 && !VAR38;
VAR23 = VAR57;
VAR73 = VAR11;
VAR18 = VAR80;
if (VAR43[VAR78] && VAR52[VAR78]) begin
if (VAR68[VAR78]) begin
VAR25 = 1'b0;
end
end
if (!VAR66 && VAR30 && (VAR38 || !VAR49)) begin
VAR25 = 1'b1;
VAR86 = VAR74;
VAR59 = 1'b1;
VAR23 = VAR33[VAR78*48 +: 48];
VAR73 = VAR40[VAR78*48 +: 48];
VAR18 = VAR69[VAR78*16 +: 16];
end
VAR31 = VAR1;
VAR12 = VAR19;
VAR9 = VAR5 && VAR95 && VAR30;
VAR61 = VAR28;
VAR56 = VAR45;
VAR54 = VAR94;
VAR29 = VAR17;
end
always @(posedge clk) begin
VAR66 <= VAR25;
VAR90 <= VAR86;
VAR10 <= VAR59;
VAR57 <= VAR23;
VAR11 <= VAR73;
VAR80 <= VAR18;
if (rst) begin
VAR66 <= 1'b0;
VAR90 <= {VAR60{1'b0}};
VAR10 <= 1'b0;
end
end
reg [VAR91-1:0] VAR13 = {VAR91{1'b0}};
reg [VAR76-1:0] VAR87 = {VAR76{1'b0}};
reg VAR62 = 1'b0, VAR58;
reg VAR92 = 1'b0;
reg [VAR32-1:0] VAR8 = {VAR32{1'b0}};
reg [VAR55-1:0] VAR20 = {VAR55{1'b0}};
reg [VAR24-1:0] VAR96 = {VAR24{1'b0}};
reg [VAR91-1:0] VAR21 = {VAR91{1'b0}};
reg [VAR76-1:0] VAR70 = {VAR76{1'b0}};
reg VAR41 = 1'b0, VAR64;
reg VAR39 = 1'b0;
reg [VAR32-1:0] VAR75 = {VAR32{1'b0}};
reg [VAR55-1:0] VAR14 = {VAR55{1'b0}};
reg [VAR24-1:0] VAR3 = {VAR24{1'b0}};
reg VAR93;
reg VAR42;
reg VAR65;
assign VAR72 = VAR13;
assign VAR4 = VAR2 ? VAR87 : {VAR76{1'b1}};
assign VAR37 = VAR62;
assign VAR77 = VAR92;
assign VAR44 = VAR85 ? VAR8 : {VAR32{1'b0}};
assign VAR63 = VAR82 ? VAR20 : {VAR55{1'b0}};
assign VAR81 = VAR88 ? VAR96 : {VAR24{1'b0}};
assign VAR79 = VAR47 || (!VAR41 && (!VAR62 || !VAR9));
always @* begin
VAR58 = VAR62;
VAR64 = VAR41;
VAR93 = 1'b0;
VAR42 = 1'b0;
VAR65 = 1'b0;
if (VAR95) begin
if (VAR47 || !VAR62) begin
VAR58 = VAR9;
VAR93 = 1'b1;
end else begin
VAR64 = VAR9;
VAR42 = 1'b1;
end
end else if (VAR47) begin
VAR58 = VAR41;
VAR64 = 1'b0;
VAR65 = 1'b1;
end
end
always @(posedge clk) begin
if (rst) begin
VAR62 <= 1'b0;
VAR95 <= 1'b0;
VAR41 <= 1'b0;
end else begin
VAR62 <= VAR58;
VAR95 <= VAR79;
VAR41 <= VAR64;
end
if (VAR93) begin
VAR13 <= VAR31;
VAR87 <= VAR12;
VAR92 <= VAR61;
VAR8 <= VAR56;
VAR20 <= VAR54;
VAR96 <= VAR29;
end else if (VAR65) begin
VAR13 <= VAR21;
VAR87 <= VAR70;
VAR92 <= VAR39;
VAR8 <= VAR75;
VAR20 <= VAR14;
VAR96 <= VAR3;
end
if (VAR42) begin
VAR21 <= VAR31;
VAR70 <= VAR12;
VAR39 <= VAR61;
VAR75 <= VAR56;
VAR14 <= VAR54;
VAR3 <= VAR29;
end
end
endmodule | mit |
cfib/bf2hw | lib/bambu_io_hw/bambu_getchar.v | 2,844 | module MODULE1 (input VAR4, input reset, input VAR11, output reg VAR1, output reg [7:0] VAR8, input [7:0] VAR19, input VAR30);
reg [7:0] VAR9;
reg VAR27;
wire [7:0] VAR26;
wire VAR17;
reg [7:0] VAR28;
reg VAR13;
wire VAR10;
VAR18 #(.VAR23(8))
VAR21 (.clk(VAR4), .reset(reset), .VAR20(VAR13), .VAR7(VAR27),
.VAR25(VAR17), .VAR5(VAR10), .VAR12(VAR26), .VAR22(VAR28),
.VAR2());
reg [1:0] VAR24;
reg [2:0] VAR29;
localparam VAR6 = 2'b01,
VAR14 = 2'b10;
localparam VAR15 = 3'b01,
VAR16 = 3'b10,
VAR3 = 3'b100;
always @(posedge VAR4 or posedge reset)
begin
if (reset) begin
VAR13 <= 1'b0;
VAR24 <= VAR6;
end else begin
VAR13 <= 1'b0;
if (VAR24 == VAR6) begin
if (VAR30) begin
VAR28 <= VAR19;
VAR24 <= VAR14;
end
end else begin
if (VAR10 == 1'b0) begin
VAR13 <= 1'b1;
VAR24 <= VAR6;
end
end
end
end
always @(posedge VAR4 or posedge reset)
begin
if (reset) begin
VAR29 <= VAR15;
VAR27 <= 1'b0;
VAR1 <= 1'b0;
VAR8 <= 8'b0;
end else begin
VAR27 <= 1'b0;
VAR1 <= 1'b0;
case(VAR29)
VAR15 : begin
if (VAR11) begin
VAR29 <= VAR16;
end
end
VAR16 : begin
if (VAR17 == 1'b0) begin
VAR27 <= 1'b1;
VAR29 <= VAR3;
end
end
VAR3 : begin
VAR1 <= 1'b1;
VAR8 <= VAR26;
VAR29 <= VAR15;
end
endcase
end
end
endmodule | gpl-3.0 |
ngoel9/progressive-learning-platform | reference/hw/verilog/arbiter.v | 6,537 | module MODULE1(rst, clk, VAR72, VAR107, VAR68, VAR91, VAR67, VAR78, int, VAR23, VAR49, VAR69, VAR109, VAR28, VAR18, VAR117, VAR103, VAR110, VAR1, VAR13, VAR88, VAR45, VAR17, VAR111, VAR54, VAR32, VAR7, VAR77, VAR89, VAR57, VAR2, VAR40);
input clk, rst, VAR23;
output int;
input [1:0] VAR91;
input [31:0] VAR72, VAR67;
input [31:0] VAR107;
output [31:0] VAR68, VAR78;
output [7:0] VAR49;
input VAR109;
output VAR69;
input [7:0] VAR28;
output [3:0] VAR18;
output [7:0] VAR117;
output VAR103, VAR110, VAR1, VAR13, VAR88, VAR45, VAR17, VAR111, VAR54;
inout [15:0] VAR32;
output [23:1] VAR7;
output [7:0] VAR77;
output VAR89, VAR57;
inout [15:0] VAR2;
input VAR40;
wire [31:0] VAR42;
wire [31:0] VAR50;
wire VAR115;
wire VAR39;
wire VAR82, VAR58;
wire VAR9, VAR20, VAR106, VAR105, VAR5, VAR83;
wire [7:0] VAR94, VAR14;
wire [31:0] VAR19, VAR116;
VAR3 VAR59(VAR67, VAR94, VAR19);
VAR3 VAR101(VAR72, VAR14, VAR116);
wire VAR30 = VAR94 == 0;
wire VAR36 = VAR94 == 1;
wire VAR64 = VAR94 == 2;
wire VAR52 = VAR94 == 3;
wire VAR16 = VAR94 == 4;
wire VAR11 = VAR94 == 5;
wire VAR104 = VAR94 == 6;
wire VAR113 = VAR94 == 7;
wire VAR56 = VAR94 == 8;
wire VAR53 = VAR94 == 9;
wire VAR41 = VAR94 == 10;
wire VAR12 = VAR94 == 11;
wire VAR55 = VAR14 == 0;
wire VAR22 = VAR14 == 1;
wire VAR100 = VAR14 == 2;
wire VAR48 = VAR14 == 3;
wire VAR34 = VAR14 == 4;
wire VAR81 = VAR14 == 5;
wire VAR25 = VAR14 == 6;
wire VAR75 = VAR14 == 7;
wire VAR66 = VAR14 == 8;
wire VAR61 = VAR14 == 9;
wire VAR90 = VAR14 == 10;
wire VAR76 = VAR14 == 11;
wire [31:0] VAR79, VAR44;
wire [31:0] VAR119, VAR29;
wire [31:0] VAR97, VAR96;
wire [31:0] VAR37, VAR8;
wire [31:0] VAR80, VAR21;
wire [31:0] VAR112, VAR114;
wire [31:0] VAR47, VAR62;
wire [31:0] VAR84, VAR108;
wire [31:0] VAR15, VAR65;
wire [31:0] VAR95, VAR24;
wire [31:0] VAR46, VAR6;
wire [31:0] VAR33, VAR38;
assign VAR78 =
VAR30 ? VAR79 :
VAR36 ? VAR119 :
VAR64 ? VAR97 :
VAR52 ? VAR37 :
VAR16 ? VAR80 :
VAR11 ? VAR112 :
VAR104 ? VAR47 :
VAR113 ? VAR84 :
VAR56 ? VAR15 :
VAR53 ? VAR95 :
VAR41 ? VAR46 :
VAR12 ? VAR33 : 0;
assign VAR68 =
VAR55 ? VAR44 :
VAR22 ? VAR29 :
VAR100 ? VAR96 :
VAR48 ? VAR8 :
VAR34 ? VAR21 :
VAR81 ? VAR114 :
VAR25 ? VAR62 :
VAR75 ? VAR108 :
VAR66 ? VAR65 :
VAR61 ? VAR24 :
VAR90 ? VAR6 :
VAR76 ? VAR38 : 0;
VAR26 VAR71 (rst, clk, VAR30, VAR55, VAR19, VAR116, VAR91, VAR107, VAR79, VAR44);
VAR98 VAR86 (rst, clk, VAR36, VAR22, VAR19, VAR116, VAR91, VAR107, VAR119, VAR29, VAR103, VAR110, VAR1, VAR13, VAR88, VAR45, VAR17, VAR111, VAR54, VAR32, VAR7, VAR50, VAR42, VAR115, VAR39, VAR9, VAR20, VAR106, VAR105);
VAR10 VAR27 (rst, clk, VAR64, VAR100, VAR19, VAR116, VAR91, VAR107, VAR97, VAR96, VAR69, VAR109, VAR58, VAR5, VAR83);
VAR85 VAR4 (rst, clk, VAR52, VAR48, VAR19, VAR116, VAR91, VAR107, VAR37, VAR8, VAR28);
VAR99 VAR43 (rst, clk, VAR16, VAR34, VAR19, VAR116, VAR91, VAR107, VAR80, VAR21, VAR49);
VAR93 VAR63 (rst, clk, VAR11, VAR81, VAR19, VAR116, VAR91, VAR107, VAR112, VAR114, VAR2);
VAR73 VAR102 (rst, clk, VAR104, VAR25, VAR19, VAR116, VAR91, VAR107, VAR47, VAR62, VAR77, VAR89, VAR57, VAR50, VAR42, VAR115, VAR39);
VAR120 VAR118 (rst, clk, VAR113, VAR75, VAR19, VAR116, VAR91, VAR107, VAR84, VAR108);
VAR60 VAR74 (rst, clk, VAR56, VAR66, VAR19, VAR116, VAR91, VAR107, VAR15, VAR65, VAR82);
VAR87 VAR92 (rst, clk, VAR53, VAR61, VAR19, VAR116, VAR91, VAR107, VAR95, VAR24, VAR18, VAR117);
VAR51 VAR35 (rst, clk, VAR41, VAR90, VAR19, VAR116, VAR91, VAR107, VAR46, VAR6, int, VAR23, VAR82, VAR58, VAR40);
VAR70 VAR31 (rst, clk, VAR12, VAR76, VAR19, VAR116, VAR91, VAR107, VAR33, VAR38, int, VAR9, VAR20, VAR106, VAR105, VAR5, VAR83);
endmodule | gpl-3.0 |
jeffkub/n64-cart-reader | old/n64cartridge/src/sdram/lfsr_count64.v | 2,243 | module MODULE1(
input VAR2,
input VAR4,
output reg VAR1);
reg [5:0] VAR3;
wire VAR5,VAR6;
xnor(VAR5,VAR3[5],VAR3[4]);
assign VAR6 = (VAR3 == 6'h20);
always @(posedge VAR2,posedge VAR4)
begin
if(VAR4) begin
VAR3 <= 0;
VAR1 <= 0;
end
else begin
VAR3 <= VAR6 ? 6'h0 : {VAR3[4:0],VAR5};
VAR1 <= VAR6;
end
end
endmodule | mit |
intelligenttoasters/CPC2.0 | FPGA/Quartus/custom/usb/serialInterfaceEngine/updateCRC16.v | 3,971 | module MODULE1 (VAR5, VAR7, VAR4, VAR1, ready, clk, rst);
input VAR5;
input VAR4;
input [7:0] VAR1;
input clk;
input rst;
output [15:0] VAR7;
output ready;
wire VAR5;
wire VAR4;
wire [7:0] VAR1;
wire clk;
wire rst;
reg [15:0] VAR7;
reg ready;
reg VAR3;
reg [7:0] VAR6;
reg [3:0] VAR2;
always @(posedge clk)
begin
if (rst == 1'b1 || VAR5 == 1'b1) begin
VAR3 <= 1'b0;
VAR2 <= 4'h0;
VAR7 <= 16'hffff;
ready <= 1'b1;
end
else
begin
if (VAR3 == 1'b0)
begin
if (VAR4 == 1'b1) begin
VAR3 <= 1'b1;
VAR6 <= VAR1;
ready <= 1'b0;
end
end
else begin
VAR2 <= VAR2 + 1'b1;
if ( (VAR7[0] ^ VAR6[0]) == 1'b1) begin
VAR7 <= {1'b0, VAR7[15:1]} ^ 16'ha001;
end
else begin
VAR7 <= {1'b0, VAR7[15:1]};
end
VAR6 <= {1'b0, VAR6[7:1]};
if (VAR2 == 4'h7)
begin
VAR3 <= 1'b0;
VAR2 <= 4'h0;
ready <= 1'b1;
end
end
end
end
endmodule | gpl-3.0 |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v | 4,147 | module MODULE1
,parameter VAR28(VAR35 )
,parameter VAR28(VAR8 )
,parameter VAR42 = 0
,parameter VAR26 = 1
,parameter VAR4 = 0
)
( input VAR14
, input VAR17
, input VAR16
, input VAR34
, input VAR23
, input VAR36
, input [VAR39-1:0] VAR24
, output VAR40
, output VAR31
, output [VAR39-1:0] VAR32
, input VAR15
, output VAR43
, output [VAR39-1:0] VAR19
, output VAR9
, input VAR29
, input VAR7
, input [VAR39-1:0] VAR13
, input VAR18
, output VAR27
);
VAR21
,.VAR35 (VAR35)
,.VAR8(VAR8)
,.VAR30 (VAR42)
,.VAR4 (VAR4)
) VAR5
( .VAR41 (VAR14)
,.VAR22 (VAR17)
,.VAR23(VAR23)
,.VAR2 (VAR24)
,.VAR20 (VAR36)
,.VAR11 (VAR40)
,.VAR1 (VAR43)
,.VAR25 (VAR19)
,.VAR12 (VAR9)
,.VAR3 (VAR29)
);
VAR37
,.VAR35 (VAR35)
,.VAR8(VAR8)
,.VAR30 (VAR26)
) VAR33
( .VAR14 (VAR14)
,.VAR10 (VAR16)
,.VAR32 (VAR32)
,.VAR31 (VAR31)
,.VAR15 (VAR15)
,.VAR6(VAR34)
,.VAR41 (VAR7)
,.VAR2 (VAR13)
,.VAR20 (VAR18)
,.VAR38 (VAR27)
);
endmodule | bsd-3-clause |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v | 7,650 | \
module MODULE2 \
(input VAR23 \
,input VAR37 \
,input VAR32 \
,input [VAR35-1:0] VAR26 \
,output [VAR35-1:0] VAR28 \
,output [VAR35-1:0] VAR11 \
); \
\
genvar VAR25; \
\
logic [VAR35-1:0] VAR7; \
logic [VAR35-1:0] VAR10; \
\
assign VAR28 = VAR7; \
assign VAR11 = VAR10; \
\
VAR1 @(VAR3 VAR23) \
begin \
if (VAR37) \
VAR7 <= {VAR35{1'b0}}; \
end
else \
VAR7 <= VAR26; \
end \
\
for (VAR25 = 0; VAR25 < VAR35; VAR25 = VAR25 + 1) \
begin : VAR30 \
VAR17 VAR38 \
(.VAR19 (VAR7[VAR25]) \
,.VAR21 (VAR32) \
,.VAR14 (1'b0) \
,.VAR12 (1'b0) \
,.VAR20 (VAR10[VAR25]) \
); \
end \
\
endmodule
VAR6 VAR8 \
(.VAR23 \
,.VAR37 \
,.VAR32 \
,.VAR26(VAR26[VAR34-1-:VAR39]) \
,.VAR28(VAR28[VAR34-1-:VAR39]) \
,.VAR11(VAR11[VAR34-1-:VAR39]) \
); end
module MODULE1 #(parameter VAR36(VAR34)
, parameter VAR18 = 0)
(input VAR23
, input VAR37
, input VAR32
, input [VAR34-1:0] VAR26
, output [VAR34-1:0] VAR28 , output [VAR34-1:0] VAR11 );
genvar VAR25;
VAR27 assert (VAR37 !== 'VAR15)
else
begin
("%VAR9 VAR29 VAR13 VAR4 VAR2");
end
if (VAR18)
begin: VAR5
for (VAR25 = 0; VAR25 < (VAR34/VAR31); VAR25 = VAR25 + 1)
begin : VAR24
VAR22 VAR8
(.VAR23
,.VAR37
,.VAR32
,.VAR26(VAR26[VAR25*VAR31+:VAR31])
,.VAR28(VAR28[VAR25*VAR31+:VAR31])
,.VAR11(VAR11[VAR25*VAR31+:VAR31])
);
end
end
else
begin: VAR33
for (VAR25 = 0; VAR25 < (VAR34/VAR31); VAR25 = VAR25 + 1)
begin : VAR24
VAR16 VAR8
(.VAR23
,.VAR37
,.VAR32
,.VAR26(VAR26[VAR25*VAR31+:VAR31])
,.VAR28(VAR28[VAR25*VAR31+:VAR31])
,.VAR11(VAR11[VAR25*VAR31+:VAR31])
);
end
end
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a2bb2oi/sky130_fd_sc_hs__a2bb2oi.behavioral.v | 2,139 | module MODULE1 (
VAR2 ,
VAR7,
VAR9,
VAR13 ,
VAR4 ,
VAR8,
VAR11
);
output VAR2 ;
input VAR7;
input VAR9;
input VAR13 ;
input VAR4 ;
input VAR8;
input VAR11;
wire VAR4 VAR14 ;
wire VAR4 VAR16 ;
wire VAR5 ;
wire VAR15;
and VAR12 (VAR14 , VAR13, VAR4 );
nor VAR1 (VAR16 , VAR7, VAR9 );
nor VAR6 (VAR5 , VAR16, VAR14 );
VAR10 VAR3 (VAR15, VAR5, VAR8, VAR11);
buf VAR17 (VAR2 , VAR15 );
endmodule | apache-2.0 |
MiddleMan5/233 | Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_xlconstant_0_1/RAT_xlconstant_0_1_stub.v | 1,169 | module MODULE1(dout)
;
output [1:0]dout;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o211a/sky130_fd_sc_lp__o211a_1.v | 2,348 | module MODULE2 (
VAR5 ,
VAR7 ,
VAR9 ,
VAR4 ,
VAR11 ,
VAR8,
VAR3,
VAR10 ,
VAR6
);
output VAR5 ;
input VAR7 ;
input VAR9 ;
input VAR4 ;
input VAR11 ;
input VAR8;
input VAR3;
input VAR10 ;
input VAR6 ;
VAR1 VAR2 (
.VAR5(VAR5),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR5 ,
VAR7,
VAR9,
VAR4,
VAR11
);
output VAR5 ;
input VAR7;
input VAR9;
input VAR4;
input VAR11;
supply1 VAR8;
supply0 VAR3;
supply1 VAR10 ;
supply0 VAR6 ;
VAR1 VAR2 (
.VAR5(VAR5),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR11(VAR11)
);
endmodule | apache-2.0 |
valptek/v586 | core_rtl/arithbox.v | 3,674 | module MODULE1 (VAR3,VAR13,VAR9,VAR16,VAR10,VAR1,VAR12,VAR14,VAR6,VAR4,VAR15,VAR8);
input [3:0] VAR3;
input [3:0] VAR13;
input [31:0] VAR6,VAR4;
output reg [31:0] VAR15;
input VAR9,VAR1;
output reg VAR16,VAR10,VAR12,VAR14,VAR8;
wire [4:0] VAR7,VAR5,VAR11,VAR2;
assign VAR7 = VAR6[3:0]+VAR4[3:0];
assign VAR5 = VAR6[3:0]+VAR4[3:0]+VAR9;
assign VAR11 = VAR6[3:0]-VAR4[3:0];
assign VAR2 = VAR6[3:0]-VAR4[3:0]-VAR9;
always @(*)
case (VAR3)
4'b0000 : if (VAR13==4) begin {VAR16,VAR15[31:0]} <= VAR6[31:0] + VAR4[31:0]; VAR12<=VAR6[31]; VAR14<=VAR4[31]; VAR10 <= VAR7[4]; VAR8 <=0; end else
if (VAR13==2) begin {VAR16,VAR15[15:0]} <= VAR6[15:0] + VAR4[15:0]; VAR12<=VAR6[15]; VAR14<=VAR4[15]; VAR10 <= VAR7[4]; VAR15[31:16] <= VAR6[31:16]; VAR8 <=0; end else
begin {VAR16,VAR15[ 7:0]} <= VAR6[ 7:0] + VAR4[ 7:0]; VAR12<=VAR6[ 7]; VAR14<=VAR4[ 7]; VAR10 <= VAR7[4]; VAR15[31: 8] <= VAR6[31: 8]; VAR8 <=0; end
4'b0001 : begin VAR15[31:0] <= VAR6[31:0] | VAR4[31:0]; VAR12<= 1 ; VAR14<= 0 ; VAR10 <= VAR1 ; VAR16 <= 0; VAR8 <=0; end
4'b0010 : if (VAR13==4) begin {VAR16,VAR15[31:0]} <= VAR6[31:0] + VAR4[31:0] + VAR9; VAR12<=VAR6[31]; VAR14<=VAR4[31]; VAR10 <= VAR5[4]; VAR8 <=0; end else
if (VAR13==2) begin {VAR16,VAR15[15:0]} <= VAR6[15:0] + VAR4[15:0] + VAR9; VAR12<=VAR6[15]; VAR14<=VAR4[15]; VAR10 <= VAR5[4]; VAR15[31:16] <= VAR6[31:16]; VAR8 <=0; end else
begin {VAR16,VAR15[ 7:0]} <= VAR6[ 7:0] + VAR4[ 7:0] + VAR9; VAR12<=VAR6[ 7]; VAR14<=VAR4[ 7]; VAR10 <= VAR5[4]; VAR15[31: 8] <= VAR6[31: 8]; VAR8 <=0; end
4'b0011 : if (VAR13==4) begin {VAR16,VAR15[31:0]} <= VAR6[31:0] - VAR4[31:0] - VAR9; VAR12<=VAR6[31]; VAR14<=~VAR4[31];VAR10 <= VAR2[4]; VAR8 <=0; end else
if (VAR13==2) begin {VAR16,VAR15[15:0]} <= VAR6[15:0] - VAR4[15:0] - VAR9; VAR12<=VAR6[15]; VAR14<=~VAR4[15];VAR10 <= VAR2[4]; VAR15[31:16] <= VAR6[31:16]; VAR8 <=0; end else
begin {VAR16,VAR15[ 7:0]} <= VAR6[ 7:0] - VAR4[ 7:0] - VAR9; VAR12<=VAR6[ 7]; VAR14<=~VAR4[ 7];VAR10 <= VAR2[4]; VAR15[31: 8] <= VAR6[31: 8]; VAR8 <=0; end
4'b0100 : begin VAR15[31:0] <= VAR6[31:0] & VAR4[31:0]; VAR12<=1 ; VAR14<= 0 ; VAR10 <= VAR1 ; VAR16 <= 0; VAR8 <= 0; end
4'b0111 : begin
if (VAR13==4) begin {VAR16,VAR15[31:0]} <= VAR6[31:0] - VAR4[31:0]; VAR12<=VAR6[31]; VAR14<=~VAR4[31]; VAR10 <= VAR11[4]; VAR8 <=1; end else
if (VAR13==2) begin {VAR16,VAR15[15:0]} <= VAR6[15:0] - VAR4[15:0]; VAR12<=VAR6[15]; VAR14<=~VAR4[15]; VAR10 <= VAR11[4]; VAR8 <=1; VAR15[31:16] <= VAR6[31:16]; end else
begin {VAR16,VAR15[ 7:0]} <= VAR6[ 7:0] - VAR4[ 7:0]; VAR12<=VAR6[ 7]; VAR14<=~VAR4[ 7]; VAR10 <= VAR11[4]; VAR8 <=1; VAR15[31: 8] <= VAR6[31: 8]; end end
4'b0101 : if (VAR13==4) begin {VAR16,VAR15[31:0]} <= VAR6[31:0] - VAR4[31:0]; VAR12<=VAR6[31]; VAR14<=~VAR4[31]; VAR10 <= VAR11[4]; VAR8 <=0; end else
if (VAR13==2) begin {VAR16,VAR15[15:0]} <= VAR6[15:0] - VAR4[15:0]; VAR12<=VAR6[15]; VAR14<=~VAR4[15]; VAR10 <= VAR11[4]; VAR8 <=0; VAR15[31:16] <= VAR6[31:16]; end else
begin {VAR16,VAR15[ 7:0]} <= VAR6[ 7:0] - VAR4[ 7:0]; VAR12<=VAR6[ 7]; VAR14<=~VAR4[ 7]; VAR10 <= VAR11[4]; VAR8 <=0; VAR15[31: 8] <= VAR6[31: 8]; end
4'b0110 : begin VAR15[31:0] <= VAR6[31:0] ^ VAR4[31:0]; VAR12<= 1 ; VAR14<= 0 ; VAR10 <= VAR1; VAR16 <= 0; VAR8 <=0; end default : begin VAR15[31:0] <= VAR6[31:0] ; VAR12 <= 0 ; VAR14<= 0 ; VAR10 <= VAR1; VAR16 <= VAR9; VAR8 <=1; end
endcase
endmodule | apache-2.0 |
plindstroem/oh | elink/hdl/emaxi.v | 19,062 | module MODULE1(
VAR109, VAR78, VAR67, VAR75, VAR21,
VAR90, VAR39, VAR65, VAR46,
VAR37, VAR107, VAR35, VAR16,
VAR100, VAR47, VAR25, VAR31, VAR110,
VAR4, VAR36, VAR20, VAR91, VAR55,
VAR59, VAR108, VAR80, VAR94,
VAR29, VAR61, VAR68, VAR81,
VAR84, VAR92, VAR9, VAR96, VAR50,
VAR40, VAR72, VAR24, VAR93, VAR13,
VAR43, VAR106, VAR99, VAR48, VAR11,
VAR17, VAR95, VAR66
);
parameter VAR26 = 12;
parameter VAR54 = 104;
parameter VAR98 = 32;
parameter VAR71 = 32;
input VAR84;
input [VAR54-1:0] VAR92;
output VAR109;
input VAR9;
input [VAR54-1:0] VAR96;
output VAR78;
output VAR67;
output [VAR54-1:0] VAR75;
input VAR50;
input VAR40; input VAR72;
output [VAR26-1:0] VAR21; output [31 : 0] VAR90; output [7 : 0] VAR39; output [2 : 0] VAR65; output [1 : 0] VAR46; output [1 : 0] VAR37; output [3 : 0] VAR107; output [2 : 0] VAR35; output [3 : 0] VAR16; output VAR100; input VAR24;
output [VAR26-1:0] VAR47;
output [63 : 0] VAR25; output [7 : 0] VAR31; output VAR110; output VAR4; input VAR93;
input [VAR26-1:0] VAR13;
input [1 : 0] VAR43; input VAR106; output VAR36;
output [VAR26-1:0] VAR20; output [31 : 0] VAR91; output [7 : 0] VAR55; output [2 : 0] VAR59; output [1 : 0] VAR108; output [1 : 0] VAR80; output [3 : 0] VAR94; output [2 : 0] VAR29; output [3 : 0] VAR61; output VAR68; input VAR99;
input [VAR26-1:0] VAR48;
input [63 : 0] VAR11; input [1 : 0] VAR17; input VAR95; input VAR66; output VAR81;
reg [31 : 0] VAR90;
reg [7:0] VAR39;
reg [2:0] VAR65;
reg VAR100;
reg [63 : 0] VAR25;
reg [63 : 0] VAR23;
reg [7 : 0] VAR31;
reg VAR110;
reg VAR4;
reg VAR7;
reg [31:0] VAR15;
reg [2:0] VAR33;
reg [7:0] VAR105;
reg VAR10;
reg [63:0] VAR27;
reg [7:0] VAR83;
reg [63 : 0] VAR58;
reg [7 : 0] VAR1;
reg VAR67;
reg VAR57;
reg [31:0] VAR8;
reg [31:0] VAR32;
wire VAR30;
wire VAR82;
wire VAR38;
wire VAR77;
wire [47:0] VAR44;
wire [47:0] VAR113;
wire VAR112;
wire [1:0] VAR19;
wire [VAR98-1:0] VAR76;
wire [VAR71-1:0] VAR14;
wire [VAR98-1:0] VAR69;
wire [1:0] VAR63;
wire [3:0] VAR28;
wire [VAR98-1:0] VAR87;
wire [VAR98-1:0] VAR89;
wire [1:0] VAR111;
wire [3:0] VAR86;
wire [31:0] VAR22;
VAR85 VAR18 (
.VAR45 (),
.VAR88 (),
.VAR3 (VAR19[1:0]),
.VAR74 (),
.VAR49 (VAR76[VAR98-1:0]),
.VAR64 (VAR14[VAR71-1:0]),
.VAR62 (VAR69[VAR98-1:0]),
.VAR12 (VAR92[VAR54-1:0])
);
VAR85 VAR56 (
.VAR45 (),
.VAR88 (),
.VAR3 (VAR63[1:0]),
.VAR74 (VAR28[3:0]),
.VAR49 (VAR87[VAR98-1:0]),
.VAR64 (),
.VAR62 (VAR89[VAR98-1:0]),
.VAR12 (VAR96[VAR54-1:0])
);
VAR41 VAR70 (
.VAR52 (VAR75[VAR54-1:0]),
.VAR73 (VAR67),
.VAR5 (1'b1),
.VAR34 (VAR111[1:0]),
.VAR53 (VAR86[3:0]),
.VAR101 (VAR22[VAR98-1:0]),
.VAR102 (VAR8[VAR71-1:0]),
.VAR42 (VAR32[VAR98-1:0])
);
assign VAR46[1:0] = 2'b01; assign VAR107[3:0] = 4'b0000; assign VAR35[2:0] = 3'b000;
assign VAR16[3:0] = 4'b0000;
assign VAR37 = 2'b00;
assign VAR108[1:0] = 2'b01; assign VAR94[3:0] = 4'b0000;
assign VAR29[2:0] = 3'h0;
assign VAR61[3:0] = 4'h0;
assign VAR36 = 1'b1;
assign VAR30 = VAR100 & VAR24;
assign VAR82 = VAR4 & VAR93;
assign VAR109 = VAR7 | VAR10;
assign VAR112 = VAR84 & ~VAR7 & ~VAR10;
always @( posedge VAR40 )
if(~VAR72)
begin
VAR100 <= 1'b0;
VAR90[31:0] <= 32'd0;
VAR39[7:0] <= 8'd0;
VAR65[2:0] <= 3'd0;
VAR7 <= 1'b0;
VAR15 <= 'd0;
VAR105[7:0] <= 'd0;
VAR33[2:0] <= 'd0;
end
else
begin
if( ~VAR100 | VAR30 )
begin
if( VAR7 )
begin
VAR100 <= 1'b1;
VAR90[31:0] <= VAR15[31:0];
VAR39[7:0] <= VAR105[7:0];
VAR65[2:0] <= VAR33[2:0];
end
else
begin
VAR100 <= VAR112;
VAR90[31:0] <= VAR76[31:0];
VAR39[7:0] <= 8'b0;
VAR65[2:0] <= { 1'b0, VAR19[1:0]};
end
end
if( VAR112 & VAR100 & ~VAR30 )
VAR7 <= 1'b1;
end
else if( VAR30 )
VAR7 <= 1'b0;
if( VAR112 )
begin
VAR15[31:0] <= VAR76[31:0];
VAR105[7:0] <= 8'b0;
VAR33[2:0] <= { 1'b0, VAR19[1:0] };
end
end
always @*
case( VAR19[1:0] )
2'd0: VAR58[63:0] = { 8{VAR14[7:0]}};
2'd1: VAR58[63:0] = { 4{VAR14[15:0]}};
2'd2: VAR58[63:0] = { 2{VAR14[31:0]}};
default: VAR58[63:0] = { VAR69[31:0], VAR14[31:0]};
endcase
always @*
begin
case(VAR19[1:0])
2'd0: case(VAR76[2:0])
3'd0: VAR1[7:0] = 8'h01;
3'd1: VAR1[7:0] = 8'h02;
3'd2: VAR1[7:0] = 8'h04;
3'd3: VAR1[7:0] = 8'h08;
3'd4: VAR1[7:0] = 8'h10;
3'd5: VAR1[7:0] = 8'h20;
3'd6: VAR1[7:0] = 8'h40;
default: VAR1[7:0] = 8'h80;
endcase
2'd1: case(VAR76[2:1])
2'd0: VAR1[7:0] = 8'h03;
2'd1: VAR1[7:0] = 8'h0c;
2'd2: VAR1[7:0] = 8'h30;
default: VAR1[7:0] = 8'hc0;
endcase
2'd2: if(VAR76[2])
VAR1[7:0] = 8'hf0;
end
else
VAR1[7:0] = 8'h0f;
2'd3:
VAR1[7:0] = 8'hff;
endcase end
always @ (posedge VAR40 )
if(~VAR72)
begin
VAR4 <= 1'b0;
VAR25[63:0] <= 64'b0;
VAR31[7:0] <= 8'b0;
VAR110 <= 1'b1; VAR10 <= 1'b0;
VAR27[63:0] <= 64'b0;
VAR83[7:0] <= 8'b0;
end
else
begin
if( ~VAR4 | VAR82 )
begin
if( VAR10 )
begin
VAR4 <= 1'b1;
VAR25[63:0] <= VAR27[63:0];
VAR31[7:0] <= VAR83[7:0];
end
else
begin
VAR4 <= VAR112;
VAR25[63:0] <= VAR58[63:0];
VAR31[7:0] <= VAR1[7:0];
end
end
if( VAR84 & VAR4 & ~VAR82 )
VAR10 <= 1'b1;
end
else if( VAR82 )
VAR10 <= 1'b0;
if( VAR112 )
begin
VAR27[63:0] <= VAR58[63:0];
VAR83[7:0] <= VAR1[7:0];
end
end
assign VAR113[47:0] =
{
7'b0,
VAR89[31:0], VAR87[2:0], VAR28[3:0], VAR63[1:0]
};
VAR2
.VAR98 (5),
.VAR71 (48))
VAR97
(
.VAR79 (VAR44[47:0]),
.VAR104 (),
.VAR103 (VAR77),
.clk (VAR40),
.reset (~VAR72),
.VAR6 (VAR113[47:0]),
.VAR60 (VAR68 & VAR99),
.VAR51 (VAR81 & VAR66)
);
assign VAR111[1:0] = VAR44[1:0];
assign VAR86[3:0] = VAR44[5:2];
assign VAR22[31:0] = VAR44[40:9];
assign VAR91[31:0] = VAR87[31:0];
assign VAR59[2:0] = {1'b0, VAR63[1:0]};
assign VAR55[7:0] = 8'd0;
assign VAR68 = VAR9 & ~VAR77;
assign VAR78 = VAR68 & ~VAR99;
assign VAR81 = ~VAR50;
always @( posedge VAR40 )
if ( ~VAR72 )
VAR23 <= 'b0;
else
VAR23 <= VAR11;
always @( posedge VAR40 )
if( ~VAR72 )
begin
VAR8[31:0] <= 32'b0;
VAR32[31:0] <= 32'b0;
VAR57 <= 1'b0;
VAR67 <= 1'b0;
end
else
begin
VAR57 <= VAR81 & VAR66;
VAR67 <= VAR57; case(VAR44[1:0]) 2'd0: case(VAR44[8:6])
3'd0: VAR8[7:0] <= VAR23[7:0];
3'd1: VAR8[7:0] <= VAR23[15:8];
3'd2: VAR8[7:0] <= VAR23[23:16];
3'd3: VAR8[7:0] <= VAR23[31:24];
3'd4: VAR8[7:0] <= VAR23[39:32];
3'd5: VAR8[7:0] <= VAR23[47:40];
3'd6: VAR8[7:0] <= VAR23[55:48];
default: VAR8[7:0] <= VAR23[63:56];
endcase
2'd1: case( VAR44[8:7] )
2'd0: VAR8[15:0] <= VAR23[15:0];
2'd1: VAR8[15:0] <= VAR23[31:16];
2'd2: VAR8[15:0] <= VAR23[47:32];
default: VAR8[15:0] <= VAR23[63:48];
endcase
2'd2: if( VAR44[8] )
VAR8[31:0] <= VAR23[63:32];
end
else
VAR8[31:0] <= VAR23[31:0];
2'd3:
begin VAR8[31:0] <= VAR23[31:0];
VAR32[31:0] <= VAR23[63:32];
end
endcase
end
endmodule | gpl-3.0 |
lfmunoz/vhdl | ip_blocks/axi_to_stellarip/vivado_prj/vivado_prj.srcs/sources_1/ip/axi_traffic_gen_0/axi_traffic_gen_v2_0/hdl/src/verilog/axi_traffic_gen_v2_0_inferram.v | 15,956 | module MODULE1(clk, VAR16, VAR12, VAR11, VAR13, VAR21, VAR19, VAR22, VAR17);
parameter VAR1 = "VAR10";
parameter VAR3 = "VAR9";
parameter VAR2 = 1024;
parameter VAR20 = 10;
parameter VAR15 = 8;
parameter VAR18 = 4;
parameter VAR14 = 0;
input clk;
input [VAR18-1:0] VAR16;
input [VAR18-1:0] VAR12;
input [VAR20-1:0] VAR11;
input [VAR20-1:0] VAR13;
input [VAR18*VAR15-1:0] VAR21;
input [VAR18*VAR15-1:0] VAR19;
output [VAR18*VAR15-1:0] VAR22;
output [VAR18*VAR15-1:0] VAR17;
reg [VAR18*VAR15-1:0] VAR8 [VAR2-1:0];
integer VAR7;
reg [VAR18*VAR15-1:0] VAR6;
reg [VAR18*VAR15-1:0] VAR5;
generate if(VAR14 == 0) begin : VAR4 | mit |
hcabrera-/lancetfish | RTL/shared/verif/source.v | 6,171 | module MODULE1 #(
parameter VAR3 = 5,
parameter VAR17 = VAR9,
parameter VAR6 = 2,
parameter VAR7 = 0
)
(
input wire clk,
input wire VAR10,
output reg [VAR20-1:0] VAR16
);
localparam VAR8 = 65;
integer VAR11;
integer VAR12;
integer VAR15;
integer VAR19;
reg [12*8:0] VAR4;
reg [4*8:0] VAR22;
reg [7:0] VAR21;
reg [17:0] VAR14;
reg [11:0] VAR13;
reg [31:0] VAR1;
reg [31:0] VAR18;
reg [31:0] VAR2;
reg [31:0] VAR5;
begin
end
begin
begin
begin
begin
end
begin | gpl-3.0 |
GLADICOS/SPACEWIRESYSTEMC | rtl/RTL_VB/rx_buffer_fsm.v | 2,297 | module MODULE1 (
input VAR3,
input VAR2,
input VAR5,
input VAR4,
input VAR1,
output reg VAR8,
output reg VAR7,
output reg VAR6
);
always@(posedge VAR3 or negedge VAR2)
begin
if(!VAR2)
begin
VAR8 <= 1'b0;
VAR7 <= 1'b0;
VAR6 <= 1'b0;
end
else
begin
if(VAR5 == 1'b1 )
begin
VAR8 <= 1'b0;
VAR7 <= 1'b1;
VAR6 <= 1'b0;
end
else if(VAR4 == 1'b1)
begin
VAR8 <= 1'b0;
VAR7 <= 1'b0;
VAR6 <= 1'b1;
end
else if(VAR1 == 1'b1)
begin
VAR8 <= 1'b1;
VAR7 <= 1'b0;
VAR6 <= 1'b0;
end
else
begin
VAR8 <= 1'b0;
VAR7 <= 1'b0;
VAR6 <= 1'b0;
end
end
end
endmodule | gpl-3.0 |
Cosmos-OpenSSD/Cosmos-plus-OpenSSD | source/hardware/nfc-substrate/tiger4_nfc_substrate-1.0.0/BCHDecoderInputControl.v | 16,770 | module MODULE1
(
parameter VAR3 = 32 ,
parameter VAR14 = 32 ,
parameter VAR44 = 16 ,
parameter VAR60 = 2
)
(
VAR51 ,
VAR57 ,
VAR69 ,
VAR53 ,
VAR75 ,
VAR56 ,
VAR70 ,
VAR62 ,
VAR48 ,
VAR67 ,
VAR8 ,
VAR11 ,
VAR46 ,
VAR20 ,
VAR32 ,
VAR47 ,
VAR17 ,
VAR73 ,
VAR74 ,
VAR59 ,
VAR16 ,
VAR43 ,
VAR36 ,
VAR29 ,
VAR25 ,
VAR79 ,
VAR76 ,
VAR34 ,
VAR72 ,
VAR19 ,
VAR64
);
input VAR51 ;
input VAR57 ;
output [4:0] VAR53 ;
output [4:0] VAR69 ;
output [5:0] VAR75 ;
output [1:0] VAR56 ;
output [VAR3 - 1:0] VAR70 ;
output [VAR44 - 1:0] VAR62 ;
output VAR48 ;
input VAR67 ;
input [4:0] VAR8 ;
input [4:0] VAR11 ;
input [5:0] VAR46 ;
input [1:0] VAR20 ;
input [VAR3 - 1:0] VAR32 ;
input [VAR44 - 1:0] VAR47 ;
input VAR17 ;
output VAR73 ;
input [VAR14 - 1:0] VAR74 ;
input VAR59 ;
input VAR16 ;
output VAR43 ;
output [VAR14 - 1:0] VAR36 ;
output VAR25 ;
output VAR29 ;
input VAR79 ;
output [VAR14 - 1:0] VAR76 ;
output VAR34 ;
input VAR72 ;
input VAR19 ;
input VAR64 ;
reg [4:0] VAR78 ;
reg [4:0] VAR7 ;
reg [5:0] VAR10 ;
reg [1:0] VAR6 ;
reg [VAR3 - 1:0] VAR22 ;
reg [VAR44 - 1:0] VAR12 ;
reg VAR1 ;
reg VAR42 ;
reg [1:0] VAR49 ;
reg [VAR14 - 1:0] VAR21 ;
reg VAR5 ;
reg VAR30 ;
reg [VAR14 - 1:0] VAR28 ;
reg VAR68 ;
reg VAR50 ;
parameter VAR65 = VAR40(VAR14/8);
parameter VAR37 =256;
parameter VAR9 = 64;
parameter VAR58 = 10;
parameter VAR52 = 31; parameter VAR13 = 7;
parameter VAR18 = 9;
parameter VAR45 = 2'b00 ;
parameter VAR27 = 2'b01 ;
parameter VAR2 = 2'b10 ;
parameter VAR31 = 2'b11 ;
localparam VAR63 = 11'b00000000001 ;
localparam VAR61 = 11'b00000000010 ;
localparam VAR23 = 11'b00000000100 ;
localparam VAR4 = 11'b00000001000 ;
localparam VAR15 = 11'b00000010000 ;
localparam VAR35 = 11'b00000100000 ;
localparam VAR41 = 11'b00001000000 ;
localparam VAR66 = 11'b00010000000 ;
localparam VAR38 = 11'b00100000000 ;
localparam VAR24 = 11'b01000000000 ;
localparam VAR54 = 11'b10000000000 ;
reg [10:0] VAR77 ;
reg [10:0] VAR55 ;
reg [VAR13 - 1:0] VAR71 ;
reg [VAR13 - 1:0] VAR26 ;
reg VAR33 ;
reg [5:0] VAR39 ;
assign VAR36 = VAR21 ;
assign VAR29 = VAR30 ;
assign VAR25 = VAR5 ;
assign VAR76 = VAR28 ;
assign VAR34 = VAR68 ;
assign VAR43 = VAR42 ;
assign VAR69 = VAR78 ;
assign VAR53 = VAR7 ;
assign VAR75 = VAR10 ;
assign VAR56 = VAR6 ;
assign VAR70 = VAR22 ;
assign VAR62 = VAR12 ;
assign VAR48 = VAR1 ;
assign VAR73 = (VAR77 == VAR63) ;
always @ (posedge VAR51)
if (VAR57)
VAR77 <= VAR63 ;
else
VAR77 <= VAR55 ;
always @
if ((VAR77 == VAR61) || (VAR77 == VAR4) || (VAR77 == VAR54) || (VAR77 == VAR15))
VAR1 <= 1'b1;
else
VAR1 <= 1'b0;
always @ (posedge VAR51)
if (VAR57)
VAR49 <= 2'b00 ;
else
case (VAR55)
VAR23:
VAR49 <= 2'b01 ;
VAR41:
VAR49 <= 2'b10 ;
VAR24:
VAR49 <= 2'b10 ;
default:
VAR49 <= 2'b00 ;
endcase
always @
case (VAR49)
2'b10: if (VAR6 == VAR27)
begin
VAR28 <= VAR74 ;
VAR50 <= VAR16 ;
VAR68 <= VAR59 ;
end
else
if (VAR33)
begin
VAR28 <= {(VAR14){1'b0}} ;
VAR50 <= VAR16 ;
VAR68 <= VAR59 ;
end
else
begin
VAR28 <= VAR74 ;
VAR50 <= VAR16 ;
VAR68 <= VAR59 ;
end
default:
begin
VAR28 <= {(VAR14){1'b0}} ;
VAR50 <= 1'b0 ;
VAR68 <= 1'b0 ;
end
endcase
always @ (*)
case (VAR49)
2'b01: VAR42 <= VAR79 ;
2'b10: if (VAR6 == VAR27)
VAR42 <= VAR72 ;
else
if (VAR33)
VAR42 <= 1'b0 ;
else
VAR42 <= VAR72 ;
default:
VAR42 <= 1'b0 ;
endcase
endmodule | gpl-3.0 |
Cosmos-OpenSSD/Cosmos-OpenSSD-plus | project/Predefined/2Ch8Way-1.0.0/IPRepo-1.0.0/NVMeHostController/src/pcie_tx_cmd_fifo.v | 4,947 | module MODULE1 # (
parameter VAR12 = 34,
parameter VAR47 = 5
)
(
input clk,
input VAR14,
input VAR40,
input [VAR12-1:0] VAR41,
output VAR43,
input VAR23,
output [VAR12-1:0] VAR26,
output VAR31
);
localparam VAR22 = 1;
reg [VAR47:0] VAR5;
reg [VAR47:0] VAR28;
wire [VAR47-1:0] VAR29;
reg [VAR47:0] VAR25;
assign VAR43 = ~((VAR25[VAR47] ^ VAR5[VAR47])
& (VAR25[VAR47-1:VAR22]
== VAR5[VAR47-1:VAR22]));
assign VAR31 = ~(VAR5[VAR47:VAR22]
== VAR25[VAR47:VAR22]);
always @(posedge clk or negedge VAR14)
begin
if (VAR14 == 0) begin
VAR5 <= 0;
VAR28 <= 1;
VAR25 <= 0;
end
else begin
if (VAR23 == 1) begin
VAR5 <= VAR28;
VAR28 <= VAR28 + 1;
end
if (VAR40 == 1) begin
VAR25 <= VAR25 + 1;
end
end
end
assign VAR29 = (VAR23 == 1) ? VAR28[VAR47-1:0]
: VAR5[VAR47-1:0];
localparam VAR39 = "7SERIES";
localparam VAR20 = "18Kb";
localparam VAR35 = 0;
localparam VAR19 = VAR12;
localparam VAR15 = VAR12;
localparam VAR10 = "VAR2";
localparam VAR24 = 4;
localparam VAR44 = 9;
localparam VAR37 = VAR44 - VAR47;
generate
wire [VAR44-1:0] VAR16;
wire [VAR44-1:0] VAR6;
wire [VAR37-1:0] VAR8 = 0;
if(VAR37 == 0) begin : VAR30
assign VAR16 = VAR29[VAR47-1:0];
assign VAR6 = VAR25[VAR47-1:0];
end
else begin
assign VAR16 = {VAR8[VAR37-1:0], VAR29[VAR47-1:0]};
assign VAR6 = {VAR8[VAR37-1:0], VAR25[VAR47-1:0]};
end
endgenerate
VAR18 #(
.VAR11 (VAR39),
.VAR34 (VAR20),
.VAR42 (VAR35),
.VAR7 (VAR19),
.VAR36 (VAR15),
.VAR45 (VAR10)
)
VAR1(
.VAR17 (VAR26[VAR19-1:0]),
.VAR38 (VAR41[VAR15-1:0]),
.VAR9 (VAR16),
.VAR33 (clk),
.VAR46 (1'b1),
.VAR21 (1'b1),
.VAR3 (1'b0),
.VAR4 ({VAR24{1'b1}}),
.VAR13 (VAR6),
.VAR32 (clk),
.VAR27 (VAR40)
);
endmodule | gpl-3.0 |
vad-rulezz/megabot | fusesoc/orpsoc-cores/trunk/systems/neek/backend/rtl/verilog/ddr_ctrl_ip/ddr_ctrl_ip_alt_mem_ddrx_controller_top.v | 41,283 | module MODULE1(
clk,
VAR333,
VAR38,
VAR363,
VAR184,
VAR159,
VAR187,
VAR397,
VAR228,
VAR105,
VAR231,
VAR244,
VAR15,
VAR176,
VAR201,
VAR247,
VAR131,
VAR381,
VAR284,
VAR240,
VAR288,
VAR21,
VAR370,
VAR148,
VAR115,
VAR267,
VAR93,
VAR45,
VAR66,
VAR153,
VAR337,
VAR391,
VAR248,
VAR50,
VAR336,
VAR340,
VAR215,
VAR86,
VAR265,
VAR255,
VAR374,
VAR26,
VAR319,
VAR63,
VAR116,
VAR40,
VAR361,
VAR195,
VAR95,
VAR354,
VAR258,
VAR87,
VAR321,
VAR27,
VAR81,
VAR59,
VAR376,
VAR185,
VAR91,
VAR342,
VAR276,
VAR55,
VAR65
);
localparam VAR74 = "VAR375";
localparam VAR126 = 7;
localparam VAR82 = 23;
localparam VAR133 = 32;
localparam VAR387 = 4;
localparam VAR348 = "VAR353";
localparam VAR372 = 1;
localparam VAR124 = 1;
localparam VAR350 = 1;
localparam VAR169 = 13;
localparam VAR125 = 13;
localparam VAR62 = 9;
localparam VAR90 = 2;
localparam VAR72 = 2;
localparam VAR243 = 16;
localparam VAR181 = 2;
localparam VAR57 = 1;
localparam VAR308 = 1;
localparam VAR225 = 2;
localparam VAR345 = 8;
localparam VAR234 = 8;
localparam VAR31 = 0;
localparam VAR213 = 0;
localparam VAR270 = 0;
localparam VAR317 = 0;
localparam VAR129 = 0;
localparam VAR112 = 0;
localparam VAR338 = 16;
localparam VAR22 = 32;
localparam VAR120 = 4;
localparam VAR330 = 0;
localparam VAR296 = 5;
localparam VAR164 = 0;
localparam VAR34 = 3;
localparam VAR216 = 2;
localparam VAR3 = 5;
localparam VAR263 = 12;
localparam VAR236 = 1050;
localparam VAR396 = 3;
localparam VAR326 = 3;
localparam VAR334 = 3;
localparam VAR237 = 2;
localparam VAR369 = 2;
localparam VAR357 = 6;
localparam VAR6 = 9;
localparam VAR8 = 1;
localparam VAR262 = 0;
localparam VAR273 = 3;
localparam VAR193 = 0;
localparam VAR122 = 0;
localparam VAR343 = 0;
localparam VAR224 = 0;
localparam VAR373 = 1;
localparam VAR198 = 0;
localparam VAR385 = 0;
localparam VAR36 = 0;
localparam VAR83 = 0;
localparam VAR377 = 0;
localparam VAR260 = 4;
localparam VAR382 = 0;
localparam VAR235 = 8;
localparam VAR180 = 0;
localparam VAR355 = 8;
localparam VAR54 = 6;
localparam VAR269 = 8;
localparam VAR35 = 1;
localparam VAR241 = 2;
localparam VAR135 = 16;
localparam VAR69 = 30;
localparam VAR290 = 4;
localparam VAR61 = 3;
localparam VAR393 = 16;
localparam VAR53 = 12;
localparam VAR209 = 1;
localparam VAR358 = 5;
localparam VAR217 = 40;
localparam VAR165 = 5;
localparam VAR196 = 80;
localparam VAR190 = 'b000;
localparam VAR197 = 16;
localparam VAR245 = 'b00100;
localparam VAR163 = 1;
localparam VAR275 = 1;
localparam VAR282 = "VAR214";
localparam VAR250 = 10;
localparam VAR174 = 'b01;
localparam VAR117 = 2;
localparam VAR205 = 200;
localparam VAR286 = 3;
localparam VAR398 = 5;
localparam VAR104 = 10;
localparam VAR356 = 0;
localparam VAR328 = 0;
localparam VAR189 = 10;
localparam VAR325 = 0;
localparam VAR162 = 0;
localparam VAR206 = 0;
localparam VAR279 = 0;
localparam VAR312 = 0;
localparam VAR140 = 0;
localparam VAR314 = 0;
localparam VAR25 = 'h0;
localparam VAR44 = 'h0;
localparam VAR268 = 1;
localparam VAR327 = 1;
localparam VAR208 = 0;
localparam VAR233 = VAR126;
localparam VAR107 = VAR82;
localparam VAR318 = VAR133;
localparam VAR311 = VAR387;
localparam VAR19 = VAR235;
localparam VAR166 = VAR348;
localparam VAR80 = VAR169;
localparam VAR157 = VAR57;
localparam VAR16 = VAR225;
localparam VAR362 = VAR112;
localparam VAR150 = VAR355;
localparam VAR339 = VAR54;
localparam VAR108 = VAR269;
localparam VAR4 = VAR372;
localparam VAR388 = VAR35;
localparam VAR203 = VAR241;
localparam VAR2 = VAR125;
localparam VAR400 = VAR62;
localparam VAR322 = VAR124;
localparam VAR402 = VAR350;
localparam VAR10 = VAR72;
localparam VAR28 = VAR135;
localparam VAR121 = VAR181;
localparam VAR277 = VAR62;
localparam VAR344 = VAR125;
localparam VAR300 = VAR241;
localparam VAR58 = VAR180;
localparam VAR97 = VAR296;
localparam VAR210 = VAR164;
localparam VAR287 = VAR34;
localparam VAR274 = VAR216;
localparam VAR89 = VAR3;
localparam VAR152 = VAR263;
localparam VAR9 = VAR236;
localparam VAR212 = VAR396;
localparam VAR182 = VAR326;
localparam VAR204 = VAR334;
localparam VAR360 = VAR237;
localparam VAR301 = VAR369;
localparam VAR251 = VAR357;
localparam VAR188 = VAR6;
localparam VAR323 = VAR262;
localparam VAR161 = VAR189;
localparam VAR304 = VAR213;
localparam VAR200 = VAR270;
localparam VAR278 = VAR373;
localparam VAR98 = 1'b1;
localparam VAR60 = 0;
localparam VAR183 = 0;
localparam VAR101 = 0;
localparam VAR306 = 0;
localparam VAR229 = 0;
localparam VAR202 = 0;
localparam VAR230 = 0;
localparam VAR138 = 0;
localparam VAR64 = 0;
localparam VAR100 = 0;
localparam VAR17 = 0;
localparam VAR335 = 0;
localparam VAR99 = 0;
localparam VAR347 = 0;
localparam VAR75 = 0;
localparam VAR223 = 0;
localparam VAR256 = 0;
localparam VAR252 = 0;
localparam VAR43 = 0;
localparam VAR113 = 0;
localparam VAR394 = 0;
localparam VAR130 = 0;
localparam VAR177 = 0;
localparam VAR253 = 0;
localparam VAR111 = 0;
localparam VAR96 = 0;
localparam VAR14 = 0;
localparam VAR293 = 0;
localparam VAR331 = 0;
localparam VAR379 = VAR330;
localparam VAR316 = 0;
localparam VAR51 = VAR198;
localparam VAR294 = VAR385;
localparam VAR352 = 3;
localparam VAR226 = 8;
localparam VAR102 = 5;
localparam VAR88 = 4;
localparam VAR305 = 1;
localparam VAR341 = 6;
localparam VAR351 = 2;
localparam VAR302 = 2;
localparam VAR171 = 5;
localparam VAR143 = 5;
localparam VAR32 = 3;
localparam VAR232 = 3;
localparam VAR242 = 4;
localparam VAR79 = 4;
localparam VAR49 = 4;
localparam VAR39 = 4;
localparam VAR194 = 6;
localparam VAR18 = 8;
localparam VAR144 = 13;
localparam VAR246 = 4;
localparam VAR389 = 4;
localparam VAR401 = 4;
localparam VAR29 = 4;
localparam VAR56 = 4;
localparam VAR289 = 5;
localparam VAR179 = 6;
localparam VAR109 = 4;
localparam VAR299 = 3;
localparam VAR220 = 10;
localparam VAR186 = 4;
localparam VAR94 = 16;
localparam VAR392 = 4;
localparam VAR123 = 4;
localparam VAR170 = 4;
localparam VAR175 = 4;
localparam VAR154 = 4;
localparam VAR238 = 4;
localparam VAR380 = 4;
localparam VAR37 = 4;
localparam VAR173 = 4;
localparam VAR48 = 4;
localparam VAR295 = 4;
localparam VAR92 = 4;
localparam VAR207 = 4;
localparam VAR283 = 4;
localparam VAR20 = 4;
localparam VAR366 = 4;
localparam VAR67 = 4;
localparam VAR254 = 4;
localparam VAR5 = 4;
localparam VAR368 = 4;
localparam VAR128 = 4;
localparam VAR280 = 4;
localparam VAR221 = 4;
localparam VAR134 = 4;
localparam VAR132 = 4;
localparam VAR127 = 4;
localparam VAR52 = 4;
localparam VAR78 = 1;
localparam VAR103 = 1;
localparam VAR167 = 1;
localparam VAR168 = 1;
localparam VAR47 = 1;
localparam VAR149 = 1;
localparam VAR292 = 1;
localparam VAR359 = 1;
localparam VAR199 = 1;
localparam VAR24 = 1;
localparam VAR85 = 1;
localparam VAR146 = 5;
localparam VAR310 = (VAR275 == 1) ? "VAR23" : "VAR222";
localparam VAR84 = (VAR190 == VAR118) ? 1 : 0;
localparam VAR281 = (VAR84 == 1) ? 2 : 1;
localparam VAR383 = (VAR281 * VAR80);
localparam VAR386 = 1;
localparam VAR106 = 1;
localparam VAR320 = 1;
localparam VAR141 = 8;
localparam VAR257 = 8;
localparam VAR298 = 8;
localparam VAR76 = (VAR388 * (VAR16 / 2));
localparam VAR155 = (VAR322 * (VAR16 / 2));
localparam VAR11 = (VAR402 * (VAR16 / 2));
localparam VAR41 = (VAR383 * (VAR16 / 2));
localparam VAR349 = (VAR203 * (VAR16 / 2));
localparam VAR371 = (VAR10 * VAR388);
localparam VAR70 = (VAR16 / 2);
localparam VAR291 = (VAR10 * (VAR16 / 2));
localparam VAR332 = (VAR10 * (VAR16 / 2));
localparam VAR73 = (VAR28 * VAR16);
localparam VAR136 = (VAR121 * VAR16);
localparam VAR145 = VAR146;
localparam VAR139 = (VAR10 * (VAR16 / 2));
localparam VAR239 = (VAR28 * VAR16);
localparam VAR12 = (VAR16 / 2);
localparam VAR156 = 5;
localparam VAR77 = 12;
localparam VAR42 = 10;
localparam VAR218 = VAR157;
localparam VAR227 = 0;
localparam VAR364 = 0;
localparam VAR119 = 0;
input clk;
input VAR333;
input VAR38;
output VAR363;
input VAR184;
input VAR159;
input [VAR82 - 1 : 0] VAR187;
input [VAR387 - 1 : 0] VAR397;
input [VAR133 - 1 : 0] VAR228;
input [VAR126 - 1 : 0] VAR105;
input VAR231;
output [VAR133 - 1 : 0] VAR244;
output VAR15;
output [VAR70 - 1 : 0] VAR176;
output [VAR76 - 1 : 0] VAR201;
output [VAR155 - 1 : 0] VAR247;
output [VAR11 - 1 : 0] VAR131;
output [VAR41 - 1 : 0] VAR381;
output [VAR349 - 1 : 0] VAR284;
output [VAR70 - 1 : 0] VAR240;
output [VAR70 - 1 : 0] VAR288;
output [VAR70 - 1 : 0] VAR21;
output [VAR291 - 1 : 0] VAR370;
output [VAR332 - 1 : 0] VAR148;
output [VAR73 - 1 : 0] VAR115;
output [VAR136 - 1 : 0] VAR267;
input [VAR145 - 1 : 0] VAR93;
output [VAR139 - 1 : 0] VAR45;
output [VAR139 - 1 : 0] VAR66;
input [VAR239 - 1 : 0] VAR153;
input [VAR12 - 1 : 0] VAR337;
input [VAR156 - 1 : 0] VAR391;
input VAR248;
input VAR50;
output VAR336;
output [VAR218 - 1 : 0] VAR340;
output [VAR371 - 1 : 0] VAR215;
output [VAR388 - 1 : 0] VAR86;
input [VAR388 - 1 : 0] VAR265;
output [VAR388 - 1 : 0] VAR255;
output VAR374;
output VAR26;
output VAR319;
output VAR63;
input VAR116;
input VAR40;
input [VAR388 - 1 : 0] VAR361;
input VAR195;
input VAR95;
input [VAR388 - 1 : 0] VAR354;
input VAR258;
input VAR87;
output VAR321;
input VAR27;
input VAR81;
input [1 - 1 : 0] VAR59;
input VAR376;
input [VAR338 - 1 : 0] VAR185;
input [VAR22 - 1 : 0] VAR91;
output [VAR22 - 1 : 0] VAR342;
input [VAR120 - 1 : 0] VAR276;
output VAR55;
output VAR65;
wire VAR249;
wire VAR264;
wire VAR110;
wire [VAR82 - 1 : 0] VAR13;
wire [VAR126 - 1 : 0] VAR384;
wire VAR329;
wire VAR68;
wire VAR297;
wire VAR114;
wire VAR30;
wire VAR178;
wire [VAR133 - 1 : 0] VAR71;
wire [VAR387 - 1 : 0] VAR219;
wire VAR142;
wire VAR172;
wire [VAR19 - 1 : 0] VAR309;
wire VAR158;
wire VAR137;
wire [VAR133 - 1 : 0] VAR307;
wire [2 - 1 : 0] VAR259;
wire VAR303;
wire VAR395;
wire [VAR19 - 1 : 0] VAR147;
VAR211 # (
.VAR7 ( VAR126 ),
.VAR46 ( VAR82 ),
.VAR192 ( VAR133 ),
.VAR227 ( VAR227 )
) VAR390 (
.VAR271 ( clk ),
.VAR315 ( VAR38 ),
.VAR160 ( VAR333 ),
.VAR285 ( VAR38 ),
.VAR266 ( VAR363 ),
.VAR365 ( VAR159 ),
.VAR367 ( VAR184 ),
.VAR399 ( VAR105 ),
.VAR324 ( VAR231 ),
.VAR1 ( VAR187 ),
.VAR33 ( VAR15 ),
.VAR191 ( ),
.VAR313 ( VAR244 ),
.VAR272 ( VAR228 ),
.VAR151 ( VAR397 ),
.VAR258 ( VAR258 ),
.VAR116 ( VAR116 ),
.VAR87 ( VAR87 ),
.VAR249 ( VAR249 ),
.VAR264 ( VAR264 ),
.VAR110 ( VAR110 ),
.VAR13 ( VAR13 ),
.VAR384 ( VAR384 ),
.VAR329 ( VAR329 ),
.VAR68 ( VAR68 ),
.VAR297 ( VAR297 ),
.VAR114 ( VAR114 ),
.VAR30 ( VAR30 ),
.VAR178 ( VAR178 ),
.VAR71 ( VAR71 ),
.VAR219 ( VAR219 ),
.VAR142 ( VAR142 ),
.VAR172 ( VAR172 ),
.VAR309 ( VAR309 ),
.VAR158 ( VAR158 ),
.VAR137 ( VAR137 ),
.VAR307 ( VAR307 ),
.VAR259 ( VAR259 ),
.VAR303 ( VAR303 ),
.VAR395 ( VAR395 ),
.VAR147 ( VAR147 )
);
VAR346 #(
.VAR126(VAR126),
.VAR82(VAR82),
.VAR133(VAR133),
.VAR387(VAR387),
.VAR235(VAR235),
.VAR180(VAR180),
.VAR169(VAR169),
.VAR57(VAR57),
.VAR348(VAR348),
.VAR225(VAR225),
.VAR112(VAR112),
.VAR330(VAR330),
.VAR355(VAR355),
.VAR54(VAR54),
.VAR269(VAR269),
.VAR372(VAR372),
.VAR35(VAR35),
.VAR241(VAR241),
.VAR125(VAR125),
.VAR62(VAR62),
.VAR350(VAR350),
.VAR72(VAR72),
.VAR135(VAR135),
.VAR181(VAR181),
.VAR69(VAR69),
.VAR290(VAR290),
.VAR61(VAR61),
.VAR393(VAR393),
.VAR53(VAR53),
.VAR209(VAR209),
.VAR358(VAR358),
.VAR217(VAR217),
.VAR165(VAR165),
.VAR196(VAR196),
.VAR190(VAR190),
.VAR197(VAR197),
.VAR245(VAR245),
.VAR163(VAR163),
.VAR275(VAR275),
.VAR282(VAR282),
.VAR250(VAR250),
.VAR174(VAR174),
.VAR296(VAR296),
.VAR164(VAR164),
.VAR34(VAR34),
.VAR216(VAR216),
.VAR3(VAR3),
.VAR263(VAR263),
.VAR236(VAR236),
.VAR396(VAR396),
.VAR326(VAR326),
.VAR334(VAR334),
.VAR237(VAR237),
.VAR369(VAR369),
.VAR357(VAR357),
.VAR6(VAR6),
.VAR117(VAR117),
.VAR262(VAR262),
.VAR205(VAR205),
.VAR286(VAR286),
.VAR398(VAR398),
.VAR104(VAR104),
.VAR189(VAR189),
.VAR213(VAR213),
.VAR270(VAR270),
.VAR373(VAR373),
.VAR325(VAR325),
.VAR162(VAR162),
.VAR206(VAR206),
.VAR279(VAR279),
.VAR312(VAR312),
.VAR140(VAR140),
.VAR314(VAR314),
.VAR198(VAR198),
.VAR385(VAR385),
.VAR25(VAR25),
.VAR44(VAR44),
.VAR268(VAR268),
.VAR327(VAR327),
.VAR124(VAR124),
.VAR129(VAR129),
.VAR208(VAR208),
.VAR338(VAR338),
.VAR22(VAR22),
.VAR120(VAR120),
.VAR146(VAR145),
.VAR261(VAR156),
.VAR273(VAR273),
.VAR193(VAR193),
.VAR122(VAR122),
.VAR343(VAR343),
.VAR224(VAR224),
.VAR364(VAR364),
.VAR119(VAR119)
) VAR378 (
.clk(clk),
.VAR333(VAR333),
.VAR38(VAR38),
.VAR249(VAR249),
.VAR264(VAR264),
.VAR110(VAR110),
.VAR13(VAR13),
.VAR384(VAR384),
.VAR329(VAR329),
.VAR68(VAR68),
.VAR297(VAR297),
.VAR114(VAR114),
.VAR30(VAR30),
.VAR178(VAR178),
.VAR71(VAR71),
.VAR219(VAR219),
.VAR142(VAR142),
.VAR172(VAR172),
.VAR309(VAR309),
.VAR158(VAR158),
.VAR137(VAR137),
.VAR307(VAR307),
.VAR259(VAR259),
.VAR303(VAR303),
.VAR395(VAR395),
.VAR147(VAR147),
.VAR176(VAR176),
.VAR201(VAR201),
.VAR247(VAR247),
.VAR131(VAR131),
.VAR381(VAR381),
.VAR284(VAR284),
.VAR240(VAR240),
.VAR288(VAR288),
.VAR21(VAR21),
.VAR370(VAR370),
.VAR148(VAR148),
.VAR115(VAR115),
.VAR267(VAR267),
.VAR93(VAR93),
.VAR45(VAR45),
.VAR66(VAR66),
.VAR153(VAR153),
.VAR337(VAR337),
.VAR391(VAR391),
.VAR248(VAR248),
.VAR50(VAR50),
.VAR336(VAR336),
.VAR340(VAR340),
.VAR215(VAR215),
.VAR86(VAR86),
.VAR265(VAR265),
.VAR255(VAR255),
.VAR374(VAR374),
.VAR26(VAR26),
.VAR319(VAR319),
.VAR63(VAR63),
.VAR40(VAR40),
.VAR361(VAR361),
.VAR195(VAR195),
.VAR95(VAR95),
.VAR354(VAR354),
.VAR258(VAR258),
.VAR87(VAR87),
.VAR321(VAR321),
.VAR27(VAR27),
.VAR81(VAR81),
.VAR59(VAR59),
.VAR376(VAR376),
.VAR185(VAR185),
.VAR91(VAR91),
.VAR342(VAR342),
.VAR276(VAR276),
.VAR55(VAR55),
.VAR65(VAR65)
);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/nor4bb/sky130_fd_sc_hdll__nor4bb.pp.symbol.v | 1,342 | module MODULE1 (
input VAR6 ,
input VAR9 ,
input VAR5 ,
input VAR2 ,
output VAR3 ,
input VAR7 ,
input VAR4,
input VAR8,
input VAR1
);
endmodule | apache-2.0 |
Digilent/vivado-library | ip/Pmods/PmodDPG1_v1_0/src/PmodDPG1.v | 10,163 | module MODULE1
(VAR77,
VAR73,
VAR133,
VAR120,
VAR128,
VAR137,
VAR153,
VAR142,
VAR23,
VAR112,
VAR91,
VAR145,
VAR5,
VAR72,
VAR12,
VAR99,
VAR93,
VAR121,
VAR157,
VAR60,
VAR6,
VAR168,
VAR139,
VAR148,
VAR81,
VAR69,
VAR11,
VAR18,
VAR55,
VAR110,
VAR38,
VAR105,
VAR56,
VAR80,
VAR51,
VAR42,
VAR21,
VAR82,
VAR8,
VAR166,
VAR155,
VAR131,
VAR94,
VAR85);
input [6:0]VAR77;
output VAR73;
input VAR133;
input [6:0]VAR120;
output VAR128;
input VAR137;
input VAR153;
output [1:0]VAR142;
output VAR23;
output [31:0]VAR112;
input VAR91;
output [1:0]VAR145;
output VAR5;
input [31:0]VAR72;
output VAR12;
input [3:0]VAR99;
input VAR93;
input VAR121;
output VAR157;
output VAR60;
input VAR6;
output VAR168;
output VAR139;
input VAR148;
output VAR81;
output VAR69;
input VAR11;
output VAR18;
output VAR55;
input VAR110;
output VAR38;
output VAR105;
input VAR56;
output VAR80;
output VAR51;
input VAR42;
output VAR21;
output VAR82;
input VAR8;
output VAR166;
output VAR155;
input VAR131;
input VAR94;
input VAR85;
wire [6:0]VAR124;
wire VAR160;
wire VAR78;
wire [6:0]VAR4;
wire VAR58;
wire VAR2;
wire VAR89;
wire [1:0]VAR109;
wire VAR161;
wire [31:0]VAR95;
wire VAR33;
wire [1:0]VAR68;
wire VAR54;
wire [31:0]VAR87;
wire VAR41;
wire [3:0]VAR116;
wire VAR1;
wire VAR125;
wire VAR151;
wire VAR159;
wire VAR143;
wire VAR122;
wire VAR75;
wire VAR108;
wire VAR165;
wire VAR63;
wire VAR37;
wire [0:0]VAR164;
wire VAR102;
wire VAR36;
wire VAR150;
wire VAR28;
wire VAR49;
wire VAR132;
wire VAR100;
wire VAR126;
wire VAR88;
wire VAR104;
wire VAR92;
wire VAR13;
wire VAR107;
wire VAR39;
wire VAR146;
wire VAR30;
wire VAR114;
wire VAR130;
wire VAR46;
wire VAR140;
wire VAR27;
wire VAR118;
wire VAR111;
wire VAR71;
wire VAR74;
wire VAR59;
wire VAR9;
wire VAR97;
assign VAR124 = VAR77[6:0];
assign VAR78 = VAR133;
assign VAR4 = VAR120[6:0];
assign VAR2 = VAR137;
assign VAR89 = VAR153;
assign VAR33 = VAR91;
assign VAR87 = VAR72[31:0];
assign VAR116 = VAR99[3:0];
assign VAR1 = VAR93;
assign VAR73 = VAR160;
assign VAR128 = VAR58;
assign VAR142[1:0] = VAR109;
assign VAR23 = VAR161;
assign VAR112[31:0] = VAR95;
assign VAR145[1:0] = VAR68;
assign VAR5 = VAR54;
assign VAR12 = VAR41;
assign VAR157 = VAR28;
assign VAR60 = VAR49;
assign VAR168 = VAR100;
assign VAR139 = VAR126;
assign VAR81 = VAR104;
assign VAR69 = VAR92;
assign VAR18 = VAR107;
assign VAR55 = VAR39;
assign VAR38 = VAR30;
assign VAR105 = VAR114;
assign VAR80 = VAR46;
assign VAR51 = VAR140;
assign VAR21 = VAR118;
assign VAR82 = VAR111;
assign VAR166 = VAR74;
assign VAR155 = VAR59;
assign VAR36 = VAR131;
assign VAR150 = VAR121;
assign VAR132 = VAR6;
assign VAR88 = VAR148;
assign VAR13 = VAR11;
assign VAR146 = VAR110;
assign VAR130 = VAR56;
assign VAR27 = VAR42;
assign VAR71 = VAR8;
assign VAR9 = VAR94;
assign VAR97 = VAR85;
VAR141 VAR134
(.VAR131(VAR36),
.VAR14(VAR125),
.VAR7(VAR151),
.VAR169(VAR159),
.VAR15(VAR143),
.VAR90(VAR122),
.VAR61(VAR75),
.VAR94(VAR9),
.VAR76(VAR124),
.VAR85(VAR97),
.VAR86(VAR160),
.VAR154(VAR78),
.VAR64(VAR4),
.VAR67(VAR58),
.VAR34(VAR2),
.VAR98(VAR89),
.VAR16(VAR109),
.VAR24(VAR161),
.VAR47(VAR95),
.VAR50(VAR33),
.VAR119(VAR68),
.VAR17(VAR54),
.VAR19(VAR87),
.VAR167(VAR41),
.VAR106(VAR116),
.VAR70(VAR1),
.VAR44(VAR108),
.VAR138(VAR165),
.VAR25(VAR63),
.VAR144(VAR37),
.VAR84(VAR164),
.VAR53(VAR102));
VAR147 VAR29
(.VAR10(VAR37),
.VAR156(VAR164),
.VAR52(VAR102),
.VAR83(VAR125),
.VAR40(VAR151),
.VAR57(VAR159),
.VAR3(VAR143),
.VAR32(VAR122),
.VAR123(VAR75),
.VAR149(VAR108),
.VAR35(VAR165),
.VAR101(VAR63),
.VAR65(VAR132),
.VAR48(VAR100),
.VAR158(VAR126),
.VAR62(VAR88),
.VAR117(VAR104),
.VAR45(VAR92),
.VAR162(VAR13),
.VAR20(VAR107),
.VAR113(VAR39),
.VAR43(VAR146),
.VAR22(VAR30),
.VAR129(VAR114),
.VAR136(VAR130),
.VAR96(VAR46),
.VAR103(VAR140),
.VAR79(VAR27),
.VAR26(VAR118),
.VAR31(VAR111),
.VAR163(VAR71),
.VAR115(VAR74),
.VAR135(VAR59),
.VAR66(VAR150),
.VAR127(VAR28),
.VAR152(VAR49));
endmodule | mit |
dhytxz/PolyPC | hardware/ip_repo/hapara_axis_barrier_1.0/hdl/hapara_axis_barrier_v1_0.v | 26,327 | module MODULE1 #
(
parameter integer VAR49 = 2,
parameter integer VAR18 = 32
)
(
input wire VAR58,
input wire VAR10,
input wire VAR46,
input wire [VAR18-1 : 0] VAR2,
output wire VAR33,
input wire VAR43,
input wire [VAR18-1 : 0] VAR61,
output wire VAR74,
input wire VAR14,
input wire [VAR18-1 : 0] VAR64,
output wire VAR9,
input wire VAR66,
input wire [VAR18-1 : 0] VAR32,
output wire VAR36,
input wire VAR55,
input wire [VAR18-1 : 0] VAR63,
output wire VAR35,
input wire VAR27,
input wire [VAR18-1 : 0] VAR11,
output wire VAR6,
input wire VAR17,
input wire [VAR18-1 : 0] VAR73,
output wire VAR20,
input wire VAR15,
input wire [VAR18-1 : 0] VAR48,
output wire VAR72,
input wire VAR67,
input wire [VAR18-1 : 0] VAR5,
output wire VAR13,
output wire VAR24,
output wire [VAR18-1 : 0] VAR68,
input wire VAR62,
output wire VAR45,
output wire [VAR18-1 : 0] VAR41,
input wire VAR59,
output wire VAR69,
output wire [VAR18-1 : 0] VAR26,
input wire VAR37,
output wire VAR4,
output wire [VAR18-1 : 0] VAR8,
input wire VAR38,
output wire VAR19,
output wire [VAR18-1 : 0] VAR34,
input wire VAR23,
output wire VAR29,
output wire [VAR18-1 : 0] VAR3,
input wire VAR54,
output wire VAR30,
output wire [VAR18-1 : 0] VAR75,
input wire VAR56,
output wire VAR71,
output wire [VAR18-1 : 0] VAR52,
input wire VAR12,
output wire VAR1,
output wire [VAR18-1 : 0] VAR42,
input wire VAR70
);
wire VAR60;
wire VAR51;
generate if (VAR49 == 1)
begin: VAR40
assign VAR60 = VAR46;
assign VAR51 = VAR46;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 2)
begin: VAR39
assign VAR60 = VAR46 | VAR43;
assign VAR51 = VAR46 & VAR43;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 3)
begin: VAR22
assign VAR60 = VAR46 | VAR43 | VAR14;
assign VAR51 = VAR46 & VAR43 & VAR14;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR9 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR69 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
assign VAR26 = 32'd1;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 4)
begin: VAR53
assign VAR60 = VAR46 | VAR43 |
VAR14 | VAR66;
assign VAR51 = VAR46 & VAR43 & VAR14 &
VAR66;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR9 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR36 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR69 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR4 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
assign VAR26 = 32'd2;
assign VAR8 = 32'd3;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 5)
begin: VAR25
assign VAR60 = VAR46 | VAR43 |
VAR14 | VAR66 |
VAR55;
assign VAR51 = VAR46 & VAR43 & VAR14 &
VAR66 & VAR55;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR9 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR36 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR35 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR69 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR4 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR19 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
assign VAR26 = 32'd2;
assign VAR8 = 32'd3;
assign VAR34 = 32'd4;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 6)
begin: VAR50
assign VAR60 = VAR46 | VAR43 |
VAR14 | VAR66 |
VAR55 | VAR27;
assign VAR51 = VAR46 & VAR43 & VAR14 &
VAR66 & VAR55 & VAR27;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR9 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR36 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR35 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR6 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR69 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR4 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR19 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR29 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
assign VAR26 = 32'd2;
assign VAR8 = 32'd3;
assign VAR34 = 32'd4;
assign VAR3 = 32'd5;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 7)
begin: VAR57
assign VAR60 = VAR46 | VAR43 |
VAR14 | VAR66 |
VAR55 | VAR27 |
VAR17;
assign VAR51 = VAR46 & VAR43 & VAR14 &
VAR66 & VAR55 & VAR27 &
VAR17;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR9 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR36 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR35 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR6 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR20 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR69 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR4 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR19 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR29 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR30 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
assign VAR26 = 32'd2;
assign VAR8 = 32'd3;
assign VAR34 = 32'd4;
assign VAR3 = 32'd5;
assign VAR75 = 32'd6;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 8)
begin: VAR31
assign VAR60 = VAR46 | VAR43 |
VAR14 | VAR66 |
VAR55 | VAR27 |
VAR17 | VAR15;
assign VAR51 = VAR46 & VAR43 & VAR14 &
VAR66 & VAR55 & VAR27 &
VAR17 & VAR15;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR9 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR36 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR35 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR6 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR20 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR72 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR69 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR4 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR19 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR29 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR30 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR71 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
assign VAR26 = 32'd2;
assign VAR8 = 32'd3;
assign VAR34 = 32'd4;
assign VAR3 = 32'd5;
assign VAR75 = 32'd6;
assign VAR52 = 32'd7;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
generate if (VAR49 == 9)
begin: VAR47
assign VAR60 = VAR46 | VAR43 |
VAR14 | VAR66 |
VAR55 | VAR27 |
VAR17 | VAR15 |
VAR67;
assign VAR51 = VAR46 & VAR43 & VAR14 &
VAR66 & VAR55 & VAR27 &
VAR17 & VAR15 & VAR67;
assign VAR33 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR74 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR9 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR36 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR35 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR6 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR20 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR72 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR13 = (VAR7 == VAR28)?1'b1:1'b0;
assign VAR24 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR45 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR69 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR4 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR19 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR29 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR30 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR71 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR1 = (VAR7 == VAR44)?1'b1:1'b0;
assign VAR68 = 32'd0;
assign VAR41 = 32'd1;
assign VAR26 = 32'd2;
assign VAR8 = 32'd3;
assign VAR34 = 32'd4;
assign VAR3 = 32'd5;
assign VAR75 = 32'd6;
assign VAR52 = 32'd7;
assign VAR42 = 32'd7;
localparam VAR16 = 2'b01;
localparam VAR28 = 2'b10;
localparam VAR44 = 2'b11;
reg [1 : 0] VAR7;
reg [1 : 0] VAR65;
always @(posedge VAR58 or negedge VAR10) begin
if (!VAR10) begin
VAR7 <= VAR16;
end
else begin
VAR7 <= VAR65;
end
end
always @(VAR7 or VAR51 or VAR60) begin
case(VAR7)
VAR16:
if (VAR51) begin
VAR65 = VAR28;
end
else begin
VAR65 = VAR16;
end
VAR28:
if (!VAR60) begin
VAR65 = VAR44;
end
else begin
VAR65 = VAR28;
end
VAR44:
VAR65 = VAR16;
default:
VAR65 = 2'VAR21;
endcase
end
end
endgenerate
endmodule | gpl-2.0 |
GSejas/Dise-o-ASIC-FPGA-FPU | my_sourcefiles/Source_Files/FPU_Interface/fpaddsub_arch2/Priority_Codec_32.v | 2,855 | module MODULE1(
input wire [25:0] VAR1,
output reg [4:0] VAR3
);
parameter VAR2 = 26;
always @(VAR1)
begin
if(~VAR1[25]) begin VAR3 = 5'b00000; end else if(~VAR1[24]) begin VAR3 = 5'b00001; end else if(~VAR1[23]) begin VAR3 = 5'b00010; end else if(~VAR1[22]) begin VAR3 = 5'b00011; end else if(~VAR1[21]) begin VAR3 = 5'b00100; end else if(~VAR1[20]) begin VAR3 = 5'b00101; end else if(~VAR1[19]) begin VAR3 = 5'b00110; end else if(~VAR1[18]) begin VAR3 = 5'b00111; end else if(~VAR1[17]) begin VAR3 = 5'b01000; end else if(~VAR1[16]) begin VAR3 = 5'b01001; end else if(~VAR1[15]) begin VAR3 = 5'b01010; end else if(~VAR1[14]) begin VAR3 = 5'b01011; end else if(~VAR1[13]) begin VAR3 = 5'b01100; end else if(~VAR1[12]) begin VAR3 = 5'b01101; end else if(~VAR1[11]) begin VAR3 = 5'b01110; end else if(~VAR1[10]) begin VAR3 = 5'b01111; end else if(~VAR1[9]) begin VAR3 = 5'b10000; end else if(~VAR1[8]) begin VAR3 = 5'b10001; end else if(~VAR1[7]) begin VAR3 = 5'b10010; end else if(~VAR1[6]) begin VAR3 = 5'b10011; end else if(~VAR1[5]) begin VAR3 = 5'b10100; end else if(~VAR1[4]) begin VAR3 = 5'b10101; end else if(~VAR1[3]) begin VAR3 = 5'b10110; end else if(~VAR1[2]) begin VAR3 = 5'b10111; end else if(~VAR1[1]) begin VAR3 = 5'b11000; end else if(~VAR1[0]) begin VAR3 = 5'b10101; end
else VAR3 = 5'b00000;
end
endmodule | gpl-3.0 |
hpeng2/ECE492_Group4_Project | ECE_492_Project_new/db/ip/video_sys/submodules/altera_avalon_st_handshake_clock_crosser.v | 7,556 | module MODULE1
parameter VAR35 = 8,
VAR37 = 8,
VAR6 = 0,
VAR18 = 0,
VAR9 = 1,
VAR22 = 0,
VAR2 = 1,
VAR23 = 2,
VAR21 = 2,
VAR43 = 1,
VAR20 = VAR35 / VAR37,
VAR8 = VAR26(VAR20)
)
(
input VAR25,
input VAR5,
input VAR27,
input VAR38,
output VAR16,
input VAR11,
input [VAR35 - 1 : 0] VAR3,
input [VAR9 - 1 : 0] VAR29,
input [VAR2 - 1 : 0] VAR45,
input VAR13,
input VAR7,
input [(VAR8 ? (VAR8 - 1) : 0) : 0] VAR28,
input VAR4,
output VAR12,
output [VAR35 - 1 : 0] VAR39,
output [VAR9 - 1 : 0] VAR19,
output [VAR2 - 1 : 0] VAR1,
output VAR36,
output VAR31,
output [(VAR8 ? (VAR8 - 1) : 0) : 0] VAR33
);
localparam VAR42 = (VAR6) ? 2 + VAR8 : 0;
localparam VAR15 = (VAR18) ? VAR9 : 0;
localparam VAR41 = (VAR22) ? VAR2 : 0;
localparam VAR14 = VAR35 +
VAR42 +
VAR15 +
VAR8 +
VAR41;
wire [VAR14 - 1: 0] VAR10;
wire [VAR14 - 1: 0] VAR32;
assign VAR10[VAR35 - 1 : 0] = VAR3;
generate
if (VAR42) begin
assign VAR10[
VAR35 + VAR42 - 1 :
VAR35
] = {VAR13, VAR7};
end
if (VAR18) begin
assign VAR10[
VAR35 + VAR42 + VAR15 - 1 :
VAR35 + VAR42
] = VAR29;
end
if (VAR8) begin
assign VAR10[
VAR35 + VAR42 + VAR15 + VAR8 - 1 :
VAR35 + VAR42 + VAR15
] = VAR28;
end
if (VAR22) begin
assign VAR10[
VAR35 + VAR42 + VAR15 + VAR8 + VAR41 - 1 :
VAR35 + VAR42 + VAR15 + VAR8
] = VAR45;
end
endgenerate
VAR44
.VAR20 (1),
.VAR37 (VAR14),
.VAR34 (VAR23),
.VAR24 (VAR21),
.VAR43 (VAR43)
) VAR17 (
.VAR25 (VAR25 ),
.VAR5 (VAR5 ),
.VAR16 (VAR16 ),
.VAR11 (VAR11 ),
.VAR3 (VAR10 ),
.VAR27 (VAR27 ),
.VAR38 (VAR38 ),
.VAR4 (VAR4 ),
.VAR12 (VAR12 ),
.VAR39 (VAR32 )
);
assign VAR39 = VAR32[VAR35 - 1 : 0];
generate
if (VAR6) begin
assign {VAR36, VAR31} =
VAR32[VAR35 + VAR42 - 1 : VAR35];
end else begin
assign {VAR36, VAR31} = 2'b0;
end
if (VAR18) begin
assign VAR19 = VAR32[
VAR35 + VAR42 + VAR15 - 1 :
VAR35 + VAR42
];
end else begin
assign VAR19 = 1'b0;
end
if (VAR8) begin
assign VAR33 = VAR32[
VAR35 + VAR42 + VAR15 + VAR8 - 1 :
VAR35 + VAR42 + VAR15
];
end else begin
assign VAR33 = 1'b0;
end
if (VAR22) begin
assign VAR1 = VAR32[
VAR35 + VAR42 + VAR15 + VAR8 + VAR41 - 1 :
VAR35 + VAR42 + VAR15 + VAR8
];
end else begin
assign VAR1 = 1'b0;
end
endgenerate
function integer VAR26;
input integer VAR30;
integer VAR40;
begin
VAR40 = 1;
VAR26 = 0;
while (VAR40 < VAR30) begin
VAR26 = VAR26 + 1;
VAR40 = VAR40 << 1;
end
end
endfunction
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/sedfxbp/sky130_fd_sc_hd__sedfxbp_2.v | 2,564 | module MODULE2 (
VAR9 ,
VAR13 ,
VAR3 ,
VAR12 ,
VAR7 ,
VAR10 ,
VAR4 ,
VAR1,
VAR6,
VAR8 ,
VAR5
);
output VAR9 ;
output VAR13 ;
input VAR3 ;
input VAR12 ;
input VAR7 ;
input VAR10 ;
input VAR4 ;
input VAR1;
input VAR6;
input VAR8 ;
input VAR5 ;
VAR2 VAR11 (
.VAR9(VAR9),
.VAR13(VAR13),
.VAR3(VAR3),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR9 ,
VAR13,
VAR3,
VAR12 ,
VAR7 ,
VAR10,
VAR4
);
output VAR9 ;
output VAR13;
input VAR3;
input VAR12 ;
input VAR7 ;
input VAR10;
input VAR4;
supply1 VAR1;
supply0 VAR6;
supply1 VAR8 ;
supply0 VAR5 ;
VAR2 VAR11 (
.VAR9(VAR9),
.VAR13(VAR13),
.VAR3(VAR3),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
davidlee80/miaow | src/verilog/rtl/dispatcher/gds_resource_table.v | 2,698 | module MODULE1 (
VAR10,
rst, clk, VAR8, VAR25,
VAR1, VAR17, VAR14,
VAR20
) ;
parameter VAR23 = 64;
parameter VAR13 = 6;
parameter VAR2 = 3;
parameter VAR15 = 6;
parameter VAR18 = 40;
parameter VAR7 = 10;
parameter VAR6 = 1024;
localparam VAR3 = 2**VAR2;
input rst, clk;
input VAR8, VAR25;
input [VAR13-1:0] VAR1;
input [VAR15-1:0] VAR17;
input [VAR7:0] VAR14;
input [VAR2-1:0] VAR20;
output [VAR7:0] VAR10;
wire [VAR7:0] VAR12;
reg [VAR7:0] VAR10;
VAR11
.VAR29 (VAR7+1),
.VAR22 (VAR15+VAR13),
.VAR16 (VAR18*VAR23))
VAR4
(
.VAR9 (VAR12),
.rst (rst),
.clk (clk),
.VAR21 (VAR8),
.VAR26 ({VAR17,VAR1}),
.VAR24 (VAR14),
.VAR28 (VAR25),
.VAR19 ({VAR17,VAR1}));
reg[VAR7:0] VAR27;
reg VAR5;
always @ ( posedge clk or posedge rst ) begin
if (rst) begin
VAR27 <= 0;
VAR5 <= 1'b0;
VAR10 <= {(1+(VAR7)){1'b0}};
end
else begin
VAR5 <= VAR25;
VAR10 <= VAR6 - VAR27;
if(VAR8 && VAR5) begin
VAR27 <= VAR27 + VAR14 -
VAR12;
end
else if(VAR8) begin
VAR27 <= VAR27 + VAR14;
end
else if(VAR5) begin
VAR27 <= VAR27 - VAR12;
end
end
end
endmodule | bsd-3-clause |
lavingiasa/verilogTetris | tetris.v | 15,954 | module MODULE1( VAR73, VAR34, VAR50, VAR10, VAR52, VAR68, VAR8, VAR18,
VAR71, VAR22, VAR39, VAR49, VAR40, VAR2, VAR55, VAR17, VAR36, VAR41
);
input VAR73, VAR34;
input VAR50, VAR10;
input VAR52, VAR68, VAR8;
input VAR18;
output VAR71, VAR22;
output VAR39, VAR49, VAR40;
output reg [159:0] VAR2;
output reg [7:0] VAR55;
reg [7:0] state;
output reg [7:0] VAR36;
integer VAR16;
reg [2:0] VAR46;
output reg [1:0] VAR17;
output reg [2:0] VAR41;
reg [24:0] VAR53;
reg [2:0] VAR14;
wire [19:0] VAR26;
wire VAR7, VAR58, VAR5;
assign VAR7 = !VAR2[VAR36-2] && !VAR2[VAR36 -10] && ((VAR36-1)%8);
assign VAR58 = !VAR2[VAR36+1] && !VAR2[VAR36-7] && ((VAR36+1)%8);
assign VAR5 = !VAR2[VAR36-16] && !VAR2[VAR36-17] && (VAR36 > 15) ;
wire VAR29, VAR64, VAR23, VAR57, VAR48, VAR56, VAR30, VAR31; assign VAR29 = !VAR2[VAR36-3] && ((VAR36-2)%8);
assign VAR64 = !VAR2[VAR36+2] && ((VAR36+2)%8);
assign VAR23 = !VAR2[VAR36-7] && !VAR2[VAR36-8] && !VAR2[VAR36-9] && !VAR2[VAR36-10] && VAR36 > 7;
assign VAR57 = (VAR36/8 != 19) && !VAR2[VAR36+8] && !VAR2[VAR36-8] && !VAR2[VAR36-16] && (VAR36 >15);
assign VAR48 = !VAR2[VAR36-1] && !VAR2[VAR36-9] && !VAR2[VAR36-17] && !VAR2[VAR36+7] && VAR36%8;
assign VAR56 = !VAR2[VAR36+1] && !VAR2[VAR36+9] && !VAR2[VAR36-7] && !VAR2[VAR36 -15] && (VAR36+1)%8;
assign VAR30 = !VAR2[VAR36-24] && (VAR36 > 23);
assign VAR31 = !VAR2[VAR36 +1] && !VAR2[VAR36-1] && !VAR2[VAR36-2] && (VAR36+1)%8 && VAR36%8;
wire VAR47, VAR45, VAR33, VAR61;
assign VAR47 = !VAR2[VAR36-1] && !VAR2[VAR36-10] && ((VAR36-1)%8);
assign VAR45 = !VAR2[VAR36-2] && !VAR2[VAR36-7] && ((VAR36+2)%8);
assign VAR33 = !VAR2[VAR36-7] && !VAR2[VAR36-16] && !VAR2[VAR36-17] && (VAR36>16);
assign VAR61 = (VAR36/8 != 19) && !VAR2[VAR36+8] && !VAR2[VAR36-7];
wire VAR12, VAR59, VAR67, VAR70;
assign VAR12 = !VAR2[VAR36-1] && !VAR2[VAR36+7] && !VAR2[VAR36-8] && ((VAR36)%8);
assign VAR59 = !VAR2[VAR36+9] && !VAR2[VAR36+2] && !VAR2[VAR36-6] && ((VAR36+2)%8);
assign VAR67 = !VAR2[VAR36-15] && !VAR2[VAR36-8] && (VAR36>16);
assign VAR70 = !VAR2[VAR36-8] && !VAR2[VAR36-9];
wire VAR28, VAR54, VAR25, VAR63;
assign VAR28 = !VAR2[VAR36-9] && !VAR2[VAR36-2] && ((VAR36-1)%8);
assign VAR54 = !VAR2[VAR36+1] && !VAR2[VAR36-6] && ((VAR36+2)%8);
assign VAR25 = !VAR2[VAR36-9] && !VAR2[VAR36-16] && !VAR2[VAR36-15] && (VAR36>16);
assign VAR63 = (VAR36/8 != 19) && !VAR2[VAR36+1] && !VAR2[VAR36+9];
wire VAR72, VAR42, VAR24, VAR13;
assign VAR72 = !VAR2[VAR36-1] && !VAR2[VAR36+8] && !VAR2[VAR36-9] && ((VAR36)%8);
assign VAR42 = !VAR2[VAR36+10] && !VAR2[VAR36+2] && !VAR2[VAR36-7] && ((VAR36+2)%8);
assign VAR24 = !VAR2[VAR36-16] && !VAR2[VAR36-7] && (VAR36>16);
assign VAR13 = !VAR2[VAR36-1] && !VAR2[VAR36-7];
wire VAR35, VAR37, VAR32, VAR19;
assign VAR35 = VAR2[(VAR36/8 +1)*8] && VAR2[(VAR36/8+1)*8 + 1]
&& VAR2[(VAR36/8+1)*8 + 2]&& VAR2[(VAR36/8+1)*8 + 3]
&& VAR2[(VAR36/8+1)*8 + 4]&& VAR2[(VAR36/8+1)*8 + 5]
&& VAR2[(VAR36/8+1)*8 + 6]&& VAR2[(VAR36/8+1)*8 + 7];
assign VAR37 = VAR2[(VAR36/8)*8] && VAR2[(VAR36/8)*8 + 1]
&& VAR2[(VAR36/8)*8 + 2]&& VAR2[(VAR36/8)*8 + 3]
&& VAR2[(VAR36/8)*8 + 4]&& VAR2[(VAR36/8)*8 + 5]
&& VAR2[(VAR36/8)*8 + 6]&& VAR2[(VAR36/8)*8 + 7];
assign VAR32 = VAR2[(VAR36/8-1)*8] && VAR2[(VAR36/8-1)*8 + 1]
&& VAR2[(VAR36/8-1)*8 + 2]&& VAR2[(VAR36/8-1)*8 + 3]
&& VAR2[(VAR36/8-1)*8 + 4]&& VAR2[(VAR36/8-1)*8 + 5]
&& VAR2[(VAR36/8-1)*8 + 6]&& VAR2[(VAR36/8-1)*8 + 7];
assign VAR19 = VAR2[(VAR36/8-2)*8] && VAR2[(VAR36/8-2)*8 + 1]
&& VAR2[(VAR36/8-2)*8 + 2]&& VAR2[(VAR36/8-2)*8 + 3]
&& VAR2[(VAR36/8-2)*8 + 4]&& VAR2[(VAR36/8-2)*8 + 5]
&& VAR2[(VAR36/8-2)*8 + 6]&& VAR2[(VAR36/8-2)*8 + 7];
assign { VAR40, VAR49, VAR39, VAR22, VAR71} = state[4:0] ;
localparam
VAR69 = 8'b00000001,
VAR21 = 8'b00000010,
VAR62 = 8'b00000100,
VAR1 = 8'b00001000,
VAR43 = 8'b00010000,
VAR60 = 8'b00100000,
VAR9 = 8'VAR6;
localparam
VAR66 = 8'b00000000,
VAR20 = 8'b11111111,
VAR44 = 25'd1, VAR65 = 8'b11101101;
localparam
VAR11 = 3'b000,
VAR4 = 3'b001,
VAR51 = 3'b010,
VAR27 = 3'b011,
VAR15 = 3'b100,
VAR38 = 3'b101,
VAR3 = 3'b110;
begin
begin
begin
end
begin
begin
end
begin
begin
begin
end
begin
end
begin
end
begin
end
begin
end
begin
end
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
end
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin
end | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nand2/sky130_fd_sc_hd__nand2.blackbox.v | 1,239 | module MODULE1 (
VAR3,
VAR4,
VAR1
);
output VAR3;
input VAR4;
input VAR1;
supply1 VAR2;
supply0 VAR5;
supply1 VAR7 ;
supply0 VAR6 ;
endmodule | apache-2.0 |
egyp7/mor1kx | rtl/verilog/mor1kx_decode.v | 17,632 | module MODULE1
parameter VAR110 = 32,
parameter VAR61 = {{(VAR110-13){1'b0}},
parameter VAR108 = 5,
parameter VAR5 = "VAR129",
parameter VAR30 = "VAR129",
parameter VAR85 = "VAR129",
parameter VAR96 = "VAR23",
parameter VAR17 = "VAR64",
parameter VAR141 = "VAR23",
parameter VAR10 = "VAR23",
parameter VAR65 = "VAR129",
parameter VAR25 = "VAR23",
parameter VAR105 = "VAR23",
parameter VAR19 = "VAR23",
parameter VAR12 = "VAR23",
parameter VAR101 = "VAR129",
parameter VAR16 = "VAR129",
parameter VAR124 = "VAR23",
parameter VAR4 = "VAR23",
parameter VAR1 = "VAR23",
parameter VAR77 = "VAR23",
parameter VAR95 = "VAR23",
parameter VAR33 = "VAR23",
parameter VAR14 = "VAR23",
parameter VAR135 = "VAR23",
parameter VAR120 = "VAR23",
parameter VAR15 = "VAR23",
parameter VAR60 = "VAR23"
)
(
input clk,
input rst,
input [VAR139-1:0] VAR26,
output [VAR87-1:0] VAR104,
output [VAR87-1:0] VAR76,
output [VAR131-1:0] VAR36,
output [VAR110-1:0] VAR117,
output VAR138,
output [9:0] VAR130,
output [VAR108-1:0] VAR55,
output [VAR108-1:0] VAR67,
output [VAR108-1:0] VAR123,
output VAR78,
output VAR127,
output VAR102,
output VAR93,
output VAR46,
output VAR86,
output VAR121,
output VAR88,
output VAR126,
output VAR3,
output VAR114,
output VAR48,
output reg [1:0] VAR9,
output VAR107,
output VAR41,
output VAR98,
output VAR125,
output VAR57,
output VAR68,
output VAR39,
output VAR32,
output VAR58,
output VAR128,
output VAR53,
output VAR136,
output VAR84,
output VAR106,
output VAR18,
output VAR43,
output [VAR28-1:0] VAR90,
output VAR66,
output VAR27,
output reg VAR31,
output VAR6,
output VAR24,
output [VAR22-1:0] VAR71
);
wire [VAR22-1:0] VAR59;
wire [VAR87-1:0] VAR140;
wire [VAR110-1:0] VAR133;
wire VAR99;
wire [VAR110-1:0] VAR70;
wire VAR38;
wire [VAR110-1:0] VAR50;
wire VAR72;
wire VAR20;
assign VAR59 = VAR26[VAR49];
assign VAR71 = VAR59;
assign VAR3 = (VAR26[31:30] == 2'b10) &
!(&VAR26[28:26]) &
!VAR26[29] ||
((VAR59 == VAR7) &
(VAR101!="VAR23"));
assign VAR114 = (VAR59 == VAR13) ||
(VAR59 == VAR29) ||
(VAR59 == VAR111) ||
((VAR59 == VAR74) &
(VAR101!="VAR23"));
assign VAR48 = ((VAR59 == VAR7) ||
(VAR59 == VAR74)) &
(VAR101!="VAR23");
always @(*)
case (VAR59)
VAR9 = 2'b00;
VAR9 = 2'b01;
VAR9 = 2'b10;
default:
VAR9 = 2'b10;
endcase
assign VAR107 = VAR59[0];
assign VAR43 = VAR16!="VAR23" &&
VAR59 == VAR119 &&
VAR26[VAR118] ==
assign VAR98 = VAR59 == VAR52;
assign VAR57 = VAR59 == VAR47 ||
VAR59 == VAR44;
assign VAR126 = VAR59 == VAR112 ||
VAR59 == VAR137 ||
VAR59 == VAR83 ||
VAR59 == VAR75;
assign VAR127 = VAR59 < VAR45;
assign VAR102 = VAR59 == VAR63 |
VAR59 == VAR82;
assign VAR93 = VAR59 == VAR82 |
VAR59 == VAR62;
assign VAR46 = VAR59 == VAR109;
assign VAR86 = VAR59 == VAR115;
assign VAR121 = VAR46 | VAR86;
assign VAR88 = VAR127 |
VAR102 |
VAR93;
assign VAR41 = VAR59 == VAR51;
assign VAR125 = VAR59 == VAR54;
assign VAR68 = (VAR59 == VAR112 &&
(VAR140 == VAR116 ||
VAR140 == VAR35 ||
VAR140 == VAR92)) ||
VAR59 == VAR11 ||
VAR59 == VAR42;
assign VAR32 = (VAR59 == VAR112 &&
VAR140 == VAR132) ||
VAR59 == VAR8;
assign VAR58 = VAR59 == VAR112 &&
VAR140 == VAR81;
assign VAR39 = VAR32 | VAR58;
assign VAR53 = VAR59 == VAR112 &&
VAR140 == VAR2;
assign VAR136 = VAR59 == VAR112 &&
VAR140 == VAR89;
assign VAR128 = VAR53 | VAR136;
assign VAR84 = VAR59 == VAR112 &&
VAR140 == VAR40 ||
VAR59 == VAR56;
assign VAR106 = VAR59 == VAR112 &&
VAR140 == VAR100;
assign VAR18 = VAR59 == VAR69;
generate
if (VAR1!="VAR23") begin : VAR122
assign VAR90 = { (VAR59 == VAR94),
VAR26[VAR28-2:0] };
end
else begin : VAR79
assign VAR90 = {VAR28{1'b0}};
end
endgenerate
assign VAR78 = (VAR59 == VAR62 |
VAR59 == VAR69 |
VAR59 == VAR82 |
VAR59 == VAR7) |
(VAR26[31:30] == 2'b10 &
!(VAR59 == VAR44)) |
(VAR26[31:30] == 2'b11 &
!(VAR59 == VAR47 |
VAR98 | VAR114));
assign VAR67 = VAR26[VAR73];
assign VAR123 = VAR26[VAR80];
assign VAR55 = VAR93 ? 9 :
VAR26[VAR103];
assign VAR36 = (VAR98 | VAR114) ?
{VAR26[25:21],VAR26[10:0]} :
VAR26[VAR97];
assign VAR130 = VAR26[25:16];
assign VAR133 = {{16{VAR36[15]}}, VAR36[15:0]};
assign VAR99 = ((VAR59[5:4] == 2'b10) &
~(VAR59 == VAR137) &
~(VAR59 == VAR83)) |
(VAR59 == VAR74) |
(VAR59 == VAR7) |
(VAR59 == VAR13) |
(VAR59 == VAR111) |
(VAR59 == VAR29);
assign VAR70 = {{16{1'b0}}, VAR36[15:0]};
assign VAR38 = ((VAR59[5:4] == 2'b10) &
((VAR59 == VAR137) |
(VAR59 == VAR83))) |
(VAR59 == VAR52);
assign VAR50 = {VAR36, 16'd0};
assign VAR72 = VAR18;
assign VAR117 = VAR99 ? VAR133 :
VAR38 ? VAR70 : VAR50;
assign VAR138 = VAR99 | VAR38 | VAR72;
assign VAR140 = VAR26[VAR91];
assign VAR104 = VAR59 == VAR137 ? VAR21 :
VAR59 == VAR83 ? VAR134 :
VAR59 == VAR75 ? VAR34 :
VAR140;
assign VAR76 = VAR57 ?
VAR26[VAR113]:
{1'b0,
VAR26[VAR37]};
assign VAR6 = VAR59 == VAR119 &&
VAR26[VAR118] ==
assign VAR24 = VAR59 == VAR119 &&
VAR26[VAR118] ==
always @*
case (VAR59)
VAR31 = 1'b0;
VAR31 = (VAR101=="VAR23");
VAR31 = (VAR77=="VAR23");
VAR31 = (VAR95=="VAR23");
VAR31 = (VAR33=="VAR23");
VAR31 = (VAR14=="VAR23");
VAR31 = (VAR135=="VAR23");
VAR31 = (VAR120=="VAR23");
VAR31 = (VAR15=="VAR23");
VAR31 = (VAR60=="VAR23");
VAR31 = (VAR1=="VAR23");
VAR31 = !(VAR110==64);
VAR31 = (VAR10=="VAR23");
VAR31 = (VAR96=="VAR23");
VAR31 = (VAR17=="VAR23");
case(VAR26[VAR37])
VAR31 = 1'b0;
VAR31 = (VAR65=="VAR23");
VAR31 = (VAR25=="VAR23");
default:
VAR31 = 1'b1;
endcase
case(VAR26[VAR91])
VAR31 = 1'b0;
VAR31 = (VAR19=="VAR23");
VAR31 = (VAR12=="VAR23");
VAR31 = (VAR141=="VAR23");
VAR31 = (VAR10=="VAR23");
VAR31 = (VAR17=="VAR23");
VAR31 = (VAR105=="VAR23");
case(VAR26[VAR37])
VAR31 = 1'b0;
VAR31 = (VAR65=="VAR23");
VAR31 = (VAR25=="VAR23");
default:
VAR31 = 1'b1;
endcase default:
VAR31 = 1'b1;
endcase
if ((VAR26[VAR118] ==
VAR5=="VAR129") ||
(VAR26[VAR118] ==
VAR30=="VAR129") ||
(VAR26[VAR118] ==
(VAR26[VAR118] ==
VAR124!="VAR23") ||
(VAR26[VAR118] ==
VAR4!="VAR23"))
VAR31 = 1'b0;
else
VAR31 = 1'b1;
end default:
VAR31 = 1'b1;
endcase
assign VAR66 = (VAR59 == VAR112 &
VAR140 == VAR92) |
VAR57;
assign VAR27 = (VAR10!="VAR23") &&
((VAR59 == VAR112 &
VAR140 == VAR116) ||
(VAR59 == VAR11));
endmodule | mpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/o211ai/sky130_fd_sc_hdll__o211ai_1.v | 2,377 | module MODULE2 (
VAR4 ,
VAR5 ,
VAR9 ,
VAR7 ,
VAR6 ,
VAR11,
VAR2,
VAR10 ,
VAR1
);
output VAR4 ;
input VAR5 ;
input VAR9 ;
input VAR7 ;
input VAR6 ;
input VAR11;
input VAR2;
input VAR10 ;
input VAR1 ;
VAR8 VAR3 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR10(VAR10),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR4 ,
VAR5,
VAR9,
VAR7,
VAR6
);
output VAR4 ;
input VAR5;
input VAR9;
input VAR7;
input VAR6;
supply1 VAR11;
supply0 VAR2;
supply1 VAR10 ;
supply0 VAR1 ;
VAR8 VAR3 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR6(VAR6)
);
endmodule | apache-2.0 |
SI-RISCV/e200_opensource | rtl/e203/perips/sirv_pwm16_core.v | 12,430 | module MODULE1(
input VAR53,
input reset,
input VAR141,
input [31:0] VAR7,
output [31:0] VAR158,
input VAR33,
input [31:0] VAR13,
output [31:0] VAR155,
input VAR1,
input [31:0] VAR78,
output [31:0] VAR165,
input VAR109,
input [15:0] VAR5,
output [15:0] VAR160,
input VAR72,
input [15:0] VAR58,
output [15:0] VAR25,
input VAR54,
input [15:0] VAR169,
output [15:0] VAR47,
input VAR83,
input [15:0] VAR105,
output [15:0] VAR43,
input VAR102,
input [15:0] VAR164,
output [15:0] VAR147,
input VAR161,
input [31:0] VAR57,
output [31:0] VAR187,
input VAR19,
input [31:0] VAR182,
output [31:0] VAR184,
output VAR190,
output VAR172,
output VAR59,
output VAR114,
output VAR101,
output VAR181,
output VAR64,
output VAR191
);
wire [3:0] VAR144;
reg [3:0] VAR74;
reg [31:0] VAR95;
wire [3:0] VAR118;
reg [15:0] VAR31;
reg [31:0] VAR36;
wire [15:0] VAR65;
reg [15:0] VAR108;
reg [31:0] VAR130;
wire [15:0] VAR152;
reg [15:0] VAR180;
reg [31:0] VAR178;
wire [15:0] VAR91;
reg [15:0] VAR189;
reg [31:0] VAR183;
wire [15:0] VAR163;
wire VAR173;
reg [4:0] VAR87;
reg [31:0] VAR131;
wire [4:0] VAR99;
wire [5:0] VAR60;
reg [25:0] VAR38;
reg [31:0] VAR11;
wire VAR41;
wire [26:0] VAR16;
wire [26:0] VAR44;
wire [30:0] VAR132;
wire [32:0] VAR55;
wire [27:0] VAR140;
wire [32:0] VAR148;
wire [27:0] VAR18;
wire [30:0] VAR121;
wire [15:0] VAR46;
wire VAR135;
wire [3:0] VAR42;
reg [3:0] VAR129;
reg [31:0] VAR128;
wire [3:0] VAR52;
wire VAR34;
wire VAR192;
wire [15:0] VAR56;
wire [15:0] VAR113;
wire VAR94;
wire VAR145;
wire VAR125;
wire [15:0] VAR137;
wire VAR30;
wire VAR115;
wire VAR166;
wire [15:0] VAR51;
wire VAR122;
wire VAR112;
wire VAR6;
wire [15:0] VAR133;
wire VAR171;
wire [5:0] VAR9;
wire [5:0] VAR98;
wire [4:0] VAR39;
wire [26:0] VAR100;
wire [26:0] VAR143;
wire [26:0] VAR127;
wire [25:0] VAR81;
wire [30:0] VAR45;
wire [4:0] VAR168;
wire [5:0] VAR48;
wire [4:0] VAR179;
wire [30:0] VAR63;
wire VAR75;
wire VAR85;
reg VAR23;
reg [31:0] VAR76;
wire VAR2;
wire VAR10;
wire VAR176;
wire [32:0] VAR170;
wire [27:0] VAR136;
wire VAR175;
reg VAR61;
reg [31:0] VAR106;
wire VAR120;
wire VAR151;
wire VAR139;
wire VAR17;
reg VAR86;
reg [31:0] VAR123;
wire VAR15;
wire VAR69;
reg VAR67;
reg [31:0] VAR49;
wire [1:0] VAR149;
wire [1:0] VAR186;
wire [3:0] VAR71;
reg [3:0] VAR156;
reg [31:0] VAR167;
wire [1:0] VAR73;
wire [1:0] VAR22;
wire [3:0] VAR4;
wire [3:0] VAR134;
wire [3:0] VAR126;
wire [3:0] VAR110;
wire [3:0] VAR27;
wire [3:0] VAR103;
wire [3:0] VAR3;
wire [3:0] VAR116;
wire [3:0] VAR26;
wire [3:0] VAR193;
wire [3:0] VAR84;
reg [3:0] VAR157;
reg [31:0] VAR70;
wire [3:0] VAR37;
wire VAR14;
wire VAR32;
wire VAR159;
reg VAR90;
reg [31:0] VAR146;
wire VAR142;
wire VAR20;
reg VAR35;
reg [31:0] VAR174;
wire VAR21;
wire [4:0] VAR185;
wire [8:0] VAR80;
wire [1:0] VAR88;
wire [2:0] VAR79;
wire [11:0] VAR29;
wire [2:0] VAR92;
wire [3:0] VAR111;
wire [7:0] VAR50;
wire [7:0] VAR117;
wire [15:0] VAR28;
wire [19:0] VAR96;
wire [31:0] VAR107;
wire VAR154;
wire VAR93;
wire VAR150;
wire VAR40;
wire VAR104;
wire VAR162;
wire VAR66;
wire VAR177;
wire [2:0] VAR188;
wire [3:0] VAR119;
wire [3:0] VAR97;
wire [3:0] VAR124;
wire [3:0] VAR24;
wire VAR68;
wire VAR82;
wire VAR89;
wire VAR138;
wire VAR12;
wire VAR77;
wire VAR153;
wire VAR62;
wire VAR8;
assign VAR158 = VAR107;
assign VAR155 = {{1'd0}, VAR132};
assign VAR165 = 32'h0;
assign VAR160 = VAR46;
assign VAR25 = VAR31;
assign VAR47 = VAR108;
assign VAR43 = VAR180;
assign VAR147 = VAR189;
assign VAR187 = 32'h0;
assign VAR184 = 32'h1;
assign VAR190 = VAR154;
assign VAR172 = VAR93;
assign VAR59 = VAR150;
assign VAR114 = VAR40;
assign VAR101 = VAR68;
assign VAR181 = VAR82;
assign VAR64 = VAR89;
assign VAR191 = VAR138;
assign VAR144 = VAR7[3:0];
assign VAR118 = VAR141 ? VAR144 : VAR74;
assign VAR65 = VAR72 ? VAR58 : VAR31;
assign VAR152 = VAR54 ? VAR169 : VAR108;
assign VAR91 = VAR83 ? VAR105 : VAR180;
assign VAR163 = VAR102 ? VAR164 : VAR189;
assign VAR173 = VAR8;
assign VAR99 = {{4'd0}, VAR173};
assign VAR60 = VAR87 + VAR99;
assign VAR41 = VAR60[5];
assign VAR16 = VAR38 + 26'h1;
assign VAR44 = VAR41 ? VAR16 : {{1'd0}, VAR38};
assign VAR132 = {VAR38,VAR87};
assign VAR55 = {1'h0,VAR13};
assign VAR140 = VAR55[32:5];
assign VAR148 = VAR33 ? VAR55 : {{27'd0}, VAR60};
assign VAR18 = VAR33 ? VAR140 : {{1'd0}, VAR44};
assign VAR121 = VAR132 >> VAR74;
assign VAR46 = VAR121[15:0];
assign VAR135 = VAR46[15];
assign VAR42 = VAR7[19:16];
assign VAR52 = VAR141 ? VAR42 : VAR129;
assign VAR34 = VAR129[0];
assign VAR192 = VAR135 & VAR34;
assign VAR56 = ~ VAR46;
assign VAR113 = VAR192 ? VAR56 : VAR46;
assign VAR94 = VAR113 >= VAR31;
assign VAR145 = VAR129[1];
assign VAR125 = VAR135 & VAR145;
assign VAR137 = VAR125 ? VAR56 : VAR46;
assign VAR30 = VAR137 >= VAR108;
assign VAR115 = VAR129[2];
assign VAR166 = VAR135 & VAR115;
assign VAR51 = VAR166 ? VAR56 : VAR46;
assign VAR122 = VAR51 >= VAR180;
assign VAR112 = VAR129[3];
assign VAR6 = VAR135 & VAR112;
assign VAR133 = VAR6 ? VAR56 : VAR46;
assign VAR171 = VAR133 >= VAR189;
assign VAR9 = {{1'd0}, VAR87};
assign VAR98 = VAR9 ^ VAR60;
assign VAR39 = VAR98[5:1];
assign VAR100 = {{1'd0}, VAR38};
assign VAR143 = VAR100 ^ VAR16;
assign VAR127 = VAR41 ? VAR143 : 27'h0;
assign VAR81 = VAR127[26:1];
assign VAR45 = {VAR81,VAR39};
assign VAR168 = {{1'd0}, VAR74};
assign VAR48 = VAR168 + 5'h10;
assign VAR179 = VAR48[4:0];
assign VAR63 = VAR45 >> VAR179;
assign VAR75 = VAR63[0];
assign VAR85 = VAR7[9];
assign VAR2 = VAR141 ? VAR85 : VAR23;
assign VAR10 = VAR23 & VAR94;
assign VAR176 = VAR75 | VAR10;
assign VAR170 = VAR176 ? 33'h0 : VAR148;
assign VAR136 = VAR176 ? 28'h0 : VAR18;
assign VAR175 = VAR7[10];
assign VAR120 = VAR141 ? VAR175 : VAR61;
assign VAR151 = VAR176 == 1'h0;
assign VAR139 = VAR61 & VAR151;
assign VAR17 = VAR7[8];
assign VAR15 = VAR141 ? VAR17 : VAR86;
assign VAR69 = VAR139 | VAR86;
assign VAR149 = {VAR125,VAR192};
assign VAR186 = {VAR6,VAR166};
assign VAR71 = {VAR186,VAR149};
assign VAR73 = {VAR30,VAR94};
assign VAR22 = {VAR171,VAR122};
assign VAR4 = {VAR22,VAR73};
assign VAR134 = VAR71 & VAR4;
assign VAR126 = ~ VAR71;
assign VAR110 = VAR67 ? 4'hf : 4'h0;
assign VAR27 = VAR110 & VAR156;
assign VAR103 = VAR4 | VAR27;
assign VAR3 = VAR126 & VAR103;
assign VAR116 = VAR134 | VAR3;
assign VAR26 = VAR7[31:28];
assign VAR193 = VAR141 ? VAR26 : VAR116;
assign VAR84 = VAR7[27:24];
assign VAR37 = VAR141 ? VAR84 : VAR157;
assign VAR14 = VAR7[13];
assign VAR32 = VAR14 & VAR151;
assign VAR159 = VAR141 | VAR176;
assign VAR142 = VAR159 ? VAR32 : VAR90;
assign VAR20 = VAR7[12];
assign VAR21 = VAR141 ? VAR20 : VAR35;
assign VAR185 = {VAR86,4'h0};
assign VAR80 = {VAR185,VAR74};
assign VAR88 = {1'h0,VAR61};
assign VAR79 = {VAR88,VAR23};
assign VAR29 = {VAR79,VAR80};
assign VAR92 = {2'h0,VAR90};
assign VAR111 = {VAR92,VAR35};
assign VAR50 = {4'h0,VAR129};
assign VAR117 = {VAR156,VAR157};
assign VAR28 = {VAR117,VAR50};
assign VAR96 = {VAR28,VAR111};
assign VAR107 = {VAR96,VAR29};
assign VAR154 = VAR104;
assign VAR93 = VAR162;
assign VAR150 = VAR66;
assign VAR40 = VAR177;
assign VAR104 = VAR156[0];
assign VAR162 = VAR156[1];
assign VAR66 = VAR156[2];
assign VAR177 = VAR156[3];
assign VAR188 = VAR156[3:1];
assign VAR119 = {VAR104,VAR188};
assign VAR97 = VAR157 & VAR119;
assign VAR124 = ~ VAR97;
assign VAR24 = VAR156 & VAR124;
assign VAR68 = VAR12;
assign VAR82 = VAR77;
assign VAR89 = VAR153;
assign VAR138 = VAR62;
assign VAR12 = VAR24[0];
assign VAR77 = VAR24[1];
assign VAR153 = VAR24[2];
assign VAR62 = VAR24[3];
assign VAR8 = VAR35 | VAR90;
always @(posedge VAR53 or posedge reset)
if(reset) begin
VAR74 <= 4'b0;
VAR31 <= 16'b0;
VAR108 <= 16'b0;
VAR180 <= 16'b0;
VAR189 <= 16'b0;
VAR87 <= 5'b0;
VAR38 <= 26'b0;
VAR129 <= 4'b0;
VAR23 <= 1'b0;
VAR61 <= 1'b0;
VAR86 <= 1'b0;
VAR67 <= 1'b0;
VAR156 <= 4'b0;
VAR157 <= 4'b0;
end
else begin
if (VAR141) begin
VAR74 <= VAR144;
end
if (VAR72) begin
VAR31 <= VAR58;
end
if (VAR54) begin
VAR108 <= VAR169;
end
if (VAR83) begin
VAR180 <= VAR105;
end
if (VAR102) begin
VAR189 <= VAR164;
end
VAR87 <= VAR170[4:0];
VAR38 <= VAR136[25:0];
if (VAR141) begin
VAR129 <= VAR42;
end
if (VAR141) begin
VAR23 <= VAR85;
end
if (VAR141) begin
VAR61 <= VAR175;
end
if (VAR141) begin
VAR86 <= VAR17;
end
VAR67 <= VAR69;
if (VAR141) begin
VAR156 <= VAR26;
end else begin
VAR156 <= VAR116;
end
if (VAR141) begin
VAR157 <= VAR84;
end
end
always @(posedge VAR53 or posedge reset)
if (reset) begin
VAR90 <= 1'h0;
end else begin
if (VAR159) begin
VAR90 <= VAR32;
end
end
always @(posedge VAR53 or posedge reset)
if (reset) begin
VAR35 <= 1'h0;
end else begin
if (VAR141) begin
VAR35 <= VAR20;
end
end
endmodule | apache-2.0 |
thotypous/rtai-irq-latency | PCIe_HW/de4_pcie_top.v | 1,599 | module MODULE1(
input VAR28,
input VAR11,
input VAR4,
input [3:0] VAR29,
output [3:0] VAR25,
output [7:0] VAR14,
output [35:0] VAR8
);
wire [16:0] VAR5;
wire [ 3:0] VAR26;
VAR1 VAR24 (
.VAR23(VAR28),
.VAR30(VAR5),
.VAR7(VAR26)
);
VAR9 VAR19 (
.VAR27 (VAR28),
.VAR18 (VAR11),
.VAR22 (VAR4),
.VAR21 (VAR29[0]),
.VAR17 (VAR29[1]),
.VAR12 (VAR29[2]),
.VAR3 (VAR29[3]),
.VAR2 (VAR25[0]),
.VAR16 (VAR25[1]),
.VAR13 (VAR25[2]),
.VAR15 (VAR25[3]),
.VAR20 (1'b1),
.VAR10 (VAR8[14]),
.VAR6 (VAR28),
.VAR5 (VAR5),
.VAR26 (VAR26),
);
endmodule | mit |
archlabo/Frix | fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/phy/mig_7series_v2_0_ddr_mc_phy_wrapper.v | 66,958 | module MODULE1 #
(
parameter VAR378 = 100, parameter VAR243 = 2500, parameter VAR96 = "VAR72", parameter VAR390 = "VAR315", parameter VAR165 = "VAR31", parameter VAR71 = "VAR404",
parameter VAR339 = 4, parameter VAR220 = 1, parameter VAR336 = 3, parameter VAR141 = 1, parameter VAR38 = 1, parameter VAR321 = 1, parameter VAR145 = 5, parameter VAR456 = "VAR463", parameter VAR349 = 8, parameter VAR91 = 16, parameter VAR55 = 3, parameter VAR393 = 8, parameter VAR245 = "VAR445", parameter VAR270 = 4, parameter VAR269 = 1, parameter VAR369 = "VAR50", parameter VAR307 = 16, parameter VAR196 = 1, parameter VAR433 = 1, parameter VAR15 = 1, parameter VAR289 = "VAR50", parameter VAR324 = 2,
parameter VAR155 = "VAR322",
parameter VAR313 = 4'hc,
parameter VAR229 = 4'hf,
parameter VAR13 = 4'hf,
parameter VAR413 = 4'hf,
parameter VAR133 = 4'hf,
parameter VAR299 = 4'b1111,
parameter VAR128 = 4'b0000,
parameter VAR362 = 4'b0000,
parameter VAR291 = 4'b0000,
parameter VAR379 = 4'b0000,
parameter VAR177 = 48'h000000000000,
parameter VAR312 = 48'h000000000000,
parameter VAR63 = 48'h000000000000,
parameter VAR439 = 3, parameter VAR338 = 12, parameter VAR343
= 144'h000000000000000000000000000000000000,
parameter VAR51
= 192'h000000000000000000000000000000000000000000000000,
parameter VAR134 = 36'h000000000,
parameter VAR47 = 12'h000,
parameter VAR54 = 8'h00,
parameter VAR125 = 96'h000000000000000000000000,
parameter VAR283 = 96'h000000000000000000000000,
parameter VAR45 = "VAR322",
parameter VAR292 = 120'h000000000000000000000000000000,
parameter VAR167 = 12'h000,
parameter VAR75 = 12'h000,
parameter VAR281 = 12'h000,
parameter VAR301
= 144'h000000000000000000000000000000000000,
parameter VAR184 = 96'h000000000000000000000000,
parameter VAR265 = 96'h000000000000000000000000,
parameter VAR347 = 96'h000000000000000000000000,
parameter VAR419 = 96'h000000000000000000000000,
parameter VAR284 = 96'h000000000000000000000000,
parameter VAR247 = 96'h000000000000000000000000,
parameter VAR360 = 96'h000000000000000000000000,
parameter VAR87 = 96'h000000000000000000000000,
parameter VAR86 = 96'h000000000000000000000000,
parameter VAR306 = 96'h000000000000000000000000,
parameter VAR457 = 96'h000000000000000000000000,
parameter VAR388 = 96'h000000000000000000000000,
parameter VAR136 = 96'h000000000000000000000000,
parameter VAR228 = 96'h000000000000000000000000,
parameter VAR124 = 96'h000000000000000000000000,
parameter VAR405 = 96'h000000000000000000000000,
parameter VAR246 = 96'h000000000000000000000000,
parameter VAR395 = 96'h000000000000000000000000,
parameter VAR316 = 108'h000000000000000000000000000,
parameter VAR293 = 108'h000000000000000000000000000,
parameter VAR398 = "VAR276",
parameter VAR209 = 1
)
(
input rst,
input clk,
input VAR310,
input VAR169,
input VAR2,
input VAR28,
input VAR375,
input VAR22,
input VAR240,
input [31:0] VAR151,
input VAR234,
input VAR303,
input VAR117,
input [5:0] VAR104,
input [5:0] VAR157,
input [3:0] VAR146,
input [3:0] VAR242,
output [4:0] VAR364,
output [5:0] VAR261,
output VAR386,
output VAR20,
output VAR372,
output VAR427,
output VAR376,
output [(VAR321 * VAR324)-1:0] VAR106,
output VAR118,
input VAR255,
input VAR353,
input VAR335,
input [5:0] VAR171,
input [VAR439-1:0] VAR434,
input [VAR439-1:0] VAR36,
input [2:0] VAR19,
input [2:0] VAR346,
input [2:0] VAR67,
input [2:0] VAR384,
input VAR449,
input VAR295,
input [2:0] VAR183,
input [8:0] VAR418,
output [8:0] VAR49,
output [5:0] VAR368,
input [VAR439-1:0] VAR108,
input VAR345,
input VAR251,
input VAR127,
input [5:0] VAR111,
input VAR42,
input VAR68,
input VAR435,
input VAR264,
output VAR431,
output VAR392,
output VAR248,
output VAR408,
output VAR225,
input VAR267,
input [VAR339*VAR307-1:0] VAR342,
input [VAR339*VAR336-1:0] VAR24,
input [VAR339-1:0] VAR187,
input [VAR38*VAR220*VAR339-1:0] VAR323,
input [VAR339-1:0] VAR344,
input [1:0] VAR37,
input [VAR339-1:0] VAR221,
input [VAR339-1:0] VAR250,
input [VAR339-1:0] VAR182,
input [2*VAR339*VAR91-1:0] VAR191,
input [2*VAR339*(VAR91/8)-1:0] VAR331,
input VAR190,
output [2*VAR339*VAR91-1:0] VAR115,
output [VAR307-1:0] VAR320,
output [VAR336-1:0] VAR84,
output VAR285,
output [VAR141-1:0] VAR425,
output [VAR38*VAR220-1:0] VAR120,
output [VAR349-1:0] VAR82,
output [VAR269-1:0] VAR351,
output VAR88,
output VAR137,
output VAR78,
output VAR380,
inout [VAR91-1:0] VAR277,
inout [VAR393-1:0] VAR337,
inout [VAR393-1:0] VAR6
,input VAR186
,output VAR382
,input VAR450
,output [11:0] VAR432
,output [11:0] VAR97
);
function [71:0] VAR174;
input [143:0] VAR254;
integer VAR204 ;
begin
VAR174 = 'b0 ;
for (VAR204 = 0; VAR204 < VAR321; VAR204 = VAR204 + 1) begin
if ((VAR343[((VAR204*8)+4)+:4]) == 2)
VAR174[48+(4*VAR204)+1*(VAR343[(VAR204*8)+:4])] = 1'b1;
end
else if ((VAR343[((VAR204*8)+4)+:4]) == 1)
VAR174[24+(4*VAR204)+1*(VAR343[(VAR204*8)+:4])] = 1'b1;
end
else
VAR174[4*VAR204+1*(VAR343[(VAR204*8)+:4])] = 1'b1;
end
end
endfunction
function [(2*VAR321*8)-1:0] VAR249;
input [143:0] VAR254;
integer VAR340;
begin
VAR249 = 'b0 ;
for(VAR340 = 0 ; VAR340 < VAR321 ; VAR340= VAR340 + 1) begin
VAR249[(VAR340*2*8)+:8] = (VAR254[(VAR340*8)+:4] == 4'd0) ? "VAR180" :
(VAR254[(VAR340*8)+:4] == 4'd1) ? "VAR296" :
(VAR254[(VAR340*8)+:4] == 4'd2) ? "VAR227" : "VAR464" ;
VAR249[(((VAR340*2)+1)*8)+:8] = (VAR254[((VAR340*8)+4)+:4] == 4'd0) ? "0" :
(VAR254[((VAR340*8)+4)+:4] == 4'd1) ? "1" : "2" ; end
end
endfunction
localparam VAR282
= (VAR289 == "VAR50") ? "VAR322" :
((VAR289 == "VAR31") ? "VAR44" : "VAR444");
localparam VAR18 = VAR91 / VAR393;
localparam VAR359 = 2*VAR339;
localparam VAR64 = 4 / VAR339;
localparam VAR61 = VAR243 * VAR339;
localparam VAR429 = {VAR395[12*VAR18-1:0],
VAR246[12*VAR18-1:0],
VAR405[12*VAR18-1:0],
VAR124[12*VAR18-1:0],
VAR228[12*VAR18-1:0],
VAR136[12*VAR18-1:0],
VAR388[12*VAR18-1:0],
VAR457[12*VAR18-1:0],
VAR306[12*VAR18-1:0],
VAR86[12*VAR18-1:0],
VAR87[12*VAR18-1:0],
VAR360[12*VAR18-1:0],
VAR247[12*VAR18-1:0],
VAR284[12*VAR18-1:0],
VAR419[12*VAR18-1:0],
VAR347[12*VAR18-1:0],
VAR265[12*VAR18-1:0],
VAR184[12*VAR18-1:0]};
localparam VAR213 = {VAR293, VAR316};
localparam VAR401 = VAR174(VAR343) ;
localparam VAR272 = VAR249(VAR343) ;
function [143:0] VAR387;
input [215:0] VAR280;
integer VAR175;
begin
VAR387 = 'b0;
if (VAR433 == 1)
for (VAR175 = 0; VAR175 < VAR349; VAR175 = VAR175 + 1)
VAR387[48*VAR280[(12*VAR175+8)+:3] +
12*VAR280[(12*VAR175+4)+:2] +
VAR280[12*VAR175+:4]] = 1'b1;
end
endfunction
localparam VAR131 = VAR387(VAR213);
localparam VAR244 = VAR131[47:0];
localparam VAR341 = VAR131[95:48];
localparam VAR417 = VAR131[143:96];
localparam VAR358
= (VAR54[7:4] == 4'h0) ? 0 :
((VAR54[7:4] == 4'h1) ? 1 :
((VAR54[7:4] == 4'h2) ? 2 :
((VAR54[7:4] == 4'h3) ? 3 :
((VAR54[7:4] == 4'h4) ? 4 : -1))));
localparam VAR101
= (VAR54[3:0] == 4'h0) ? "VAR180" :
((VAR54[3:0] == 4'h1) ? "VAR296" :
((VAR54[3:0] == 4'h2) ? "VAR227" :
((VAR54[3:0] == 4'h3) ? "VAR464" : "VAR444")));
localparam VAR3
= (VAR125[11:8] == 4'h0) ? 0 :
((VAR125[11:8] == 4'h1) ? 1 :
((VAR125[11:8] == 4'h2) ? 2 :
((VAR125[11:8] == 4'h3) ? 3 :
((VAR125[11:8] == 4'h4) ? 4 : -1))));
localparam VAR90
= (VAR125[7:4] == 4'h0) ? "VAR180" :
((VAR125[7:4] == 4'h1) ? "VAR296" :
((VAR125[7:4] == 4'h2) ? "VAR227" :
((VAR125[7:4] == 4'h3) ? "VAR464" : "VAR444")));
localparam VAR402 = (VAR45 == "VAR44") ? VAR358 : VAR3 ;
localparam VAR119 = (VAR45 == "VAR44") ? VAR101 : VAR90 ;
localparam VAR327 = (((VAR398 == "VAR276") && (VAR243 > 2500)) || (VAR243 >= 3333)) ? "VAR322" : "VAR44";
localparam VAR286 = VAR243 > 5000 ? "VAR233" :
VAR243 > 2500 ? "VAR14": "VAR276";
localparam VAR297 = (VAR286 == "VAR233" ? 4 :
VAR286 == "VAR14" ? 2 : 1);
localparam real VAR406 = 0.4392/VAR297 + 100.0/VAR243;
localparam real VAR262 = 0.5*(VAR327 == "VAR44" ? 1 : 0);
localparam real VAR109 = ((VAR327 == "VAR44" ? 1.25 : 0.25) -
(VAR406 + VAR262))
* 63 * VAR297;
localparam integer VAR76
= (VAR243 > 2273) ? 34 :
(VAR243 > 2000) ? 33 :
(VAR243 > 1724) ? 32 :
(VAR243 > 1515) ? 31 :
(VAR243 > 1315) ? 30 :
(VAR243 > 1136) ? 29 :
(VAR243 > 1021) ? 28 : 27;
localparam integer VAR458 = (VAR398 == "VAR276") ?
((VAR243 > 2500) ? 8 :
(VAR245 == "VAR445") ? VAR76 : 30) :
VAR109;
localparam VAR112 = (VAR398 != "VAR422") ? 0 :
(VAR243 < 1000) ? 0 :
(VAR243 < 1330) ? 0 :
(VAR243 < 2300) ? 0 :
(VAR243 < 2500) ? 2 : 0;
localparam VAR5 = 10;
localparam VAR367 = 10;
localparam VAR260 = 10;
localparam VAR181 = 10;
localparam VAR268 = (VAR339 == 4) ? 8 : 4;
localparam VAR256 = (VAR339 == 4) ? 8 : 4;
localparam VAR164 = (VAR339 == 4) ? 8 : 4;
localparam VAR35 = (VAR339 == 4) ? 8 : 4;
localparam VAR95 = 7;
localparam VAR230 = 7;
localparam VAR4 = 7;
localparam VAR328 = 7;
localparam VAR70 = (VAR369 == "VAR31") ? VAR145 + 1 : VAR145;
localparam VAR8 = (VAR339 == 4) ? (VAR70 % 2) ? 8 : 9 :
(VAR145 < 7) ?
4 + ((VAR70 % 2) ? 0 : 1) :
5 + ((VAR70 % 2) ? 0 : 1);
localparam VAR140 = (VAR339 == 4) ? "VAR44" : "VAR322";
wire [((VAR338+3)/4)*4-1:0] VAR224;
wire [VAR338-1:0] VAR314;
wire [VAR338-1:0] VAR232;
wire [VAR338-1:0] VAR135;
wire [VAR338*10-1:0] VAR17;
wire [VAR338*12-1:0] VAR27;
wire [VAR338*12-1:0] VAR194;
wire [VAR91-1:0] VAR33;
wire [VAR393-1:0] VAR383;
wire [VAR307-1:0] VAR69;
wire [VAR336-1:0] VAR113;
wire VAR461;
wire [VAR38*VAR220-1:0] VAR123;
wire [VAR349-1:0] VAR170;
wire [VAR269 -1:0] VAR354;
wire [VAR141 -1 :0] VAR399 ;
wire [VAR91-1:0] VAR11;
wire [VAR393-1:0] VAR215;
wire VAR207;
wire VAR107;
wire VAR206;
wire [VAR338*80-1:0] VAR152;
wire [VAR338*80-1:0] VAR21;
wire VAR223;
wire [VAR349-1:0] VAR394;
wire [VAR91-1:0] VAR288;
wire [VAR393-1:0] VAR189;
reg [31:0] VAR252;
reg [31:0] VAR121;
reg VAR93;
reg VAR370;
reg [5:0] VAR30;
reg [5:0] VAR98;
reg [5:0] VAR304;
reg [5:0] VAR421;
wire [31:0] VAR459;
wire VAR371;
wire [5:0] VAR103;
wire [5:0] VAR365;
wire [5:0] VAR231;
wire [5:0] VAR185;
wire [31:0] VAR397;
wire VAR416 ;
wire [3:0] VAR400;
wire VAR373;
assign VAR223 = !VAR386;
assign VAR364 = VAR112;
assign VAR261 = VAR458;
assign VAR373 = VAR165 == "VAR31" ? VAR264 : 1'b0;
generate
if(VAR45 == "VAR44")begin:VAR161
if (VAR141 == 1) begin : VAR279
VAR46 VAR122
(
.VAR214 (VAR224[4*VAR402]),
.VAR409 (VAR425)
);
end else begin: VAR23
VAR46 VAR154
(
.VAR214 (VAR224[4*VAR402]),
.VAR409 (VAR425[0])
);
VAR46 VAR59
(
.VAR214 (VAR224[4*VAR402+2]),
.VAR409 (VAR425[1])
);
end
end
endgenerate
generate
if(VAR45 == "VAR44")begin:VAR396
if (VAR15 == 1) begin : VAR163
VAR46 VAR138
(
.VAR214 (VAR224[4*VAR402+1]),
.VAR409 (VAR351[0])
);
if (VAR269 == 2 && VAR270 == 1) begin: VAR162
VAR46 VAR403
(
.VAR214 (VAR224[4*VAR402+2]),
.VAR409 (VAR351[1])
);
end else if (VAR269 == 2 && VAR270 == 2) begin: VAR420
VAR46 VAR403
(
.VAR214 (VAR224[4*VAR402+3]),
.VAR409 (VAR351[1])
);
end else if (VAR269 == 3 && VAR270 == 1) begin: VAR83
VAR46 VAR403
(
.VAR214 (VAR224[4*VAR402+2]),
.VAR409 (VAR351[1])
);
VAR46 VAR237
(
.VAR214 (VAR224[4*VAR402+3]),
.VAR409 (VAR351[2])
);
end
end else begin
assign VAR351 = 'b0;
end
end
endgenerate
generate
genvar VAR452, VAR437;
for (VAR452 = 0; VAR452 < VAR91; VAR452 = VAR452 + 1) begin: VAR156
for (VAR437 = 0; VAR437 < VAR359; VAR437 = VAR437 + 1) begin: VAR271
assign VAR115[VAR91*VAR437 + VAR452]
= VAR152[(320*VAR429[(12*VAR452+8)+:3]+
80*VAR429[(12*VAR452+4)+:2] +
8*VAR429[12*VAR452+:4]) + VAR437];
end
end
endgenerate
assign VAR461
= VAR27[48*VAR47[10:8] + 12*VAR47[5:4] + VAR47[3:0]];
generate
if (VAR47[3:0] < 4'hA) begin: VAR195
assign VAR21[(320*VAR47[10:8] + 80*VAR47[5:4] +
8*VAR47[3:0])+:4]
= {VAR187[3/VAR64], VAR187[2/VAR64],
VAR187[1/VAR64], VAR187[0]};
end else begin: VAR26
assign VAR21[(320*VAR47[10:8] + 80*VAR47[5:4] +
8*(VAR47[3:0]-5) + 4)+:4]
= {VAR187[3/VAR64], VAR187[2/VAR64],
VAR187[1/VAR64], VAR187[0]};
end
endgenerate
assign VAR107
= VAR27[48*VAR75[10:8] + 12*VAR75[5:4] + VAR75[3:0]];
generate
if (VAR75[3:0] < 4'hA) begin: VAR407
assign VAR21[(320*VAR75[10:8] + 80*VAR75[5:4] +
8*VAR75[3:0])+:4]
= {VAR344[3/VAR64], VAR344[2/VAR64],
VAR344[1/VAR64], VAR344[0]};
end else begin: VAR205
assign VAR21[(320*VAR75[10:8] + 80*VAR75[5:4] +
8*(VAR75[3:0]-5) + 4)+:4]
= {VAR344[3/VAR64], VAR344[2/VAR64],
VAR344[1/VAR64], VAR344[0]};
end
endgenerate
assign VAR206
= VAR27[48*VAR281[10:8] + 12*VAR281[5:4] + VAR281[3:0]];
generate
if (VAR281[3:0] < 4'hA) begin: VAR219
assign VAR21[(320*VAR281[10:8] + 80*VAR281[5:4] +
8*VAR281[3:0])+:4]
= {VAR250[3/VAR64], VAR250[2/VAR64],
VAR250[1/VAR64], VAR250[0]};
end else begin: VAR430
assign VAR21[(320*VAR281[10:8] + 80*VAR281[5:4] +
8*(VAR281[3:0]-5) + 4)+:4]
= {VAR250[3/VAR64], VAR250[2/VAR64],
VAR250[1/VAR64], VAR250[0]};
end
endgenerate
generate
if (VAR369 == "VAR31") begin: VAR148
assign VAR207
= VAR27[48*VAR167[10:8] + 12*VAR167[5:4] +
VAR167[3:0]];
if (VAR167[3:0] < 4'hA) begin: VAR410
assign VAR21[(320*VAR167[10:8] + 80*VAR167[5:4] +
8*VAR167[3:0])+:4]
= {VAR182[3/VAR64], VAR182[2/VAR64],
VAR182[1/VAR64], VAR182[0]};
end else begin: VAR216
assign VAR21[(320*VAR167[10:8] + 80*VAR167[5:4] +
8*(VAR167[3:0]-5) + 4)+:4]
= {VAR182[3/VAR64], VAR182[2/VAR64],
VAR182[1/VAR64], VAR182[0]};
end
end
endgenerate
generate
genvar VAR56, VAR66,VAR235;
for (VAR56 = 0; VAR56 < VAR307; VAR56 = VAR56 + 1) begin: VAR442
assign VAR69[VAR56]
= VAR27[48*VAR51[(12*VAR56+8)+:3] +
12*VAR51[(12*VAR56+4)+:2] +
VAR51[12*VAR56+:4]];
if (VAR51[12*VAR56+:4] < 4'hA) begin: VAR410
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR51[(12*VAR56+8)+:3] +
80*VAR51[(12*VAR56+4)+:2] +
8*VAR51[12*VAR56+:4] + VAR66]
= VAR342[VAR307*(VAR66/VAR64) + VAR56];
end
end else begin: VAR216
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR51[(12*VAR56+8)+:3] +
80*VAR51[(12*VAR56+4)+:2] +
8*(VAR51[12*VAR56+:4]-5) + 4 + VAR66]
= VAR342[VAR307*(VAR66/VAR64) + VAR56];
end
end
end
for (VAR56 = 0; VAR56 < VAR336; VAR56 = VAR56 + 1) begin: VAR57
assign VAR113[VAR56]
= VAR27[48*VAR134[(12*VAR56+8)+:3] +
12*VAR134[(12*VAR56+4)+:2] +
VAR134[12*VAR56+:4]];
if (VAR134[12*VAR56+:4] < 4'hA) begin: VAR410
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR134[(12*VAR56+8)+:3] +
80*VAR134[(12*VAR56+4)+:2] +
8*VAR134[12*VAR56+:4] + VAR66]
= VAR24[VAR336*(VAR66/VAR64) + VAR56];
end
end else begin: VAR216
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR134[(12*VAR56+8)+:3] +
80*VAR134[(12*VAR56+4)+:2] +
8*(VAR134[12*VAR56+:4]-5) + 4 + VAR66]
= VAR24[VAR336*(VAR66/VAR64) + VAR56];
end
end
end
if (VAR196 == 1) begin: VAR43
for (VAR56 = 0; VAR56 < VAR38*VAR220; VAR56 = VAR56 + 1) begin: VAR12
assign VAR123[VAR56]
= VAR27[48*VAR292[(12*VAR56+8)+:3] +
12*VAR292[(12*VAR56+4)+:2] +
VAR292[12*VAR56+:4]];
if (VAR292[12*VAR56+:4] < 4'hA) begin: VAR410
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR292[(12*VAR56+8)+:3] +
80*VAR292[(12*VAR56+4)+:2] +
8*VAR292[12*VAR56+:4] + VAR66]
= VAR323[VAR38*VAR220*(VAR66/VAR64) + VAR56];
end
end else begin: VAR216
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR292[(12*VAR56+8)+:3] +
80*VAR292[(12*VAR56+4)+:2] +
8*(VAR292[12*VAR56+:4]-5) + 4 + VAR66]
= VAR323[VAR38*VAR220*(VAR66/VAR64) + VAR56];
end
end
end
end
if(VAR45 == "VAR322") begin
wire [VAR269*VAR339 -1 :0] VAR259 ;
if(VAR270 == 1) begin
for(VAR235 =0 ; VAR235 < VAR339 ; VAR235 = VAR235+1) begin
assign VAR259[(VAR235*VAR269)+:VAR269] = {VAR269{VAR37[0]}} ;
end
end else begin
for(VAR235 =0 ; VAR235 < 2*VAR339 ; VAR235 = VAR235+2) begin
assign VAR259[(VAR235*VAR269/VAR270)+:VAR269/VAR270] = {VAR269/VAR270{VAR37[0]}} ;
assign VAR259[((VAR235*VAR269/VAR270)+(VAR269/VAR270))+:VAR269/VAR270] = {VAR269/VAR270{VAR37[1]}} ;
end
end
if (VAR15 == 1) begin: VAR166
for (VAR56 = 0; VAR56 < VAR269; VAR56 = VAR56 + 1) begin: VAR16
assign VAR354[VAR56]
= VAR27[48*VAR283[(12*VAR56+8)+:3] +
12*VAR283[(12*VAR56+4)+:2] +
VAR283[12*VAR56+:4]];
if (VAR283[12*VAR56+:4] < 4'hA) begin: VAR410
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR283[(12*VAR56+8)+:3] +
80*VAR283[(12*VAR56+4)+:2] +
8*VAR283[12*VAR56+:4] + VAR66]
= VAR259[VAR269*(VAR66/VAR64) + VAR56];
end
end else begin: VAR216
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR283[(12*VAR56+8)+:3] +
80*VAR283[(12*VAR56+4)+:2] +
8*(VAR283[12*VAR56+:4]-5) + 4 + VAR66]
= VAR259[VAR269*(VAR66/VAR64) + VAR56];
end
end
end
end
wire [VAR141*VAR339 -1:0] VAR381 ;
for(VAR235 = 0 ; VAR235 < VAR339 ; VAR235 = VAR235 +1) begin
assign VAR381[(VAR235*VAR141)+:VAR141] = {VAR141{VAR221[VAR235]}} ;
end
for (VAR56 = 0; VAR56 < VAR141; VAR56 = VAR56 + 1) begin: VAR114
assign VAR399[VAR56]
= VAR27[48*VAR125[(12*VAR56+8)+:3] +
12*VAR125[(12*VAR56+4)+:2] +
VAR125[12*VAR56+:4]];
if (VAR125[12*VAR56+:4] < 4'hA) begin: VAR410
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR125[(12*VAR56+8)+:3] +
80*VAR125[(12*VAR56+4)+:2] +
8*VAR125[12*VAR56+:4] + VAR66]
= VAR381[VAR141*(VAR66/VAR64) + VAR56];
end
end else begin: VAR216
for (VAR66 = 0; VAR66 < 4; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR125[(12*VAR56+8)+:3] +
80*VAR125[(12*VAR56+4)+:2] +
8*(VAR125[12*VAR56+:4]-5) + 4 + VAR66]
= VAR381[VAR141*(VAR66/VAR64) + VAR56];
end
end
end
end
if (VAR433 == 1) begin: VAR29
for (VAR56 = 0; VAR56 < VAR349; VAR56 = VAR56 + 1) begin: VAR29
assign VAR170[VAR56]
= VAR27[48*VAR213[(12*VAR56+8)+:3] +
12*VAR213[(12*VAR56+4)+:2] +
VAR213[12*VAR56+:4]];
assign VAR394[VAR56]
= VAR194[48*VAR213[(12*VAR56+8)+:3] +
12*VAR213[(12*VAR56+4)+:2] +
VAR213[12*VAR56+:4]];
for (VAR66 = 0; VAR66 < VAR359; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR213[(12*VAR56+8)+:3] +
80*VAR213[(12*VAR56+4)+:2] +
8*VAR213[12*VAR56+:4] + VAR66]
= VAR331[VAR349*VAR66 + VAR56];
end
end
end
for (VAR56 = 0; VAR56 < VAR91; VAR56 = VAR56 + 1) begin: VAR41
assign VAR17[40*VAR429[(12*VAR56+8)+:3] +
10*VAR429[(12*VAR56+4)+:2] +
VAR429[12*VAR56+:4]]
= VAR33[VAR56];
assign VAR11[VAR56]
= VAR27[48*VAR429[(12*VAR56+8)+:3] +
12*VAR429[(12*VAR56+4)+:2] +
VAR429[12*VAR56+:4]];
assign VAR288[VAR56]
= VAR194[48*VAR429[(12*VAR56+8)+:3] +
12*VAR429[(12*VAR56+4)+:2] +
VAR429[12*VAR56+:4]];
for (VAR66 = 0; VAR66 < VAR359; VAR66 = VAR66 + 1) begin: VAR385
assign VAR21[320*VAR429[(12*VAR56+8)+:3] +
80*VAR429[(12*VAR56+4)+:2] +
8*VAR429[12*VAR56+:4] + VAR66]
= VAR191[VAR91*VAR66 + VAR56];
end
end
for (VAR56 = 0; VAR56 < VAR393; VAR56 = VAR56 + 1) begin: VAR40
assign VAR314[4*VAR301[(8*VAR56+4)+:3] + VAR301[(8*VAR56)+:2]]
= VAR383[VAR56];
assign VAR215[VAR56]
= VAR232[4*VAR301[(8*VAR56+4)+:3] + VAR301[(8*VAR56)+:2]];
assign VAR189[VAR56]
= VAR135[4*VAR301[(8*VAR56+4)+:3] + VAR301[(8*VAR56)+:2]];
end
endgenerate
VAR46 VAR52
(
.VAR214 (VAR461),
.VAR409 (VAR285)
);
VAR46 VAR53
(
.VAR214 (VAR107),
.VAR409 (VAR137)
);
VAR46 VAR415
(
.VAR214 (VAR206),
.VAR409 (VAR78)
);
generate
genvar VAR377;
for (VAR377 = 0; VAR377 < VAR307; VAR377 = VAR377 + 1) begin: VAR423
VAR46 VAR460
(
.VAR214 (VAR69[VAR377]),
.VAR409 (VAR320[VAR377])
);
end
for (VAR377 = 0; VAR377 < VAR336; VAR377 = VAR377 + 1) begin: VAR451
VAR46 VAR105
(
.VAR214 (VAR113[VAR377]),
.VAR409 (VAR84[VAR377])
);
end
if (VAR196 == 1) begin: VAR39
for (VAR377 = 0; VAR377 < VAR38*VAR220; VAR377 = VAR377 + 1) begin: VAR294
VAR46 VAR208
(
.VAR214 (VAR123[VAR377]),
.VAR409 (VAR120[VAR377])
);
end
end
if(VAR45 == "VAR322")begin:VAR309
if (VAR15== 1) begin: VAR263
for (VAR377 = 0; VAR377 < VAR269; VAR377 = VAR377 + 1) begin: VAR263
VAR46 VAR208
(
.VAR214 (VAR354[VAR377]),
.VAR409 (VAR351[VAR377])
);
end
end
for (VAR377 = 0; VAR377 < VAR141; VAR377 = VAR377 + 1) begin: VAR453
VAR46 VAR208
(
.VAR214 (VAR399[VAR377]),
.VAR409 (VAR425[VAR377])
);
end
end
if (VAR369 == "VAR31") begin: VAR99
VAR46 VAR176
(
.VAR214 (VAR207),
.VAR409 (VAR88)
);
end else begin: VAR201
assign VAR88 = 1'b0;
end
if ((VAR245 == "VAR445") || (VAR369 == "VAR31")) begin: VAR147
VAR46 VAR89
(
.VAR214 (VAR190),
.VAR409 (VAR380)
);
end else begin: VAR48
assign VAR380 = 1'b1;
end
if (VAR433 == 1) begin: VAR168
for (VAR377 = 0; VAR377 < VAR349; VAR377 = VAR377 + 1) begin: VAR77
VAR257 VAR132
(
.VAR214 (VAR170[VAR377]),
.VAR110 (VAR394[VAR377]),
.VAR409 (VAR82[VAR377])
);
end
end else begin: VAR192
assign VAR82 = 'b0;
end
if (VAR390 == "VAR455") begin: VAR60
for (VAR377 = 0; VAR377 < VAR91; VAR377 = VAR377 + 1) begin: VAR92
VAR273 #
(
.VAR282 (VAR282)
)
VAR239
(
.VAR210 (VAR373),
.VAR356 (VAR373),
.VAR214 (VAR11[VAR377]),
.VAR110 (VAR288[VAR377]),
.VAR409 (VAR33[VAR377]),
.VAR438 (VAR277[VAR377])
);
end
end else if (VAR390 == "VAR274") begin: VAR1
for (VAR377 = 0; VAR377 < VAR91; VAR377 = VAR377 + 1) begin: VAR92
VAR329 #
(
.VAR282 (VAR282)
)
VAR239
(
.VAR150 (VAR373),
.VAR356 (VAR373),
.VAR214 (VAR11[VAR377]),
.VAR110 (VAR288[VAR377]),
.VAR409 (VAR33[VAR377]),
.VAR438 (VAR277[VAR377])
);
end
end else begin: VAR236
for (VAR377 = 0; VAR377 < VAR91; VAR377 = VAR377 + 1) begin: VAR92
VAR34 #
(
.VAR282 (VAR282)
)
VAR239
(
.VAR214 (VAR11[VAR377]),
.VAR110 (VAR288[VAR377]),
.VAR409 (VAR33[VAR377]),
.VAR438 (VAR277[VAR377])
);
end
end
if (VAR390 == "VAR455") begin: VAR193
for (VAR377 = 0; VAR377 < VAR393; VAR377 = VAR377 + 1) begin: VAR226
if ((VAR245 == "VAR202") &&
(VAR456 != "VAR463")) begin: VAR143
VAR273 #
(
.VAR282 (VAR282)
)
VAR305
(
.VAR210 (VAR373),
.VAR356 (VAR373),
.VAR214 (VAR215[VAR377]),
.VAR110 (VAR189[VAR377]),
.VAR409 (VAR383[VAR377]),
.VAR438 (VAR337[VAR377])
);
assign VAR6[VAR377] = 1'b0;
end else begin: VAR200
VAR25 #
(
.VAR282 (VAR282),
.VAR178 ("VAR44")
)
VAR305
(
.VAR210 (VAR373),
.VAR356 (VAR373),
.VAR214 (VAR215[VAR377]),
.VAR110 (VAR189[VAR377]),
.VAR409 (VAR383[VAR377]),
.VAR438 (VAR337[VAR377]),
.VAR424 (VAR6[VAR377])
);
end
end
end else if (VAR390 == "VAR274") begin: VAR448
for (VAR377 = 0; VAR377 < VAR393; VAR377 = VAR377 + 1) begin: VAR226
if ((VAR245 == "VAR202") &&
(VAR456 != "VAR463")) begin: VAR143
VAR329 #
(
.VAR282 (VAR282)
)
VAR305
(
.VAR150 (VAR373),
.VAR356 (VAR373),
.VAR214 (VAR215[VAR377]),
.VAR110 (VAR189[VAR377]),
.VAR409 (VAR383[VAR377]),
.VAR438 (VAR337[VAR377])
);
assign VAR6[VAR377] = 1'b0;
end else begin: VAR200
VAR65 #
(
.VAR282 (VAR282),
.VAR178 ("VAR44")
)
VAR305
(
.VAR150 (VAR373),
.VAR356 (VAR373),
.VAR214 (VAR215[VAR377]),
.VAR110 (VAR189[VAR377]),
.VAR409 (VAR383[VAR377]),
.VAR438 (VAR337[VAR377]),
.VAR424 (VAR6[VAR377])
);
end
end
end else begin: VAR211
for (VAR377 = 0; VAR377 < VAR393; VAR377 = VAR377 + 1) begin: VAR226
if ((VAR245 == "VAR202") &&
(VAR456 != "VAR463")) begin: VAR143
VAR34 #
(
.VAR282 (VAR282)
)
VAR305
(
.VAR214 (VAR215[VAR377]),
.VAR110 (VAR189[VAR377]),
.VAR409 (VAR383[VAR377]),
.VAR438 (VAR337[VAR377])
);
assign VAR6[VAR377] = 1'b0;
end else begin: VAR200
VAR142 #
(
.VAR282 (VAR282),
.VAR178 ("VAR44")
)
VAR305
(
.VAR214 (VAR215[VAR377]),
.VAR110 (VAR189[VAR377]),
.VAR409 (VAR383[VAR377]),
.VAR438 (VAR337[VAR377]),
.VAR424 (VAR6[VAR377])
);
end
end
end
endgenerate
always @(posedge clk) begin
end
assign VAR459 = (VAR339 == 4) ? VAR121 : VAR397;
assign VAR371 = (VAR339 == 4) ? VAR370 : VAR416;
assign VAR103 = (VAR339 == 4) ? VAR98 : VAR231;
assign VAR365 = (VAR339 == 4) ? VAR421 : VAR185;
generate
begin
VAR462 #
(
.VAR378 (25),
.VAR278 (8),
.VAR300 (32)
)
VAR212
(
.clk (clk),
.rst (rst),
.VAR253 (VAR400[1]),
.VAR32 (VAR234),
.din (VAR151),
.VAR447 (VAR416),
.dout (VAR397)
);
VAR462 #
(
.VAR378 (25),
.VAR278 (8),
.VAR300 (6)
)
VAR465
(
.clk (clk),
.rst (rst),
.VAR253 (VAR400[2]),
.VAR32 (VAR234),
.din (VAR104),
.VAR447 (),
.dout (VAR231)
);
VAR462 #
(
.VAR378 (25),
.VAR278 (8),
.VAR300 (6)
)
VAR160
(
.clk (clk),
.rst (rst),
.VAR253 (VAR400[3]),
.VAR32 (VAR234),
.din (VAR157),
.VAR447 (),
.dout (VAR185)
);
end
endgenerate
assign VAR20 = VAR400[0];
VAR80 #
(
.VAR299 (VAR299),
.VAR128 (VAR128),
.VAR362 (VAR362),
.VAR291 (VAR291),
.VAR379 (VAR379),
.VAR313 (VAR313),
.VAR229 (VAR229),
.VAR13 (VAR13),
.VAR413 (VAR413),
.VAR133 (VAR133),
.VAR177 (VAR177),
.VAR312 (VAR312),
.VAR63 (VAR63),
.VAR244 (VAR244),
.VAR341 (VAR341),
.VAR417 (VAR417),
.VAR9 (VAR402),
.VAR334 (VAR119),
.VAR129 (VAR272),
.VAR58 (VAR401),
.VAR298 (VAR321),
.VAR324 (VAR324),
.VAR319 ("VAR322"),
.VAR155 ("VAR322"),
.VAR454 (VAR339),
.VAR209 (VAR209),
.VAR412 (63),
.VAR130 (18),
.VAR140 ("VAR322"), .VAR330 ("VAR322"),
.VAR317 ((VAR398 == "VAR276") ? "VAR44" : "VAR322"),
.VAR144 ("VAR44"), .VAR308 ("VAR322"),
.VAR286 (VAR286),
.VAR8 (VAR8), .VAR5 (VAR5),
.VAR367 (VAR367),
.VAR260 (VAR260),
.VAR181 (VAR181),
.VAR428 (6),
.VAR179 (6),
.VAR266 (6),
.VAR325 (6),
.VAR268 (VAR268),
.VAR256 (VAR256),
.VAR164 (VAR164),
.VAR35 (VAR35),
.VAR95 (VAR95),
.VAR230 (VAR230),
.VAR4 (VAR4),
.VAR328 (VAR328),
.VAR222 ((VAR270 == 1) ? 1 : 5),
.VAR458 (VAR458),
.VAR391 (VAR458),
.VAR414 (VAR458),
.VAR361 (VAR458),
.VAR443 (VAR327),
.VAR112 (VAR112),
.VAR85 (VAR112),
.VAR173 (VAR112),
.VAR290 (VAR112),
.VAR441 ("VAR322"),
.VAR426 (VAR458),
.VAR357 (VAR458),
.VAR149 (VAR458),
.VAR318 (VAR458),
.VAR62 (VAR112),
.VAR355 (VAR112),
.VAR436 (VAR112),
.VAR203 (VAR112),
.VAR7 ("VAR322"),
.VAR389 (VAR458),
.VAR374 (VAR458),
.VAR172 (VAR458),
.VAR197 (VAR458),
.VAR159 (VAR112),
.VAR116 (VAR112),
.VAR102 (VAR112),
.VAR126 (VAR112),
.VAR446 (VAR243),
.VAR73 (VAR71)
,.VAR100 (VAR71)
,.VAR311 (VAR71)
,.VAR96 (VAR96)
,.VAR45 (VAR45)
)
VAR275
(
.rst (rst),
.VAR332 (1'b1),
.VAR258 (clk),
.VAR310 (VAR310),
.VAR169 (VAR169),
.VAR411 (clk),
.VAR2 (VAR2),
.VAR139 (),
.VAR28 (VAR28),
.VAR375 (),
.VAR21 (VAR21),
.VAR22 (VAR22),
.VAR240 (VAR240),
.VAR223 (VAR223),
.VAR151 (VAR459),
.VAR234 (VAR371),
.VAR198 (VAR303),
.VAR94 (VAR117),
.VAR74 ('b1),
.VAR146 (VAR146),
.VAR242 (VAR242),
.VAR104 (VAR103),
.VAR157 (VAR365),
.VAR241 (),
.VAR366 (),
.VAR386 (VAR386),
.VAR348 (),
.VAR188 (),
.VAR363 (),
.VAR352 (VAR372),
.VAR79 (),
.VAR218 (VAR376),
.VAR435 (VAR435),
.VAR42 (VAR42),
.VAR68 (VAR68),
.VAR199 (),
.VAR152 (VAR152),
.VAR153 (),
.VAR20 (VAR400),
.VAR27 (VAR27),
.VAR194 (VAR194),
.VAR17 (VAR17),
.VAR232 (VAR232),
.VAR135 (VAR135),
.VAR314 (VAR314),
.VAR224 (VAR224),
.VAR350 (),
.VAR158 (),
.VAR106 (VAR106),
.VAR326 (VAR118),
.VAR255 (VAR255),
.VAR353 (VAR353),
.VAR171 (VAR171),
.VAR335 (VAR335),
.VAR434 (VAR434),
.VAR36 (VAR36),
.VAR440 ('b0),
.VAR19 (VAR19),
.VAR346 (VAR346),
.VAR67 (VAR67),
.VAR384 (VAR384),
.VAR449 (VAR449),
.VAR183 (VAR183),
.VAR418 (VAR418),
.VAR295 (VAR295),
.VAR81 (),
.VAR238 (),
.VAR49 (VAR49),
.VAR108 (VAR108),
.VAR345 (VAR345),
.VAR251 (VAR251),
.VAR127 (VAR127),
.VAR10 (VAR186),
.VAR111 (VAR111),
.VAR333 (),
.VAR368 (VAR368),
.VAR431 (VAR431),
.VAR392 (VAR392),
.VAR248 (),
.VAR217 (VAR248),
.VAR408 (VAR408),
.VAR287 (VAR97),
.VAR225 (VAR225)
,.VAR382 (VAR382)
,.VAR302 (VAR432)
);
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a21oi/sky130_fd_sc_ls__a21oi_4.v | 2,261 | module MODULE2 (
VAR5 ,
VAR7 ,
VAR4 ,
VAR10 ,
VAR3,
VAR6,
VAR9 ,
VAR1
);
output VAR5 ;
input VAR7 ;
input VAR4 ;
input VAR10 ;
input VAR3;
input VAR6;
input VAR9 ;
input VAR1 ;
VAR8 VAR2 (
.VAR5(VAR5),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR5 ,
VAR7,
VAR4,
VAR10
);
output VAR5 ;
input VAR7;
input VAR4;
input VAR10;
supply1 VAR3;
supply0 VAR6;
supply1 VAR9 ;
supply0 VAR1 ;
VAR8 VAR2 (
.VAR5(VAR5),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
impedimentToProgress/ProbableCause | ddr2/cores/ddr2/ddr2_infrastructure.v | 12,437 | module MODULE1 #
(
parameter VAR83 = 3000,
parameter VAR117 = "VAR99",
parameter VAR76 = "VAR54",
parameter VAR87 = 1
)
(
input VAR126,
input VAR43,
input VAR34,
input VAR58,
input VAR41,
input VAR89,
output VAR59,
output VAR107,
output VAR125,
output VAR98,
input VAR101,
input VAR133,
output VAR39,
output VAR55,
output VAR103,
output VAR104
);
localparam VAR25 = 25;
localparam VAR68 = VAR83 / 1000.0;
localparam VAR61 = VAR83/1000;
localparam VAR20 = "VAR14";
wire VAR84;
wire VAR91;
wire VAR23;
wire VAR97;
wire VAR37;
wire VAR12;
wire VAR6;
wire VAR15;
wire VAR74;
wire VAR29;
reg [VAR25-1:0] VAR45 ;
reg [VAR25-1:0] VAR113 ;
reg [VAR25-1:0] VAR121 ;
reg [(VAR25/2)-1:0] VAR106 ;
wire VAR4;
wire VAR64;
wire VAR90;
assign VAR90 = VAR87 ? ~VAR101: VAR101;
assign VAR59 = VAR84;
assign VAR107 = VAR23;
assign VAR125 = VAR37;
assign VAR98 = VAR6;
generate
if(VAR117 == "VAR99") begin : VAR8
VAR60 VAR93
(
.VAR28 (VAR126),
.VAR114 (VAR43),
.VAR44 (VAR64)
);
VAR60 VAR122
(
.VAR28 (VAR58),
.VAR114 (VAR41),
.VAR44 (VAR12)
);
end
endgenerate
assign VAR64 = VAR34;
assign VAR37 = VAR89;
generate
if (VAR20 == "VAR14") begin : VAR38
VAR56 #
(
.VAR9 ("VAR16"),
.VAR46 (VAR68),
.VAR110 (10.000),
.VAR2 (VAR61),
.VAR24 (VAR61),
.VAR116 (VAR61*2),
.VAR10 (1),
.VAR3 (1),
.VAR7 (1),
.VAR108 (0.000),
.VAR67 (90.000),
.VAR85 (0.000),
.VAR50 (0.000),
.VAR88 (0.000),
.VAR52 (0.000),
.VAR19 (0.500),
.VAR73 (0.500),
.VAR131 (0.500),
.VAR94 (0.500),
.VAR42 (0.500),
.VAR134 (0.500),
.VAR115 ("VAR111"),
.VAR129 (1),
.VAR11 (VAR61),
.VAR130 (0.0),
.VAR31 (0.005000)
)
VAR51
(
.VAR22 (VAR74),
.VAR80 (1'b1),
.VAR92 (VAR64),
.VAR69 (1'b0),
.VAR123 (5'b0),
.VAR105 (1'b0),
.VAR62 (1'b0),
.VAR102 (16'b0),
.VAR27 (1'b0),
.VAR21 (1'b0),
.VAR124 (VAR90),
.VAR132 (),
.VAR53 (VAR74),
.VAR26 (),
.VAR63 (),
.VAR75 (),
.VAR77 (),
.VAR82 (),
.VAR30 (),
.VAR78 (VAR91),
.VAR96 (VAR97),
.VAR47 (VAR15),
.VAR70 (),
.VAR120 (),
.VAR13 (),
.VAR32 (),
.VAR95 (),
.VAR49 (VAR29)
);
end else if (VAR20 == "VAR128") begin: VAR127
VAR35 #
(
.VAR65 (VAR68),
.VAR86 (2.0),
.VAR79 (VAR76),
.VAR109 ("VAR72"),
.VAR48 (16'hF0F0)
)
VAR18
(
.VAR118 (VAR91),
.VAR71 (),
.VAR100 (),
.VAR17 (),
.VAR40 (),
.VAR81 (VAR97),
.VAR66 (VAR15),
.VAR33 (),
.VAR112 (),
.VAR49 (VAR29),
.VAR1 (VAR84),
.VAR36 (VAR64),
.VAR124 (VAR90)
);
end
endgenerate
VAR57 VAR135
(
.VAR44 (VAR84),
.VAR28 (VAR91)
);
VAR57 VAR5
(
.VAR44 (VAR23),
.VAR28 (VAR97)
);
VAR57 VAR119
(
.VAR44 (VAR6),
.VAR28 (VAR15)
);
assign VAR4 = VAR90 | ~VAR29 | ~VAR133;
always @(posedge VAR84 or posedge VAR4)
if (VAR4)
VAR45 <= {VAR25{1'b1}};
end
else
VAR45 <= VAR45 << 1;
always @(posedge VAR6 or posedge VAR4)
if (VAR4)
VAR106 <= {(VAR25/2){1'b1}};
else
VAR106 <= VAR106 << 1;
always @(posedge VAR23 or posedge VAR4)
if (VAR4)
VAR121 <= {VAR25{1'b1}};
else
VAR121 <= VAR121 << 1;
always @(posedge VAR37 or negedge VAR29)
if (!VAR29)
VAR113 <= {VAR25{1'b1}};
else
VAR113 <= VAR113 << 1;
assign VAR39 = VAR45[VAR25-1];
assign VAR55 = VAR121[VAR25-1];
assign VAR103 = VAR113[VAR25-1];
assign VAR104 = VAR106[(VAR25/2)-1];
endmodule | mit |
mithro/soft-utmi | hdl/third_party/XAPP1064-serdes-macros/Verilog_Source/Macros/serdes_n_to_1_s8_diff.v | 8,828 | module MODULE1 (VAR43, VAR17, reset, VAR37, VAR35, VAR20, VAR12) ;
parameter integer VAR41 = 8 ; parameter integer VAR27 = 16 ;
input VAR43 ; input VAR17 ; input reset ; input VAR37 ; input [(VAR27*VAR41)-1:0] VAR35 ; output [VAR27-1:0] VAR20 ; output [VAR27-1:0] VAR12 ;
wire [VAR27:0] VAR28 ; wire [VAR27:0] VAR15 ; wire [VAR27:0] VAR48 ; wire [VAR27:0] VAR21 ; wire [VAR27:0] VAR18 ; wire [VAR27*8:0] VAR29 ; wire [VAR27*4:0] VAR44 ;
parameter [VAR27-1:0] VAR46 = 16'h0000 ;
genvar VAR8 ;
genvar VAR49 ;
generate
for (VAR8 = 0 ; VAR8 <= (VAR27-1) ; VAR8 = VAR8+1)
begin : VAR54
VAR26 VAR19 (
.VAR11 (VAR20[VAR8]),
.VAR42 (VAR12[VAR8]),
.VAR55 (VAR18[VAR8]));
if (VAR41 > 4) begin
for (VAR49 = 0 ; VAR49 <= (VAR41-1) ; VAR49 = VAR49+1)
begin : VAR51
assign VAR29[(8*VAR8)+VAR49] = VAR35[(VAR8)+(VAR27*VAR49)] ^ VAR46[VAR8] ;
end
VAR34 #(
.VAR33 (VAR41), .VAR45 ("VAR3"), .VAR22 ("VAR3"), .VAR5 ("VAR25"), .VAR58 ("VAR52"))
VAR61 (
.VAR2 (VAR18[VAR8]),
.VAR63 (1'b1),
.VAR38 (VAR43),
.VAR36 (1'b0),
.VAR13 (VAR17),
.VAR16 (reset),
.VAR23 (VAR37),
.VAR56 (VAR29[(8*VAR8)+7]),
.VAR24 (VAR29[(8*VAR8)+6]),
.VAR4 (VAR29[(8*VAR8)+5]),
.VAR1 (VAR29[(8*VAR8)+4]),
.VAR40 (),
.VAR14 (1'b0),
.VAR39 (1'b0),
.VAR7 (1'b0),
.VAR62 (1'b0),
.VAR31 (1'b0),
.VAR32 (1'b1),
.VAR9 (1'b1), .VAR30 (1'b1), .VAR59 (VAR15[VAR8]), .VAR53 (VAR21[VAR8]), .VAR57 (VAR28[VAR8]), .VAR47 (VAR48[VAR8]), .VAR50 (), .VAR60 ()) ;
VAR34 #(
.VAR33 (VAR41), .VAR45 ("VAR3"), .VAR22 ("VAR3"), .VAR5 ("VAR10"), .VAR58 ("VAR52"))
VAR6 (
.VAR2 (),
.VAR63 (1'b1),
.VAR38 (VAR43),
.VAR36 (1'b0),
.VAR13 (VAR17),
.VAR16 (reset),
.VAR23 (VAR37),
.VAR56 (VAR29[(8*VAR8)+3]),
.VAR24 (VAR29[(8*VAR8)+2]),
.VAR4 (VAR29[(8*VAR8)+1]),
.VAR1 (VAR29[(8*VAR8)+0]),
.VAR40 (),
.VAR14 (1'b0),
.VAR39 (1'b0),
.VAR7 (1'b0),
.VAR62 (1'b0),
.VAR31 (1'b0),
.VAR32 (1'b1),
.VAR9 (VAR28[VAR8]), .VAR30 (VAR48[VAR8]), .VAR59 (1'b1), .VAR53 (1'b1), .VAR57 (), .VAR47 (), .VAR50 (VAR15[VAR8]), .VAR60 (VAR21[VAR8])) ; end
if (VAR41 < 5) begin
for (VAR49 = 0 ; VAR49 <= (VAR41-1) ; VAR49 = VAR49+1)
begin : VAR51
assign VAR44[(4*VAR8)+VAR49] = VAR35[(VAR8)+(VAR27*VAR49)] ^ VAR46[VAR8] ;
end
VAR34 #(
.VAR33 (VAR41), .VAR45 ("VAR3"), .VAR22 ("VAR3")) VAR61 (
.VAR2 (VAR18[VAR8]),
.VAR63 (1'b1),
.VAR38 (VAR43),
.VAR36 (1'b0),
.VAR13 (VAR17),
.VAR16 (reset),
.VAR23 (VAR37),
.VAR56 (VAR44[(4*VAR8)+3]),
.VAR24 (VAR44[(4*VAR8)+2]),
.VAR4 (VAR44[(4*VAR8)+1]),
.VAR1 (VAR44[(4*VAR8)+0]),
.VAR40 (),
.VAR14 (1'b0),
.VAR39 (1'b0),
.VAR7 (1'b0),
.VAR62 (1'b0),
.VAR31 (1'b0),
.VAR32 (1'b1),
.VAR9 (1'b1), .VAR30 (1'b1), .VAR59 (1'b1), .VAR53 (1'b1), .VAR57 (), .VAR47 (), .VAR50 (), .VAR60 ()) ; end
end
endgenerate
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/sdfrtp/sky130_fd_sc_hs__sdfrtp.symbol.v | 1,474 | module MODULE1 (
input VAR5 ,
output VAR7 ,
input VAR6,
input VAR4 ,
input VAR3 ,
input VAR8
);
supply1 VAR2;
supply0 VAR1;
endmodule | apache-2.0 |
juan199/Lab_Digitales | exp2/IMUL16.v | 2,734 | module MODULE1 # (parameter VAR1 = 2)
(
input wire [VAR1-1:0] VAR14,
input wire [VAR1-1:0] VAR17,
output wire [2*VAR1-1:0] VAR12
);
wire [VAR1-1:0] VAR7 [VAR1-2:0]; wire[VAR1-1:0] VAR4 [VAR1-1:0]; genvar VAR15, VAR6;
assign VAR12[0]=VAR14[0]&VAR17[0]; assign VAR4[0][VAR1-1]=1'b0;
generate
for(VAR15=0; VAR15<VAR1-1;VAR15=VAR15+1)
begin:VAR8
assign VAR7[VAR15][0]=0;
for(VAR6=0; VAR6<VAR1;VAR6=VAR6+1)
begin:VAR10
if(VAR15==0 & VAR6<VAR1-1)
begin
assign VAR4[0][VAR6]=VAR14[VAR6+1]&VAR17[0];
end
if(VAR6<(VAR1-1))
begin
if(VAR6==0)
begin
VAR3 VAR2(
.VAR16(VAR14[0]&VAR17[VAR15+1]),
.VAR11(VAR4[VAR15][0]),
.VAR9(VAR12[VAR15+1]),
.VAR13(VAR7[ VAR15 ][ 0 ] ),
.VAR5(VAR7[ VAR15 ][ 1 ] )
);
end
else
begin
VAR3 VAR2(
.VAR16(VAR14[VAR6]&VAR17[VAR15+1]),
.VAR11(VAR4[VAR15][VAR6]),
.VAR9(VAR4[VAR15+1][VAR6-1]),
.VAR13(VAR7[ VAR15 ][ VAR6 ] ),
.VAR5(VAR7[ VAR15 ][ VAR6 + 1])
);
end
end
if(VAR6==(VAR1-1) & VAR15<VAR1-2)
begin
VAR3 VAR2(
.VAR16(VAR14[VAR6]&VAR17[VAR15+1]),
.VAR11(VAR4[VAR15][VAR6]),
.VAR9(VAR4[VAR15+1][VAR6-1]),
.VAR13(VAR7[ VAR15 ][ VAR6 ] ),
.VAR5(VAR4[ VAR15 + 1 ][ VAR6])
);
end
if(VAR15==VAR1-2)
begin
assign VAR12[VAR6+VAR1]=VAR4[VAR15+1][VAR6];
end
end
end
endgenerate
endmodule | lgpl-3.0 |
impedimentToProgress/UCI-BlueChip | AttackFiles/Attacks/memAttack/lib/gleichmann/miscellaneous/postponer.v | 2,317 | module MODULE1 (
VAR3, VAR22, VAR23, VAR5, VAR12, VAR19,
VAR7, VAR6, VAR4, VAR10,
VAR8, VAR17, VAR2, VAR21, VAR15, VAR18, VAR16, VAR13,
VAR14, VAR11
) ;
parameter VAR1 = 32;
parameter VAR20 = 32;
parameter VAR9 = 1;
input VAR8, VAR17, VAR2, VAR21;
input [VAR1-1:0] VAR15;
input [1:0] VAR18;
input [2:0] VAR16, VAR13;
input [VAR20-1:0] VAR14;
input [3:0] VAR11;
output VAR3, VAR22, VAR23, VAR5;
output [VAR1-1:0] VAR12;
output [1:0] VAR19;
output [2:0] VAR7, VAR6;
output [VAR20-1:0] VAR4;
output [3:0] VAR10;
reg [VAR1-1:0] VAR12;
reg [2:0] VAR6;
reg [3:0] VAR10;
reg VAR5;
reg VAR22;
reg VAR3;
reg [2:0] VAR7;
reg [1:0] VAR19;
reg [VAR20-1:0] VAR4;
reg VAR23;
always @ (VAR15 or VAR13 or VAR11 or VAR21
or VAR17 or VAR8 or VAR16 or VAR18 or VAR14
or VAR2)
begin
VAR3 <= #VAR9 VAR8;
VAR22 <= #VAR9 VAR17;
VAR23 <= #VAR9 VAR2;
VAR5 <= #VAR9 VAR21;
VAR12 <= #VAR9 VAR15;
VAR19 <= #VAR9 VAR18;
VAR7 <= #VAR9 VAR16;
VAR6 <= #VAR9 VAR13;
VAR4 <= #VAR9 VAR14;
VAR10 <= #VAR9 VAR11;
end
endmodule | mit |
stanford-ppl/spatial-lang | spatial/core/resources/chiselgen/template-level/fringeDE1SoC/Computer_System/synthesis/submodules/altera_up_video_clipper_add.v | 6,837 | module MODULE1 (
clk,
reset,
VAR3,
VAR2,
VAR31,
VAR27,
VAR4,
VAR13,
VAR14,
VAR15,
VAR22,
VAR24,
VAR19,
VAR6
);
parameter VAR1 = 15; parameter VAR25 = 0;
parameter VAR9 = 640; parameter VAR37 = 480; parameter VAR38 = 9; parameter VAR11 = 8;
parameter VAR26 = 0;
parameter VAR10 = 0;
parameter VAR30 = 0;
parameter VAR33 = 0;
parameter VAR8 = 16'h0;
input clk;
input reset;
input [VAR1: 0] VAR3;
input VAR2;
input VAR31;
input [VAR25: 0] VAR27;
input VAR4;
input VAR13;
output VAR14;
output reg [VAR1: 0] VAR15;
output reg VAR22;
output reg VAR24;
output reg [VAR25: 0] VAR19;
output reg VAR6;
wire VAR17;
wire VAR12;
wire VAR35;
wire VAR36;
always @(posedge clk)
begin
if (reset)
begin
VAR15 <= 'h0;
VAR22 <= 1'b0;
VAR24 <= 1'b0;
VAR19 <= 'h0;
VAR6 <= 1'b0;
end
else if (VAR13 | ~VAR6)
begin
if (VAR36)
VAR15 <= VAR3;
end
else
VAR15 <= VAR8;
VAR22 <= VAR12;
VAR24 <= VAR35;
VAR19 <= 'h0;
if (VAR36)
VAR6 <= VAR4;
end
else
VAR6 <= 1'b1;
end
end
assign VAR14 = VAR36 & (~VAR6 | VAR13);
assign VAR17 = (~VAR6 | VAR13) &
(~VAR36 | VAR4);
VAR32 VAR29 (
.clk (clk),
.reset (reset),
.VAR17 (VAR17),
.VAR34 (VAR12),
.VAR5 (VAR35),
.VAR20 (),
.VAR18 (),
.VAR21 (VAR36)
);
VAR29.VAR9 = VAR9,
VAR29.VAR37 = VAR37,
VAR29.VAR38 = VAR38,
VAR29.VAR11 = VAR11,
VAR29.VAR23 = VAR26,
VAR29.VAR7 = VAR10,
VAR29.VAR28 = VAR30,
VAR29.VAR16 = VAR33;
endmodule | mit |
skyfex/svo-raycaster | raycaster2/raycast_slave.v | 10,563 | module MODULE1
(
VAR48,
VAR55,
VAR40, VAR5,
VAR17, VAR19, VAR60,
VAR27, VAR24,
VAR22, VAR45, VAR10, VAR14,
VAR21,
VAR4,
VAR31, VAR58, VAR23, VAR64,
VAR30,
VAR35,
VAR7,
VAR6,
VAR59, VAR51,
VAR56
);
input VAR48;
input VAR55;
input [7:0] VAR40;
input [7:0] VAR5;
input VAR17;
input VAR19;
input VAR60;
input [2:0] VAR27;
input [1:0] VAR24;
output reg [7:0] VAR22;
output reg VAR45;
output VAR10;
output VAR14;
output VAR21;
output VAR4;
output [31:0] VAR31;
output [31:0] VAR58;
output [31:0] VAR23;
output [31:0] VAR64;
input VAR30;
input [31:0] VAR7;
input [31:0] VAR35;
output VAR6;
output [511:0] VAR59;
output [511:0] VAR51;
input [127:0] VAR56;
reg [7:0] VAR25; reg [7:0] VAR33;
reg [31:0] VAR46;
reg [31:0] VAR15;
reg [31:0] VAR62;
reg [31:0] VAR34;
reg [31:0] VAR32 = 32'hffffbae3;
reg [31:0] VAR53 = 32'h00000000;
reg [31:0] VAR57 = 32'h00000000;
reg [31:0] VAR26 = 32'h00000000;
reg [31:0] VAR16 = 32'h00000000;
reg [31:0] VAR37 = 32'hffffcc27;
reg [31:0] VAR36 = 32'h00000000;
reg [31:0] VAR28 = 32'h00000000;
reg [31:0] VAR44 = 32'h00000000;
reg [31:0] VAR13 = 32'h00000000;
reg [31:0] VAR47 = 32'h00000000;
reg [31:0] VAR54 = 32'h000033d9;
reg [31:0] VAR42 = 32'h00000000;
reg [31:0] VAR61 = 32'h00000000;
reg [31:0] VAR38 = 32'h00010000;
reg [31:0] VAR50 = 32'hfffef985;
assign VAR59 = {
VAR32, VAR53, VAR57, VAR26,
VAR16, VAR37, VAR36, VAR28,
VAR44, VAR13, VAR47, VAR54,
VAR42, VAR61, VAR38, VAR50
};
reg [31:0] VAR11 = 32'h0000ff06;
reg [31:0] VAR3 = 32'h00000000;
reg [31:0] VAR9 = 32'h0000164f;
reg [31:0] VAR29 = 32'h00002c9f;
reg [31:0] VAR49 = 32'h00000000;
reg [31:0] VAR39 = 32'h00010000;
reg [31:0] VAR63 = 32'h00000000;
reg [31:0] VAR20 = 32'h00000000;
reg [31:0] VAR1 = 32'hffffe9b1;
reg [31:0] VAR52 = 32'h00000000;
reg [31:0] VAR43 = 32'h0000ff06;
reg [31:0] VAR18 = 32'h0000fe0d;
reg [31:0] VAR2 = 32'h00000000;
reg [31:0] VAR8 = 32'h00000000;
reg [31:0] VAR41 = 32'h00000000;
reg [31:0] VAR12 = 32'h00010000;
assign VAR51 = {
VAR11, VAR3, VAR9, VAR29,
VAR49, VAR39, VAR63, VAR20,
VAR1, VAR52, VAR43, VAR18,
VAR2, VAR8, VAR41, VAR12
};
assign VAR21 = VAR25[0];
assign VAR4 = VAR25[1];
assign VAR31 = VAR46;
assign VAR58 = VAR15;
assign VAR23 = VAR62;
assign VAR64 = VAR34;
assign VAR6 = VAR33[0];
always @(posedge VAR48)
if (VAR55)
begin
VAR25 <= 8'b0;
VAR46 <= 32'd83886084;
VAR15 <= 32'd307200;
VAR62 <= 32'd100857520;
end
else if (VAR60 & VAR17) begin
case (VAR40)
0: begin
VAR25 <= VAR5;
end
4: VAR46[31:24] <= VAR5;
5: VAR46[23:16] <= VAR5;
6: VAR46[15: 8] <= VAR5;
7: VAR46[ 7: 0] <= VAR5;
8: VAR15[31:24] <= VAR5;
9: VAR15[23:16] <= VAR5;
10: VAR15[15: 8] <= VAR5;
11: VAR15[ 7: 0] <= VAR5;
12: VAR62[31:24] <= VAR5;
13: VAR62[23:16] <= VAR5;
14: VAR62[15: 8] <= VAR5;
15: VAR62[ 7: 0] <= VAR5;
16: VAR34[31:24] <= VAR5;
17: VAR34[23:16] <= VAR5;
18: VAR34[15: 8] <= VAR5;
19: VAR34[ 7: 0] <= VAR5;
20 : VAR32[31:24] <= VAR5;
21 : VAR32[23:16] <= VAR5;
22 : VAR32[15: 8] <= VAR5;
23 : VAR32[ 7: 0] <= VAR5;
24 : VAR53[31:24] <= VAR5;
25 : VAR53[23:16] <= VAR5;
26 : VAR53[15: 8] <= VAR5;
27 : VAR53[ 7: 0] <= VAR5;
28 : VAR57[31:24] <= VAR5;
29 : VAR57[23:16] <= VAR5;
30 : VAR57[15: 8] <= VAR5;
31 : VAR57[ 7: 0] <= VAR5;
32 : VAR26[31:24] <= VAR5;
33 : VAR26[23:16] <= VAR5;
34 : VAR26[15: 8] <= VAR5;
35 : VAR26[ 7: 0] <= VAR5;
36 : VAR16[31:24] <= VAR5;
37 : VAR16[23:16] <= VAR5;
38 : VAR16[15: 8] <= VAR5;
39 : VAR16[ 7: 0] <= VAR5;
40 : VAR37[31:24] <= VAR5;
41 : VAR37[23:16] <= VAR5;
42 : VAR37[15: 8] <= VAR5;
43 : VAR37[ 7: 0] <= VAR5;
44 : VAR36[31:24] <= VAR5;
45 : VAR36[23:16] <= VAR5;
46 : VAR36[15: 8] <= VAR5;
47 : VAR36[ 7: 0] <= VAR5;
48 : VAR28[31:24] <= VAR5;
49 : VAR28[23:16] <= VAR5;
50 : VAR28[15: 8] <= VAR5;
51 : VAR28[ 7: 0] <= VAR5;
52 : VAR44[31:24] <= VAR5;
53 : VAR44[23:16] <= VAR5;
54 : VAR44[15: 8] <= VAR5;
55 : VAR44[ 7: 0] <= VAR5;
56 : VAR13[31:24] <= VAR5;
57 : VAR13[23:16] <= VAR5;
58 : VAR13[15: 8] <= VAR5;
59 : VAR13[ 7: 0] <= VAR5;
60 : VAR47[31:24] <= VAR5;
61 : VAR47[23:16] <= VAR5;
62 : VAR47[15: 8] <= VAR5;
63 : VAR47[ 7: 0] <= VAR5;
64 : VAR54[31:24] <= VAR5;
65 : VAR54[23:16] <= VAR5;
66 : VAR54[15: 8] <= VAR5;
67 : VAR54[ 7: 0] <= VAR5;
68 : VAR42[31:24] <= VAR5;
69 : VAR42[23:16] <= VAR5;
70 : VAR42[15: 8] <= VAR5;
71 : VAR42[ 7: 0] <= VAR5;
72 : VAR61[31:24] <= VAR5;
73 : VAR61[23:16] <= VAR5;
74 : VAR61[15: 8] <= VAR5;
75 : VAR61[ 7: 0] <= VAR5;
76 : VAR38[31:24] <= VAR5;
77 : VAR38[23:16] <= VAR5;
78 : VAR38[15: 8] <= VAR5;
79 : VAR38[ 7: 0] <= VAR5;
80 : VAR50[31:24] <= VAR5;
81 : VAR50[23:16] <= VAR5;
82 : VAR50[15: 8] <= VAR5;
83 : VAR50[ 7: 0] <= VAR5;
84 : VAR11[31:24] <= VAR5;
85 : VAR11[23:16] <= VAR5;
86 : VAR11[15: 8] <= VAR5;
87 : VAR11[ 7: 0] <= VAR5;
88 : VAR3[31:24] <= VAR5;
89 : VAR3[23:16] <= VAR5;
90 : VAR3[15: 8] <= VAR5;
91 : VAR3[ 7: 0] <= VAR5;
92 : VAR9[31:24] <= VAR5;
93 : VAR9[23:16] <= VAR5;
94 : VAR9[15: 8] <= VAR5;
95 : VAR9[ 7: 0] <= VAR5;
96 : VAR29[31:24] <= VAR5;
97 : VAR29[23:16] <= VAR5;
98 : VAR29[15: 8] <= VAR5;
99 : VAR29[ 7: 0] <= VAR5;
100: VAR49[31:24] <= VAR5;
101: VAR49[23:16] <= VAR5;
102: VAR49[15: 8] <= VAR5;
103: VAR49[ 7: 0] <= VAR5;
104: VAR39[31:24] <= VAR5;
105: VAR39[23:16] <= VAR5;
106: VAR39[15: 8] <= VAR5;
107: VAR39[ 7: 0] <= VAR5;
108: VAR63[31:24] <= VAR5;
109: VAR63[23:16] <= VAR5;
110: VAR63[15: 8] <= VAR5;
111: VAR63[ 7: 0] <= VAR5;
112: VAR20[31:24] <= VAR5;
113: VAR20[23:16] <= VAR5;
114: VAR20[15: 8] <= VAR5;
115: VAR20[ 7: 0] <= VAR5;
116: VAR1[31:24] <= VAR5;
117: VAR1[23:16] <= VAR5;
118: VAR1[15: 8] <= VAR5;
119: VAR1[ 7: 0] <= VAR5;
120: VAR52[31:24] <= VAR5;
121: VAR52[23:16] <= VAR5;
122: VAR52[15: 8] <= VAR5;
123: VAR52[ 7: 0] <= VAR5;
124: VAR43[31:24] <= VAR5;
125: VAR43[23:16] <= VAR5;
126: VAR43[15: 8] <= VAR5;
127: VAR43[ 7: 0] <= VAR5;
128: VAR18[31:24] <= VAR5;
129: VAR18[23:16] <= VAR5;
130: VAR18[15: 8] <= VAR5;
131: VAR18[ 7: 0] <= VAR5;
132: VAR2[31:24] <= VAR5;
133: VAR2[23:16] <= VAR5;
134: VAR2[15: 8] <= VAR5;
135: VAR2[ 7: 0] <= VAR5;
136: VAR8[31:24] <= VAR5;
137: VAR8[23:16] <= VAR5;
138: VAR8[15: 8] <= VAR5;
139: VAR8[ 7: 0] <= VAR5;
140: VAR41[31:24] <= VAR5;
141: VAR41[23:16] <= VAR5;
142: VAR41[15: 8] <= VAR5;
143: VAR41[ 7: 0] <= VAR5;
144: VAR12[31:24] <= VAR5;
145: VAR12[23:16] <= VAR5;
146: VAR12[15: 8] <= VAR5;
147: VAR12[ 7: 0] <= VAR5;
endcase
end
else begin
VAR25[0] <= 0;
VAR25[1] <= 0;
end
always @(posedge VAR48)
begin
case (VAR40)
0: VAR22 <= VAR25;
1: VAR22 <= VAR33;
4: VAR22 <= VAR46[31:24];
5: VAR22 <= VAR46[23:16];
6: VAR22 <= VAR46[15: 8];
7: VAR22 <= VAR46[ 7: 0];
8: VAR22 <= VAR15[31:24];
9: VAR22 <= VAR15[23:16];
10: VAR22 <= VAR15[15: 8];
11: VAR22 <= VAR15[ 7: 0];
12: VAR22 <= VAR62[31:24];
13: VAR22 <= VAR62[23:16];
14: VAR22 <= VAR62[15: 8];
15: VAR22 <= VAR62[ 7: 0];
16: VAR22 <= VAR34[31:24];
17: VAR22 <= VAR34[23:16];
18: VAR22 <= VAR34[15: 8];
19: VAR22 <= VAR34[ 7: 0];
148: VAR22 <= VAR56[31:24];
149: VAR22 <= VAR56[23:16];
150: VAR22 <= VAR56[15: 8];
151: VAR22 <= VAR56[ 7: 0];
152: VAR22 <= VAR56[31+32:24+32];
153: VAR22 <= VAR56[23+32:16+32];
154: VAR22 <= VAR56[15+32: 8+32];
155: VAR22 <= VAR56[ 7+32: 0+32];
156: VAR22 <= VAR56[31+64:24+64];
157: VAR22 <= VAR56[23+64:16+64];
158: VAR22 <= VAR56[15+64: 8+64];
159: VAR22 <= VAR56[ 7+64: 0+64];
160: VAR22 <= VAR56[31+96:24+96];
161: VAR22 <= VAR56[23+96:16+96];
162: VAR22 <= VAR56[15+96: 8+96];
163: VAR22 <= VAR56[ 7+96: 0+96];
default: VAR22 <= 7'd0;
endcase
end
always @(posedge VAR48)
if (VAR55) begin
VAR33 <= 8'b0;
end
else begin
if (VAR60 && VAR40==1)
VAR33 <= 8'b0;
end
else
VAR33 <= VAR33 | {7'b0, VAR30};
end
always @(posedge VAR48)
if (VAR55)
VAR45 <= 0;
else if (VAR45)
VAR45 <= 0;
else if (VAR60 & !VAR45)
VAR45 <= 1;
assign VAR10 = 0;
assign VAR14 = 0;
endmodule | mit |
GSejas/Karatsuba_FPU | FPGA_FLOW/Cordic/CORDIC_FUNCIONAL_Viv/CORDIC_FUNCIONAL_Viv.srcs/sources_1/imports/Floating-Point-Unit-master/Coprocesador_CORDIC_RTL/FPU_Interface_and_NaN/NaN_mod_32.v | 1,497 | module MODULE1
(
input wire [1:0] VAR3,
input wire [31:0] VAR1,
input wire [31:0] VAR4,
output reg VAR2
);
always @*
begin
case(VAR3)
2'b00:
begin
if((VAR1 == 32'h7f800000) && (VAR4 == 32'h7f800000))
VAR2 = 1'b1;
end
else if((VAR1 == 32'hff800000) && (VAR4 == 32'hff800000))
VAR2 = 1'b1;
end
else if((VAR1 == 32'h7f800000) && (VAR4 == 32'hff800000))
VAR2 = 1'b1;
else if((VAR1 == 32'hff800000) && (VAR4 == 32'h7f800000))
VAR2 = 1'b1;
else
VAR2 = 1'b0;
end
2'b01:
begin
if(VAR1 == 32'h7f800000)
VAR2 = 1'b1;
end
else if(VAR1 == 32'hff800000)
VAR2 = 1'b1;
else
VAR2 = 1'b0;
end
2'b10:
begin
if((VAR1 == 32'h00000000) && (VAR4 == 32'h7f800000))
VAR2 = 1'b1;
end
else if((VAR1 == 32'h00000000) && (VAR4 == 32'hff800000))
VAR2 = 1'b1;
else if((VAR1 == 32'hff800000) && (VAR4 == 32'h00000000))
VAR2 = 1'b1;
else if((VAR1 == 32'h7f800000) && (VAR4 == 32'h00000000))
VAR2 = 1'b1;
else
VAR2 = 1'b0;
end
default:
VAR2 = 1'b0;
endcase
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/a21bo/sky130_fd_sc_ms__a21bo.functional.pp.v | 2,043 | module MODULE1 (
VAR2 ,
VAR3 ,
VAR12 ,
VAR9,
VAR1,
VAR4,
VAR13 ,
VAR14
);
output VAR2 ;
input VAR3 ;
input VAR12 ;
input VAR9;
input VAR1;
input VAR4;
input VAR13 ;
input VAR14 ;
wire VAR11 ;
wire VAR10 ;
wire VAR7;
nand VAR8 (VAR11 , VAR12, VAR3 );
nand VAR5 (VAR10 , VAR9, VAR11 );
VAR15 VAR16 (VAR7, VAR10, VAR1, VAR4);
buf VAR6 (VAR2 , VAR7 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/and4/sky130_fd_sc_lp__and4.behavioral.pp.v | 1,837 | module MODULE1 (
VAR5 ,
VAR15 ,
VAR9 ,
VAR2 ,
VAR14 ,
VAR10,
VAR12,
VAR11 ,
VAR4
);
output VAR5 ;
input VAR15 ;
input VAR9 ;
input VAR2 ;
input VAR14 ;
input VAR10;
input VAR12;
input VAR11 ;
input VAR4 ;
wire VAR6 ;
wire VAR13;
and VAR1 (VAR6 , VAR15, VAR9, VAR2, VAR14 );
VAR3 VAR8 (VAR13, VAR6, VAR10, VAR12);
buf VAR7 (VAR5 , VAR13 );
endmodule | apache-2.0 |
open-power/snap | actions/hdl_helloworld/hw/hdl/action_wrapper.v | 18,654 | module MODULE1 #(
parameter VAR143 = 4,
parameter VAR5 = 33,
parameter VAR20 = 512,
parameter VAR55 = 1,
parameter VAR33 = 1,
parameter VAR25 = 1,
parameter VAR42 = 1,
parameter VAR18 = 1,
parameter VAR84 = 32,
parameter VAR96 = 32,
parameter VAR83 = 1,
parameter VAR152 = 64,
parameter VAR69 = 512,
parameter VAR34 = 8,
parameter VAR70 = 8,
parameter VAR150 = 1,
parameter VAR22 = 1,
parameter VAR68 = 1,
parameter VAR51 = 3,
parameter VAR118 = 8
)
(
input VAR58 ,
input VAR137 ,
output interrupt ,
output [VAR51-2 : 0] VAR19 ,
output [VAR118-1 : 0] VAR97 ,
input VAR105 ,
input [VAR96-1 : 0 ] VAR99 ,
output VAR86 ,
input VAR130 ,
input [VAR96-1 : 0 ] VAR14 ,
output VAR102 ,
input VAR134 ,
input VAR123 ,
output [1 : 0 ] VAR43 ,
output VAR16 ,
output [VAR84-1 : 0 ] VAR60 ,
input VAR87 ,
output [1 : 0 ] VAR133 ,
output VAR91 ,
input [VAR84-1 : 0 ] VAR77 ,
output VAR79 ,
input [(VAR84/8)-1 : 0 ] VAR37 ,
input VAR17 ,
output [VAR152-1 : 0 ] VAR27 ,
output [1 : 0 ] VAR31 ,
output [3 : 0 ] VAR135 ,
output [VAR83-1 : 0 ] VAR71 ,
output [7 : 0 ] VAR13 ,
output [1 : 0 ] VAR85 ,
output [2 : 0 ] VAR111 ,
output [3 : 0 ] VAR147 ,
input VAR151 ,
output [3 : 0 ] VAR149 ,
output [2 : 0 ] VAR23 ,
output [VAR70-1 : 0 ] VAR57 ,
output VAR28 ,
output [VAR152-1 : 0 ] VAR107 ,
output [1 : 0 ] VAR50 ,
output [3 : 0 ] VAR146 ,
output [VAR83-1 : 0 ] VAR64 ,
output [7 : 0 ] VAR9 ,
output [1 : 0 ] VAR81 ,
output [2 : 0 ] VAR140 ,
output [3 : 0 ] VAR145 ,
input VAR89 ,
output [3 : 0 ] VAR44 ,
output [2 : 0 ] VAR132 ,
output [VAR34-1 : 0 ] VAR119 ,
output VAR62 ,
input [VAR83-1 : 0 ] VAR108 ,
output VAR138 ,
input [1 : 0 ] VAR98 ,
input [VAR68-1 : 0 ] VAR110 ,
input VAR125 ,
input [VAR69-1 : 0 ] VAR54 ,
input [VAR83-1 : 0 ] VAR82 ,
input VAR29 ,
output VAR92 ,
input [1 : 0 ] VAR46 ,
input [VAR22-1 : 0 ] VAR65 ,
input VAR52 ,
output [VAR69-1 : 0 ] VAR45 ,
output VAR144 ,
input VAR40 ,
output [(VAR69/8)-1 : 0 ] VAR104 ,
output [VAR150-1 : 0 ] VAR127 ,
output VAR1
);
assign VAR63 = 0;
assign VAR127 = 0;
VAR11 #(
.VAR143 (VAR143 ),
.VAR5 (VAR5 ),
.VAR20 (VAR20 ),
.VAR55(VAR55),
.VAR33(VAR33),
.VAR25 (VAR25 ),
.VAR42 (VAR42 ),
.VAR18 (VAR18 ),
.VAR84 (VAR84 ),
.VAR96 (VAR96 ),
.VAR83 (VAR83 ),
.VAR152 (VAR152 ),
.VAR69 (VAR69 ),
.VAR34 (VAR34 ),
.VAR70 (VAR70 ),
.VAR150 (VAR150 ),
.VAR22 (VAR22 ),
.VAR68 (VAR68 )
) VAR11 (
.clk (VAR58),
.VAR103 (VAR137),
.VAR8 (VAR64),
.VAR74 (VAR107),
.VAR124 (VAR9),
.VAR136 (VAR132),
.VAR10 (VAR50),
.VAR26 (VAR146),
.VAR116 (VAR81),
.VAR36 (VAR140),
.VAR148 (VAR145),
.VAR4 (VAR44),
.VAR90 (VAR119),
.VAR12 (VAR62),
.VAR95 (VAR89),
.VAR39 (VAR45),
.VAR142 (VAR104),
.VAR80 (VAR144),
.VAR2 (VAR1),
.VAR30 (VAR40),
.VAR67 (VAR138),
.VAR21 (VAR108),
.VAR78 (VAR98),
.VAR122 (VAR125),
.VAR109 (VAR71),
.VAR73 (VAR27),
.VAR93 (VAR13),
.VAR114 (VAR23),
.VAR94 (VAR31),
.VAR76 (VAR57),
.VAR131 (VAR135),
.VAR129 (VAR85),
.VAR139 (VAR111),
.VAR120 (VAR147),
.VAR115 (VAR149),
.VAR59 (VAR28),
.VAR66 (VAR151),
.VAR121 (VAR92),
.VAR53 (VAR82),
.VAR61 (VAR54),
.VAR112 (VAR46),
.VAR3 (VAR29),
.VAR75 (VAR52),
.VAR56 (VAR102),
.VAR88 (VAR14),
.VAR32 (VAR41),
.VAR15 (VAR134),
.VAR117 (VAR79),
.VAR100 (VAR77),
.VAR49 (VAR37),
.VAR48 (VAR17),
.VAR101 (VAR43),
.VAR47 (VAR16),
.VAR126 (VAR123),
.VAR38 (VAR86),
.VAR106 (VAR130),
.VAR128 (VAR99),
.VAR7 (VAR72),
.VAR35 (VAR60),
.VAR6 (VAR133),
.VAR141 (VAR87),
.VAR153 (VAR91),
.VAR113 (32'h10140002), .VAR24 (32'h00000001) );
endmodule | apache-2.0 |
wyvernSemi/vproc | f_VProc.v | 4,496 | module MODULE1 (VAR6, VAR4, VAR16, VAR19, VAR18, VAR20, VAR5, VAR12, VAR15, VAR2, VAR22, VAR9);
input VAR6;
input VAR12;
input VAR5;
input VAR22;
input [3:0] VAR9;
input [2:0] VAR15;
input [31:0] VAR20;
output [31:0] VAR4, VAR18;
output VAR16;
output VAR19;
output VAR2;
integer VAR3;
integer VAR23;
integer VAR1;
integer VAR7;
integer VAR21;
reg [31:0] VAR18;
integer VAR14;
reg [31:0] VAR4;
integer VAR13;
integer VAR11;
reg VAR16;
reg VAR19;
reg VAR8;
reg VAR17;
reg VAR10;
reg VAR2;
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
end
begin | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_io | cells/top_ground_hvc_wpad/sky130_fd_io__top_ground_hvc_wpad.behavioral.v | 1,099 | module MODULE1 ( VAR4, VAR11, VAR1
);
inout VAR4;
inout VAR11;
inout VAR1;
supply1 VAR15;
supply1 VAR13;
supply0 VAR16;
supply0 VAR9;
supply1 VAR17;
supply1 VAR5;
supply1 VAR8;
supply1 VAR3;
supply1 VAR12;
supply1 VAR10;
supply1 VAR7;
supply1 VAR6;
supply0 VAR18;
supply0 VAR19;
supply0 VAR14;
supply0 VAR2;
assign VAR9 = VAR4;
endmodule | apache-2.0 |
sgq995/rc4-de0-nano-soc | fpga/verilog/rc4_old.v | 2,769 | module MODULE1(ready, VAR2, clk, rst, VAR6, VAR1);
parameter VAR3 = 8;
output reg ready; output reg [7:0] VAR2;
input clk;
input rst;
input [7:0] VAR6; input VAR1;
reg [7:0] VAR9[0:VAR3-1];
reg [3:0] state;
reg [7:0] VAR8[0:255];
reg [7:0] VAR10;
reg [7:0] VAR7;
reg [7:0] VAR5;
reg [7:0] VAR4; | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/or2b/sky130_fd_sc_hs__or2b_1.v | 2,000 | module MODULE2 (
VAR7 ,
VAR5 ,
VAR1 ,
VAR2,
VAR4
);
output VAR7 ;
input VAR5 ;
input VAR1 ;
input VAR2;
input VAR4;
VAR6 VAR3 (
.VAR7(VAR7),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR7 ,
VAR5 ,
VAR1
);
output VAR7 ;
input VAR5 ;
input VAR1;
supply1 VAR2;
supply0 VAR4;
VAR6 VAR3 (
.VAR7(VAR7),
.VAR5(VAR5),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/top/md.v | 2,981 | module MODULE1 (
clk ,
VAR7 ,
VAR3 ,
VAR4 ,
VAR13 ,
VAR2 ,
VAR11 ,
VAR6 ,
VAR8 ,
VAR12 ,
VAR9 ,
VAR10 ,
VAR14
);
input clk ; input VAR7 ; output [1:0] VAR3 ; output [1:0] VAR4 ; output [1:0] VAR13 ; input VAR2 ; input [4:0] VAR11 ; input [VAR5*32-1:0] VAR6 ; output VAR8 ;
output [20:0] VAR12; output [((2^VAR1)^2)*6-1:0]VAR9 ; output [169:0] VAR10 ; output VAR14;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/tapvgnd/sky130_fd_sc_hd__tapvgnd.functional.v | 1,097 | module MODULE1 ();
endmodule | apache-2.0 |
dries007/Basys3 | FPGA-Z/FPGA-Z.ip_user_files/ip/Mem/Mem_stub.v | 1,285 | module MODULE1(VAR1, VAR6, VAR4, VAR5, VAR2, VAR3)
;
input VAR1;
input VAR6;
input [0:0]VAR4;
input [16:0]VAR5;
input [7:0]VAR2;
output [7:0]VAR3;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/xnor2/sky130_fd_sc_hd__xnor2.functional.pp.v | 1,827 | module MODULE1 (
VAR4 ,
VAR6 ,
VAR2 ,
VAR11,
VAR12,
VAR1 ,
VAR9
);
output VAR4 ;
input VAR6 ;
input VAR2 ;
input VAR11;
input VAR12;
input VAR1 ;
input VAR9 ;
wire VAR13 ;
wire VAR3;
xnor VAR8 (VAR13 , VAR6, VAR2 );
VAR7 VAR5 (VAR3, VAR13, VAR11, VAR12);
buf VAR10 (VAR4 , VAR3 );
endmodule | apache-2.0 |
bkboggy/MIPS | MEM_WB.v | 1,188 | module MODULE1(
input clk,
input [1:0] VAR1,
input [31:0] VAR4,
input [31:0] VAR2,
input [4:0] VAR3,
output reg [1:0] VAR8,
output reg [31:0] VAR5,
output reg [31:0] VAR7,
output reg [4:0] VAR6);
begin
begin | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/nand3b/sky130_fd_sc_lp__nand3b.behavioral.pp.v | 1,971 | module MODULE1 (
VAR8 ,
VAR2 ,
VAR11 ,
VAR12 ,
VAR13,
VAR5,
VAR9 ,
VAR1
);
output VAR8 ;
input VAR2 ;
input VAR11 ;
input VAR12 ;
input VAR13;
input VAR5;
input VAR9 ;
input VAR1 ;
wire VAR16 ;
wire VAR15 ;
wire VAR3;
not VAR4 (VAR16 , VAR2 );
nand VAR10 (VAR15 , VAR11, VAR16, VAR12 );
VAR14 VAR7 (VAR3, VAR15, VAR13, VAR5);
buf VAR6 (VAR8 , VAR3 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/nand4/sky130_fd_sc_hs__nand4.behavioral.v | 1,738 | module MODULE1 (
VAR8 ,
VAR9 ,
VAR12 ,
VAR2 ,
VAR13 ,
VAR10,
VAR6
);
output VAR8 ;
input VAR9 ;
input VAR12 ;
input VAR2 ;
input VAR13 ;
input VAR10;
input VAR6;
wire VAR4 ;
wire VAR11;
nand VAR7 (VAR4 , VAR13, VAR2, VAR12, VAR9 );
VAR3 VAR5 (VAR11, VAR4, VAR10, VAR6);
buf VAR1 (VAR8 , VAR11 );
endmodule | apache-2.0 |
C-L-G/azpr_soc | azpr_soc/trunk/ic/digital/rtl/timer/timer.v | 5,395 | module MODULE1 (
input wire clk,
input wire reset,
input wire VAR12,
input wire VAR5,
input wire VAR20,
input wire [VAR17] addr,
input wire [VAR10] VAR13,
output reg [VAR10] VAR2,
output reg VAR8,
output reg irq
);
reg VAR11;
reg VAR6;
reg [VAR10] VAR14;
reg [VAR10] counter;
wire VAR15 = ((VAR6 == VAR16) && (counter == VAR14)) ?
always @(posedge clk or VAR25 reset) begin
if (reset == VAR19) begin
VAR2 <= VAR4'h0;
VAR8 <= VAR22;
VAR6 <= VAR24;
VAR11 <= VAR18;
irq <= VAR24;
VAR14 <= VAR4'h0;
counter <= VAR4'h0;
end else begin
if ((VAR12 == VAR27) && (VAR5 == VAR27)) begin
VAR8 <= VAR27;
end else begin
VAR8 <= VAR22;
end
if ((VAR12 == VAR27) && (VAR5 == VAR27) && (VAR20 == VAR29)) begin
case (addr)
VAR2 <= {{VAR4-2{1'b0}}, VAR11, VAR6};
end
VAR2 <= {{VAR4-1{1'b0}}, irq};
end
VAR2 <= VAR14;
end
VAR2 <= counter;
end
endcase
end else begin
VAR2 <= VAR4'h0;
end
if ((VAR12 == VAR27) && (VAR5 == VAR27) &&
(VAR20 == VAR21) && (addr == VAR26)) begin
VAR6 <= VAR13[VAR7];
VAR11 <= VAR13[VAR9];
end else if ((VAR15 == VAR16) &&
(VAR11 == VAR18)) begin
VAR6 <= VAR24;
end
if (VAR15 == VAR16) begin
irq <= VAR16;
end else if ((VAR12 == VAR27) && (VAR5 == VAR27) &&
(VAR20 == VAR21) && (addr == VAR28)) begin
irq <= VAR13[VAR23];
end
if ((VAR12 == VAR27) && (VAR5 == VAR27) &&
(VAR20 == VAR21) && (addr == VAR3)) begin
VAR14 <= VAR13;
end
if ((VAR12 == VAR27) && (VAR5 == VAR27) &&
(VAR20 == VAR21) && (addr == VAR1)) begin
counter <= VAR13;
end else if (VAR15 == VAR16) begin
counter <= VAR4'h0;
end else if (VAR6 == VAR16) begin
counter <= counter + 1'd1;
end
end
end
endmodule | apache-2.0 |
asicguy/gplgpu | hdl/altera_ddr3_128/alt_mem_ddrx_input_if.v | 9,812 | module MODULE1
VAR3 = 64,
VAR42 = 8,
VAR6 = 33,
VAR28 = 3,
VAR71 = 1,
VAR17 = 2,
VAR5 = "VAR32"
)
(
VAR84,
VAR62,
VAR18,
VAR83,
VAR12,
VAR81,
VAR22,
VAR74,
VAR41,
VAR76,
VAR48,
VAR2,
VAR54,
VAR67,
VAR7,
VAR4,
VAR82,
VAR80,
VAR21,
VAR72,
VAR65,
VAR43,
VAR31,
VAR57,
VAR66,
VAR8,
VAR19,
VAR25,
VAR58,
VAR9,
VAR53,
VAR27,
VAR73,
VAR68,
VAR38,
VAR20,
VAR13,
VAR34,
VAR75,
VAR1,
VAR40,
VAR30,
VAR55,
VAR16,
VAR50,
VAR61,
VAR11,
VAR60,
VAR64,
VAR69,
VAR39,
VAR77,
VAR15,
VAR47,
VAR23,
VAR24,
VAR78,
VAR29,
VAR45,
VAR37,
VAR70,
VAR10,
VAR63,
VAR56,
VAR79,
VAR35,
VAR44,
VAR33,
VAR14,
VAR46,
VAR49,
VAR52
);
localparam VAR36 = 0;
localparam VAR59 = 1;
output VAR84;
input [VAR6-1:0] VAR83;
input VAR62;
input VAR18;
input [VAR28-1:0] VAR12;
input [VAR42 - 1 : 0] VAR81;
input VAR22;
input VAR74;
input VAR41;
output VAR76;
input VAR48;
input [VAR3-1:0] VAR2;
input [VAR3/8-1:0] VAR54;
input VAR67;
input VAR7;
input [VAR42-1:0] VAR4;
input VAR82;
output VAR80;
output [VAR3-1:0] VAR21;
output VAR72;
output VAR65;
output VAR43;
output [VAR42-1:0] VAR31;
output [VAR42-1:0] VAR57;
output VAR66;
input VAR8;
output VAR19;
output [VAR6-1:0] VAR25;
output VAR58;
output VAR9;
output VAR53;
output [VAR28-1:0] VAR27;
output VAR73;
output VAR68;
output [VAR42-1:0] VAR38;
output [VAR3-1:0] VAR34;
output [VAR3/8-1:0] VAR75;
output VAR1;
input VAR20;
output [VAR42-1:0] VAR13;
input [VAR3-1:0] VAR40;
input VAR30;
input VAR55;
input [VAR42-1:0]VAR16;
input VAR50;
input VAR61;
input VAR11;
input [VAR71-1:0] VAR60;
input VAR64;
input [VAR71-1:0] VAR69;
input VAR39;
input [VAR71-1:0] VAR77;
output VAR15;
output VAR47;
output VAR23;
output VAR24;
output VAR78;
input [VAR17 - 1 : 0] VAR29;
input [VAR42 - 1 : 0] VAR70;
input [VAR17 - 1 : 0] VAR45;
input [VAR17 - 1 : 0] VAR37;
output VAR10;
output [VAR71-1:0] VAR63;
output VAR56;
output [VAR71-1:0] VAR79;
output VAR35;
output [VAR71-1:0] VAR44;
input VAR33;
input VAR14;
input VAR46;
input VAR49;
input VAR52;
wire VAR73;
wire [VAR6-1:0] VAR25;
wire VAR9;
wire VAR58;
wire VAR53;
wire VAR8;
wire VAR19;
wire VAR84;
wire VAR68;
wire [VAR28-1:0] VAR27;
wire [VAR17 - 1 : 0] VAR29;
wire [VAR42 - 1 : 0] VAR70;
wire [VAR17 - 1 : 0] VAR45;
wire [VAR17 - 1 : 0] VAR37;
wire VAR10;
wire [VAR71-1:0] VAR63;
wire VAR56;
wire [VAR71-1:0] VAR79;
wire VAR35;
wire VAR46;
wire VAR76;
wire [VAR3-1:0] VAR34;
wire VAR1;
wire [VAR3/8-1:0] VAR75;
wire [VAR42-1:0] VAR13;
wire VAR80;
wire [VAR3-1:0] VAR21;
wire VAR72;
wire VAR65;
wire VAR43;
wire [VAR42-1:0] VAR31;
wire [VAR42-1:0] VAR57;
wire VAR66;
assign VAR73 = VAR22;
assign VAR25 = VAR83;
assign VAR53 = VAR41;
assign VAR27 = VAR12;
assign VAR68 = VAR74;
assign VAR38 = VAR81;
assign VAR10 = VAR11;
assign VAR63 = VAR60;
assign VAR56 = VAR64;
assign VAR79 = VAR69;
assign VAR35 = VAR39;
assign VAR44 = VAR77;
assign VAR15 = VAR33;
assign VAR47 = VAR14;
assign VAR23 = VAR46;
assign VAR24 = VAR49;
assign VAR78 = VAR52;
assign VAR34 = VAR2;
assign VAR75 = VAR54;
assign VAR1 = VAR48;
assign VAR13 = VAR4;
assign VAR31 = VAR16;
assign VAR72 = VAR55;
assign VAR80 = VAR30;
assign VAR65 = VAR50;
assign VAR43 = VAR61;
assign VAR21 = VAR40;
assign VAR57 = (VAR66) ? VAR70 : {VAR42{1'b0}};
assign VAR84 = ~VAR8 & VAR78;
assign VAR76 = ~VAR20 & VAR78;
assign VAR9 = ~VAR18 & VAR62 & VAR78;
assign VAR58 = VAR18 & VAR62 & VAR78;
assign VAR19 = VAR62 & VAR78;
generate
begin : VAR26
if (VAR5 == "VAR51")
begin
assign VAR66 = VAR29 [VAR36] & ~(VAR45[VAR36]|VAR37[VAR36]);
end
else
begin
assign VAR66 = VAR29 [VAR59] & ~(VAR45[VAR59]|VAR37[VAR59]);
end
end
endgenerate
endmodule | gpl-3.0 |
gajjanag/6111_Project | src/accel_lut.v | 222,177 | module MODULE1(input clk, input[11:0] VAR1, output reg[75:0] VAR2);
always @(posedge clk) begin
case (VAR1)
12'd0: VAR2 = 76'd166903815503556664320;
12'd1: VAR2 = 76'd166903815503556664320;
12'd2: VAR2 = 76'd166903815503556664320;
12'd3: VAR2 = 76'd166903815503556664320;
12'd4: VAR2 = 76'd166903815503556664320;
12'd5: VAR2 = 76'd166903815503556664320;
12'd6: VAR2 = 76'd166903815503556664320;
12'd7: VAR2 = 76'd166903815503556664320;
12'd8: VAR2 = 76'd166903815503556664320;
12'd9: VAR2 = 76'd166903815503556664320;
12'd10: VAR2 = 76'd166903815503556664320;
12'd11: VAR2 = 76'd166903815503556664320;
12'd12: VAR2 = 76'd166903815503556664320;
12'd13: VAR2 = 76'd166903815503556664320;
12'd14: VAR2 = 76'd166903815503556664320;
12'd15: VAR2 = 76'd166903815503556664320;
12'd16: VAR2 = 76'd166903815503556664320;
12'd17: VAR2 = 76'd166903815503556664320;
12'd18: VAR2 = 76'd166903815503556664320;
12'd19: VAR2 = 76'd166903815503556664320;
12'd20: VAR2 = 76'd166903815503556664320;
12'd21: VAR2 = 76'd166903815503556664320;
12'd22: VAR2 = 76'd166903815503556664320;
12'd23: VAR2 = 76'd166903815503556664320;
12'd24: VAR2 = 76'd166903815503556664320;
12'd25: VAR2 = 76'd166759137365526861312;
12'd26: VAR2 = 76'd92683508482071876096;
12'd27: VAR2 = 76'd92538830344042597376;
12'd28: VAR2 = 76'd92394292943501150208;
12'd29: VAR2 = 76'd92249614805471871489;
12'd30: VAR2 = 76'd92104936667442592770;
12'd31: VAR2 = 76'd91960398992023762435;
12'd32: VAR2 = 76'd165458581135586242565;
12'd33: VAR2 = 76'd165313902172117936646;
12'd34: VAR2 = 76'd165169223208381195272;
12'd35: VAR2 = 76'd238811520539482660361;
12'd36: VAR2 = 76'd238666841575745918475;
12'd37: VAR2 = 76'd312165023443625184781;
12'd38: VAR2 = 76'd312020344479620007951;
12'd39: VAR2 = 76'd385662500798086774801;
12'd40: VAR2 = 76'd459304797854041896980;
12'd41: VAR2 = 76'd532802979996530634774;
12'd42: VAR2 = 76'd606445136314729490457;
12'd43: VAR2 = 76'd680087433370416177180;
12'd44: VAR2 = 76'd753729730151224956958;
12'd45: VAR2 = 76'd827227771281079520801;
12'd46: VAR2 = 76'd900870068061619865125;
12'd47: VAR2 = 76'd1048299200674119531560;
12'd48: VAR2 = 76'd1121941356717172044332;
12'd49: VAR2 = 76'd1195439397571879741487;
12'd50: VAR2 = 76'd1342868670646990380595;
12'd51: VAR2 = 76'd1490297802984343704631;
12'd52: VAR2 = 76'd1563795843838783490619;
12'd53: VAR2 = 76'd1563795843838783490619;
12'd54: VAR2 = 76'd1563795843838783490619;
12'd55: VAR2 = 76'd1563795843838783490619;
12'd56: VAR2 = 76'd1563795843838783490619;
12'd57: VAR2 = 76'd1563795843838783490619;
12'd58: VAR2 = 76'd1563795843838783490619;
12'd59: VAR2 = 76'd1563795843838783490619;
12'd60: VAR2 = 76'd1563795843838783490619;
12'd61: VAR2 = 76'd1563795843838783490619;
12'd62: VAR2 = 76'd1563795843838783490619;
12'd63: VAR2 = 76'd1563795843838783490619;
12'd64: VAR2 = 76'd166903815503556664320;
12'd65: VAR2 = 76'd166903815503556664320;
12'd66: VAR2 = 76'd166903815503556664320;
12'd67: VAR2 = 76'd166903815503556664320;
12'd68: VAR2 = 76'd166903815503556664320;
12'd69: VAR2 = 76'd166903815503556664320;
12'd70: VAR2 = 76'd166903815503556664320;
12'd71: VAR2 = 76'd166903815503556664320;
12'd72: VAR2 = 76'd166903815503556664320;
12'd73: VAR2 = 76'd166903815503556664320;
12'd74: VAR2 = 76'd166903815503556664320;
12'd75: VAR2 = 76'd166903815503556664320;
12'd76: VAR2 = 76'd166903815503556664320;
12'd77: VAR2 = 76'd166903815503556664320;
12'd78: VAR2 = 76'd166903815503556664320;
12'd79: VAR2 = 76'd166903815503556664320;
12'd80: VAR2 = 76'd166903815503556664320;
12'd81: VAR2 = 76'd166903815503556664320;
12'd82: VAR2 = 76'd166903815503556664320;
12'd83: VAR2 = 76'd166903815503556664320;
12'd84: VAR2 = 76'd166903815503556664320;
12'd85: VAR2 = 76'd166903815503556664320;
12'd86: VAR2 = 76'd166903815503556664320;
12'd87: VAR2 = 76'd166903815503556664320;
12'd88: VAR2 = 76'd166903815503556664320;
12'd89: VAR2 = 76'd166759137365526861312;
12'd90: VAR2 = 76'd92683508482071876096;
12'd91: VAR2 = 76'd92538830344042597376;
12'd92: VAR2 = 76'd92394292943501150208;
12'd93: VAR2 = 76'd92249614805471871489;
12'd94: VAR2 = 76'd92104936667442592770;
12'd95: VAR2 = 76'd91960398992023762435;
12'd96: VAR2 = 76'd165458581135586242565;
12'd97: VAR2 = 76'd165313902172117936646;
12'd98: VAR2 = 76'd165169223208381195272;
12'd99: VAR2 = 76'd238811520539482660361;
12'd100: VAR2 = 76'd238666841575745918475;
12'd101: VAR2 = 76'd312165023443625184781;
12'd102: VAR2 = 76'd312020344479620007951;
12'd103: VAR2 = 76'd385662500798086774801;
12'd104: VAR2 = 76'd459304797854041896980;
12'd105: VAR2 = 76'd532802979996530634774;
12'd106: VAR2 = 76'd606445136314729490457;
12'd107: VAR2 = 76'd680087433370416177180;
12'd108: VAR2 = 76'd753729730151224956958;
12'd109: VAR2 = 76'd827227771281079520801;
12'd110: VAR2 = 76'd900870068061619865125;
12'd111: VAR2 = 76'd1048299200674119531560;
12'd112: VAR2 = 76'd1121941356717172044332;
12'd113: VAR2 = 76'd1195439397571879741487;
12'd114: VAR2 = 76'd1342868670646990380595;
12'd115: VAR2 = 76'd1490297802984343704631;
12'd116: VAR2 = 76'd1563795843838783490619;
12'd117: VAR2 = 76'd1563795843838783490619;
12'd118: VAR2 = 76'd1563795843838783490619;
12'd119: VAR2 = 76'd1563795843838783490619;
12'd120: VAR2 = 76'd1563795843838783490619;
12'd121: VAR2 = 76'd1563795843838783490619;
12'd122: VAR2 = 76'd1563795843838783490619;
12'd123: VAR2 = 76'd1563795843838783490619;
12'd124: VAR2 = 76'd1563795843838783490619;
12'd125: VAR2 = 76'd1563795843838783490619;
12'd126: VAR2 = 76'd1563795843838783490619;
12'd127: VAR2 = 76'd1563795843838783490619;
12'd128: VAR2 = 76'd166903815503556664320;
12'd129: VAR2 = 76'd166903815503556664320;
12'd130: VAR2 = 76'd166903815503556664320;
12'd131: VAR2 = 76'd166903815503556664320;
12'd132: VAR2 = 76'd166903815503556664320;
12'd133: VAR2 = 76'd166903815503556664320;
12'd134: VAR2 = 76'd166903815503556664320;
12'd135: VAR2 = 76'd166903815503556664320;
12'd136: VAR2 = 76'd166903815503556664320;
12'd137: VAR2 = 76'd166903815503556664320;
12'd138: VAR2 = 76'd166903815503556664320;
12'd139: VAR2 = 76'd166903815503556664320;
12'd140: VAR2 = 76'd166903815503556664320;
12'd141: VAR2 = 76'd166903815503556664320;
12'd142: VAR2 = 76'd166903815503556664320;
12'd143: VAR2 = 76'd166903815503556664320;
12'd144: VAR2 = 76'd166903815503556664320;
12'd145: VAR2 = 76'd166903815503556664320;
12'd146: VAR2 = 76'd166903815503556664320;
12'd147: VAR2 = 76'd166903815503556664320;
12'd148: VAR2 = 76'd166903815503556664320;
12'd149: VAR2 = 76'd166903815503556664320;
12'd150: VAR2 = 76'd166903815503556664320;
12'd151: VAR2 = 76'd166903815503556664320;
12'd152: VAR2 = 76'd166903815503556664320;
12'd153: VAR2 = 76'd166759137365526861312;
12'd154: VAR2 = 76'd92683508482071876096;
12'd155: VAR2 = 76'd92538830344042597376;
12'd156: VAR2 = 76'd92394292943501150208;
12'd157: VAR2 = 76'd92249614805471871489;
12'd158: VAR2 = 76'd92104936667442592770;
12'd159: VAR2 = 76'd91960398992023762435;
12'd160: VAR2 = 76'd165458581135586242565;
12'd161: VAR2 = 76'd165313902172117936646;
12'd162: VAR2 = 76'd165169223208381195272;
12'd163: VAR2 = 76'd238811520539482660361;
12'd164: VAR2 = 76'd238666841575745918475;
12'd165: VAR2 = 76'd312165023443625184781;
12'd166: VAR2 = 76'd312020344479620007951;
12'd167: VAR2 = 76'd385662500798086774801;
12'd168: VAR2 = 76'd459304797854041896980;
12'd169: VAR2 = 76'd532802979996530634774;
12'd170: VAR2 = 76'd606445136314729490457;
12'd171: VAR2 = 76'd680087433370416177180;
12'd172: VAR2 = 76'd753729730151224956958;
12'd173: VAR2 = 76'd827227771281079520801;
12'd174: VAR2 = 76'd900870068061619865125;
12'd175: VAR2 = 76'd1048299200674119531560;
12'd176: VAR2 = 76'd1121941356717172044332;
12'd177: VAR2 = 76'd1195439397571879741487;
12'd178: VAR2 = 76'd1342868670646990380595;
12'd179: VAR2 = 76'd1490297802984343704631;
12'd180: VAR2 = 76'd1563795843838783490619;
12'd181: VAR2 = 76'd1563795843838783490619;
12'd182: VAR2 = 76'd1563795843838783490619;
12'd183: VAR2 = 76'd1563795843838783490619;
12'd184: VAR2 = 76'd1563795843838783490619;
12'd185: VAR2 = 76'd1563795843838783490619;
12'd186: VAR2 = 76'd1563795843838783490619;
12'd187: VAR2 = 76'd1563795843838783490619;
12'd188: VAR2 = 76'd1563795843838783490619;
12'd189: VAR2 = 76'd1563795843838783490619;
12'd190: VAR2 = 76'd1563795843838783490619;
12'd191: VAR2 = 76'd1563795843838783490619;
12'd192: VAR2 = 76'd166903815503556664320;
12'd193: VAR2 = 76'd166903815503556664320;
12'd194: VAR2 = 76'd166903815503556664320;
12'd195: VAR2 = 76'd166903815503556664320;
12'd196: VAR2 = 76'd166903815503556664320;
12'd197: VAR2 = 76'd166903815503556664320;
12'd198: VAR2 = 76'd166903815503556664320;
12'd199: VAR2 = 76'd166903815503556664320;
12'd200: VAR2 = 76'd166903815503556664320;
12'd201: VAR2 = 76'd166903815503556664320;
12'd202: VAR2 = 76'd166903815503556664320;
12'd203: VAR2 = 76'd166903815503556664320;
12'd204: VAR2 = 76'd166903815503556664320;
12'd205: VAR2 = 76'd166903815503556664320;
12'd206: VAR2 = 76'd166903815503556664320;
12'd207: VAR2 = 76'd166903815503556664320;
12'd208: VAR2 = 76'd166903815503556664320;
12'd209: VAR2 = 76'd166903815503556664320;
12'd210: VAR2 = 76'd166903815503556664320;
12'd211: VAR2 = 76'd166903815503556664320;
12'd212: VAR2 = 76'd166903815503556664320;
12'd213: VAR2 = 76'd166903815503556664320;
12'd214: VAR2 = 76'd166903815503556664320;
12'd215: VAR2 = 76'd166903815503556664320;
12'd216: VAR2 = 76'd166903815503556664320;
12'd217: VAR2 = 76'd166759137365526861312;
12'd218: VAR2 = 76'd92683508482071876096;
12'd219: VAR2 = 76'd92538830344042597376;
12'd220: VAR2 = 76'd92394292943501150208;
12'd221: VAR2 = 76'd92249614805471871489;
12'd222: VAR2 = 76'd92104936667442592770;
12'd223: VAR2 = 76'd91960398992023762435;
12'd224: VAR2 = 76'd165458581135586242565;
12'd225: VAR2 = 76'd165313902172117936646;
12'd226: VAR2 = 76'd165169223208381195272;
12'd227: VAR2 = 76'd238811520539482660361;
12'd228: VAR2 = 76'd238666841575745918475;
12'd229: VAR2 = 76'd312165023443625184781;
12'd230: VAR2 = 76'd312020344479620007951;
12'd231: VAR2 = 76'd385662500798086774801;
12'd232: VAR2 = 76'd459304797854041896980;
12'd233: VAR2 = 76'd532802979996530634774;
12'd234: VAR2 = 76'd606445136314729490457;
12'd235: VAR2 = 76'd680087433370416177180;
12'd236: VAR2 = 76'd753729730151224956958;
12'd237: VAR2 = 76'd827227771281079520801;
12'd238: VAR2 = 76'd900870068061619865125;
12'd239: VAR2 = 76'd1048299200674119531560;
12'd240: VAR2 = 76'd1121941356717172044332;
12'd241: VAR2 = 76'd1195439397571879741487;
12'd242: VAR2 = 76'd1342868670646990380595;
12'd243: VAR2 = 76'd1490297802984343704631;
12'd244: VAR2 = 76'd1563795843838783490619;
12'd245: VAR2 = 76'd1563795843838783490619;
12'd246: VAR2 = 76'd1563795843838783490619;
12'd247: VAR2 = 76'd1563795843838783490619;
12'd248: VAR2 = 76'd1563795843838783490619;
12'd249: VAR2 = 76'd1563795843838783490619;
12'd250: VAR2 = 76'd1563795843838783490619;
12'd251: VAR2 = 76'd1563795843838783490619;
12'd252: VAR2 = 76'd1563795843838783490619;
12'd253: VAR2 = 76'd1563795843838783490619;
12'd254: VAR2 = 76'd1563795843838783490619;
12'd255: VAR2 = 76'd1563795843838783490619;
12'd256: VAR2 = 76'd166903815503556664320;
12'd257: VAR2 = 76'd166903815503556664320;
12'd258: VAR2 = 76'd166903815503556664320;
12'd259: VAR2 = 76'd166903815503556664320;
12'd260: VAR2 = 76'd166903815503556664320;
12'd261: VAR2 = 76'd166903815503556664320;
12'd262: VAR2 = 76'd166903815503556664320;
12'd263: VAR2 = 76'd166903815503556664320;
12'd264: VAR2 = 76'd166903815503556664320;
12'd265: VAR2 = 76'd166903815503556664320;
12'd266: VAR2 = 76'd166903815503556664320;
12'd267: VAR2 = 76'd166903815503556664320;
12'd268: VAR2 = 76'd166903815503556664320;
12'd269: VAR2 = 76'd166903815503556664320;
12'd270: VAR2 = 76'd166903815503556664320;
12'd271: VAR2 = 76'd166903815503556664320;
12'd272: VAR2 = 76'd166903815503556664320;
12'd273: VAR2 = 76'd166903815503556664320;
12'd274: VAR2 = 76'd166903815503556664320;
12'd275: VAR2 = 76'd166903815503556664320;
12'd276: VAR2 = 76'd166903815503556664320;
12'd277: VAR2 = 76'd166903815503556664320;
12'd278: VAR2 = 76'd166903815503556664320;
12'd279: VAR2 = 76'd166903815503556664320;
12'd280: VAR2 = 76'd166903815503556664320;
12'd281: VAR2 = 76'd166759137365526861312;
12'd282: VAR2 = 76'd92683508482071876096;
12'd283: VAR2 = 76'd92538830344042597376;
12'd284: VAR2 = 76'd92394292943501150208;
12'd285: VAR2 = 76'd92249614805471871489;
12'd286: VAR2 = 76'd92104936667442592770;
12'd287: VAR2 = 76'd91960398992023762435;
12'd288: VAR2 = 76'd165458581135586242565;
12'd289: VAR2 = 76'd165313902172117936646;
12'd290: VAR2 = 76'd165169223208381195272;
12'd291: VAR2 = 76'd238811520539482660361;
12'd292: VAR2 = 76'd238666841575745918475;
12'd293: VAR2 = 76'd312165023443625184781;
12'd294: VAR2 = 76'd312020344479620007951;
12'd295: VAR2 = 76'd385662500798086774801;
12'd296: VAR2 = 76'd459304797854041896980;
12'd297: VAR2 = 76'd532802979996530634774;
12'd298: VAR2 = 76'd606445136314729490457;
12'd299: VAR2 = 76'd680087433370416177180;
12'd300: VAR2 = 76'd753729730151224956958;
12'd301: VAR2 = 76'd827227771281079520801;
12'd302: VAR2 = 76'd900870068061619865125;
12'd303: VAR2 = 76'd1048299200674119531560;
12'd304: VAR2 = 76'd1121941356717172044332;
12'd305: VAR2 = 76'd1195439397571879741487;
12'd306: VAR2 = 76'd1342868670646990380595;
12'd307: VAR2 = 76'd1490297802984343704631;
12'd308: VAR2 = 76'd1563795843838783490619;
12'd309: VAR2 = 76'd1563795843838783490619;
12'd310: VAR2 = 76'd1563795843838783490619;
12'd311: VAR2 = 76'd1563795843838783490619;
12'd312: VAR2 = 76'd1563795843838783490619;
12'd313: VAR2 = 76'd1563795843838783490619;
12'd314: VAR2 = 76'd1563795843838783490619;
12'd315: VAR2 = 76'd1563795843838783490619;
12'd316: VAR2 = 76'd1563795843838783490619;
12'd317: VAR2 = 76'd1563795843838783490619;
12'd318: VAR2 = 76'd1563795843838783490619;
12'd319: VAR2 = 76'd1563795843838783490619;
12'd320: VAR2 = 76'd166903815503556664320;
12'd321: VAR2 = 76'd166903815503556664320;
12'd322: VAR2 = 76'd166903815503556664320;
12'd323: VAR2 = 76'd166903815503556664320;
12'd324: VAR2 = 76'd166903815503556664320;
12'd325: VAR2 = 76'd166903815503556664320;
12'd326: VAR2 = 76'd166903815503556664320;
12'd327: VAR2 = 76'd166903815503556664320;
12'd328: VAR2 = 76'd166903815503556664320;
12'd329: VAR2 = 76'd166903815503556664320;
12'd330: VAR2 = 76'd166903815503556664320;
12'd331: VAR2 = 76'd166903815503556664320;
12'd332: VAR2 = 76'd166903815503556664320;
12'd333: VAR2 = 76'd166903815503556664320;
12'd334: VAR2 = 76'd166903815503556664320;
12'd335: VAR2 = 76'd166903815503556664320;
12'd336: VAR2 = 76'd166903815503556664320;
12'd337: VAR2 = 76'd166903815503556664320;
12'd338: VAR2 = 76'd166903815503556664320;
12'd339: VAR2 = 76'd166903815503556664320;
12'd340: VAR2 = 76'd166903815503556664320;
12'd341: VAR2 = 76'd166903815503556664320;
12'd342: VAR2 = 76'd166903815503556664320;
12'd343: VAR2 = 76'd166903815503556664320;
12'd344: VAR2 = 76'd166903815503556664320;
12'd345: VAR2 = 76'd166759137365526861312;
12'd346: VAR2 = 76'd92683508482071876096;
12'd347: VAR2 = 76'd92538830344042597376;
12'd348: VAR2 = 76'd92394292943501150208;
12'd349: VAR2 = 76'd92249614805471871489;
12'd350: VAR2 = 76'd92104936667442592770;
12'd351: VAR2 = 76'd91960398992023762435;
12'd352: VAR2 = 76'd165458581135586242565;
12'd353: VAR2 = 76'd165313902172117936646;
12'd354: VAR2 = 76'd165169223208381195272;
12'd355: VAR2 = 76'd238811520539482660361;
12'd356: VAR2 = 76'd238666841575745918475;
12'd357: VAR2 = 76'd312165023443625184781;
12'd358: VAR2 = 76'd312020344479620007951;
12'd359: VAR2 = 76'd385662500798086774801;
12'd360: VAR2 = 76'd459304797854041896980;
12'd361: VAR2 = 76'd532802979996530634774;
12'd362: VAR2 = 76'd606445136314729490457;
12'd363: VAR2 = 76'd680087433370416177180;
12'd364: VAR2 = 76'd753729730151224956958;
12'd365: VAR2 = 76'd827227771281079520801;
12'd366: VAR2 = 76'd900870068061619865125;
12'd367: VAR2 = 76'd1048299200674119531560;
12'd368: VAR2 = 76'd1121941356717172044332;
12'd369: VAR2 = 76'd1195439397571879741487;
12'd370: VAR2 = 76'd1342868670646990380595;
12'd371: VAR2 = 76'd1490297802984343704631;
12'd372: VAR2 = 76'd1563795843838783490619;
12'd373: VAR2 = 76'd1563795843838783490619;
12'd374: VAR2 = 76'd1563795843838783490619;
12'd375: VAR2 = 76'd1563795843838783490619;
12'd376: VAR2 = 76'd1563795843838783490619;
12'd377: VAR2 = 76'd1563795843838783490619;
12'd378: VAR2 = 76'd1563795843838783490619;
12'd379: VAR2 = 76'd1563795843838783490619;
12'd380: VAR2 = 76'd1563795843838783490619;
12'd381: VAR2 = 76'd1563795843838783490619;
12'd382: VAR2 = 76'd1563795843838783490619;
12'd383: VAR2 = 76'd1563795843838783490619;
12'd384: VAR2 = 76'd166903815503556664320;
12'd385: VAR2 = 76'd166903815503556664320;
12'd386: VAR2 = 76'd166903815503556664320;
12'd387: VAR2 = 76'd166903815503556664320;
12'd388: VAR2 = 76'd166903815503556664320;
12'd389: VAR2 = 76'd166903815503556664320;
12'd390: VAR2 = 76'd166903815503556664320;
12'd391: VAR2 = 76'd166903815503556664320;
12'd392: VAR2 = 76'd166903815503556664320;
12'd393: VAR2 = 76'd166903815503556664320;
12'd394: VAR2 = 76'd166903815503556664320;
12'd395: VAR2 = 76'd166903815503556664320;
12'd396: VAR2 = 76'd166903815503556664320;
12'd397: VAR2 = 76'd166903815503556664320;
12'd398: VAR2 = 76'd166903815503556664320;
12'd399: VAR2 = 76'd166903815503556664320;
12'd400: VAR2 = 76'd166903815503556664320;
12'd401: VAR2 = 76'd166903815503556664320;
12'd402: VAR2 = 76'd166903815503556664320;
12'd403: VAR2 = 76'd166903815503556664320;
12'd404: VAR2 = 76'd166903815503556664320;
12'd405: VAR2 = 76'd166903815503556664320;
12'd406: VAR2 = 76'd166903815503556664320;
12'd407: VAR2 = 76'd166903815503556664320;
12'd408: VAR2 = 76'd166903815503556664320;
12'd409: VAR2 = 76'd166759137365526861312;
12'd410: VAR2 = 76'd92683508482071876096;
12'd411: VAR2 = 76'd92538830344042597376;
12'd412: VAR2 = 76'd92394292943501150208;
12'd413: VAR2 = 76'd92249614805471871489;
12'd414: VAR2 = 76'd92104936667442592770;
12'd415: VAR2 = 76'd91960398992023762435;
12'd416: VAR2 = 76'd165458581135586242565;
12'd417: VAR2 = 76'd165313902172117936646;
12'd418: VAR2 = 76'd165169223208381195272;
12'd419: VAR2 = 76'd238811520539482660361;
12'd420: VAR2 = 76'd238666841575745918475;
12'd421: VAR2 = 76'd312165023443625184781;
12'd422: VAR2 = 76'd312020344479620007951;
12'd423: VAR2 = 76'd385662500798086774801;
12'd424: VAR2 = 76'd459304797854041896980;
12'd425: VAR2 = 76'd532802979996530634774;
12'd426: VAR2 = 76'd606445136314729490457;
12'd427: VAR2 = 76'd680087433370416177180;
12'd428: VAR2 = 76'd753729730151224956958;
12'd429: VAR2 = 76'd827227771281079520801;
12'd430: VAR2 = 76'd900870068061619865125;
12'd431: VAR2 = 76'd1048299200674119531560;
12'd432: VAR2 = 76'd1121941356717172044332;
12'd433: VAR2 = 76'd1195439397571879741487;
12'd434: VAR2 = 76'd1342868670646990380595;
12'd435: VAR2 = 76'd1490297802984343704631;
12'd436: VAR2 = 76'd1563795843838783490619;
12'd437: VAR2 = 76'd1563795843838783490619;
12'd438: VAR2 = 76'd1563795843838783490619;
12'd439: VAR2 = 76'd1563795843838783490619;
12'd440: VAR2 = 76'd1563795843838783490619;
12'd441: VAR2 = 76'd1563795843838783490619;
12'd442: VAR2 = 76'd1563795843838783490619;
12'd443: VAR2 = 76'd1563795843838783490619;
12'd444: VAR2 = 76'd1563795843838783490619;
12'd445: VAR2 = 76'd1563795843838783490619;
12'd446: VAR2 = 76'd1563795843838783490619;
12'd447: VAR2 = 76'd1563795843838783490619;
12'd448: VAR2 = 76'd166903815503556664320;
12'd449: VAR2 = 76'd166903815503556664320;
12'd450: VAR2 = 76'd166903815503556664320;
12'd451: VAR2 = 76'd166903815503556664320;
12'd452: VAR2 = 76'd166903815503556664320;
12'd453: VAR2 = 76'd166903815503556664320;
12'd454: VAR2 = 76'd166903815503556664320;
12'd455: VAR2 = 76'd166903815503556664320;
12'd456: VAR2 = 76'd166903815503556664320;
12'd457: VAR2 = 76'd166903815503556664320;
12'd458: VAR2 = 76'd166903815503556664320;
12'd459: VAR2 = 76'd166903815503556664320;
12'd460: VAR2 = 76'd166903815503556664320;
12'd461: VAR2 = 76'd166903815503556664320;
12'd462: VAR2 = 76'd166903815503556664320;
12'd463: VAR2 = 76'd166903815503556664320;
12'd464: VAR2 = 76'd166903815503556664320;
12'd465: VAR2 = 76'd166903815503556664320;
12'd466: VAR2 = 76'd166903815503556664320;
12'd467: VAR2 = 76'd166903815503556664320;
12'd468: VAR2 = 76'd166903815503556664320;
12'd469: VAR2 = 76'd166903815503556664320;
12'd470: VAR2 = 76'd166903815503556664320;
12'd471: VAR2 = 76'd166903815503556664320;
12'd472: VAR2 = 76'd166903815503556664320;
12'd473: VAR2 = 76'd166759137365526861312;
12'd474: VAR2 = 76'd92683508482071876096;
12'd475: VAR2 = 76'd92538830344042597376;
12'd476: VAR2 = 76'd92394292943501150208;
12'd477: VAR2 = 76'd92249614805471871489;
12'd478: VAR2 = 76'd92104936667442592770;
12'd479: VAR2 = 76'd91960398992023762435;
12'd480: VAR2 = 76'd165458581135586242565;
12'd481: VAR2 = 76'd165313902172117936646;
12'd482: VAR2 = 76'd165169223208381195272;
12'd483: VAR2 = 76'd238811520539482660361;
12'd484: VAR2 = 76'd238666841575745918475;
12'd485: VAR2 = 76'd312165023443625184781;
12'd486: VAR2 = 76'd312020344479620007951;
12'd487: VAR2 = 76'd385662500798086774801;
12'd488: VAR2 = 76'd459304797854041896980;
12'd489: VAR2 = 76'd532802979996530634774;
12'd490: VAR2 = 76'd606445136314729490457;
12'd491: VAR2 = 76'd680087433370416177180;
12'd492: VAR2 = 76'd753729730151224956958;
12'd493: VAR2 = 76'd827227771281079520801;
12'd494: VAR2 = 76'd900870068061619865125;
12'd495: VAR2 = 76'd1048299200674119531560;
12'd496: VAR2 = 76'd1121941356717172044332;
12'd497: VAR2 = 76'd1195439397571879741487;
12'd498: VAR2 = 76'd1342868670646990380595;
12'd499: VAR2 = 76'd1490297802984343704631;
12'd500: VAR2 = 76'd1563795843838783490619;
12'd501: VAR2 = 76'd1563795843838783490619;
12'd502: VAR2 = 76'd1563795843838783490619;
12'd503: VAR2 = 76'd1563795843838783490619;
12'd504: VAR2 = 76'd1563795843838783490619;
12'd505: VAR2 = 76'd1563795843838783490619;
12'd506: VAR2 = 76'd1563795843838783490619;
12'd507: VAR2 = 76'd1563795843838783490619;
12'd508: VAR2 = 76'd1563795843838783490619;
12'd509: VAR2 = 76'd1563795843838783490619;
12'd510: VAR2 = 76'd1563795843838783490619;
12'd511: VAR2 = 76'd1563795843838783490619;
12'd512: VAR2 = 76'd166903815503556664320;
12'd513: VAR2 = 76'd166903815503556664320;
12'd514: VAR2 = 76'd166903815503556664320;
12'd515: VAR2 = 76'd166903815503556664320;
12'd516: VAR2 = 76'd166903815503556664320;
12'd517: VAR2 = 76'd166903815503556664320;
12'd518: VAR2 = 76'd166903815503556664320;
12'd519: VAR2 = 76'd166903815503556664320;
12'd520: VAR2 = 76'd166903815503556664320;
12'd521: VAR2 = 76'd166903815503556664320;
12'd522: VAR2 = 76'd166903815503556664320;
12'd523: VAR2 = 76'd166903815503556664320;
12'd524: VAR2 = 76'd166903815503556664320;
12'd525: VAR2 = 76'd166903815503556664320;
12'd526: VAR2 = 76'd166903815503556664320;
12'd527: VAR2 = 76'd166903815503556664320;
12'd528: VAR2 = 76'd166903815503556664320;
12'd529: VAR2 = 76'd166903815503556664320;
12'd530: VAR2 = 76'd166903815503556664320;
12'd531: VAR2 = 76'd166903815503556664320;
12'd532: VAR2 = 76'd166903815503556664320;
12'd533: VAR2 = 76'd166903815503556664320;
12'd534: VAR2 = 76'd166903815503556664320;
12'd535: VAR2 = 76'd166903815503556664320;
12'd536: VAR2 = 76'd166903815503556664320;
12'd537: VAR2 = 76'd166759137365526861312;
12'd538: VAR2 = 76'd92683508482071876096;
12'd539: VAR2 = 76'd92538830344042597376;
12'd540: VAR2 = 76'd92394292943501150208;
12'd541: VAR2 = 76'd92249614805471871489;
12'd542: VAR2 = 76'd92104936667442592770;
12'd543: VAR2 = 76'd91960398992023762435;
12'd544: VAR2 = 76'd165458581135586242565;
12'd545: VAR2 = 76'd165313902172117936646;
12'd546: VAR2 = 76'd165169223208381195272;
12'd547: VAR2 = 76'd238811520539482660361;
12'd548: VAR2 = 76'd238666841575745918475;
12'd549: VAR2 = 76'd312165023443625184781;
12'd550: VAR2 = 76'd312020344479620007951;
12'd551: VAR2 = 76'd385662500798086774801;
12'd552: VAR2 = 76'd459304797854041896980;
12'd553: VAR2 = 76'd532802979996530634774;
12'd554: VAR2 = 76'd606445136314729490457;
12'd555: VAR2 = 76'd680087433370416177180;
12'd556: VAR2 = 76'd753729730151224956958;
12'd557: VAR2 = 76'd827227771281079520801;
12'd558: VAR2 = 76'd900870068061619865125;
12'd559: VAR2 = 76'd1048299200674119531560;
12'd560: VAR2 = 76'd1121941356717172044332;
12'd561: VAR2 = 76'd1195439397571879741487;
12'd562: VAR2 = 76'd1342868670646990380595;
12'd563: VAR2 = 76'd1490297802984343704631;
12'd564: VAR2 = 76'd1563795843838783490619;
12'd565: VAR2 = 76'd1563795843838783490619;
12'd566: VAR2 = 76'd1563795843838783490619;
12'd567: VAR2 = 76'd1563795843838783490619;
12'd568: VAR2 = 76'd1563795843838783490619;
12'd569: VAR2 = 76'd1563795843838783490619;
12'd570: VAR2 = 76'd1563795843838783490619;
12'd571: VAR2 = 76'd1563795843838783490619;
12'd572: VAR2 = 76'd1563795843838783490619;
12'd573: VAR2 = 76'd1563795843838783490619;
12'd574: VAR2 = 76'd1563795843838783490619;
12'd575: VAR2 = 76'd1563795843838783490619;
12'd576: VAR2 = 76'd166903815503556664320;
12'd577: VAR2 = 76'd166903815503556664320;
12'd578: VAR2 = 76'd166903815503556664320;
12'd579: VAR2 = 76'd166903815503556664320;
12'd580: VAR2 = 76'd166903815503556664320;
12'd581: VAR2 = 76'd166903815503556664320;
12'd582: VAR2 = 76'd166903815503556664320;
12'd583: VAR2 = 76'd166903815503556664320;
12'd584: VAR2 = 76'd166903815503556664320;
12'd585: VAR2 = 76'd166903815503556664320;
12'd586: VAR2 = 76'd166903815503556664320;
12'd587: VAR2 = 76'd166903815503556664320;
12'd588: VAR2 = 76'd166903815503556664320;
12'd589: VAR2 = 76'd166903815503556664320;
12'd590: VAR2 = 76'd166903815503556664320;
12'd591: VAR2 = 76'd166903815503556664320;
12'd592: VAR2 = 76'd166903815503556664320;
12'd593: VAR2 = 76'd166903815503556664320;
12'd594: VAR2 = 76'd166903815503556664320;
12'd595: VAR2 = 76'd166903815503556664320;
12'd596: VAR2 = 76'd166903815503556664320;
12'd597: VAR2 = 76'd166903815503556664320;
12'd598: VAR2 = 76'd166903815503556664320;
12'd599: VAR2 = 76'd166903815503556664320;
12'd600: VAR2 = 76'd166903815503556664320;
12'd601: VAR2 = 76'd166759137365526861312;
12'd602: VAR2 = 76'd92683508482071876096;
12'd603: VAR2 = 76'd92538830344042597376;
12'd604: VAR2 = 76'd92394292943501150208;
12'd605: VAR2 = 76'd92249614805471871489;
12'd606: VAR2 = 76'd92104936667442592770;
12'd607: VAR2 = 76'd91960398992023762435;
12'd608: VAR2 = 76'd165458581135586242565;
12'd609: VAR2 = 76'd165313902172117936646;
12'd610: VAR2 = 76'd165169223208381195272;
12'd611: VAR2 = 76'd238811520539482660361;
12'd612: VAR2 = 76'd238666841575745918475;
12'd613: VAR2 = 76'd312165023443625184781;
12'd614: VAR2 = 76'd312020344479620007951;
12'd615: VAR2 = 76'd385662500798086774801;
12'd616: VAR2 = 76'd459304797854041896980;
12'd617: VAR2 = 76'd532802979996530634774;
12'd618: VAR2 = 76'd606445136314729490457;
12'd619: VAR2 = 76'd680087433370416177180;
12'd620: VAR2 = 76'd753729730151224956958;
12'd621: VAR2 = 76'd827227771281079520801;
12'd622: VAR2 = 76'd900870068061619865125;
12'd623: VAR2 = 76'd1048299200674119531560;
12'd624: VAR2 = 76'd1121941356717172044332;
12'd625: VAR2 = 76'd1195439397571879741487;
12'd626: VAR2 = 76'd1342868670646990380595;
12'd627: VAR2 = 76'd1490297802984343704631;
12'd628: VAR2 = 76'd1563795843838783490619;
12'd629: VAR2 = 76'd1563795843838783490619;
12'd630: VAR2 = 76'd1563795843838783490619;
12'd631: VAR2 = 76'd1563795843838783490619;
12'd632: VAR2 = 76'd1563795843838783490619;
12'd633: VAR2 = 76'd1563795843838783490619;
12'd634: VAR2 = 76'd1563795843838783490619;
12'd635: VAR2 = 76'd1563795843838783490619;
12'd636: VAR2 = 76'd1563795843838783490619;
12'd637: VAR2 = 76'd1563795843838783490619;
12'd638: VAR2 = 76'd1563795843838783490619;
12'd639: VAR2 = 76'd1563795843838783490619;
12'd640: VAR2 = 76'd166903815503556664320;
12'd641: VAR2 = 76'd166903815503556664320;
12'd642: VAR2 = 76'd166903815503556664320;
12'd643: VAR2 = 76'd166903815503556664320;
12'd644: VAR2 = 76'd166903815503556664320;
12'd645: VAR2 = 76'd166903815503556664320;
12'd646: VAR2 = 76'd166903815503556664320;
12'd647: VAR2 = 76'd166903815503556664320;
12'd648: VAR2 = 76'd166903815503556664320;
12'd649: VAR2 = 76'd166903815503556664320;
12'd650: VAR2 = 76'd166903815503556664320;
12'd651: VAR2 = 76'd166903815503556664320;
12'd652: VAR2 = 76'd166903815503556664320;
12'd653: VAR2 = 76'd166903815503556664320;
12'd654: VAR2 = 76'd166903815503556664320;
12'd655: VAR2 = 76'd166903815503556664320;
12'd656: VAR2 = 76'd166903815503556664320;
12'd657: VAR2 = 76'd166903815503556664320;
12'd658: VAR2 = 76'd166903815503556664320;
12'd659: VAR2 = 76'd166903815503556664320;
12'd660: VAR2 = 76'd166903815503556664320;
12'd661: VAR2 = 76'd166903815503556664320;
12'd662: VAR2 = 76'd166903815503556664320;
12'd663: VAR2 = 76'd166903815503556664320;
12'd664: VAR2 = 76'd166903815503556664320;
12'd665: VAR2 = 76'd166759137365526861312;
12'd666: VAR2 = 76'd92683508482071876096;
12'd667: VAR2 = 76'd92538830344042597376;
12'd668: VAR2 = 76'd92394292943501150208;
12'd669: VAR2 = 76'd92249614805471871489;
12'd670: VAR2 = 76'd92104936667442592770;
12'd671: VAR2 = 76'd91960398992023762435;
12'd672: VAR2 = 76'd165458581135586242565;
12'd673: VAR2 = 76'd165313902172117936646;
12'd674: VAR2 = 76'd165169223208381195272;
12'd675: VAR2 = 76'd238811520539482660361;
12'd676: VAR2 = 76'd238666841575745918475;
12'd677: VAR2 = 76'd312165023443625184781;
12'd678: VAR2 = 76'd312020344479620007951;
12'd679: VAR2 = 76'd385662500798086774801;
12'd680: VAR2 = 76'd459304797854041896980;
12'd681: VAR2 = 76'd532802979996530634774;
12'd682: VAR2 = 76'd606445136314729490457;
12'd683: VAR2 = 76'd680087433370416177180;
12'd684: VAR2 = 76'd753729730151224956958;
12'd685: VAR2 = 76'd827227771281079520801;
12'd686: VAR2 = 76'd900870068061619865125;
12'd687: VAR2 = 76'd1048299200674119531560;
12'd688: VAR2 = 76'd1121941356717172044332;
12'd689: VAR2 = 76'd1195439397571879741487;
12'd690: VAR2 = 76'd1342868670646990380595;
12'd691: VAR2 = 76'd1490297802984343704631;
12'd692: VAR2 = 76'd1563795843838783490619;
12'd693: VAR2 = 76'd1563795843838783490619;
12'd694: VAR2 = 76'd1563795843838783490619;
12'd695: VAR2 = 76'd1563795843838783490619;
12'd696: VAR2 = 76'd1563795843838783490619;
12'd697: VAR2 = 76'd1563795843838783490619;
12'd698: VAR2 = 76'd1563795843838783490619;
12'd699: VAR2 = 76'd1563795843838783490619;
12'd700: VAR2 = 76'd1563795843838783490619;
12'd701: VAR2 = 76'd1563795843838783490619;
12'd702: VAR2 = 76'd1563795843838783490619;
12'd703: VAR2 = 76'd1563795843838783490619;
12'd704: VAR2 = 76'd166903815503556664320;
12'd705: VAR2 = 76'd166903815503556664320;
12'd706: VAR2 = 76'd166903815503556664320;
12'd707: VAR2 = 76'd166903815503556664320;
12'd708: VAR2 = 76'd166903815503556664320;
12'd709: VAR2 = 76'd166903815503556664320;
12'd710: VAR2 = 76'd166903815503556664320;
12'd711: VAR2 = 76'd166903815503556664320;
12'd712: VAR2 = 76'd166903815503556664320;
12'd713: VAR2 = 76'd166903815503556664320;
12'd714: VAR2 = 76'd166903815503556664320;
12'd715: VAR2 = 76'd166903815503556664320;
12'd716: VAR2 = 76'd166903815503556664320;
12'd717: VAR2 = 76'd166903815503556664320;
12'd718: VAR2 = 76'd166903815503556664320;
12'd719: VAR2 = 76'd166903815503556664320;
12'd720: VAR2 = 76'd166903815503556664320;
12'd721: VAR2 = 76'd166903815503556664320;
12'd722: VAR2 = 76'd166903815503556664320;
12'd723: VAR2 = 76'd166903815503556664320;
12'd724: VAR2 = 76'd166903815503556664320;
12'd725: VAR2 = 76'd166903815503556664320;
12'd726: VAR2 = 76'd166903815503556664320;
12'd727: VAR2 = 76'd166903815503556664320;
12'd728: VAR2 = 76'd166903815503556664320;
12'd729: VAR2 = 76'd166759137365526861312;
12'd730: VAR2 = 76'd92683508482071876096;
12'd731: VAR2 = 76'd92538830344042597376;
12'd732: VAR2 = 76'd92394292943501150208;
12'd733: VAR2 = 76'd92249614805471871489;
12'd734: VAR2 = 76'd92104936667442592770;
12'd735: VAR2 = 76'd91960398992023762435;
12'd736: VAR2 = 76'd165458581135586242565;
12'd737: VAR2 = 76'd165313902172117936646;
12'd738: VAR2 = 76'd165169223208381195272;
12'd739: VAR2 = 76'd238811520539482660361;
12'd740: VAR2 = 76'd238666841575745918475;
12'd741: VAR2 = 76'd312165023443625184781;
12'd742: VAR2 = 76'd312020344479620007951;
12'd743: VAR2 = 76'd385662500798086774801;
12'd744: VAR2 = 76'd459304797854041896980;
12'd745: VAR2 = 76'd532802979996530634774;
12'd746: VAR2 = 76'd606445136314729490457;
12'd747: VAR2 = 76'd680087433370416177180;
12'd748: VAR2 = 76'd753729730151224956958;
12'd749: VAR2 = 76'd827227771281079520801;
12'd750: VAR2 = 76'd900870068061619865125;
12'd751: VAR2 = 76'd1048299200674119531560;
12'd752: VAR2 = 76'd1121941356717172044332;
12'd753: VAR2 = 76'd1195439397571879741487;
12'd754: VAR2 = 76'd1342868670646990380595;
12'd755: VAR2 = 76'd1490297802984343704631;
12'd756: VAR2 = 76'd1563795843838783490619;
12'd757: VAR2 = 76'd1563795843838783490619;
12'd758: VAR2 = 76'd1563795843838783490619;
12'd759: VAR2 = 76'd1563795843838783490619;
12'd760: VAR2 = 76'd1563795843838783490619;
12'd761: VAR2 = 76'd1563795843838783490619;
12'd762: VAR2 = 76'd1563795843838783490619;
12'd763: VAR2 = 76'd1563795843838783490619;
12'd764: VAR2 = 76'd1563795843838783490619;
12'd765: VAR2 = 76'd1563795843838783490619;
12'd766: VAR2 = 76'd1563795843838783490619;
12'd767: VAR2 = 76'd1563795843838783490619;
12'd768: VAR2 = 76'd166903815503556664320;
12'd769: VAR2 = 76'd166903815503556664320;
12'd770: VAR2 = 76'd166903815503556664320;
12'd771: VAR2 = 76'd166903815503556664320;
12'd772: VAR2 = 76'd166903815503556664320;
12'd773: VAR2 = 76'd166903815503556664320;
12'd774: VAR2 = 76'd166903815503556664320;
12'd775: VAR2 = 76'd166903815503556664320;
12'd776: VAR2 = 76'd166903815503556664320;
12'd777: VAR2 = 76'd166903815503556664320;
12'd778: VAR2 = 76'd166903815503556664320;
12'd779: VAR2 = 76'd166903815503556664320;
12'd780: VAR2 = 76'd166903815503556664320;
12'd781: VAR2 = 76'd166903815503556664320;
12'd782: VAR2 = 76'd166903815503556664320;
12'd783: VAR2 = 76'd166903815503556664320;
12'd784: VAR2 = 76'd166903815503556664320;
12'd785: VAR2 = 76'd166903815503556664320;
12'd786: VAR2 = 76'd166903815503556664320;
12'd787: VAR2 = 76'd166903815503556664320;
12'd788: VAR2 = 76'd166903815503556664320;
12'd789: VAR2 = 76'd166903815503556664320;
12'd790: VAR2 = 76'd166903815503556664320;
12'd791: VAR2 = 76'd166903815503556664320;
12'd792: VAR2 = 76'd166903815503556664320;
12'd793: VAR2 = 76'd166759137365526861312;
12'd794: VAR2 = 76'd92683508482071876096;
12'd795: VAR2 = 76'd92538830344042597376;
12'd796: VAR2 = 76'd92394292943501150208;
12'd797: VAR2 = 76'd92249614805471871489;
12'd798: VAR2 = 76'd92104936667442592770;
12'd799: VAR2 = 76'd91960398992023762435;
12'd800: VAR2 = 76'd165458581135586242565;
12'd801: VAR2 = 76'd165313902172117936646;
12'd802: VAR2 = 76'd165169223208381195272;
12'd803: VAR2 = 76'd238811520539482660361;
12'd804: VAR2 = 76'd238666841575745918475;
12'd805: VAR2 = 76'd312165023443625184781;
12'd806: VAR2 = 76'd312020344479620007951;
12'd807: VAR2 = 76'd385662500798086774801;
12'd808: VAR2 = 76'd459304797854041896980;
12'd809: VAR2 = 76'd532802979996530634774;
12'd810: VAR2 = 76'd606445136314729490457;
12'd811: VAR2 = 76'd680087433370416177180;
12'd812: VAR2 = 76'd753729730151224956958;
12'd813: VAR2 = 76'd827227771281079520801;
12'd814: VAR2 = 76'd900870068061619865125;
12'd815: VAR2 = 76'd1048299200674119531560;
12'd816: VAR2 = 76'd1121941356717172044332;
12'd817: VAR2 = 76'd1195439397571879741487;
12'd818: VAR2 = 76'd1342868670646990380595;
12'd819: VAR2 = 76'd1490297802984343704631;
12'd820: VAR2 = 76'd1563795843838783490619;
12'd821: VAR2 = 76'd1563795843838783490619;
12'd822: VAR2 = 76'd1563795843838783490619;
12'd823: VAR2 = 76'd1563795843838783490619;
12'd824: VAR2 = 76'd1563795843838783490619;
12'd825: VAR2 = 76'd1563795843838783490619;
12'd826: VAR2 = 76'd1563795843838783490619;
12'd827: VAR2 = 76'd1563795843838783490619;
12'd828: VAR2 = 76'd1563795843838783490619;
12'd829: VAR2 = 76'd1563795843838783490619;
12'd830: VAR2 = 76'd1563795843838783490619;
12'd831: VAR2 = 76'd1563795843838783490619;
12'd832: VAR2 = 76'd166903815503556664320;
12'd833: VAR2 = 76'd166903815503556664320;
12'd834: VAR2 = 76'd166903815503556664320;
12'd835: VAR2 = 76'd166903815503556664320;
12'd836: VAR2 = 76'd166903815503556664320;
12'd837: VAR2 = 76'd166903815503556664320;
12'd838: VAR2 = 76'd166903815503556664320;
12'd839: VAR2 = 76'd166903815503556664320;
12'd840: VAR2 = 76'd166903815503556664320;
12'd841: VAR2 = 76'd166903815503556664320;
12'd842: VAR2 = 76'd166903815503556664320;
12'd843: VAR2 = 76'd166903815503556664320;
12'd844: VAR2 = 76'd166903815503556664320;
12'd845: VAR2 = 76'd166903815503556664320;
12'd846: VAR2 = 76'd166903815503556664320;
12'd847: VAR2 = 76'd166903815503556664320;
12'd848: VAR2 = 76'd166903815503556664320;
12'd849: VAR2 = 76'd166903815503556664320;
12'd850: VAR2 = 76'd166903815503556664320;
12'd851: VAR2 = 76'd166903815503556664320;
12'd852: VAR2 = 76'd166903815503556664320;
12'd853: VAR2 = 76'd166903815503556664320;
12'd854: VAR2 = 76'd166903815503556664320;
12'd855: VAR2 = 76'd166903815503556664320;
12'd856: VAR2 = 76'd166903815503556664320;
12'd857: VAR2 = 76'd166759137365526861312;
12'd858: VAR2 = 76'd92683508482071876096;
12'd859: VAR2 = 76'd92538830344042597376;
12'd860: VAR2 = 76'd92394292943501150208;
12'd861: VAR2 = 76'd92249614805471871489;
12'd862: VAR2 = 76'd92104936667442592770;
12'd863: VAR2 = 76'd91960398992023762435;
12'd864: VAR2 = 76'd165458581135586242565;
12'd865: VAR2 = 76'd165313902172117936646;
12'd866: VAR2 = 76'd165169223208381195272;
12'd867: VAR2 = 76'd238811520539482660361;
12'd868: VAR2 = 76'd238666841575745918475;
12'd869: VAR2 = 76'd312165023443625184781;
12'd870: VAR2 = 76'd312020344479620007951;
12'd871: VAR2 = 76'd385662500798086774801;
12'd872: VAR2 = 76'd459304797854041896980;
12'd873: VAR2 = 76'd532802979996530634774;
12'd874: VAR2 = 76'd606445136314729490457;
12'd875: VAR2 = 76'd680087433370416177180;
12'd876: VAR2 = 76'd753729730151224956958;
12'd877: VAR2 = 76'd827227771281079520801;
12'd878: VAR2 = 76'd900870068061619865125;
12'd879: VAR2 = 76'd1048299200674119531560;
12'd880: VAR2 = 76'd1121941356717172044332;
12'd881: VAR2 = 76'd1195439397571879741487;
12'd882: VAR2 = 76'd1342868670646990380595;
12'd883: VAR2 = 76'd1490297802984343704631;
12'd884: VAR2 = 76'd1563795843838783490619;
12'd885: VAR2 = 76'd1563795843838783490619;
12'd886: VAR2 = 76'd1563795843838783490619;
12'd887: VAR2 = 76'd1563795843838783490619;
12'd888: VAR2 = 76'd1563795843838783490619;
12'd889: VAR2 = 76'd1563795843838783490619;
12'd890: VAR2 = 76'd1563795843838783490619;
12'd891: VAR2 = 76'd1563795843838783490619;
12'd892: VAR2 = 76'd1563795843838783490619;
12'd893: VAR2 = 76'd1563795843838783490619;
12'd894: VAR2 = 76'd1563795843838783490619;
12'd895: VAR2 = 76'd1563795843838783490619;
12'd896: VAR2 = 76'd166903815503556664320;
12'd897: VAR2 = 76'd166903815503556664320;
12'd898: VAR2 = 76'd166903815503556664320;
12'd899: VAR2 = 76'd166903815503556664320;
12'd900: VAR2 = 76'd166903815503556664320;
12'd901: VAR2 = 76'd166903815503556664320;
12'd902: VAR2 = 76'd166903815503556664320;
12'd903: VAR2 = 76'd166903815503556664320;
12'd904: VAR2 = 76'd166903815503556664320;
12'd905: VAR2 = 76'd166903815503556664320;
12'd906: VAR2 = 76'd166903815503556664320;
12'd907: VAR2 = 76'd166903815503556664320;
12'd908: VAR2 = 76'd166903815503556664320;
12'd909: VAR2 = 76'd166903815503556664320;
12'd910: VAR2 = 76'd166903815503556664320;
12'd911: VAR2 = 76'd166903815503556664320;
12'd912: VAR2 = 76'd166903815503556664320;
12'd913: VAR2 = 76'd166903815503556664320;
12'd914: VAR2 = 76'd166903815503556664320;
12'd915: VAR2 = 76'd166903815503556664320;
12'd916: VAR2 = 76'd166903815503556664320;
12'd917: VAR2 = 76'd166903815503556664320;
12'd918: VAR2 = 76'd166903815503556664320;
12'd919: VAR2 = 76'd166903815503556664320;
12'd920: VAR2 = 76'd166903815503556664320;
12'd921: VAR2 = 76'd166759137365526861312;
12'd922: VAR2 = 76'd92683508482071876096;
12'd923: VAR2 = 76'd92538830344042597376;
12'd924: VAR2 = 76'd92394292943501150208;
12'd925: VAR2 = 76'd92249614805471871489;
12'd926: VAR2 = 76'd92104936667442592770;
12'd927: VAR2 = 76'd91960398992023762435;
12'd928: VAR2 = 76'd165458581135586242565;
12'd929: VAR2 = 76'd165313902172117936646;
12'd930: VAR2 = 76'd165169223208381195272;
12'd931: VAR2 = 76'd238811520539482660361;
12'd932: VAR2 = 76'd238666841575745918475;
12'd933: VAR2 = 76'd312165023443625184781;
12'd934: VAR2 = 76'd312020344479620007951;
12'd935: VAR2 = 76'd385662500798086774801;
12'd936: VAR2 = 76'd459304797854041896980;
12'd937: VAR2 = 76'd532802979996530634774;
12'd938: VAR2 = 76'd606445136314729490457;
12'd939: VAR2 = 76'd680087433370416177180;
12'd940: VAR2 = 76'd753729730151224956958;
12'd941: VAR2 = 76'd827227771281079520801;
12'd942: VAR2 = 76'd900870068061619865125;
12'd943: VAR2 = 76'd1048299200674119531560;
12'd944: VAR2 = 76'd1121941356717172044332;
12'd945: VAR2 = 76'd1195439397571879741487;
12'd946: VAR2 = 76'd1342868670646990380595;
12'd947: VAR2 = 76'd1490297802984343704631;
12'd948: VAR2 = 76'd1563795843838783490619;
12'd949: VAR2 = 76'd1563795843838783490619;
12'd950: VAR2 = 76'd1563795843838783490619;
12'd951: VAR2 = 76'd1563795843838783490619;
12'd952: VAR2 = 76'd1563795843838783490619;
12'd953: VAR2 = 76'd1563795843838783490619;
12'd954: VAR2 = 76'd1563795843838783490619;
12'd955: VAR2 = 76'd1563795843838783490619;
12'd956: VAR2 = 76'd1563795843838783490619;
12'd957: VAR2 = 76'd1563795843838783490619;
12'd958: VAR2 = 76'd1563795843838783490619;
12'd959: VAR2 = 76'd1563795843838783490619;
12'd960: VAR2 = 76'd166903815503556664320;
12'd961: VAR2 = 76'd166903815503556664320;
12'd962: VAR2 = 76'd166903815503556664320;
12'd963: VAR2 = 76'd166903815503556664320;
12'd964: VAR2 = 76'd166903815503556664320;
12'd965: VAR2 = 76'd166903815503556664320;
12'd966: VAR2 = 76'd166903815503556664320;
12'd967: VAR2 = 76'd166903815503556664320;
12'd968: VAR2 = 76'd166903815503556664320;
12'd969: VAR2 = 76'd166903815503556664320;
12'd970: VAR2 = 76'd166903815503556664320;
12'd971: VAR2 = 76'd166903815503556664320;
12'd972: VAR2 = 76'd166903815503556664320;
12'd973: VAR2 = 76'd166903815503556664320;
12'd974: VAR2 = 76'd166903815503556664320;
12'd975: VAR2 = 76'd166903815503556664320;
12'd976: VAR2 = 76'd166903815503556664320;
12'd977: VAR2 = 76'd166903815503556664320;
12'd978: VAR2 = 76'd166903815503556664320;
12'd979: VAR2 = 76'd166903815503556664320;
12'd980: VAR2 = 76'd166903815503556664320;
12'd981: VAR2 = 76'd166903815503556664320;
12'd982: VAR2 = 76'd166903815503556664320;
12'd983: VAR2 = 76'd166903815503556664320;
12'd984: VAR2 = 76'd166903815503556664320;
12'd985: VAR2 = 76'd166759137365526861312;
12'd986: VAR2 = 76'd92683508482071876096;
12'd987: VAR2 = 76'd92538830344042597376;
12'd988: VAR2 = 76'd92394292943501150208;
12'd989: VAR2 = 76'd92249614805471871489;
12'd990: VAR2 = 76'd92104936667442592770;
12'd991: VAR2 = 76'd91960398992023762435;
12'd992: VAR2 = 76'd165458581135586242565;
12'd993: VAR2 = 76'd165313902172117936646;
12'd994: VAR2 = 76'd165169223208381195272;
12'd995: VAR2 = 76'd238811520539482660361;
12'd996: VAR2 = 76'd238666841575745918475;
12'd997: VAR2 = 76'd312165023443625184781;
12'd998: VAR2 = 76'd312020344479620007951;
12'd999: VAR2 = 76'd385662500798086774801;
12'd1000: VAR2 = 76'd459304797854041896980;
12'd1001: VAR2 = 76'd532802979996530634774;
12'd1002: VAR2 = 76'd606445136314729490457;
12'd1003: VAR2 = 76'd680087433370416177180;
12'd1004: VAR2 = 76'd753729730151224956958;
12'd1005: VAR2 = 76'd827227771281079520801;
12'd1006: VAR2 = 76'd900870068061619865125;
12'd1007: VAR2 = 76'd1048299200674119531560;
12'd1008: VAR2 = 76'd1121941356717172044332;
12'd1009: VAR2 = 76'd1195439397571879741487;
12'd1010: VAR2 = 76'd1342868670646990380595;
12'd1011: VAR2 = 76'd1490297802984343704631;
12'd1012: VAR2 = 76'd1563795843838783490619;
12'd1013: VAR2 = 76'd1563795843838783490619;
12'd1014: VAR2 = 76'd1563795843838783490619;
12'd1015: VAR2 = 76'd1563795843838783490619;
12'd1016: VAR2 = 76'd1563795843838783490619;
12'd1017: VAR2 = 76'd1563795843838783490619;
12'd1018: VAR2 = 76'd1563795843838783490619;
12'd1019: VAR2 = 76'd1563795843838783490619;
12'd1020: VAR2 = 76'd1563795843838783490619;
12'd1021: VAR2 = 76'd1563795843838783490619;
12'd1022: VAR2 = 76'd1563795843838783490619;
12'd1023: VAR2 = 76'd1563795843838783490619;
12'd1024: VAR2 = 76'd166903815503556664320;
12'd1025: VAR2 = 76'd166903815503556664320;
12'd1026: VAR2 = 76'd166903815503556664320;
12'd1027: VAR2 = 76'd166903815503556664320;
12'd1028: VAR2 = 76'd166903815503556664320;
12'd1029: VAR2 = 76'd166903815503556664320;
12'd1030: VAR2 = 76'd166903815503556664320;
12'd1031: VAR2 = 76'd166903815503556664320;
12'd1032: VAR2 = 76'd166903815503556664320;
12'd1033: VAR2 = 76'd166903815503556664320;
12'd1034: VAR2 = 76'd166903815503556664320;
12'd1035: VAR2 = 76'd166903815503556664320;
12'd1036: VAR2 = 76'd166903815503556664320;
12'd1037: VAR2 = 76'd166903815503556664320;
12'd1038: VAR2 = 76'd166903815503556664320;
12'd1039: VAR2 = 76'd166903815503556664320;
12'd1040: VAR2 = 76'd166903815503556664320;
12'd1041: VAR2 = 76'd166903815503556664320;
12'd1042: VAR2 = 76'd166903815503556664320;
12'd1043: VAR2 = 76'd166903815503556664320;
12'd1044: VAR2 = 76'd166903815503556664320;
12'd1045: VAR2 = 76'd166903815503556664320;
12'd1046: VAR2 = 76'd166903815503556664320;
12'd1047: VAR2 = 76'd166903815503556664320;
12'd1048: VAR2 = 76'd166903815503556664320;
12'd1049: VAR2 = 76'd166759137365526861312;
12'd1050: VAR2 = 76'd92683508482071876096;
12'd1051: VAR2 = 76'd92538830344042597376;
12'd1052: VAR2 = 76'd92394292943501150208;
12'd1053: VAR2 = 76'd92249614805471871489;
12'd1054: VAR2 = 76'd92104936667442592770;
12'd1055: VAR2 = 76'd91960398992023762435;
12'd1056: VAR2 = 76'd165458581135586242565;
12'd1057: VAR2 = 76'd165313902172117936646;
12'd1058: VAR2 = 76'd165169223208381195272;
12'd1059: VAR2 = 76'd238811520539482660361;
12'd1060: VAR2 = 76'd238666841575745918475;
12'd1061: VAR2 = 76'd312165023443625184781;
12'd1062: VAR2 = 76'd312020344479620007951;
12'd1063: VAR2 = 76'd385662500798086774801;
12'd1064: VAR2 = 76'd459304797854041896980;
12'd1065: VAR2 = 76'd532802979996530634774;
12'd1066: VAR2 = 76'd606445136314729490457;
12'd1067: VAR2 = 76'd680087433370416177180;
12'd1068: VAR2 = 76'd753729730151224956958;
12'd1069: VAR2 = 76'd827227771281079520801;
12'd1070: VAR2 = 76'd900870068061619865125;
12'd1071: VAR2 = 76'd1048299200674119531560;
12'd1072: VAR2 = 76'd1121941356717172044332;
12'd1073: VAR2 = 76'd1195439397571879741487;
12'd1074: VAR2 = 76'd1342868670646990380595;
12'd1075: VAR2 = 76'd1490297802984343704631;
12'd1076: VAR2 = 76'd1563795843838783490619;
12'd1077: VAR2 = 76'd1563795843838783490619;
12'd1078: VAR2 = 76'd1563795843838783490619;
12'd1079: VAR2 = 76'd1563795843838783490619;
12'd1080: VAR2 = 76'd1563795843838783490619;
12'd1081: VAR2 = 76'd1563795843838783490619;
12'd1082: VAR2 = 76'd1563795843838783490619;
12'd1083: VAR2 = 76'd1563795843838783490619;
12'd1084: VAR2 = 76'd1563795843838783490619;
12'd1085: VAR2 = 76'd1563795843838783490619;
12'd1086: VAR2 = 76'd1563795843838783490619;
12'd1087: VAR2 = 76'd1563795843838783490619;
12'd1088: VAR2 = 76'd17455098831505222144;
12'd1089: VAR2 = 76'd17455098831505222144;
12'd1090: VAR2 = 76'd17455098831505222144;
12'd1091: VAR2 = 76'd17455098831505222144;
12'd1092: VAR2 = 76'd17455098831505222144;
12'd1093: VAR2 = 76'd17455098831505222144;
12'd1094: VAR2 = 76'd17455098831505222144;
12'd1095: VAR2 = 76'd17455098831505222144;
12'd1096: VAR2 = 76'd17455098831505222144;
12'd1097: VAR2 = 76'd17455098831505222144;
12'd1098: VAR2 = 76'd17455098831505222144;
12'd1099: VAR2 = 76'd17455098831505222144;
12'd1100: VAR2 = 76'd17455098831505222144;
12'd1101: VAR2 = 76'd17455098831505222144;
12'd1102: VAR2 = 76'd17455098831505222144;
12'd1103: VAR2 = 76'd17455098831505222144;
12'd1104: VAR2 = 76'd17455098831505222144;
12'd1105: VAR2 = 76'd17455098831505222144;
12'd1106: VAR2 = 76'd17455098831505222144;
12'd1107: VAR2 = 76'd17455098831505222144;
12'd1108: VAR2 = 76'd17455098831505222144;
12'd1109: VAR2 = 76'd17455098831505222144;
12'd1110: VAR2 = 76'd17455098831505222144;
12'd1111: VAR2 = 76'd17455098831505222144;
12'd1112: VAR2 = 76'd17455098831505222144;
12'd1113: VAR2 = 76'd17310420693475419648;
12'd1114: VAR2 = 76'd17165883292934496768;
12'd1115: VAR2 = 76'd17021205154905218048;
12'd1116: VAR2 = 76'd16876667754364295168;
12'd1117: VAR2 = 76'd16731989616334492160;
12'd1118: VAR2 = 76'd16731567403869424640;
12'd1119: VAR2 = 76'd16586889265840146432;
12'd1120: VAR2 = 76'd16442351590421316098;
12'd1121: VAR2 = 76'd16297672901830917123;
12'd1122: VAR2 = 76'd89939970233200817669;
12'd1123: VAR2 = 76'd89795291269464075783;
12'd1124: VAR2 = 76'd163581844526129752073;
12'd1125: VAR2 = 76'd163437165562393010699;
12'd1126: VAR2 = 76'd237079462893494475277;
12'd1127: VAR2 = 76'd310721759949718033423;
12'd1128: VAR2 = 76'd384508172468626918418;
12'd1129: VAR2 = 76'd458150469524582040597;
12'd1130: VAR2 = 76'd531792766855146634264;
12'd1131: VAR2 = 76'd605579179099178136603;
12'd1132: VAR2 = 76'd679221476154864823326;
12'd1133: VAR2 = 76'd752863773210283074593;
12'd1134: VAR2 = 76'd900437021011395992612;
12'd1135: VAR2 = 76'd974079318066814243880;
12'd1136: VAR2 = 76'd1121508591417071225388;
12'd1137: VAR2 = 76'd1195294862648199070256;
12'd1138: VAR2 = 76'd1342724135998187616308;
12'd1139: VAR2 = 76'd1490153409073566166584;
12'd1140: VAR2 = 76'd1637726656874142213180;
12'd1141: VAR2 = 76'd1637726656874142213180;
12'd1142: VAR2 = 76'd1637726656874142213180;
12'd1143: VAR2 = 76'd1637726656874142213180;
12'd1144: VAR2 = 76'd1637726656874142213180;
12'd1145: VAR2 = 76'd1637726656874142213180;
12'd1146: VAR2 = 76'd1637726656874142213180;
12'd1147: VAR2 = 76'd1637726656874142213180;
12'd1148: VAR2 = 76'd1637726656874142213180;
12'd1149: VAR2 = 76'd1637726656874142213180;
12'd1150: VAR2 = 76'd1637726656874142213180;
12'd1151: VAR2 = 76'd1637726656874142213180;
12'd1152: VAR2 = 76'd15724449937206048768;
12'd1153: VAR2 = 76'd15724449937206048768;
12'd1154: VAR2 = 76'd15724449937206048768;
12'd1155: VAR2 = 76'd15724449937206048768;
12'd1156: VAR2 = 76'd15724449937206048768;
12'd1157: VAR2 = 76'd15724449937206048768;
12'd1158: VAR2 = 76'd15724449937206048768;
12'd1159: VAR2 = 76'd15724449937206048768;
12'd1160: VAR2 = 76'd15724449937206048768;
12'd1161: VAR2 = 76'd15724449937206048768;
12'd1162: VAR2 = 76'd15724449937206048768;
12'd1163: VAR2 = 76'd15724449937206048768;
12'd1164: VAR2 = 76'd15724449937206048768;
12'd1165: VAR2 = 76'd15724449937206048768;
12'd1166: VAR2 = 76'd15724449937206048768;
12'd1167: VAR2 = 76'd15724449937206048768;
12'd1168: VAR2 = 76'd15724449937206048768;
12'd1169: VAR2 = 76'd15724449937206048768;
12'd1170: VAR2 = 76'd15724449937206048768;
12'd1171: VAR2 = 76'd15724449937206048768;
12'd1172: VAR2 = 76'd15724449937206048768;
12'd1173: VAR2 = 76'd15724449937206048768;
12'd1174: VAR2 = 76'd15724449937206048768;
12'd1175: VAR2 = 76'd15724449937206048768;
12'd1176: VAR2 = 76'd15724449937206048768;
12'd1177: VAR2 = 76'd15579771799176246272;
12'd1178: VAR2 = 76'd15435234398635323392;
12'd1179: VAR2 = 76'd15434812186169732096;
12'd1180: VAR2 = 76'd15290134048140453376;
12'd1181: VAR2 = 76'd15145596647599530496;
12'd1182: VAR2 = 76'd15145174435134462976;
12'd1183: VAR2 = 76'd15000496297105184768;
12'd1184: VAR2 = 76'd15000074084639592960;
12'd1185: VAR2 = 76'd14855395946341878785;
12'd1186: VAR2 = 76'd14854972908437784067;
12'd1187: VAR2 = 76'd14710293944969478149;
12'd1188: VAR2 = 76'd14709871181943290375;
12'd1189: VAR2 = 76'd88496283701120611337;
12'd1190: VAR2 = 76'd162138581032222075915;
12'd1191: VAR2 = 76'd235925134288887752206;
12'd1192: VAR2 = 76'd309711546808065073169;
12'd1193: VAR2 = 76'd383497959326974482452;
12'd1194: VAR2 = 76'd457140397120417959959;
12'd1195: VAR2 = 76'd530926809639326845466;
12'd1196: VAR2 = 76'd678500198453073936925;
12'd1197: VAR2 = 76'd752286610696837003809;
12'd1198: VAR2 = 76'd826073022940867981860;
12'd1199: VAR2 = 76'd973646411754078202408;
12'd1200: VAR2 = 76'd1121219941030167831084;
12'd1201: VAR2 = 76'd1195006353273661937712;
12'd1202: VAR2 = 76'd1342579741812263211061;
12'd1203: VAR2 = 76'd1490153130350327088697;
12'd1204: VAR2 = 76'd1637726518613782019646;
12'd1205: VAR2 = 76'd1637726518613782019646;
12'd1206: VAR2 = 76'd1637726518613782019646;
12'd1207: VAR2 = 76'd1637726518613782019646;
12'd1208: VAR2 = 76'd1637726518613782019646;
12'd1209: VAR2 = 76'd1637726518613782019646;
12'd1210: VAR2 = 76'd1637726518613782019646;
12'd1211: VAR2 = 76'd1637726518613782019646;
12'd1212: VAR2 = 76'd1637726518613782019646;
12'd1213: VAR2 = 76'd1637726518613782019646;
12'd1214: VAR2 = 76'd1637726518613782019646;
12'd1215: VAR2 = 76'd1637726518613782019646;
12'd1216: VAR2 = 76'd13993801042906351104;
12'd1217: VAR2 = 76'd13993801042906351104;
12'd1218: VAR2 = 76'd13993801042906351104;
12'd1219: VAR2 = 76'd13993801042906351104;
12'd1220: VAR2 = 76'd13993801042906351104;
12'd1221: VAR2 = 76'd13993801042906351104;
12'd1222: VAR2 = 76'd13993801042906351104;
12'd1223: VAR2 = 76'd13993801042906351104;
12'd1224: VAR2 = 76'd13993801042906351104;
12'd1225: VAR2 = 76'd13993801042906351104;
12'd1226: VAR2 = 76'd13993801042906351104;
12'd1227: VAR2 = 76'd13993801042906351104;
12'd1228: VAR2 = 76'd13993801042906351104;
12'd1229: VAR2 = 76'd13993801042906351104;
12'd1230: VAR2 = 76'd13993801042906351104;
12'd1231: VAR2 = 76'd13993801042906351104;
12'd1232: VAR2 = 76'd13993801042906351104;
12'd1233: VAR2 = 76'd13993801042906351104;
12'd1234: VAR2 = 76'd13993801042906351104;
12'd1235: VAR2 = 76'd13993801042906351104;
12'd1236: VAR2 = 76'd13993801042906351104;
12'd1237: VAR2 = 76'd13993801042906351104;
12'd1238: VAR2 = 76'd13993801042906351104;
12'd1239: VAR2 = 76'd13993801042906351104;
12'd1240: VAR2 = 76'd13993801042906351104;
12'd1241: VAR2 = 76'd13993378830440759808;
12'd1242: VAR2 = 76'd13848841429899836928;
12'd1243: VAR2 = 76'd13848419217434769920;
12'd1244: VAR2 = 76'd13703741079404967424;
12'd1245: VAR2 = 76'd13703318866939899904;
12'd1246: VAR2 = 76'd13702896654474832896;
12'd1247: VAR2 = 76'd13558359253933909504;
12'd1248: VAR2 = 76'd13557796303979962880;
12'd1249: VAR2 = 76'd13557374091514895360;
12'd1250: VAR2 = 76'd13556951878512956929;
12'd1251: VAR2 = 76'd13556388378266849283;
12'd1252: VAR2 = 76'd13555965340362754565;
12'd1253: VAR2 = 76'd13555542577336566791;
12'd1254: VAR2 = 76'd87341955096513887754;
12'd1255: VAR2 = 76'd161128508353447999501;
12'd1256: VAR2 = 76'd234914920872625320464;
12'd1257: VAR2 = 76'd308845589592245283347;
12'd1258: VAR2 = 76'd456418978405992375318;
12'd1259: VAR2 = 76'd530205531662658051097;
12'd1260: VAR2 = 76'd603992084919055291933;
12'd1261: VAR2 = 76'd751709588646000332320;
12'd1262: VAR2 = 76'd825496141902398096932;
12'd1263: VAR2 = 76'd973213645903952609320;
12'd1264: VAR2 = 76'd1120787175180042237997;
12'd1265: VAR2 = 76'd1268504679181596749873;
12'd1266: VAR2 = 76'd1416222182908273354293;
12'd1267: VAR2 = 76'd1563795712184094547514;
12'd1268: VAR2 = 76'd1711513215910771152447;
12'd1269: VAR2 = 76'd1711513215910771152447;
12'd1270: VAR2 = 76'd1711513215910771152447;
12'd1271: VAR2 = 76'd1711513215910771152447;
12'd1272: VAR2 = 76'd1711513215910771152447;
12'd1273: VAR2 = 76'd1711513215910771152447;
12'd1274: VAR2 = 76'd1711513215910771152447;
12'd1275: VAR2 = 76'd1711513215910771152447;
12'd1276: VAR2 = 76'd1711513215910771152447;
12'd1277: VAR2 = 76'd1711513215910771152447;
12'd1278: VAR2 = 76'd1711513215910771152447;
12'd1279: VAR2 = 76'd1711513215910771152447;
12'd1280: VAR2 = 76'd12407408074170340352;
12'd1281: VAR2 = 76'd12407408074170340352;
12'd1282: VAR2 = 76'd12407408074170340352;
12'd1283: VAR2 = 76'd12407408074170340352;
12'd1284: VAR2 = 76'd12407408074170340352;
12'd1285: VAR2 = 76'd12407408074170340352;
12'd1286: VAR2 = 76'd12407408074170340352;
12'd1287: VAR2 = 76'd12407408074170340352;
12'd1288: VAR2 = 76'd12407408074170340352;
12'd1289: VAR2 = 76'd12407408074170340352;
12'd1290: VAR2 = 76'd12407408074170340352;
12'd1291: VAR2 = 76'd12407408074170340352;
12'd1292: VAR2 = 76'd12407408074170340352;
12'd1293: VAR2 = 76'd12407408074170340352;
12'd1294: VAR2 = 76'd12407408074170340352;
12'd1295: VAR2 = 76'd12407408074170340352;
12'd1296: VAR2 = 76'd12407408074170340352;
12'd1297: VAR2 = 76'd12407408074170340352;
12'd1298: VAR2 = 76'd12407408074170340352;
12'd1299: VAR2 = 76'd12407408074170340352;
12'd1300: VAR2 = 76'd12407408074170340352;
12'd1301: VAR2 = 76'd12407408074170340352;
12'd1302: VAR2 = 76'd12407408074170340352;
12'd1303: VAR2 = 76'd12407408074170340352;
12'd1304: VAR2 = 76'd12407408074170340352;
12'd1305: VAR2 = 76'd12406985861705273344;
12'd1306: VAR2 = 76'd12262448461164350464;
12'd1307: VAR2 = 76'd12262026248698759168;
12'd1308: VAR2 = 76'd12261604036233691648;
12'd1309: VAR2 = 76'd12261041086280269312;
12'd1310: VAR2 = 76'd12260618873814678016;
12'd1311: VAR2 = 76'd12260196661349610496;
12'd1312: VAR2 = 76'd12259774448884543488;
12'd1313: VAR2 = 76'd12259352236419475968;
12'd1314: VAR2 = 76'd12258930023954408960;
12'd1315: VAR2 = 76'd12402622999028326401;
12'd1316: VAR2 = 76'd12402200236002138628;
12'd1317: VAR2 = 76'd12401636735756030982;
12'd1318: VAR2 = 76'd86332305180765999113;
12'd1319: VAR2 = 76'd160118858712309582348;
12'd1320: VAR2 = 76'd234049527157319549455;
12'd1321: VAR2 = 76'd307980195876938988562;
12'd1322: VAR2 = 76'd381766749133873100309;
12'd1323: VAR2 = 76'd529484394148062310425;
12'd1324: VAR2 = 76'd603414921855316011037;
12'd1325: VAR2 = 76'd751132566594895749665;
12'd1326: VAR2 = 76'd825063235039368845861;
12'd1327: VAR2 = 76'd972780879778680149033;
12'd1328: VAR2 = 76'd1120498524517991975981;
12'd1329: VAR2 = 76'd1268216028519546487858;
12'd1330: VAR2 = 76'd1415933673258858315319;
12'd1331: VAR2 = 76'd1563795432911099131451;
12'd1332: VAR2 = 76'd1711513077650142522945;
12'd1333: VAR2 = 76'd1711513077650142522945;
12'd1334: VAR2 = 76'd1711513077650142522945;
12'd1335: VAR2 = 76'd1711513077650142522945;
12'd1336: VAR2 = 76'd1711513077650142522945;
12'd1337: VAR2 = 76'd1711513077650142522945;
12'd1338: VAR2 = 76'd1711513077650142522945;
12'd1339: VAR2 = 76'd1711513077650142522945;
12'd1340: VAR2 = 76'd1711513077650142522945;
12'd1341: VAR2 = 76'd1711513077650142522945;
12'd1342: VAR2 = 76'd1711513077650142522945;
12'd1343: VAR2 = 76'd1711513077650142522945;
12'd1344: VAR2 = 76'd10821015105434329088;
12'd1345: VAR2 = 76'd10821015105434329088;
12'd1346: VAR2 = 76'd10821015105434329088;
12'd1347: VAR2 = 76'd10821015105434329088;
12'd1348: VAR2 = 76'd10821015105434329088;
12'd1349: VAR2 = 76'd10821015105434329088;
12'd1350: VAR2 = 76'd10821015105434329088;
12'd1351: VAR2 = 76'd10821015105434329088;
12'd1352: VAR2 = 76'd10821015105434329088;
12'd1353: VAR2 = 76'd10821015105434329088;
12'd1354: VAR2 = 76'd10821015105434329088;
12'd1355: VAR2 = 76'd10821015105434329088;
12'd1356: VAR2 = 76'd10821015105434329088;
12'd1357: VAR2 = 76'd10821015105434329088;
12'd1358: VAR2 = 76'd10821015105434329088;
12'd1359: VAR2 = 76'd10821015105434329088;
12'd1360: VAR2 = 76'd10821015105434329088;
12'd1361: VAR2 = 76'd10821015105434329088;
12'd1362: VAR2 = 76'd10821015105434329088;
12'd1363: VAR2 = 76'd10821015105434329088;
12'd1364: VAR2 = 76'd10821015105434329088;
12'd1365: VAR2 = 76'd10821015105434329088;
12'd1366: VAR2 = 76'd10821015105434329088;
12'd1367: VAR2 = 76'd10821015105434329088;
12'd1368: VAR2 = 76'd10821015105434329088;
12'd1369: VAR2 = 76'd10820592892969262080;
12'd1370: VAR2 = 76'd10820170680504195072;
12'd1371: VAR2 = 76'd10819748468038603776;
12'd1372: VAR2 = 76'd10819326255573536768;
12'd1373: VAR2 = 76'd10818904043108469760;
12'd1374: VAR2 = 76'd10962597018718734336;
12'd1375: VAR2 = 76'd10962174806253666816;
12'd1376: VAR2 = 76'd10961752593788599808;
12'd1377: VAR2 = 76'd11105445569399388672;
12'd1378: VAR2 = 76'd11105023356934321152;
12'd1379: VAR2 = 76'd11248716332545110016;
12'd1380: VAR2 = 76'd11248294119274736131;
12'd1381: VAR2 = 76'd11392127282081195013;
12'd1382: VAR2 = 76'd11535819707399299080;
12'd1383: VAR2 = 76'd85466488427287173643;
12'd1384: VAR2 = 76'd233184133442013254670;
12'd1385: VAR2 = 76'd307114802161901129234;
12'd1386: VAR2 = 76'd381045470606642661397;
12'd1387: VAR2 = 76'd528763115621368742425;
12'd1388: VAR2 = 76'd602837899529064037405;
12'd1389: VAR2 = 76'd750555544268644299809;
12'd1390: VAR2 = 76'd898273329745712393765;
12'd1391: VAR2 = 76'd1046135089947977459753;
12'd1392: VAR2 = 76'd1193852734687557722158;
12'd1393: VAR2 = 76'd1341714494614944881203;
12'd1394: VAR2 = 76'd1489576254817210470968;
12'd1395: VAR2 = 76'd1637438014744329194045;
12'd1396: VAR2 = 76'd1785299915409204708418;
12'd1397: VAR2 = 76'd1785299915409204708418;
12'd1398: VAR2 = 76'd1785299915409204708418;
12'd1399: VAR2 = 76'd1785299915409204708418;
12'd1400: VAR2 = 76'd1785299915409204708418;
12'd1401: VAR2 = 76'd1785299915409204708418;
12'd1402: VAR2 = 76'd1785299915409204708418;
12'd1403: VAR2 = 76'd1785299915409204708418;
12'd1404: VAR2 = 76'd1785299915409204708418;
12'd1405: VAR2 = 76'd1785299915409204708418;
12'd1406: VAR2 = 76'd1785299915409204708418;
12'd1407: VAR2 = 76'd1785299915409204708418;
12'd1408: VAR2 = 76'd9522993250338384896;
12'd1409: VAR2 = 76'd9522993250338384896;
12'd1410: VAR2 = 76'd9522993250338384896;
12'd1411: VAR2 = 76'd9522993250338384896;
12'd1412: VAR2 = 76'd9522993250338384896;
12'd1413: VAR2 = 76'd9522993250338384896;
12'd1414: VAR2 = 76'd9522993250338384896;
12'd1415: VAR2 = 76'd9522993250338384896;
12'd1416: VAR2 = 76'd9522993250338384896;
12'd1417: VAR2 = 76'd9522993250338384896;
12'd1418: VAR2 = 76'd9522993250338384896;
12'd1419: VAR2 = 76'd9522993250338384896;
12'd1420: VAR2 = 76'd9522993250338384896;
12'd1421: VAR2 = 76'd9522993250338384896;
12'd1422: VAR2 = 76'd9522993250338384896;
12'd1423: VAR2 = 76'd9522993250338384896;
12'd1424: VAR2 = 76'd9522993250338384896;
12'd1425: VAR2 = 76'd9522993250338384896;
12'd1426: VAR2 = 76'd9522993250338384896;
12'd1427: VAR2 = 76'd9522993250338384896;
12'd1428: VAR2 = 76'd9522993250338384896;
12'd1429: VAR2 = 76'd9522993250338384896;
12'd1430: VAR2 = 76'd9522993250338384896;
12'd1431: VAR2 = 76'd9522993250338384896;
12'd1432: VAR2 = 76'd9522993250338384896;
12'd1433: VAR2 = 76'd9522571037873317888;
12'd1434: VAR2 = 76'd9522148825407726592;
12'd1435: VAR2 = 76'd9521726612942659584;
12'd1436: VAR2 = 76'd9521304400477068288;
12'd1437: VAR2 = 76'd9520882188012001280;
12'd1438: VAR2 = 76'd9664575163622790144;
12'd1439: VAR2 = 76'd9664293688646078464;
12'd1440: VAR2 = 76'd9807986664256343040;
12'd1441: VAR2 = 76'd9951679639867131904;
12'd1442: VAR2 = 76'd9951257427402064384;
12'd1443: VAR2 = 76'd10094950403012853248;
12'd1444: VAR2 = 76'd10238784115843561474;
12'd1445: VAR2 = 76'd10382477090917479429;
12'd1446: VAR2 = 76'd10526169791113489928;
12'd1447: VAR2 = 76'd84601094436834011659;
12'd1448: VAR2 = 76'd232318739451560092686;
12'd1449: VAR2 = 76'd306249408171716402706;
12'd1450: VAR2 = 76'd380324332817168488469;
12'd1451: VAR2 = 76'd528186093019970949657;
12'd1452: VAR2 = 76'd675903878771916950557;
12'd1453: VAR2 = 76'd749978662679880680993;
12'd1454: VAR2 = 76'd897840422607536275494;
12'd1455: VAR2 = 76'd1045702323547558656554;
12'd1456: VAR2 = 76'd1193564083750092157999;
12'd1457: VAR2 = 76'd1341425984415236107828;
12'd1458: VAR2 = 76'd1489287744617501697593;
12'd1459: VAR2 = 76'd1711080736765291274302;
12'd1460: VAR2 = 76'd1858942637705045219396;
12'd1461: VAR2 = 76'd1858942637705045219396;
12'd1462: VAR2 = 76'd1858942637705045219396;
12'd1463: VAR2 = 76'd1858942637705045219396;
12'd1464: VAR2 = 76'd1858942637705045219396;
12'd1465: VAR2 = 76'd1858942637705045219396;
12'd1466: VAR2 = 76'd1858942637705045219396;
12'd1467: VAR2 = 76'd1858942637705045219396;
12'd1468: VAR2 = 76'd1858942637705045219396;
12'd1469: VAR2 = 76'd1858942637705045219396;
12'd1470: VAR2 = 76'd1858942637705045219396;
12'd1471: VAR2 = 76'd1858942637705045219396;
12'd1472: VAR2 = 76'd8080715469677704704;
12'd1473: VAR2 = 76'd8080715469677704704;
12'd1474: VAR2 = 76'd8080715469677704704;
12'd1475: VAR2 = 76'd8080715469677704704;
12'd1476: VAR2 = 76'd8080715469677704704;
12'd1477: VAR2 = 76'd8080715469677704704;
12'd1478: VAR2 = 76'd8080715469677704704;
12'd1479: VAR2 = 76'd8080715469677704704;
12'd1480: VAR2 = 76'd8080715469677704704;
12'd1481: VAR2 = 76'd8080715469677704704;
12'd1482: VAR2 = 76'd8080715469677704704;
12'd1483: VAR2 = 76'd8080715469677704704;
12'd1484: VAR2 = 76'd8080715469677704704;
12'd1485: VAR2 = 76'd8080715469677704704;
12'd1486: VAR2 = 76'd8080715469677704704;
12'd1487: VAR2 = 76'd8080715469677704704;
12'd1488: VAR2 = 76'd8080715469677704704;
12'd1489: VAR2 = 76'd8080715469677704704;
12'd1490: VAR2 = 76'd8080715469677704704;
12'd1491: VAR2 = 76'd8080715469677704704;
12'd1492: VAR2 = 76'd8080715469677704704;
12'd1493: VAR2 = 76'd8080715469677704704;
12'd1494: VAR2 = 76'd8080715469677704704;
12'd1495: VAR2 = 76'd8080715469677704704;
12'd1496: VAR2 = 76'd8080715469677704704;
12'd1497: VAR2 = 76'd8080433994700993536;
12'd1498: VAR2 = 76'd8080011782235402240;
12'd1499: VAR2 = 76'd8223704757846191104;
12'd1500: VAR2 = 76'd8223282545380599808;
12'd1501: VAR2 = 76'd8367116258479744000;
12'd1502: VAR2 = 76'd8366694046014676992;
12'd1503: VAR2 = 76'd8510387021625465856;
12'd1504: VAR2 = 76'd8654220734724085760;
12'd1505: VAR2 = 76'd8797913710334874624;
12'd1506: VAR2 = 76'd8941606685945663488;
12'd1507: VAR2 = 76'd9085440399044807680;
12'd1508: VAR2 = 76'd9229133374655596034;
12'd1509: VAR2 = 76'd9517082275562160645;
12'd1510: VAR2 = 76'd9660775250904514056;
12'd1511: VAR2 = 76'd157522676466341148683;
12'd1512: VAR2 = 76'd231453345461106930190;
12'd1513: VAR2 = 76'd305528270106827451922;
12'd1514: VAR2 = 76'd453390171047386179606;
12'd1515: VAR2 = 76'd527464954955350434841;
12'd1516: VAR2 = 76'd675326856170518634014;
12'd1517: VAR2 = 76'd823188757110808926242;
12'd1518: VAR2 = 76'd971050517313610863142;
12'd1519: VAR2 = 76'd1119056533441709100075;
12'd1520: VAR2 = 76'd1266918434381999392304;
12'd1521: VAR2 = 76'd1414924450510097104949;
12'd1522: VAR2 = 76'd1562930466363317434938;
12'd1523: VAR2 = 76'd1784579343598177498176;
12'd1524: VAR2 = 76'd1932585359726007299653;
12'd1525: VAR2 = 76'd1932585359726007299653;
12'd1526: VAR2 = 76'd1932585359726007299653;
12'd1527: VAR2 = 76'd1932585359726007299653;
12'd1528: VAR2 = 76'd1932585359726007299653;
12'd1529: VAR2 = 76'd1932585359726007299653;
12'd1530: VAR2 = 76'd1932585359726007299653;
12'd1531: VAR2 = 76'd1932585359726007299653;
12'd1532: VAR2 = 76'd1932585359726007299653;
12'd1533: VAR2 = 76'd1932585359726007299653;
12'd1534: VAR2 = 76'd1932585359726007299653;
12'd1535: VAR2 = 76'd1932585359726007299653;
12'd1536: VAR2 = 76'd6782834352069067264;
12'd1537: VAR2 = 76'd6782834352069067264;
12'd1538: VAR2 = 76'd6782834352069067264;
12'd1539: VAR2 = 76'd6782834352069067264;
12'd1540: VAR2 = 76'd6782834352069067264;
12'd1541: VAR2 = 76'd6782834352069067264;
12'd1542: VAR2 = 76'd6782834352069067264;
12'd1543: VAR2 = 76'd6782834352069067264;
12'd1544: VAR2 = 76'd6782834352069067264;
12'd1545: VAR2 = 76'd6782834352069067264;
12'd1546: VAR2 = 76'd6782834352069067264;
12'd1547: VAR2 = 76'd6782834352069067264;
12'd1548: VAR2 = 76'd6782834352069067264;
12'd1549: VAR2 = 76'd6782834352069067264;
12'd1550: VAR2 = 76'd6782834352069067264;
12'd1551: VAR2 = 76'd6782834352069067264;
12'd1552: VAR2 = 76'd6782834352069067264;
12'd1553: VAR2 = 76'd6782834352069067264;
12'd1554: VAR2 = 76'd6782834352069067264;
12'd1555: VAR2 = 76'd6782834352069067264;
12'd1556: VAR2 = 76'd6782834352069067264;
12'd1557: VAR2 = 76'd6782834352069067264;
12'd1558: VAR2 = 76'd6782834352069067264;
12'd1559: VAR2 = 76'd6782834352069067264;
12'd1560: VAR2 = 76'd6782834352069067264;
12'd1561: VAR2 = 76'd6926527327679856128;
12'd1562: VAR2 = 76'd6926105115214789120;
12'd1563: VAR2 = 76'd6925682902749198336;
12'd1564: VAR2 = 76'd7069516615848342528;
12'd1565: VAR2 = 76'd7213209591459131392;
12'd1566: VAR2 = 76'd7212928116481895424;
12'd1567: VAR2 = 76'd7356621092092684288;
12'd1568: VAR2 = 76'd7500454805191828480;
12'd1569: VAR2 = 76'd7788262968878473216;
12'd1570: VAR2 = 76'd7932096681977617408;
12'd1571: VAR2 = 76'd8075789657588406272;
12'd1572: VAR2 = 76'd8363738558763406338;
12'd1573: VAR2 = 76'd8507572271862550533;
12'd1574: VAR2 = 76'd82582497467607321096;
12'd1575: VAR2 = 76'd156657281925863736843;
12'd1576: VAR2 = 76'd230732207121340072463;
12'd1577: VAR2 = 76'd378594108061898800146;
12'd1578: VAR2 = 76'd452669032982497228822;
12'd1579: VAR2 = 76'd600675049111131812378;
12'd1580: VAR2 = 76'd748536950326300012062;
12'd1581: VAR2 = 76'd896398851266859264035;
12'd1582: VAR2 = 76'd1044404867395225412135;
12'd1583: VAR2 = 76'd1192410883798469467692;
12'd1584: VAR2 = 76'd1340416899926835615793;
12'd1585: VAR2 = 76'd1488422916054933852726;
12'd1586: VAR2 = 76'd1636428932183300000827;
12'd1587: VAR2 = 76'd1858221924881114351169;
12'd1588: VAR2 = 76'd2006228081746700419143;
12'd1589: VAR2 = 76'd2006228081746700419143;
12'd1590: VAR2 = 76'd2006228081746700419143;
12'd1591: VAR2 = 76'd2006228081746700419143;
12'd1592: VAR2 = 76'd2006228081746700419143;
12'd1593: VAR2 = 76'd2006228081746700419143;
12'd1594: VAR2 = 76'd2006228081746700419143;
12'd1595: VAR2 = 76'd2006228081746700419143;
12'd1596: VAR2 = 76'd2006228081746700419143;
12'd1597: VAR2 = 76'd2006228081746700419143;
12'd1598: VAR2 = 76'd2006228081746700419143;
12'd1599: VAR2 = 76'd2006228081746700419143;
12'd1600: VAR2 = 76'd5628927685047929344;
12'd1601: VAR2 = 76'd5628927685047929344;
12'd1602: VAR2 = 76'd5628927685047929344;
12'd1603: VAR2 = 76'd5628927685047929344;
12'd1604: VAR2 = 76'd5628927685047929344;
12'd1605: VAR2 = 76'd5628927685047929344;
12'd1606: VAR2 = 76'd5628927685047929344;
12'd1607: VAR2 = 76'd5628927685047929344;
12'd1608: VAR2 = 76'd5628927685047929344;
12'd1609: VAR2 = 76'd5628927685047929344;
12'd1610: VAR2 = 76'd5628927685047929344;
12'd1611: VAR2 = 76'd5628927685047929344;
12'd1612: VAR2 = 76'd5628927685047929344;
12'd1613: VAR2 = 76'd5628927685047929344;
12'd1614: VAR2 = 76'd5628927685047929344;
12'd1615: VAR2 = 76'd5628927685047929344;
12'd1616: VAR2 = 76'd5628927685047929344;
12'd1617: VAR2 = 76'd5628927685047929344;
12'd1618: VAR2 = 76'd5628927685047929344;
12'd1619: VAR2 = 76'd5628927685047929344;
12'd1620: VAR2 = 76'd5628927685047929344;
12'd1621: VAR2 = 76'd5628927685047929344;
12'd1622: VAR2 = 76'd5628927685047929344;
12'd1623: VAR2 = 76'd5628927685047929344;
12'd1624: VAR2 = 76'd5628927685047929344;
12'd1625: VAR2 = 76'd5628505472582862848;
12'd1626: VAR2 = 76'd5772339185681482752;
12'd1627: VAR2 = 76'd5771916973216416256;
12'd1628: VAR2 = 76'd5915750686315560448;
12'd1629: VAR2 = 76'd6059443661926349312;
12'd1630: VAR2 = 76'd6203277375024969728;
12'd1631: VAR2 = 76'd6346970350635758592;
12'd1632: VAR2 = 76'd6490804063734902784;
12'd1633: VAR2 = 76'd6778752964909902848;
12'd1634: VAR2 = 76'd6922586678009047040;
12'd1635: VAR2 = 76'd7210394841695691776;
12'd1636: VAR2 = 76'd7498343742870691842;
12'd1637: VAR2 = 76'd7642177455969836037;
12'd1638: VAR2 = 76'd155504078946821249033;
12'd1639: VAR2 = 76'd229723119330910311436;
12'd1640: VAR2 = 76'd303798044526386647055;
12'd1641: VAR2 = 76'd451659946016969624083;
12'd1642: VAR2 = 76'd525878986125643908631;
12'd1643: VAR2 = 76'd673740887341080543771;
12'd1644: VAR2 = 76'd821747044207203482655;
12'd1645: VAR2 = 76'd969753060610716497956;
12'd1646: VAR2 = 76'd1117759077013960553000;
12'd1647: VAR2 = 76'd1265765233880083492397;
12'd1648: VAR2 = 76'd1413771250283328071730;
12'd1649: VAR2 = 76'd1561921381599770076216;
12'd1650: VAR2 = 76'd1783714515035340693053;
12'd1651: VAR2 = 76'd1931864646351783221315;
12'd1652: VAR2 = 76'd2153657779787085402696;
12'd1653: VAR2 = 76'd2153657779787085402696;
12'd1654: VAR2 = 76'd2153657779787085402696;
12'd1655: VAR2 = 76'd2153657779787085402696;
12'd1656: VAR2 = 76'd2153657779787085402696;
12'd1657: VAR2 = 76'd2153657779787085402696;
12'd1658: VAR2 = 76'd2153657779787085402696;
12'd1659: VAR2 = 76'd2153657779787085402696;
12'd1660: VAR2 = 76'd2153657779787085402696;
12'd1661: VAR2 = 76'd2153657779787085402696;
12'd1662: VAR2 = 76'd2153657779787085402696;
12'd1663: VAR2 = 76'd2153657779787085402696;
12'd1664: VAR2 = 76'd4475161754978276352;
12'd1665: VAR2 = 76'd4475161754978276352;
12'd1666: VAR2 = 76'd4475161754978276352;
12'd1667: VAR2 = 76'd4475161754978276352;
12'd1668: VAR2 = 76'd4475161754978276352;
12'd1669: VAR2 = 76'd4475161754978276352;
12'd1670: VAR2 = 76'd4475161754978276352;
12'd1671: VAR2 = 76'd4475161754978276352;
12'd1672: VAR2 = 76'd4475161754978276352;
12'd1673: VAR2 = 76'd4475161754978276352;
12'd1674: VAR2 = 76'd4475161754978276352;
12'd1675: VAR2 = 76'd4475161754978276352;
12'd1676: VAR2 = 76'd4475161754978276352;
12'd1677: VAR2 = 76'd4475161754978276352;
12'd1678: VAR2 = 76'd4475161754978276352;
12'd1679: VAR2 = 76'd4475161754978276352;
12'd1680: VAR2 = 76'd4475161754978276352;
12'd1681: VAR2 = 76'd4475161754978276352;
12'd1682: VAR2 = 76'd4475161754978276352;
12'd1683: VAR2 = 76'd4475161754978276352;
12'd1684: VAR2 = 76'd4475161754978276352;
12'd1685: VAR2 = 76'd4475161754978276352;
12'd1686: VAR2 = 76'd4475161754978276352;
12'd1687: VAR2 = 76'd4475161754978276352;
12'd1688: VAR2 = 76'd4475161754978276352;
12'd1689: VAR2 = 76'd4618854731125412352;
12'd1690: VAR2 = 76'd4618573256148700672;
12'd1691: VAR2 = 76'd4762266231759490048;
12'd1692: VAR2 = 76'd4906099944858109952;
12'd1693: VAR2 = 76'd5049933657957254144;
12'd1694: VAR2 = 76'd5193626633568043520;
12'd1695: VAR2 = 76'd5337460346667187712;
12'd1696: VAR2 = 76'd5625409247841663488;
12'd1697: VAR2 = 76'd5769242960940808192;
12'd1698: VAR2 = 76'd6057191862115808256;
12'd1699: VAR2 = 76'd6345140763290808320;
12'd1700: VAR2 = 76'd6633089664465808387;
12'd1701: VAR2 = 76'd80708014860479014918;
12'd1702: VAR2 = 76'd154782940056492221449;
12'd1703: VAR2 = 76'd302644841547343634445;
12'd1704: VAR2 = 76'd376863881931432696848;
12'd1705: VAR2 = 76'd524725924159504029716;
12'd1706: VAR2 = 76'd598944964543056221208;
12'd1707: VAR2 = 76'd746950980946837671964;
12'd1708: VAR2 = 76'd894957138087838517792;
12'd1709: VAR2 = 76'd1042963154491351008805;
12'd1710: VAR2 = 76'd1191113426820427711018;
12'd1711: VAR2 = 76'd1339119443223940725807;
12'd1712: VAR2 = 76'd1487269715553017428020;
12'd1713: VAR2 = 76'd1709062848988588045369;
12'd1714: VAR2 = 76'd1857213121317665271358;
12'd1715: VAR2 = 76'd2005363252634107275844;
12'd1716: VAR2 = 76'd2227300501257754272842;
12'd1717: VAR2 = 76'd2227300501257754272842;
12'd1718: VAR2 = 76'd2227300501257754272842;
12'd1719: VAR2 = 76'd2227300501257754272842;
12'd1720: VAR2 = 76'd2227300501257754272842;
12'd1721: VAR2 = 76'd2227300501257754272842;
12'd1722: VAR2 = 76'd2227300501257754272842;
12'd1723: VAR2 = 76'd2227300501257754272842;
12'd1724: VAR2 = 76'd2227300501257754272842;
12'd1725: VAR2 = 76'd2227300501257754272842;
12'd1726: VAR2 = 76'd2227300501257754272842;
12'd1727: VAR2 = 76'd2227300501257754272842;
12'd1728: VAR2 = 76'd3465511012983430144;
12'd1729: VAR2 = 76'd3465511012983430144;
12'd1730: VAR2 = 76'd3465511012983430144;
12'd1731: VAR2 = 76'd3465511012983430144;
12'd1732: VAR2 = 76'd3465511012983430144;
12'd1733: VAR2 = 76'd3465511012983430144;
12'd1734: VAR2 = 76'd3465511012983430144;
12'd1735: VAR2 = 76'd3465511012983430144;
12'd1736: VAR2 = 76'd3465511012983430144;
12'd1737: VAR2 = 76'd3465511012983430144;
12'd1738: VAR2 = 76'd3465511012983430144;
12'd1739: VAR2 = 76'd3465511012983430144;
12'd1740: VAR2 = 76'd3465511012983430144;
12'd1741: VAR2 = 76'd3465511012983430144;
12'd1742: VAR2 = 76'd3465511012983430144;
12'd1743: VAR2 = 76'd3465511012983430144;
12'd1744: VAR2 = 76'd3465511012983430144;
12'd1745: VAR2 = 76'd3465511012983430144;
12'd1746: VAR2 = 76'd3465511012983430144;
12'd1747: VAR2 = 76'd3465511012983430144;
12'd1748: VAR2 = 76'd3465511012983430144;
12'd1749: VAR2 = 76'd3465511012983430144;
12'd1750: VAR2 = 76'd3465511012983430144;
12'd1751: VAR2 = 76'd3465511012983430144;
12'd1752: VAR2 = 76'd3465511012983430144;
12'd1753: VAR2 = 76'd3609344726351010304;
12'd1754: VAR2 = 76'd3608922514154379264;
12'd1755: VAR2 = 76'd3752756227789870080;
12'd1756: VAR2 = 76'd3896589940889014784;
12'd1757: VAR2 = 76'd4040282916499803648;
12'd1758: VAR2 = 76'd4328231817674804224;
12'd1759: VAR2 = 76'd4472065530773424128;
12'd1760: VAR2 = 76'd4760014431948424192;
12'd1761: VAR2 = 76'd4903848145047568896;
12'd1762: VAR2 = 76'd5191797046222568960;
12'd1763: VAR2 = 76'd5479745947397569026;
12'd1764: VAR2 = 76'd79554671143410776068;
12'd1765: VAR2 = 76'd227416713371750544391;
12'd1766: VAR2 = 76'd301635753755839606795;
12'd1767: VAR2 = 76'd375710678951852813326;
12'd1768: VAR2 = 76'd449929860073430231058;
12'd1769: VAR2 = 76'd597935876752357499925;
12'd1770: VAR2 = 76'd745942034168505212953;
12'd1771: VAR2 = 76'd820161074552325839901;
12'd1772: VAR2 = 76'd968167231968473028642;
12'd1773: VAR2 = 76'd1116317504297549730854;
12'd1774: VAR2 = 76'd1264323661438819536939;
12'd1775: VAR2 = 76'd1412473933767896239152;
12'd1776: VAR2 = 76'd1634411182391811147317;
12'd1777: VAR2 = 76'd1782561454995766280763;
12'd1778: VAR2 = 76'd1930711727324842982976;
12'd1779: VAR2 = 76'd2152648975948489455686;
12'd1780: VAR2 = 76'd2374730339760480744524;
12'd1781: VAR2 = 76'd2374730339760480744524;
12'd1782: VAR2 = 76'd2374730339760480744524;
12'd1783: VAR2 = 76'd2374730339760480744524;
12'd1784: VAR2 = 76'd2374730339760480744524;
12'd1785: VAR2 = 76'd2374730339760480744524;
12'd1786: VAR2 = 76'd2374730339760480744524;
12'd1787: VAR2 = 76'd2374730339760480744524;
12'd1788: VAR2 = 76'd2374730339760480744524;
12'd1789: VAR2 = 76'd2374730339760480744524;
12'd1790: VAR2 = 76'd2374730339760480744524;
12'd1791: VAR2 = 76'd2374730339760480744524;
12'd1792: VAR2 = 76'd2600116196284883968;
12'd1793: VAR2 = 76'd2600116196284883968;
12'd1794: VAR2 = 76'd2600116196284883968;
12'd1795: VAR2 = 76'd2600116196284883968;
12'd1796: VAR2 = 76'd2600116196284883968;
12'd1797: VAR2 = 76'd2600116196284883968;
12'd1798: VAR2 = 76'd2600116196284883968;
12'd1799: VAR2 = 76'd2600116196284883968;
12'd1800: VAR2 = 76'd2600116196284883968;
12'd1801: VAR2 = 76'd2600116196284883968;
12'd1802: VAR2 = 76'd2600116196284883968;
12'd1803: VAR2 = 76'd2600116196284883968;
12'd1804: VAR2 = 76'd2600116196284883968;
12'd1805: VAR2 = 76'd2600116196284883968;
12'd1806: VAR2 = 76'd2600116196284883968;
12'd1807: VAR2 = 76'd2600116196284883968;
12'd1808: VAR2 = 76'd2600116196284883968;
12'd1809: VAR2 = 76'd2600116196284883968;
12'd1810: VAR2 = 76'd2600116196284883968;
12'd1811: VAR2 = 76'd2600116196284883968;
12'd1812: VAR2 = 76'd2600116196284883968;
12'd1813: VAR2 = 76'd2600116196284883968;
12'd1814: VAR2 = 76'd2600116196284883968;
12'd1815: VAR2 = 76'd2600116196284883968;
12'd1816: VAR2 = 76'd2600116196284883968;
12'd1817: VAR2 = 76'd2599693984356164096;
12'd1818: VAR2 = 76'd2743527697723744256;
12'd1819: VAR2 = 76'd2887361411091323904;
12'd1820: VAR2 = 76'd3031195124726815232;
12'd1821: VAR2 = 76'd3174888100606040064;
12'd1822: VAR2 = 76'd3462837001781040128;
12'd1823: VAR2 = 76'd3606670714880184832;
12'd1824: VAR2 = 76'd3894619616055184896;
12'd1825: VAR2 = 76'd4182709254718540800;
12'd1826: VAR2 = 76'd78257634450731747328;
12'd1827: VAR2 = 76'd152332559646744953859;
12'd1828: VAR2 = 76'd226407484842758160902;
12'd1829: VAR2 = 76'd300626665964335578633;
12'd1830: VAR2 = 76'd374701591160348785164;
12'd1831: VAR2 = 76'd522707748576764409872;
12'd1832: VAR2 = 76'd596926788960853472275;
12'd1833: VAR2 = 76'd744932946377269096471;
12'd1834: VAR2 = 76'd819152127498846514203;
12'd1835: VAR2 = 76'd967158284915262138399;
12'd1836: VAR2 = 76'd1115308557519485707299;
12'd1837: VAR2 = 76'd1263314714935632896040;
12'd1838: VAR2 = 76'd1411464987539587505197;
12'd1839: VAR2 = 76'd1559615259868932642866;
12'd1840: VAR2 = 76'd1707765532198009869367;
12'd1841: VAR2 = 76'd1929702781097071120444;
12'd1842: VAR2 = 76'd2077997309351712558146;
12'd1843: VAR2 = 76'd2299934557975627466824;
12'd1844: VAR2 = 76'd2448229086505146811469;
12'd1845: VAR2 = 76'd2448229086505146811469;
12'd1846: VAR2 = 76'd2448229086505146811469;
12'd1847: VAR2 = 76'd2448229086505146811469;
12'd1848: VAR2 = 76'd2448229086505146811469;
12'd1849: VAR2 = 76'd2448229086505146811469;
12'd1850: VAR2 = 76'd2448229086505146811469;
12'd1851: VAR2 = 76'd2448229086505146811469;
12'd1852: VAR2 = 76'd2448229086505146811469;
12'd1853: VAR2 = 76'd2448229086505146811469;
12'd1854: VAR2 = 76'd2448229086505146811469;
12'd1855: VAR2 = 76'd2448229086505146811469;
12'd1856: VAR2 = 76'd1734721379585289216;
12'd1857: VAR2 = 76'd1734721379585289216;
12'd1858: VAR2 = 76'd1734721379585289216;
12'd1859: VAR2 = 76'd1734721379585289216;
12'd1860: VAR2 = 76'd1734721379585289216;
12'd1861: VAR2 = 76'd1734721379585289216;
12'd1862: VAR2 = 76'd1734721379585289216;
12'd1863: VAR2 = 76'd1734721379585289216;
12'd1864: VAR2 = 76'd1734721379585289216;
12'd1865: VAR2 = 76'd1734721379585289216;
12'd1866: VAR2 = 76'd1734721379585289216;
12'd1867: VAR2 = 76'd1734721379585289216;
12'd1868: VAR2 = 76'd1734721379585289216;
12'd1869: VAR2 = 76'd1734721379585289216;
12'd1870: VAR2 = 76'd1734721379585289216;
12'd1871: VAR2 = 76'd1734721379585289216;
12'd1872: VAR2 = 76'd1734721379585289216;
12'd1873: VAR2 = 76'd1734721379585289216;
12'd1874: VAR2 = 76'd1734721379585289216;
12'd1875: VAR2 = 76'd1734721379585289216;
12'd1876: VAR2 = 76'd1734721379585289216;
12'd1877: VAR2 = 76'd1734721379585289216;
12'd1878: VAR2 = 76'd1734721379585289216;
12'd1879: VAR2 = 76'd1734721379585289216;
12'd1880: VAR2 = 76'd1734721379585289216;
12'd1881: VAR2 = 76'd1734299167657093632;
12'd1882: VAR2 = 76'd1878132881024673280;
12'd1883: VAR2 = 76'd2021966594660164608;
12'd1884: VAR2 = 76'd2165800308027744768;
12'd1885: VAR2 = 76'd2453749209471180800;
12'd1886: VAR2 = 76'd2597582923107195904;
12'd1887: VAR2 = 76'd2885531824550631936;
12'd1888: VAR2 = 76'd76960457020563838976;
12'd1889: VAR2 = 76'd151035382216577045504;
12'd1890: VAR2 = 76'd225110448150078607874;
12'd1891: VAR2 = 76'd299185373346091290117;
12'd1892: VAR2 = 76'd373260439279593376776;
12'd1893: VAR2 = 76'd447479479663682439179;
12'd1894: VAR2 = 76'd521698660785259857422;
12'd1895: VAR2 = 76'd595773726718761419281;
12'd1896: VAR2 = 76'd743779884135177043477;
12'd1897: VAR2 = 76'd818143039707341962265;
12'd1898: VAR2 = 76'd966149197123757586461;
12'd1899: VAR2 = 76'd1114299469728249066529;
12'd1900: VAR2 = 76'd1188518791587315363877;
12'd1901: VAR2 = 76'd1336669064191806843946;
12'd1902: VAR2 = 76'd1484819336796029889071;
12'd1903: VAR2 = 76'd1706756585694823228980;
12'd1904: VAR2 = 76'd1854906999036534628921;
12'd1905: VAR2 = 76'd2003201386553955622462;
12'd1906: VAR2 = 76'd2225138776190237317700;
12'd1907: VAR2 = 76'd2373433304445146666569;
12'd1908: VAR2 = 76'd2595514668532015862351;
12'd1909: VAR2 = 76'd2595514668532015862351;
12'd1910: VAR2 = 76'd2595514668532015862351;
12'd1911: VAR2 = 76'd2595514668532015862351;
12'd1912: VAR2 = 76'd2595514668532015862351;
12'd1913: VAR2 = 76'd2595514668532015862351;
12'd1914: VAR2 = 76'd2595514668532015862351;
12'd1915: VAR2 = 76'd2595514668532015862351;
12'd1916: VAR2 = 76'd2595514668532015862351;
12'd1917: VAR2 = 76'd2595514668532015862351;
12'd1918: VAR2 = 76'd2595514668532015862351;
12'd1919: VAR2 = 76'd2595514668532015862351;
12'd1920: VAR2 = 76'd74656302857723900416;
12'd1921: VAR2 = 76'd74656302857723900416;
12'd1922: VAR2 = 76'd74656302857723900416;
12'd1923: VAR2 = 76'd74656302857723900416;
12'd1924: VAR2 = 76'd74656302857723900416;
12'd1925: VAR2 = 76'd74656302857723900416;
12'd1926: VAR2 = 76'd74656302857723900416;
12'd1927: VAR2 = 76'd74656302857723900416;
12'd1928: VAR2 = 76'd74656302857723900416;
12'd1929: VAR2 = 76'd74656302857723900416;
12'd1930: VAR2 = 76'd74656302857723900416;
12'd1931: VAR2 = 76'd74656302857723900416;
12'd1932: VAR2 = 76'd74656302857723900416;
12'd1933: VAR2 = 76'd74656302857723900416;
12'd1934: VAR2 = 76'd74656302857723900416;
12'd1935: VAR2 = 76'd74656302857723900416;
12'd1936: VAR2 = 76'd74656302857723900416;
12'd1937: VAR2 = 76'd74656302857723900416;
12'd1938: VAR2 = 76'd74656302857723900416;
12'd1939: VAR2 = 76'd74656302857723900416;
12'd1940: VAR2 = 76'd74656302857723900416;
12'd1941: VAR2 = 76'd74656302857723900416;
12'd1942: VAR2 = 76'd74656302857723900416;
12'd1943: VAR2 = 76'd74656302857723900416;
12'd1944: VAR2 = 76'd74656302857723900416;
12'd1945: VAR2 = 76'd74800136571359916032;
12'd1946: VAR2 = 76'd74943829547507576320;
12'd1947: VAR2 = 76'd75087663260875156480;
12'd1948: VAR2 = 76'd75231496974510647296;
12'd1949: VAR2 = 76'd149306422170792289792;
12'd1950: VAR2 = 76'd149450396621648225280;
12'd1951: VAR2 = 76'd223525321818198303232;
12'd1952: VAR2 = 76'd223813270719641739264;
12'd1953: VAR2 = 76'd297888336653143301122;
12'd1954: VAR2 = 76'd371963261849424943620;
12'd1955: VAR2 = 76'd446038327782926505991;
12'd1956: VAR2 = 76'd520257368167015568394;
12'd1957: VAR2 = 76'd594332434100517130765;
12'd1958: VAR2 = 76'd668551615222094548496;
12'd1959: VAR2 = 76'd742770796343671966739;
12'd1960: VAR2 = 76'd890776953760087590935;
12'd1961: VAR2 = 76'd965140250069741389339;
12'd1962: VAR2 = 76'd1113146407486157013535;
12'd1963: VAR2 = 76'd1261296680090648493603;
12'd1964: VAR2 = 76'd1335660117137790123047;
12'd1965: VAR2 = 76'd1483810389742282127404;
12'd1966: VAR2 = 76'd1631960662346773607473;
12'd1967: VAR2 = 76'd1853898051983323214389;
12'd1968: VAR2 = 76'd2002192580513110994491;
12'd1969: VAR2 = 76'd2150342853117334039104;
12'd1970: VAR2 = 76'd2372424357941960025669;
12'd1971: VAR2 = 76'd2520718886471747281483;
12'd1972: VAR2 = 76'd2742800391296373268561;
12'd1973: VAR2 = 76'd2742800391296373268561;
12'd1974: VAR2 = 76'd2742800391296373268561;
12'd1975: VAR2 = 76'd2742800391296373268561;
12'd1976: VAR2 = 76'd2742800391296373268561;
12'd1977: VAR2 = 76'd2742800391296373268561;
12'd1978: VAR2 = 76'd2742800391296373268561;
12'd1979: VAR2 = 76'd2742800391296373268561;
12'd1980: VAR2 = 76'd2742800391296373268561;
12'd1981: VAR2 = 76'd2742800391296373268561;
12'd1982: VAR2 = 76'd2742800391296373268561;
12'd1983: VAR2 = 76'd2742800391296373268561;
12'd1984: VAR2 = 76'd221508975818776573952;
12'd1985: VAR2 = 76'd221508975818776573952;
12'd1986: VAR2 = 76'd221508975818776573952;
12'd1987: VAR2 = 76'd221508975818776573952;
12'd1988: VAR2 = 76'd221508975818776573952;
12'd1989: VAR2 = 76'd221508975818776573952;
12'd1990: VAR2 = 76'd221508975818776573952;
12'd1991: VAR2 = 76'd221508975818776573952;
12'd1992: VAR2 = 76'd221508975818776573952;
12'd1993: VAR2 = 76'd221508975818776573952;
12'd1994: VAR2 = 76'd221508975818776573952;
12'd1995: VAR2 = 76'd221508975818776573952;
12'd1996: VAR2 = 76'd221508975818776573952;
12'd1997: VAR2 = 76'd221508975818776573952;
12'd1998: VAR2 = 76'd221508975818776573952;
12'd1999: VAR2 = 76'd221508975818776573952;
12'd2000: VAR2 = 76'd221508975818776573952;
12'd2001: VAR2 = 76'd221508975818776573952;
12'd2002: VAR2 = 76'd221508975818776573952;
12'd2003: VAR2 = 76'd221508975818776573952;
12'd2004: VAR2 = 76'd221508975818776573952;
12'd2005: VAR2 = 76'd221508975818776573952;
12'd2006: VAR2 = 76'd221508975818776573952;
12'd2007: VAR2 = 76'd221508975818776573952;
12'd2008: VAR2 = 76'd221508975818776573952;
12'd2009: VAR2 = 76'd221652809532412589568;
12'd2010: VAR2 = 76'd221796643246048605184;
12'd2011: VAR2 = 76'd221940476959415661056;
12'd2012: VAR2 = 76'd295871286967889883136;
12'd2013: VAR2 = 76'd296159235869601754624;
12'd2014: VAR2 = 76'd296447325508533545984;
12'd2015: VAR2 = 76'd370378135516739332096;
12'd2016: VAR2 = 76'd370666225155939558914;
12'd2017: VAR2 = 76'd444741150352221201413;
12'd2018: VAR2 = 76'd518960331474067055111;
12'd2019: VAR2 = 76'd593035256670080262154;
12'd2020: VAR2 = 76'd667254437791926115340;
12'd2021: VAR2 = 76'd741329503725427677711;
12'd2022: VAR2 = 76'd815548684847005095955;
12'd2023: VAR2 = 76'd963554842263420720150;
12'd2024: VAR2 = 76'd1037918138573074518553;
12'd2025: VAR2 = 76'd1112137460432140291613;
12'd2026: VAR2 = 76'd1260287733036631772193;
12'd2027: VAR2 = 76'd1408438005641123252261;
12'd2028: VAR2 = 76'd1556444303795027756586;
12'd2029: VAR2 = 76'd1704738691587595092526;
12'd2030: VAR2 = 76'd1852889104929574928435;
12'd2031: VAR2 = 76'd2001039518271555288120;
12'd2032: VAR2 = 76'd2149334046801610979901;
12'd2033: VAR2 = 76'd2297628575331398235714;
12'd2034: VAR2 = 76'd2519565964967948366407;
12'd2035: VAR2 = 76'd2668004608685811478093;
12'd2036: VAR2 = 76'd2890086113510437465171;
12'd2037: VAR2 = 76'd2890086113510437465171;
12'd2038: VAR2 = 76'd2890086113510437465171;
12'd2039: VAR2 = 76'd2890086113510437465171;
12'd2040: VAR2 = 76'd2890086113510437465171;
12'd2041: VAR2 = 76'd2890086113510437465171;
12'd2042: VAR2 = 76'd2890086113510437465171;
12'd2043: VAR2 = 76'd2890086113510437465171;
12'd2044: VAR2 = 76'd2890086113510437465171;
12'd2045: VAR2 = 76'd2890086113510437465171;
12'd2046: VAR2 = 76'd2890086113510437465171;
12'd2047: VAR2 = 76'd2890086113510437465171;
12'd2048: VAR2 = 76'd442725226289312365568;
12'd2049: VAR2 = 76'd442725226289312365568;
12'd2050: VAR2 = 76'd442725226289312365568;
12'd2051: VAR2 = 76'd442725226289312365568;
12'd2052: VAR2 = 76'd442725226289312365568;
12'd2053: VAR2 = 76'd442725226289312365568;
12'd2054: VAR2 = 76'd442725226289312365568;
12'd2055: VAR2 = 76'd442725226289312365568;
12'd2056: VAR2 = 76'd442725226289312365568;
12'd2057: VAR2 = 76'd442725226289312365568;
12'd2058: VAR2 = 76'd442725226289312365568;
12'd2059: VAR2 = 76'd442725226289312365568;
12'd2060: VAR2 = 76'd442725226289312365568;
12'd2061: VAR2 = 76'd442725226289312365568;
12'd2062: VAR2 = 76'd442725226289312365568;
12'd2063: VAR2 = 76'd442725226289312365568;
12'd2064: VAR2 = 76'd442725226289312365568;
12'd2065: VAR2 = 76'd442725226289312365568;
12'd2066: VAR2 = 76'd442725226289312365568;
12'd2067: VAR2 = 76'd442725226289312365568;
12'd2068: VAR2 = 76'd442725226289312365568;
12'd2069: VAR2 = 76'd442725226289312365568;
12'd2070: VAR2 = 76'd442725226289312365568;
12'd2071: VAR2 = 76'd442725226289312365568;
12'd2072: VAR2 = 76'd442725226289312365568;
12'd2073: VAR2 = 76'd442724944539994618880;
12'd2074: VAR2 = 76'd442724663065554778624;
12'd2075: VAR2 = 76'd442724381591114938368;
12'd2076: VAR2 = 76'd442868356042238785024;
12'd2077: VAR2 = 76'd516943281238788862976;
12'd2078: VAR2 = 76'd517087114952156443138;
12'd2079: VAR2 = 76'd517375204591356669955;
12'd2080: VAR2 = 76'd591450129787638312453;
12'd2081: VAR2 = 76'd665525195721408309768;
12'd2082: VAR2 = 76'd739744376843522598922;
12'd2083: VAR2 = 76'd740032466482454390285;
12'd2084: VAR2 = 76'd814251506866543977487;
12'd2085: VAR2 = 76'd962257805020716392978;
12'd2086: VAR2 = 76'd1036476986142562246677;
12'd2087: VAR2 = 76'd1110696167539017571353;
12'd2088: VAR2 = 76'd1184915348660594989596;
12'd2089: VAR2 = 76'd1333065762002574825504;
12'd2090: VAR2 = 76'd1407284943124152767524;
12'd2091: VAR2 = 76'd1555435356466132603432;
12'd2092: VAR2 = 76'd1703585769808112439340;
12'd2093: VAR2 = 76'd1851736183150092799024;
12'd2094: VAR2 = 76'd2000030711955026397749;
12'd2095: VAR2 = 76'd2148181125297006233146;
12'd2096: VAR2 = 76'd2296475653827062449215;
12'd2097: VAR2 = 76'd2444770182357118140484;
12'd2098: VAR2 = 76'd2666851687182012038729;
12'd2099: VAR2 = 76'd2815146215711799294543;
12'd2100: VAR2 = 76'd3037227720536424756821;
12'd2101: VAR2 = 76'd3037227720536424756821;
12'd2102: VAR2 = 76'd3037227720536424756821;
12'd2103: VAR2 = 76'd3037227720536424756821;
12'd2104: VAR2 = 76'd3037227720536424756821;
12'd2105: VAR2 = 76'd3037227720536424756821;
12'd2106: VAR2 = 76'd3037227720536424756821;
12'd2107: VAR2 = 76'd3037227720536424756821;
12'd2108: VAR2 = 76'd3037227720536424756821;
12'd2109: VAR2 = 76'd3037227720536424756821;
12'd2110: VAR2 = 76'd3037227720536424756821;
12'd2111: VAR2 = 76'd3037227720536424756821;
12'd2112: VAR2 = 76'd664085591123558202880;
12'd2113: VAR2 = 76'd664085591123558202880;
12'd2114: VAR2 = 76'd664085591123558202880;
12'd2115: VAR2 = 76'd664085591123558202880;
12'd2116: VAR2 = 76'd664085591123558202880;
12'd2117: VAR2 = 76'd664085591123558202880;
12'd2118: VAR2 = 76'd664085591123558202880;
12'd2119: VAR2 = 76'd664085591123558202880;
12'd2120: VAR2 = 76'd664085591123558202880;
12'd2121: VAR2 = 76'd664085591123558202880;
12'd2122: VAR2 = 76'd664085591123558202880;
12'd2123: VAR2 = 76'd664085591123558202880;
12'd2124: VAR2 = 76'd664085591123558202880;
12'd2125: VAR2 = 76'd664085591123558202880;
12'd2126: VAR2 = 76'd664085591123558202880;
12'd2127: VAR2 = 76'd664085591123558202880;
12'd2128: VAR2 = 76'd664085591123558202880;
12'd2129: VAR2 = 76'd664085591123558202880;
12'd2130: VAR2 = 76'd664085591123558202880;
12'd2131: VAR2 = 76'd664085591123558202880;
12'd2132: VAR2 = 76'd664085591123558202880;
12'd2133: VAR2 = 76'd664085591123558202880;
12'd2134: VAR2 = 76'd664085591123558202880;
12'd2135: VAR2 = 76'd664085591123558202880;
12'd2136: VAR2 = 76'd664085591123558202880;
12'd2137: VAR2 = 76'd664085450386606718464;
12'd2138: VAR2 = 76'd664085168912166878720;
12'd2139: VAR2 = 76'd664084887437727038465;
12'd2140: VAR2 = 76'd664084605963287198210;
12'd2141: VAR2 = 76'd664084465226335713284;
12'd2142: VAR2 = 76'd738015275234541500421;
12'd2143: VAR2 = 76'd738303364873741727239;
12'd2144: VAR2 = 76'd812378290070023369737;
12'd2145: VAR2 = 76'd886453356004061803019;
12'd2146: VAR2 = 76'd886741445642993594381;
12'd2147: VAR2 = 76'd960960626764839447568;
12'd2148: VAR2 = 76'd1035035692698609445395;
12'd2149: VAR2 = 76'd1109254874095333206037;
12'd2150: VAR2 = 76'd1183474055217179059736;
12'd2151: VAR2 = 76'd1331624468559427331100;
12'd2152: VAR2 = 76'd1405843790418761540127;
12'd2153: VAR2 = 76'd1553994204035619282979;
12'd2154: VAR2 = 76'd1628213525894685056039;
12'd2155: VAR2 = 76'd1776363939236664891947;
12'd2156: VAR2 = 76'd1924514352578644727855;
12'd2157: VAR2 = 76'd1998877789900664263731;
12'd2158: VAR2 = 76'd2147172318430719955512;
12'd2159: VAR2 = 76'd2295322731772699791420;
12'd2160: VAR2 = 76'd2517404236872471596097;
12'd2161: VAR2 = 76'd2665698765402527287878;
12'd2162: VAR2 = 76'd2813993294207460886092;
12'd2163: VAR2 = 76'd3036074799032354784337;
12'd2164: VAR2 = 76'd3184513442750486331479;
12'd2165: VAR2 = 76'd3184513442750486331479;
12'd2166: VAR2 = 76'd3184513442750486331479;
12'd2167: VAR2 = 76'd3184513442750486331479;
12'd2168: VAR2 = 76'd3184513442750486331479;
12'd2169: VAR2 = 76'd3184513442750486331479;
12'd2170: VAR2 = 76'd3184513442750486331479;
12'd2171: VAR2 = 76'd3184513442750486331479;
12'd2172: VAR2 = 76'd3184513442750486331479;
12'd2173: VAR2 = 76'd3184513442750486331479;
12'd2174: VAR2 = 76'd3184513442750486331479;
12'd2175: VAR2 = 76'd3184513442750486331479;
12'd2176: VAR2 = 76'd885446096420144479747;
12'd2177: VAR2 = 76'd885446096420144479747;
12'd2178: VAR2 = 76'd885446096420144479747;
12'd2179: VAR2 = 76'd885446096420144479747;
12'd2180: VAR2 = 76'd885446096420144479747;
12'd2181: VAR2 = 76'd885446096420144479747;
12'd2182: VAR2 = 76'd885446096420144479747;
12'd2183: VAR2 = 76'd885446096420144479747;
12'd2184: VAR2 = 76'd885446096420144479747;
12'd2185: VAR2 = 76'd885446096420144479747;
12'd2186: VAR2 = 76'd885446096420144479747;
12'd2187: VAR2 = 76'd885446096420144479747;
12'd2188: VAR2 = 76'd885446096420144479747;
12'd2189: VAR2 = 76'd885446096420144479747;
12'd2190: VAR2 = 76'd885446096420144479747;
12'd2191: VAR2 = 76'd885446096420144479747;
12'd2192: VAR2 = 76'd885446096420144479747;
12'd2193: VAR2 = 76'd885446096420144479747;
12'd2194: VAR2 = 76'd885446096420144479747;
12'd2195: VAR2 = 76'd885446096420144479747;
12'd2196: VAR2 = 76'd885446096420144479747;
12'd2197: VAR2 = 76'd885446096420144479747;
12'd2198: VAR2 = 76'd885446096420144479747;
12'd2199: VAR2 = 76'd885446096420144479747;
12'd2200: VAR2 = 76'd885446096420144479747;
12'd2201: VAR2 = 76'd885445814945704640003;
12'd2202: VAR2 = 76'd885445533471264800260;
12'd2203: VAR2 = 76'd885445392734313315845;
12'd2204: VAR2 = 76'd885445111534751383046;
12'd2205: VAR2 = 76'd959231947092638105096;
12'd2206: VAR2 = 76'd959231665618198264841;
12'd2207: VAR2 = 76'd1033018501176084986379;
12'd2208: VAR2 = 76'd1033306590815285213197;
12'd2209: VAR2 = 76'd1107381656749055211023;
12'd2210: VAR2 = 76'd1181456722957703115793;
12'd2211: VAR2 = 76'd1181888927784979198483;
12'd2212: VAR2 = 76'd1255963993718749196310;
12'd2213: VAR2 = 76'd1330183315578083405337;
12'd2214: VAR2 = 76'd1478189614007133727772;
12'd2215: VAR2 = 76'd1552553051054543792671;
12'd2216: VAR2 = 76'd1626772372913878001698;
12'd2217: VAR2 = 76'd1700991695048090117670;
12'd2218: VAR2 = 76'd1849142108390069953578;
12'd2219: VAR2 = 76'd1997292522007196131885;
12'd2220: VAR2 = 76'd2071655959054337761330;
12'd2221: VAR2 = 76'd2219806372671195503670;
12'd2222: VAR2 = 76'd2368100901201251195450;
12'd2223: VAR2 = 76'd2516251314818108938303;
12'd2224: VAR2 = 76'd2664545843348164630084;
12'd2225: VAR2 = 76'd2812840372153098228297;
12'd2226: VAR2 = 76'd3034921876977992126542;
12'd2227: VAR2 = 76'd3183360520971001581139;
12'd2228: VAR2 = 76'd3331655190238545627737;
12'd2229: VAR2 = 76'd3331655190238545627737;
12'd2230: VAR2 = 76'd3331655190238545627737;
12'd2231: VAR2 = 76'd3331655190238545627737;
12'd2232: VAR2 = 76'd3331655190238545627737;
12'd2233: VAR2 = 76'd3331655190238545627737;
12'd2234: VAR2 = 76'd3331655190238545627737;
12'd2235: VAR2 = 76'd3331655190238545627737;
12'd2236: VAR2 = 76'd3331655190238545627737;
12'd2237: VAR2 = 76'd3331655190238545627737;
12'd2238: VAR2 = 76'd3331655190238545627737;
12'd2239: VAR2 = 76'd3331655190238545627737;
12'd2240: VAR2 = 76'd1180593578011568963079;
12'd2241: VAR2 = 76'd1180593578011568963079;
12'd2242: VAR2 = 76'd1180593578011568963079;
12'd2243: VAR2 = 76'd1180593578011568963079;
12'd2244: VAR2 = 76'd1180593578011568963079;
12'd2245: VAR2 = 76'd1180593578011568963079;
12'd2246: VAR2 = 76'd1180593578011568963079;
12'd2247: VAR2 = 76'd1180593578011568963079;
12'd2248: VAR2 = 76'd1180593578011568963079;
12'd2249: VAR2 = 76'd1180593578011568963079;
12'd2250: VAR2 = 76'd1180593578011568963079;
12'd2251: VAR2 = 76'd1180593578011568963079;
12'd2252: VAR2 = 76'd1180593578011568963079;
12'd2253: VAR2 = 76'd1180593578011568963079;
12'd2254: VAR2 = 76'd1180593578011568963079;
12'd2255: VAR2 = 76'd1180593578011568963079;
12'd2256: VAR2 = 76'd1180593578011568963079;
12'd2257: VAR2 = 76'd1180593578011568963079;
12'd2258: VAR2 = 76'd1180593578011568963079;
12'd2259: VAR2 = 76'd1180593578011568963079;
12'd2260: VAR2 = 76'd1180593578011568963079;
12'd2261: VAR2 = 76'd1180593578011568963079;
12'd2262: VAR2 = 76'd1180593578011568963079;
12'd2263: VAR2 = 76'd1180593578011568963079;
12'd2264: VAR2 = 76'd1180593578011568963079;
12'd2265: VAR2 = 76'd1180593296812007030280;
12'd2266: VAR2 = 76'd1180593015337567190537;
12'd2267: VAR2 = 76'd1180592874600884141577;
12'd2268: VAR2 = 76'd1180592593126444301835;
12'd2269: VAR2 = 76'd1180592452389492817420;
12'd2270: VAR2 = 76'd1254379288222257446413;
12'd2271: VAR2 = 76'd1254379006747817606671;
12'd2272: VAR2 = 76'd1328165842305435893265;
12'd2273: VAR2 = 76'd1328309816756560264211;
12'd2274: VAR2 = 76'd1402385023702964959765;
12'd2275: VAR2 = 76'd1476604345562299168791;
12'd2276: VAR2 = 76'd1550823667421633377818;
12'd2277: VAR2 = 76'd1624898874368038073373;
12'd2278: VAR2 = 76'd1699262311415448138272;
12'd2279: VAR2 = 76'd1773481633549660254243;
12'd2280: VAR2 = 76'd1847700955408994463270;
12'd2281: VAR2 = 76'd1995851369026120641577;
12'd2282: VAR2 = 76'd2070070690885186415149;
12'd2283: VAR2 = 76'd2218221104502312593457;
12'd2284: VAR2 = 76'd2292584541549722658357;
12'd2285: VAR2 = 76'd2440879070354656257081;
12'd2286: VAR2 = 76'd2589029483696904528445;
12'd2287: VAR2 = 76'd2737324012501838127170;
12'd2288: VAR2 = 76'd2885474426118695869510;
12'd2289: VAR2 = 76'd3033768954648751561291;
12'd2290: VAR2 = 76'd3182063483453685160016;
12'd2291: VAR2 = 76'd3330502127446694614613;
12'd2292: VAR2 = 76'd3552583773283954774619;
12'd2293: VAR2 = 76'd3552583773283954774619;
12'd2294: VAR2 = 76'd3552583773283954774619;
12'd2295: VAR2 = 76'd3552583773283954774619;
12'd2296: VAR2 = 76'd3552583773283954774619;
12'd2297: VAR2 = 76'd3552583773283954774619;
12'd2298: VAR2 = 76'd3552583773283954774619;
12'd2299: VAR2 = 76'd3552583773283954774619;
12'd2300: VAR2 = 76'd3552583773283954774619;
12'd2301: VAR2 = 76'd3552583773283954774619;
12'd2302: VAR2 = 76'd3552583773283954774619;
12'd2303: VAR2 = 76'd3552583773283954774619;
12'd2304: VAR2 = 76'd1475741059602993446412;
12'd2305: VAR2 = 76'd1475741059602993446412;
12'd2306: VAR2 = 76'd1475741059602993446412;
12'd2307: VAR2 = 76'd1475741059602993446412;
12'd2308: VAR2 = 76'd1475741059602993446412;
12'd2309: VAR2 = 76'd1475741059602993446412;
12'd2310: VAR2 = 76'd1475741059602993446412;
12'd2311: VAR2 = 76'd1475741059602993446412;
12'd2312: VAR2 = 76'd1475741059602993446412;
12'd2313: VAR2 = 76'd1475741059602993446412;
12'd2314: VAR2 = 76'd1475741059602993446412;
12'd2315: VAR2 = 76'd1475741059602993446412;
12'd2316: VAR2 = 76'd1475741059602993446412;
12'd2317: VAR2 = 76'd1475741059602993446412;
12'd2318: VAR2 = 76'd1475741059602993446412;
12'd2319: VAR2 = 76'd1475741059602993446412;
12'd2320: VAR2 = 76'd1475741059602993446412;
12'd2321: VAR2 = 76'd1475741059602993446412;
12'd2322: VAR2 = 76'd1475741059602993446412;
12'd2323: VAR2 = 76'd1475741059602993446412;
12'd2324: VAR2 = 76'd1475741059602993446412;
12'd2325: VAR2 = 76'd1475741059602993446412;
12'd2326: VAR2 = 76'd1475741059602993446412;
12'd2327: VAR2 = 76'd1475741059602993446412;
12'd2328: VAR2 = 76'd1475741059602993446412;
12'd2329: VAR2 = 76'd1475740778403431513613;
12'd2330: VAR2 = 76'd1475740637666480029197;
12'd2331: VAR2 = 76'd1475740356192308624910;
12'd2332: VAR2 = 76'd1475740215455357140495;
12'd2333: VAR2 = 76'd1475740074993283563025;
12'd2334: VAR2 = 76'd1475739793518843723282;
12'd2335: VAR2 = 76'd1549526629076730445332;
12'd2336: VAR2 = 76'd1549526629352145223190;
12'd2337: VAR2 = 76'd1623313605647520300567;
12'd2338: VAR2 = 76'd1697244697130702798362;
12'd2339: VAR2 = 76'd1697677042970345143324;
12'd2340: VAR2 = 76'd1771752249641603496478;
12'd2341: VAR2 = 76'd1845971571776084048417;
12'd2342: VAR2 = 76'd1920190893635418257444;
12'd2343: VAR2 = 76'd1994410215769630373415;
12'd2344: VAR2 = 76'd2142560629111878644778;
12'd2345: VAR2 = 76'd2216779951246090760749;
12'd2346: VAR2 = 76'd2291143388568378732593;
12'd2347: VAR2 = 76'd2439293801910627003956;
12'd2348: VAR2 = 76'd2513657239232914975800;
12'd2349: VAR2 = 76'd2661807652849772718652;
12'd2350: VAR2 = 76'd2809958066192020990016;
12'd2351: VAR2 = 76'd2958252594996954588740;
12'd2352: VAR2 = 76'd3106403008614080767049;
12'd2353: VAR2 = 76'd3254697537419014365774;
12'd2354: VAR2 = 76'd3402992065949070057042;
12'd2355: VAR2 = 76'd3551286735491492011095;
12'd2356: VAR2 = 76'd3699725520221989821021;
12'd2357: VAR2 = 76'd3699725520221989821021;
12'd2358: VAR2 = 76'd3699725520221989821021;
12'd2359: VAR2 = 76'd3699725520221989821021;
12'd2360: VAR2 = 76'd3699725520221989821021;
12'd2361: VAR2 = 76'd3699725520221989821021;
12'd2362: VAR2 = 76'd3699725520221989821021;
12'd2363: VAR2 = 76'd3699725520221989821021;
12'd2364: VAR2 = 76'd3699725520221989821021;
12'd2365: VAR2 = 76'd3699725520221989821021;
12'd2366: VAR2 = 76'd3699725520221989821021;
12'd2367: VAR2 = 76'd3699725520221989821021;
12'd2368: VAR2 = 76'd1770888681931637849618;
12'd2369: VAR2 = 76'd1770888681931637849618;
12'd2370: VAR2 = 76'd1770888681931637849618;
12'd2371: VAR2 = 76'd1770888681931637849618;
12'd2372: VAR2 = 76'd1770888681931637849618;
12'd2373: VAR2 = 76'd1770888681931637849618;
12'd2374: VAR2 = 76'd1770888681931637849618;
12'd2375: VAR2 = 76'd1770888681931637849618;
12'd2376: VAR2 = 76'd1770888681931637849618;
12'd2377: VAR2 = 76'd1770888681931637849618;
12'd2378: VAR2 = 76'd1770888681931637849618;
12'd2379: VAR2 = 76'd1770888681931637849618;
12'd2380: VAR2 = 76'd1770888681931637849618;
12'd2381: VAR2 = 76'd1770888681931637849618;
12'd2382: VAR2 = 76'd1770888681931637849618;
12'd2383: VAR2 = 76'd1770888681931637849618;
12'd2384: VAR2 = 76'd1770888681931637849618;
12'd2385: VAR2 = 76'd1770888681931637849618;
12'd2386: VAR2 = 76'd1770888681931637849618;
12'd2387: VAR2 = 76'd1770888681931637849618;
12'd2388: VAR2 = 76'd1770888681931637849618;
12'd2389: VAR2 = 76'd1770888681931637849618;
12'd2390: VAR2 = 76'd1770888681931637849618;
12'd2391: VAR2 = 76'd1770888681931637849618;
12'd2392: VAR2 = 76'd1770888681931637849618;
12'd2393: VAR2 = 76'd1770888400457466445330;
12'd2394: VAR2 = 76'd1770888259720514960915;
12'd2395: VAR2 = 76'd1770887978521221463572;
12'd2396: VAR2 = 76'd1770887837784269979157;
12'd2397: VAR2 = 76'd1770887697047318494742;
12'd2398: VAR2 = 76'd1844674532880083123735;
12'd2399: VAR2 = 76'd1844674532880619994649;
12'd2400: VAR2 = 76'd1844674533156034772507;
12'd2401: VAR2 = 76'd1918461509451409849884;
12'd2402: VAR2 = 76'd1992248486021662834206;
12'd2403: VAR2 = 76'd1992536716398082981409;
12'd2404: VAR2 = 76'd2066611923344487677475;
12'd2405: VAR2 = 76'd2140831245203821887013;
12'd2406: VAR2 = 76'd2215050567338302438440;
12'd2407: VAR2 = 76'd2289269889197636647467;
12'd2408: VAR2 = 76'd2363489211331848763438;
12'd2409: VAR2 = 76'd2511639624948974941745;
12'd2410: VAR2 = 76'd2585858946808309151284;
12'd2411: VAR2 = 76'd2660222384130597123128;
12'd2412: VAR2 = 76'd2808372797747723301436;
12'd2413: VAR2 = 76'd2882736235070011273279;
12'd2414: VAR2 = 76'd3030886648686869016131;
12'd2415: VAR2 = 76'd3179181177217193143367;
12'd2416: VAR2 = 76'd3327331590834050886220;
12'd2417: VAR2 = 76'd3475626119639252920400;
12'd2418: VAR2 = 76'd3623920648444186518613;
12'd2419: VAR2 = 76'd3772215317986608472666;
12'd2420: VAR2 = 76'd3920510128266518782047;
12'd2421: VAR2 = 76'd3920510128266518782047;
12'd2422: VAR2 = 76'd3920510128266518782047;
12'd2423: VAR2 = 76'd3920510128266518782047;
12'd2424: VAR2 = 76'd3920510128266518782047;
12'd2425: VAR2 = 76'd3920510128266518782047;
12'd2426: VAR2 = 76'd3920510128266518782047;
12'd2427: VAR2 = 76'd3920510128266518782047;
12'd2428: VAR2 = 76'd3920510128266518782047;
12'd2429: VAR2 = 76'd3920510128266518782047;
12'd2430: VAR2 = 76'd3920510128266518782047;
12'd2431: VAR2 = 76'd3920510128266518782047;
12'd2432: VAR2 = 76'd2139823280280510987800;
12'd2433: VAR2 = 76'd2139823280280510987800;
12'd2434: VAR2 = 76'd2139823280280510987800;
12'd2435: VAR2 = 76'd2139823280280510987800;
12'd2436: VAR2 = 76'd2139823280280510987800;
12'd2437: VAR2 = 76'd2139823280280510987800;
12'd2438: VAR2 = 76'd2139823280280510987800;
12'd2439: VAR2 = 76'd2139823280280510987800;
12'd2440: VAR2 = 76'd2139823280280510987800;
12'd2441: VAR2 = 76'd2139823280280510987800;
12'd2442: VAR2 = 76'd2139823280280510987800;
12'd2443: VAR2 = 76'd2139823280280510987800;
12'd2444: VAR2 = 76'd2139823280280510987800;
12'd2445: VAR2 = 76'd2139823280280510987800;
12'd2446: VAR2 = 76'd2139823280280510987800;
12'd2447: VAR2 = 76'd2139823280280510987800;
12'd2448: VAR2 = 76'd2139823280280510987800;
12'd2449: VAR2 = 76'd2139823280280510987800;
12'd2450: VAR2 = 76'd2139823280280510987800;
12'd2451: VAR2 = 76'd2139823280280510987800;
12'd2452: VAR2 = 76'd2139823280280510987800;
12'd2453: VAR2 = 76'd2139823280280510987800;
12'd2454: VAR2 = 76'd2139823280280510987800;
12'd2455: VAR2 = 76'd2139823280280510987800;
12'd2456: VAR2 = 76'd2139823280280510987800;
12'd2457: VAR2 = 76'd2066036022511232941592;
12'd2458: VAR2 = 76'd2066035882049427799577;
12'd2459: VAR2 = 76'd2066035600574987959834;
12'd2460: VAR2 = 76'd2139822436133143117339;
12'd2461: VAR2 = 76'd2139822436408557895196;
12'd2462: VAR2 = 76'd2139822436409094766109;
12'd2463: VAR2 = 76'd2139822436684509543966;
12'd2464: VAR2 = 76'd2213609412979884621344;
12'd2465: VAR2 = 76'd2213609413255299399202;
12'd2466: VAR2 = 76'd2287396389550674476580;
12'd2467: VAR2 = 76'd2361183366120659025446;
12'd2468: VAR2 = 76'd2361471596772225515048;
12'd2469: VAR2 = 76'd2435690918631559724586;
12'd2470: VAR2 = 76'd2509910240766040276525;
12'd2471: VAR2 = 76'd2584129562900252393007;
12'd2472: VAR2 = 76'd2658348884759855037490;
12'd2473: VAR2 = 76'd2732568206894067153461;
12'd2474: VAR2 = 76'd2880718620511193332280;
12'd2475: VAR2 = 76'd2955082057833481304124;
12'd2476: VAR2 = 76'd3029301379692815513151;
12'd2477: VAR2 = 76'd3177451793309941691459;
12'd2478: VAR2 = 76'd3325746322114875290183;
12'd2479: VAR2 = 76'd3400109759437163262027;
12'd2480: VAR2 = 76'd3548260173054289440335;
12'd2481: VAR2 = 76'd3696554701859223039059;
12'd2482: VAR2 = 76'd3844849371401913428567;
12'd2483: VAR2 = 76'd3993144040944335382620;
12'd2484: VAR2 = 76'd4141438710486757336673;
12'd2485: VAR2 = 76'd4141438710486757336673;
12'd2486: VAR2 = 76'd4141438710486757336673;
12'd2487: VAR2 = 76'd4141438710486757336673;
12'd2488: VAR2 = 76'd4141438710486757336673;
12'd2489: VAR2 = 76'd4141438710486757336673;
12'd2490: VAR2 = 76'd4141438710486757336673;
12'd2491: VAR2 = 76'd4141438710486757336673;
12'd2492: VAR2 = 76'd4141438710486757336673;
12'd2493: VAR2 = 76'd4141438710486757336673;
12'd2494: VAR2 = 76'd4141438710486757336673;
12'd2495: VAR2 = 76'd4141438710486757336673;
12'd2496: VAR2 = 76'd2434970902334277484062;
12'd2497: VAR2 = 76'd2434970902334277484062;
12'd2498: VAR2 = 76'd2434970902334277484062;
12'd2499: VAR2 = 76'd2434970902334277484062;
12'd2500: VAR2 = 76'd2434970902334277484062;
12'd2501: VAR2 = 76'd2434970902334277484062;
12'd2502: VAR2 = 76'd2434970902334277484062;
12'd2503: VAR2 = 76'd2434970902334277484062;
12'd2504: VAR2 = 76'd2434970902334277484062;
12'd2505: VAR2 = 76'd2434970902334277484062;
12'd2506: VAR2 = 76'd2434970902334277484062;
12'd2507: VAR2 = 76'd2434970902334277484062;
12'd2508: VAR2 = 76'd2434970902334277484062;
12'd2509: VAR2 = 76'd2434970902334277484062;
12'd2510: VAR2 = 76'd2434970902334277484062;
12'd2511: VAR2 = 76'd2434970902334277484062;
12'd2512: VAR2 = 76'd2434970902334277484062;
12'd2513: VAR2 = 76'd2434970902334277484062;
12'd2514: VAR2 = 76'd2434970902334277484062;
12'd2515: VAR2 = 76'd2434970902334277484062;
12'd2516: VAR2 = 76'd2434970902334277484062;
12'd2517: VAR2 = 76'd2434970902334277484062;
12'd2518: VAR2 = 76'd2434970902334277484062;
12'd2519: VAR2 = 76'd2434970902334277484062;
12'd2520: VAR2 = 76'd2434970902334277484062;
12'd2521: VAR2 = 76'd2434970761597594435102;
12'd2522: VAR2 = 76'd2434970480398032502303;
12'd2523: VAR2 = 76'd2434970339661349453344;
12'd2524: VAR2 = 76'd2434970339936764231201;
12'd2525: VAR2 = 76'd2434970339937301102114;
12'd2526: VAR2 = 76'd2508757316507822521891;
12'd2527: VAR2 = 76'd2508757316508359392804;
12'd2528: VAR2 = 76'd2508757316783774170662;
12'd2529: VAR2 = 76'd2582544293079149248039;
12'd2530: VAR2 = 76'd2656331269649133796905;
12'd2531: VAR2 = 76'd2656331269649670667819;
12'd2532: VAR2 = 76'd2730262361407999508013;
12'd2533: VAR2 = 76'd2804481683542211624495;
12'd2534: VAR2 = 76'd2878556890213738413618;
12'd2535: VAR2 = 76'd2878989236053112323636;
12'd2536: VAR2 = 76'd2953208558187592875575;
12'd2537: VAR2 = 76'd3101214856616643198522;
12'd2538: VAR2 = 76'd3175578293938931170365;
12'd2539: VAR2 = 76'd3249797616073143286336;
12'd2540: VAR2 = 76'd3324161053120553351747;
12'd2541: VAR2 = 76'd3472311466737679530055;
12'd2542: VAR2 = 76'd3546674904059967501898;
12'd2543: VAR2 = 76'd3694825317677093680206;
12'd2544: VAR2 = 76'd3769188754999113216594;
12'd2545: VAR2 = 76'd3917483283804315250774;
12'd2546: VAR2 = 76'd4065633838158661348954;
12'd2547: VAR2 = 76'd4213928648713718000734;
12'd2548: VAR2 = 76'd4362223318256139954787;
12'd2549: VAR2 = 76'd4362223318256139954787;
12'd2550: VAR2 = 76'd4362223318256139954787;
12'd2551: VAR2 = 76'd4362223318256139954787;
12'd2552: VAR2 = 76'd4362223318256139954787;
12'd2553: VAR2 = 76'd4362223318256139954787;
12'd2554: VAR2 = 76'd4362223318256139954787;
12'd2555: VAR2 = 76'd4362223318256139954787;
12'd2556: VAR2 = 76'd4362223318256139954787;
12'd2557: VAR2 = 76'd4362223318256139954787;
12'd2558: VAR2 = 76'd4362223318256139954787;
12'd2559: VAR2 = 76'd4362223318256139954787;
12'd2560: VAR2 = 76'd2877692617715208748581;
12'd2561: VAR2 = 76'd2877692617715208748581;
12'd2562: VAR2 = 76'd2877692617715208748581;
12'd2563: VAR2 = 76'd2877692617715208748581;
12'd2564: VAR2 = 76'd2877692617715208748581;
12'd2565: VAR2 = 76'd2877692617715208748581;
12'd2566: VAR2 = 76'd2877692617715208748581;
12'd2567: VAR2 = 76'd2877692617715208748581;
12'd2568: VAR2 = 76'd2877692617715208748581;
12'd2569: VAR2 = 76'd2877692617715208748581;
12'd2570: VAR2 = 76'd2877692617715208748581;
12'd2571: VAR2 = 76'd2877692617715208748581;
12'd2572: VAR2 = 76'd2877692617715208748581;
12'd2573: VAR2 = 76'd2877692617715208748581;
12'd2574: VAR2 = 76'd2877692617715208748581;
12'd2575: VAR2 = 76'd2877692617715208748581;
12'd2576: VAR2 = 76'd2877692617715208748581;
12'd2577: VAR2 = 76'd2877692617715208748581;
12'd2578: VAR2 = 76'd2877692617715208748581;
12'd2579: VAR2 = 76'd2877692617715208748581;
12'd2580: VAR2 = 76'd2877692617715208748581;
12'd2581: VAR2 = 76'd2877692617715208748581;
12'd2582: VAR2 = 76'd2877692617715208748581;
12'd2583: VAR2 = 76'd2877692617715208748581;
12'd2584: VAR2 = 76'd2877692617715208748581;
12'd2585: VAR2 = 76'd2803905359946199137829;
12'd2586: VAR2 = 76'd2803905219209516088870;
12'd2587: VAR2 = 76'd2803905219484930866726;
12'd2588: VAR2 = 76'd2803905219485467737639;
12'd2589: VAR2 = 76'd2877692196055989157416;
12'd2590: VAR2 = 76'd2877692196056526028329;
12'd2591: VAR2 = 76'd2877692196331940806187;
12'd2592: VAR2 = 76'd2877692196332477677100;
12'd2593: VAR2 = 76'd2951479172902730661421;
12'd2594: VAR2 = 76'd2951479173178145439279;
12'd2595: VAR2 = 76'd3025266149473520516657;
12'd2596: VAR2 = 76'd3099053126043505065523;
12'd2597: VAR2 = 76'd3099341356695071555125;
12'd2598: VAR2 = 76'd3173560678829283671607;
12'd2599: VAR2 = 76'd3247780000688886316602;
12'd2600: VAR2 = 76'd3321999322823098433084;
12'd2601: VAR2 = 76'd3396218644957310549567;
12'd2602: VAR2 = 76'd3470437967091791101505;
12'd2603: VAR2 = 76'd3544657289226003217988;
12'd2604: VAR2 = 76'd3619020726548291189831;
12'd2605: VAR2 = 76'd3767027024977341512267;
12'd2606: VAR2 = 76'd3841390462299629484110;
12'd2607: VAR2 = 76'd3915753899621649021009;
12'd2608: VAR2 = 76'd4063904313238775199317;
12'd2609: VAR2 = 76'd4138412006486627382361;
12'd2610: VAR2 = 76'd4286562560840973480541;
12'd2611: VAR2 = 76'd4434713255933076369505;
12'd2612: VAR2 = 76'd4583007925475498323557;
12'd2613: VAR2 = 76'd4583007925475498323557;
12'd2614: VAR2 = 76'd4583007925475498323557;
12'd2615: VAR2 = 76'd4583007925475498323557;
12'd2616: VAR2 = 76'd4583007925475498323557;
12'd2617: VAR2 = 76'd4583007925475498323557;
12'd2618: VAR2 = 76'd4583007925475498323557;
12'd2619: VAR2 = 76'd4583007925475498323557;
12'd2620: VAR2 = 76'd4583007925475498323557;
12'd2621: VAR2 = 76'd4583007925475498323557;
12'd2622: VAR2 = 76'd4583007925475498323557;
12'd2623: VAR2 = 76'd4583007925475498323557;
12'd2624: VAR2 = 76'd3246627356526423899692;
12'd2625: VAR2 = 76'd3246627356526423899692;
12'd2626: VAR2 = 76'd3246627356526423899692;
12'd2627: VAR2 = 76'd3246627356526423899692;
12'd2628: VAR2 = 76'd3246627356526423899692;
12'd2629: VAR2 = 76'd3246627356526423899692;
12'd2630: VAR2 = 76'd3246627356526423899692;
12'd2631: VAR2 = 76'd3246627356526423899692;
12'd2632: VAR2 = 76'd3246627356526423899692;
12'd2633: VAR2 = 76'd3246627356526423899692;
12'd2634: VAR2 = 76'd3246627356526423899692;
12'd2635: VAR2 = 76'd3246627356526423899692;
12'd2636: VAR2 = 76'd3246627356526423899692;
12'd2637: VAR2 = 76'd3246627356526423899692;
12'd2638: VAR2 = 76'd3246627356526423899692;
12'd2639: VAR2 = 76'd3246627356526423899692;
12'd2640: VAR2 = 76'd3246627356526423899692;
12'd2641: VAR2 = 76'd3246627356526423899692;
12'd2642: VAR2 = 76'd3246627356526423899692;
12'd2643: VAR2 = 76'd3246627356526423899692;
12'd2644: VAR2 = 76'd3246627356526423899692;
12'd2645: VAR2 = 76'd3246627356526423899692;
12'd2646: VAR2 = 76'd3246627356526423899692;
12'd2647: VAR2 = 76'd3246627356526423899692;
12'd2648: VAR2 = 76'd3246627356526423899692;
12'd2649: VAR2 = 76'd3246627075052252495405;
12'd2650: VAR2 = 76'd3246627075327935708717;
12'd2651: VAR2 = 76'd3246627075328472579630;
12'd2652: VAR2 = 76'd3246627075603887357486;
12'd2653: VAR2 = 76'd3246627075604692663855;
12'd2654: VAR2 = 76'd3246627075880107441712;
12'd2655: VAR2 = 76'd3246627075880644312625;
12'd2656: VAR2 = 76'd3320414052450897296947;
12'd2657: VAR2 = 76'd3320414052726312074804;
12'd2658: VAR2 = 76'd3320414052726848945717;
12'd2659: VAR2 = 76'd3394201029297101930039;
12'd2660: VAR2 = 76'd3467988005867086478905;
12'd2661: VAR2 = 76'd3468276236243775061563;
12'd2662: VAR2 = 76'd3542351443190179757629;
12'd2663: VAR2 = 76'd3616570765324391874111;
12'd2664: VAR2 = 76'd3690645972270528134721;
12'd2665: VAR2 = 76'd3691078318110170480196;
12'd2666: VAR2 = 76'd3765297640244382596678;
12'd2667: VAR2 = 76'd3839516962378594713161;
12'd2668: VAR2 = 76'd3987667375995720891980;
12'd2669: VAR2 = 76'd4061886698129933007951;
12'd2670: VAR2 = 76'd4136250135452220979794;
12'd2671: VAR2 = 76'd4210469457586433096277;
12'd2672: VAR2 = 76'd4358620011940779194456;
12'd2673: VAR2 = 76'd4432983590000555521628;
12'd2674: VAR2 = 76'd4507347168060331848800;
12'd2675: VAR2 = 76'd4655641978340242158179;
12'd2676: VAR2 = 76'd4803792673706954518631;
12'd2677: VAR2 = 76'd4803792673706954518631;
12'd2678: VAR2 = 76'd4803792673706954518631;
12'd2679: VAR2 = 76'd4803792673706954518631;
12'd2680: VAR2 = 76'd4803792673706954518631;
12'd2681: VAR2 = 76'd4803792673706954518631;
12'd2682: VAR2 = 76'd4803792673706954518631;
12'd2683: VAR2 = 76'd4803792673706954518631;
12'd2684: VAR2 = 76'd4803792673706954518631;
12'd2685: VAR2 = 76'd4803792673706954518631;
12'd2686: VAR2 = 76'd4803792673706954518631;
12'd2687: VAR2 = 76'd4803792673706954518631;
12'd2688: VAR2 = 76'd3689349071357599350324;
12'd2689: VAR2 = 76'd3689349071357599350324;
12'd2690: VAR2 = 76'd3689349071357599350324;
12'd2691: VAR2 = 76'd3689349071357599350324;
12'd2692: VAR2 = 76'd3689349071357599350324;
12'd2693: VAR2 = 76'd3689349071357599350324;
12'd2694: VAR2 = 76'd3689349071357599350324;
12'd2695: VAR2 = 76'd3689349071357599350324;
12'd2696: VAR2 = 76'd3689349071357599350324;
12'd2697: VAR2 = 76'd3689349071357599350324;
12'd2698: VAR2 = 76'd3689349071357599350324;
12'd2699: VAR2 = 76'd3689349071357599350324;
12'd2700: VAR2 = 76'd3689349071357599350324;
12'd2701: VAR2 = 76'd3689349071357599350324;
12'd2702: VAR2 = 76'd3689349071357599350324;
12'd2703: VAR2 = 76'd3689349071357599350324;
12'd2704: VAR2 = 76'd3689349071357599350324;
12'd2705: VAR2 = 76'd3689349071357599350324;
12'd2706: VAR2 = 76'd3689349071357599350324;
12'd2707: VAR2 = 76'd3689349071357599350324;
12'd2708: VAR2 = 76'd3689349071357599350324;
12'd2709: VAR2 = 76'd3689349071357599350324;
12'd2710: VAR2 = 76'd3689349071357599350324;
12'd2711: VAR2 = 76'd3689349071357599350324;
12'd2712: VAR2 = 76'd3689349071357599350324;
12'd2713: VAR2 = 76'd3689348930895794208308;
12'd2714: VAR2 = 76'd3689348930896331079221;
12'd2715: VAR2 = 76'd3689348931172014292533;
12'd2716: VAR2 = 76'd3689348931447429070390;
12'd2717: VAR2 = 76'd3689348931447965941303;
12'd2718: VAR2 = 76'd3689348931723649154615;
12'd2719: VAR2 = 76'd3689348931724186025528;
12'd2720: VAR2 = 76'd3689348931999600803386;
12'd2721: VAR2 = 76'd3763135908569853787707;
12'd2722: VAR2 = 76'd3763135908570390658620;
12'd2723: VAR2 = 76'd3763135908845537001022;
12'd2724: VAR2 = 76'd3836922885415789985343;
12'd2725: VAR2 = 76'd3837067000879280619073;
12'd2726: VAR2 = 76'd3911142207825416879683;
12'd2727: VAR2 = 76'd3985361529685019524677;
12'd2728: VAR2 = 76'd3985649760336317578823;
12'd2729: VAR2 = 76'd4059869082470529695305;
12'd2730: VAR2 = 76'd4134088404605010247243;
12'd2731: VAR2 = 76'd4208163611551146507854;
12'd2732: VAR2 = 76'd4282382933685358624336;
12'd2733: VAR2 = 76'd4356746371007646596691;
12'd2734: VAR2 = 76'd4430965693141858712662;
12'd2735: VAR2 = 76'd4505185015275802393177;
12'd2736: VAR2 = 76'd4579548734073067075676;
12'd2737: VAR2 = 76'd4727699288427681609311;
12'd2738: VAR2 = 76'd4802063007499555763810;
12'd2739: VAR2 = 76'd4876426585559332090982;
12'd2740: VAR2 = 76'd5024577280651166544489;
12'd2741: VAR2 = 76'd5024577280651166544489;
12'd2742: VAR2 = 76'd5024577280651166544489;
12'd2743: VAR2 = 76'd5024577280651166544489;
12'd2744: VAR2 = 76'd5024577280651166544489;
12'd2745: VAR2 = 76'd5024577280651166544489;
12'd2746: VAR2 = 76'd5024577280651166544489;
12'd2747: VAR2 = 76'd5024577280651166544489;
12'd2748: VAR2 = 76'd5024577280651166544489;
12'd2749: VAR2 = 76'd5024577280651166544489;
12'd2750: VAR2 = 76'd5024577280651166544489;
12'd2751: VAR2 = 76'd5024577280651166544489;
12'd2752: VAR2 = 76'd4132070927201141063228;
12'd2753: VAR2 = 76'd4132070927201141063228;
12'd2754: VAR2 = 76'd4132070927201141063228;
12'd2755: VAR2 = 76'd4132070927201141063228;
12'd2756: VAR2 = 76'd4132070927201141063228;
12'd2757: VAR2 = 76'd4132070927201141063228;
12'd2758: VAR2 = 76'd4132070927201141063228;
12'd2759: VAR2 = 76'd4132070927201141063228;
12'd2760: VAR2 = 76'd4132070927201141063228;
12'd2761: VAR2 = 76'd4132070927201141063228;
12'd2762: VAR2 = 76'd4132070927201141063228;
12'd2763: VAR2 = 76'd4132070927201141063228;
12'd2764: VAR2 = 76'd4132070927201141063228;
12'd2765: VAR2 = 76'd4132070927201141063228;
12'd2766: VAR2 = 76'd4132070927201141063228;
12'd2767: VAR2 = 76'd4132070927201141063228;
12'd2768: VAR2 = 76'd4132070927201141063228;
12'd2769: VAR2 = 76'd4132070927201141063228;
12'd2770: VAR2 = 76'd4132070927201141063228;
12'd2771: VAR2 = 76'd4132070927201141063228;
12'd2772: VAR2 = 76'd4132070927201141063228;
12'd2773: VAR2 = 76'd4132070927201141063228;
12'd2774: VAR2 = 76'd4132070927201141063228;
12'd2775: VAR2 = 76'd4132070927201141063228;
12'd2776: VAR2 = 76'd4132070927201141063228;
12'd2777: VAR2 = 76'd4132070786464458014268;
12'd2778: VAR2 = 76'd4132070786739872792125;
12'd2779: VAR2 = 76'd4132070786740409663037;
12'd2780: VAR2 = 76'd4132070787016092876350;
12'd2781: VAR2 = 76'd4132070787291507654206;
12'd2782: VAR2 = 76'd4132070787292044525119;
12'd2783: VAR2 = 76'd4132070787567459302976;
12'd2784: VAR2 = 76'd4132070787842874080833;
12'd2785: VAR2 = 76'd4132070787843410951746;
12'd2786: VAR2 = 76'd4205857764413663936067;
12'd2787: VAR2 = 76'd4205857764689078713925;
12'd2788: VAR2 = 76'd4205857764964493491782;
12'd2789: VAR2 = 76'd4279788856447675989575;
12'd2790: VAR2 = 76'd4280077087099242479177;
12'd2791: VAR2 = 76'd4354152294045378739787;
12'd2792: VAR2 = 76'd4428227500991515000397;
12'd2793: VAR2 = 76'd4428659846831157345871;
12'd2794: VAR2 = 76'd4502735053777293606481;
12'd2795: VAR2 = 76'd4576954375911505722963;
12'd2796: VAR2 = 76'd4651029582857641983573;
12'd2797: VAR2 = 76'd4651461928697015893592;
12'd2798: VAR2 = 76'd4725681250831228009562;
12'd2799: VAR2 = 76'd4800044828890735901277;
12'd2800: VAR2 = 76'd4874264432499924728416;
12'd2801: VAR2 = 76'd5022270871666463406178;
12'd2802: VAR2 = 76'd5096634590463459653221;
12'd2803: VAR2 = 76'd5170854194347526386792;
12'd2804: VAR2 = 76'd5245217772407034278508;
12'd2805: VAR2 = 76'd5245217772407034278508;
12'd2806: VAR2 = 76'd5245217772407034278508;
12'd2807: VAR2 = 76'd5245217772407034278508;
12'd2808: VAR2 = 76'd5245217772407034278508;
12'd2809: VAR2 = 76'd5245217772407034278508;
12'd2810: VAR2 = 76'd5245217772407034278508;
12'd2811: VAR2 = 76'd5245217772407034278508;
12'd2812: VAR2 = 76'd5245217772407034278508;
12'd2813: VAR2 = 76'd5245217772407034278508;
12'd2814: VAR2 = 76'd5245217772407034278508;
12'd2815: VAR2 = 76'd5245217772407034278508;
12'd2816: VAR2 = 76'd4574792782769536433733;
12'd2817: VAR2 = 76'd4574792782769536433733;
12'd2818: VAR2 = 76'd4574792782769536433733;
12'd2819: VAR2 = 76'd4574792782769536433733;
12'd2820: VAR2 = 76'd4574792782769536433733;
12'd2821: VAR2 = 76'd4574792782769536433733;
12'd2822: VAR2 = 76'd4574792782769536433733;
12'd2823: VAR2 = 76'd4574792782769536433733;
12'd2824: VAR2 = 76'd4574792782769536433733;
12'd2825: VAR2 = 76'd4574792782769536433733;
12'd2826: VAR2 = 76'd4574792782769536433733;
12'd2827: VAR2 = 76'd4574792782769536433733;
12'd2828: VAR2 = 76'd4574792782769536433733;
12'd2829: VAR2 = 76'd4574792782769536433733;
12'd2830: VAR2 = 76'd4574792782769536433733;
12'd2831: VAR2 = 76'd4574792782769536433733;
12'd2832: VAR2 = 76'd4574792782769536433733;
12'd2833: VAR2 = 76'd4574792782769536433733;
12'd2834: VAR2 = 76'd4574792782769536433733;
12'd2835: VAR2 = 76'd4574792782769536433733;
12'd2836: VAR2 = 76'd4574792782769536433733;
12'd2837: VAR2 = 76'd4574792782769536433733;
12'd2838: VAR2 = 76'd4574792782769536433733;
12'd2839: VAR2 = 76'd4574792782769536433733;
12'd2840: VAR2 = 76'd4574792782769536433733;
12'd2841: VAR2 = 76'd4574792642307731291717;
12'd2842: VAR2 = 76'd4574792642308268162629;
12'd2843: VAR2 = 76'd4574792642583951375942;
12'd2844: VAR2 = 76'd4574792642584488246854;
12'd2845: VAR2 = 76'd4574792642859903024711;
12'd2846: VAR2 = 76'd4574792643135586238023;
12'd2847: VAR2 = 76'd4574792643136123108936;
12'd2848: VAR2 = 76'd4574792643411537886793;
12'd2849: VAR2 = 76'd4574792643686684229194;
12'd2850: VAR2 = 76'd4648579619982059306571;
12'd2851: VAR2 = 76'd4648579620257474084428;
12'd2852: VAR2 = 76'd4648579620532888862285;
12'd2853: VAR2 = 76'd4722510712290949267022;
12'd2854: VAR2 = 76'd4722798942942515756624;
12'd2855: VAR2 = 76'd4723087173318935903825;
12'd2856: VAR2 = 76'd4797162380265072164435;
12'd2857: VAR2 = 76'd4797450610916370218581;
12'd2858: VAR2 = 76'd4871525817862774914646;
12'd2859: VAR2 = 76'd4945601024808911175256;
12'd2860: VAR2 = 76'd4946033370648285085274;
12'd2861: VAR2 = 76'd5020108577594152910428;
12'd2862: VAR2 = 76'd5094328181203341737055;
12'd2863: VAR2 = 76'd5168547644075042208353;
12'd2864: VAR2 = 76'd5242767106946474244195;
12'd2865: VAR2 = 76'd5316986710555663070822;
12'd2866: VAR2 = 76'd5391206173701973013608;
12'd2867: VAR2 = 76'd5465425777311161840235;
12'd2868: VAR2 = 76'd5539789496108158087278;
12'd2869: VAR2 = 76'd5539789496108158087278;
12'd2870: VAR2 = 76'd5539789496108158087278;
12'd2871: VAR2 = 76'd5539789496108158087278;
12'd2872: VAR2 = 76'd5539789496108158087278;
12'd2873: VAR2 = 76'd5539789496108158087278;
12'd2874: VAR2 = 76'd5539789496108158087278;
12'd2875: VAR2 = 76'd5539789496108158087278;
12'd2876: VAR2 = 76'd5539789496108158087278;
12'd2877: VAR2 = 76'd5539789496108158087278;
12'd2878: VAR2 = 76'd5539789496108158087278;
12'd2879: VAR2 = 76'd5539789496108158087278;
12'd2880: VAR2 = 76'd5091301614633037921870;
12'd2881: VAR2 = 76'd5091301614633037921870;
12'd2882: VAR2 = 76'd5091301614633037921870;
12'd2883: VAR2 = 76'd5091301614633037921870;
12'd2884: VAR2 = 76'd5091301614633037921870;
12'd2885: VAR2 = 76'd5091301614633037921870;
12'd2886: VAR2 = 76'd5091301614633037921870;
12'd2887: VAR2 = 76'd5091301614633037921870;
12'd2888: VAR2 = 76'd5091301614633037921870;
12'd2889: VAR2 = 76'd5091301614633037921870;
12'd2890: VAR2 = 76'd5091301614633037921870;
12'd2891: VAR2 = 76'd5091301614633037921870;
12'd2892: VAR2 = 76'd5091301614633037921870;
12'd2893: VAR2 = 76'd5091301614633037921870;
12'd2894: VAR2 = 76'd5091301614633037921870;
12'd2895: VAR2 = 76'd5091301614633037921870;
12'd2896: VAR2 = 76'd5091301614633037921870;
12'd2897: VAR2 = 76'd5091301614633037921870;
12'd2898: VAR2 = 76'd5091301614633037921870;
12'd2899: VAR2 = 76'd5091301614633037921870;
12'd2900: VAR2 = 76'd5091301614633037921870;
12'd2901: VAR2 = 76'd5091301614633037921870;
12'd2902: VAR2 = 76'd5091301614633037921870;
12'd2903: VAR2 = 76'd5091301614633037921870;
12'd2904: VAR2 = 76'd5091301614633037921870;
12'd2905: VAR2 = 76'd5091301473896086961742;
12'd2906: VAR2 = 76'd5091301474171770175054;
12'd2907: VAR2 = 76'd5091301474172307045966;
12'd2908: VAR2 = 76'd5091301474447721823823;
12'd2909: VAR2 = 76'd5091301474723405037135;
12'd2910: VAR2 = 76'd5091301474723941908048;
12'd2911: VAR2 = 76'd5091301474999356685904;
12'd2912: VAR2 = 76'd5091301475274771463761;
12'd2913: VAR2 = 76'd5091301475275039899218;
12'd2914: VAR2 = 76'd5091301475550454677074;
12'd2915: VAR2 = 76'd5091301475825869454931;
12'd2916: VAR2 = 76'd5091301476101015797332;
12'd2917: VAR2 = 76'd5165232567859344637525;
12'd2918: VAR2 = 76'd5165520798235764784727;
12'd2919: VAR2 = 76'd5165664913698986983000;
12'd2920: VAR2 = 76'd5239740120645391679065;
12'd2921: VAR2 = 76'd5240028351296689733211;
12'd2922: VAR2 = 76'd5240316581947987787356;
12'd2923: VAR2 = 76'd5314391788894124047966;
12'd2924: VAR2 = 76'd5314680160282910457440;
12'd2925: VAR2 = 76'd5388755507966266637409;
12'd2926: VAR2 = 76'd5462830855649891252835;
12'd2927: VAR2 = 76'd5463263342226753517669;
12'd2928: VAR2 = 76'd5537338830647598053479;
12'd2929: VAR2 = 76'd5611558293519298524777;
12'd2930: VAR2 = 76'd5611990920833380709483;
12'd2931: VAR2 = 76'd5686210524442301100654;
12'd2932: VAR2 = 76'd5760430128051221491824;
12'd2933: VAR2 = 76'd5760430128051221491824;
12'd2934: VAR2 = 76'd5760430128051221491824;
12'd2935: VAR2 = 76'd5760430128051221491824;
12'd2936: VAR2 = 76'd5760430128051221491824;
12'd2937: VAR2 = 76'd5760430128051221491824;
12'd2938: VAR2 = 76'd5760430128051221491824;
12'd2939: VAR2 = 76'd5760430128051221491824;
12'd2940: VAR2 = 76'd5760430128051221491824;
12'd2941: VAR2 = 76'd5760430128051221491824;
12'd2942: VAR2 = 76'd5760430128051221491824;
12'd2943: VAR2 = 76'd5760430128051221491824;
12'd2944: VAR2 = 76'd5607810446221392019032;
12'd2945: VAR2 = 76'd5607810446221392019032;
12'd2946: VAR2 = 76'd5607810446221392019032;
12'd2947: VAR2 = 76'd5607810446221392019032;
12'd2948: VAR2 = 76'd5607810446221392019032;
12'd2949: VAR2 = 76'd5607810446221392019032;
12'd2950: VAR2 = 76'd5607810446221392019032;
12'd2951: VAR2 = 76'd5607810446221392019032;
12'd2952: VAR2 = 76'd5607810446221392019032;
12'd2953: VAR2 = 76'd5607810446221392019032;
12'd2954: VAR2 = 76'd5607810446221392019032;
12'd2955: VAR2 = 76'd5607810446221392019032;
12'd2956: VAR2 = 76'd5607810446221392019032;
12'd2957: VAR2 = 76'd5607810446221392019032;
12'd2958: VAR2 = 76'd5607810446221392019032;
12'd2959: VAR2 = 76'd5607810446221392019032;
12'd2960: VAR2 = 76'd5607810446221392019032;
12'd2961: VAR2 = 76'd5607810446221392019032;
12'd2962: VAR2 = 76'd5607810446221392019032;
12'd2963: VAR2 = 76'd5607810446221392019032;
12'd2964: VAR2 = 76'd5607810446221392019032;
12'd2965: VAR2 = 76'd5607810446221392019032;
12'd2966: VAR2 = 76'd5607810446221392019032;
12'd2967: VAR2 = 76'd5607810446221392019032;
12'd2968: VAR2 = 76'd5607810446221392019032;
12'd2969: VAR2 = 76'd5607810446496807321176;
12'd2970: VAR2 = 76'd5607810305760124796504;
12'd2971: VAR2 = 76'd5607810306035539574360;
12'd2972: VAR2 = 76'd5607810306310954876504;
12'd2973: VAR2 = 76'd5534023330016654065240;
12'd2974: VAR2 = 76'd5534023330292068843097;
12'd2975: VAR2 = 76'd5534023330292605714009;
12'd2976: VAR2 = 76'd5534023330568020491865;
12'd2977: VAR2 = 76'd5534023330843435269722;
12'd2978: VAR2 = 76'd5534023331118850047579;
12'd2979: VAR2 = 76'd5534023331119118483035;
12'd2980: VAR2 = 76'd5607954422877447323228;
12'd2981: VAR2 = 76'd5608098538340669521501;
12'd2982: VAR2 = 76'd5608242653803891719774;
12'd2983: VAR2 = 76'd5608530884455458209375;
12'd2984: VAR2 = 76'd5608674999643802500704;
12'd2985: VAR2 = 76'd5682606091401862905441;
12'd2986: VAR2 = 76'd5682894322053160959586;
12'd2987: VAR2 = 76'd5683182693441678933092;
12'd2988: VAR2 = 76'd5757113925937227693157;
12'd2989: VAR2 = 76'd5757402438063502457446;
12'd2990: VAR2 = 76'd5831477785747127072872;
12'd2991: VAR2 = 76'd5831766157135645046378;
12'd2992: VAR2 = 76'd5832054669261651375211;
12'd2993: VAR2 = 76'd5906130016945275990637;
12'd2994: VAR2 = 76'd5980349620554196381807;
12'd2995: VAR2 = 76'd5980638132680202710641;
12'd2996: VAR2 = 76'd6054857595551634746483;
12'd2997: VAR2 = 76'd6054857595551634746483;
12'd2998: VAR2 = 76'd6054857595551634746483;
12'd2999: VAR2 = 76'd6054857595551634746483;
12'd3000: VAR2 = 76'd6054857595551634746483;
12'd3001: VAR2 = 76'd6054857595551634746483;
12'd3002: VAR2 = 76'd6054857595551634746483;
12'd3003: VAR2 = 76'd6054857595551634746483;
12'd3004: VAR2 = 76'd6054857595551634746483;
12'd3005: VAR2 = 76'd6054857595551634746483;
12'd3006: VAR2 = 76'd6054857595551634746483;
12'd3007: VAR2 = 76'd6054857595551634746483;
12'd3008: VAR2 = 76'd6198106394842071628898;
12'd3009: VAR2 = 76'd6198106394842071628898;
12'd3010: VAR2 = 76'd6198106394842071628898;
12'd3011: VAR2 = 76'd6198106394842071628898;
12'd3012: VAR2 = 76'd6198106394842071628898;
12'd3013: VAR2 = 76'd6198106394842071628898;
12'd3014: VAR2 = 76'd6198106394842071628898;
12'd3015: VAR2 = 76'd6198106394842071628898;
12'd3016: VAR2 = 76'd6198106394842071628898;
12'd3017: VAR2 = 76'd6198106394842071628898;
12'd3018: VAR2 = 76'd6198106394842071628898;
12'd3019: VAR2 = 76'd6198106394842071628898;
12'd3020: VAR2 = 76'd6198106394842071628898;
12'd3021: VAR2 = 76'd6198106394842071628898;
12'd3022: VAR2 = 76'd6198106394842071628898;
12'd3023: VAR2 = 76'd6198106394842071628898;
12'd3024: VAR2 = 76'd6198106394842071628898;
12'd3025: VAR2 = 76'd6198106394842071628898;
12'd3026: VAR2 = 76'd6198106394842071628898;
12'd3027: VAR2 = 76'd6198106394842071628898;
12'd3028: VAR2 = 76'd6198106394842071628898;
12'd3029: VAR2 = 76'd6198106394842071628898;
12'd3030: VAR2 = 76'd6198106394842071628898;
12'd3031: VAR2 = 76'd6198106394842071628898;
12'd3032: VAR2 = 76'd6198106394842071628898;
12'd3033: VAR2 = 76'd6124319418822648724578;
12'd3034: VAR2 = 76'd6124319278085966724706;
12'd3035: VAR2 = 76'd6124319137623893671522;
12'd3036: VAR2 = 76'd6124319137899308973666;
12'd3037: VAR2 = 76'd6124319137899846368866;
12'd3038: VAR2 = 76'd6124319138175261671010;
12'd3039: VAR2 = 76'd6050532162155838766690;
12'd3040: VAR2 = 76'd6050532162156376161890;
12'd3041: VAR2 = 76'd6050676277619598360163;
12'd3042: VAR2 = 76'd6050676277895013138019;
12'd3043: VAR2 = 76'd6050820393083357429348;
12'd3044: VAR2 = 76'd6050820393358772207204;
12'd3045: VAR2 = 76'd6050964508821994405477;
12'd3046: VAR2 = 76'd6051108624285216603749;
12'd3047: VAR2 = 76'd6051252739473560895078;
12'd3048: VAR2 = 76'd6051396995674271448167;
12'd3049: VAR2 = 76'd6125328228169820208232;
12'd3050: VAR2 = 76'd6125472343633042405993;
12'd3051: VAR2 = 76'd6125616599833752959594;
12'd3052: VAR2 = 76'd6125904971222270933099;
12'd3053: VAR2 = 76'd6126049368160469841516;
12'd3054: VAR2 = 76'd6200124715568948115053;
12'd3055: VAR2 = 76'd6200268971769658668142;
12'd3056: VAR2 = 76'd6200557483895664996975;
12'd3057: VAR2 = 76'd6200845855284182970481;
12'd3058: VAR2 = 76'd6274777228516951649906;
12'd3059: VAR2 = 76'd6275065740642957978739;
12'd3060: VAR2 = 76'd6349141088326314158709;
12'd3061: VAR2 = 76'd6349141088326314158709;
12'd3062: VAR2 = 76'd6349141088326314158709;
12'd3063: VAR2 = 76'd6349141088326314158709;
12'd3064: VAR2 = 76'd6349141088326314158709;
12'd3065: VAR2 = 76'd6349141088326314158709;
12'd3066: VAR2 = 76'd6349141088326314158709;
12'd3067: VAR2 = 76'd6349141088326314158709;
12'd3068: VAR2 = 76'd6349141088326314158709;
12'd3069: VAR2 = 76'd6349141088326314158709;
12'd3070: VAR2 = 76'd6349141088326314158709;
12'd3071: VAR2 = 76'd6349141088326314158709;
12'd3072: VAR2 = 76'd6715336083845781190252;
12'd3073: VAR2 = 76'd6715336083845781190252;
12'd3074: VAR2 = 76'd6715336083845781190252;
12'd3075: VAR2 = 76'd6715336083845781190252;
12'd3076: VAR2 = 76'd6715336083845781190252;
12'd3077: VAR2 = 76'd6715336083845781190252;
12'd3078: VAR2 = 76'd6715336083845781190252;
12'd3079: VAR2 = 76'd6715336083845781190252;
12'd3080: VAR2 = 76'd6715336083845781190252;
12'd3081: VAR2 = 76'd6715336083845781190252;
12'd3082: VAR2 = 76'd6715336083845781190252;
12'd3083: VAR2 = 76'd6715336083845781190252;
12'd3084: VAR2 = 76'd6715336083845781190252;
12'd3085: VAR2 = 76'd6715336083845781190252;
12'd3086: VAR2 = 76'd6715336083845781190252;
12'd3087: VAR2 = 76'd6715336083845781190252;
12'd3088: VAR2 = 76'd6715336083845781190252;
12'd3089: VAR2 = 76'd6715336083845781190252;
12'd3090: VAR2 = 76'd6715336083845781190252;
12'd3091: VAR2 = 76'd6715336083845781190252;
12'd3092: VAR2 = 76'd6715336083845781190252;
12'd3093: VAR2 = 76'd6715336083845781190252;
12'd3094: VAR2 = 76'd6715336083845781190252;
12'd3095: VAR2 = 76'd6715336083845781190252;
12'd3096: VAR2 = 76'd6715336083845781190252;
12'd3097: VAR2 = 76'd6715191828195632281708;
12'd3098: VAR2 = 76'd6715191687458681321580;
12'd3099: VAR2 = 76'd6715191687734096624236;
12'd3100: VAR2 = 76'd6641260455514231601772;
12'd3101: VAR2 = 76'd6641260455789646903916;
12'd3102: VAR2 = 76'd6641260456065062730860;
12'd3103: VAR2 = 76'd6641260315328111770732;
12'd3104: VAR2 = 76'd6641260315603527072876;
12'd3105: VAR2 = 76'd6567473339583835733100;
12'd3106: VAR2 = 76'd6567617454772448984172;
12'd3107: VAR2 = 76'd6567617595785084206188;
12'd3108: VAR2 = 76'd6567617596060231072877;
12'd3109: VAR2 = 76'd6567761711248575364205;
12'd3110: VAR2 = 76'd6567761852261478496877;
12'd3111: VAR2 = 76'd6567905967724432259694;
12'd3112: VAR2 = 76'd6567906108737066956910;
12'd3113: VAR2 = 76'd6568050364662899603567;
12'd3114: VAR2 = 76'd6568194620863610156655;
12'd3115: VAR2 = 76'd6568194621138488063600;
12'd3116: VAR2 = 76'd6568339018076686972017;
12'd3117: VAR2 = 76'd6568483274277129089649;
12'd3118: VAR2 = 76'd6568627530202961736306;
12'd3119: VAR2 = 76'd6568771786403403853939;
12'd3120: VAR2 = 76'd6569060298529410182772;
12'd3121: VAR2 = 76'd6569204554729852300404;
12'd3122: VAR2 = 76'd6569348951667782773365;
12'd3123: VAR2 = 76'd6569493348605444810358;
12'd3124: VAR2 = 76'd6569781719993962783863;
12'd3125: VAR2 = 76'd6569781719993962783863;
12'd3126: VAR2 = 76'd6569781719993962783863;
12'd3127: VAR2 = 76'd6569781719993962783863;
12'd3128: VAR2 = 76'd6569781719993962783863;
12'd3129: VAR2 = 76'd6569781719993962783863;
12'd3130: VAR2 = 76'd6569781719993962783863;
12'd3131: VAR2 = 76'd6569781719993962783863;
12'd3132: VAR2 = 76'd6569781719993962783863;
12'd3133: VAR2 = 76'd6569781719993962783863;
12'd3134: VAR2 = 76'd6569781719993962783863;
12'd3135: VAR2 = 76'd6569781719993962783863;
12'd3136: VAR2 = 76'd7306352608131693211767;
12'd3137: VAR2 = 76'd7306352608131693211767;
12'd3138: VAR2 = 76'd7306352608131693211767;
12'd3139: VAR2 = 76'd7306352608131693211767;
12'd3140: VAR2 = 76'd7306352608131693211767;
12'd3141: VAR2 = 76'd7306352608131693211767;
12'd3142: VAR2 = 76'd7306352608131693211767;
12'd3143: VAR2 = 76'd7306352608131693211767;
12'd3144: VAR2 = 76'd7306352608131693211767;
12'd3145: VAR2 = 76'd7306352608131693211767;
12'd3146: VAR2 = 76'd7306352608131693211767;
12'd3147: VAR2 = 76'd7306352608131693211767;
12'd3148: VAR2 = 76'd7306352608131693211767;
12'd3149: VAR2 = 76'd7306352608131693211767;
12'd3150: VAR2 = 76'd7306352608131693211767;
12'd3151: VAR2 = 76'd7306352608131693211767;
12'd3152: VAR2 = 76'd7306352608131693211767;
12'd3153: VAR2 = 76'd7306352608131693211767;
12'd3154: VAR2 = 76'd7306352608131693211767;
12'd3155: VAR2 = 76'd7306352608131693211767;
12'd3156: VAR2 = 76'd7306352608131693211767;
12'd3157: VAR2 = 76'd7306352608131693211767;
12'd3158: VAR2 = 76'd7306352608131693211767;
12'd3159: VAR2 = 76'd7306352608131693211767;
12'd3160: VAR2 = 76'd7306352608131693211767;
12'd3161: VAR2 = 76'd7306208493219301618295;
12'd3162: VAR2 = 76'd7306208352482350658167;
12'd3163: VAR2 = 76'd7306064237569690104950;
12'd3164: VAR2 = 76'd7232277120812778845302;
12'd3165: VAR2 = 76'd7232133005625240909430;
12'd3166: VAR2 = 76'd7232133005900387776118;
12'd3167: VAR2 = 76'd7158346029606086964854;
12'd3168: VAR2 = 76'd7158345889143746000502;
12'd3169: VAR2 = 76'd7158201774231085447286;
12'd3170: VAR2 = 76'd7158201914968842762357;
12'd3171: VAR2 = 76'd7158201915243989629045;
12'd3172: VAR2 = 76'd7084414939224567248501;
12'd3173: VAR2 = 76'd7084415079962324563574;
12'd3174: VAR2 = 76'd7084415080237202994806;
12'd3175: VAR2 = 76'd7084415221249838216822;
12'd3176: VAR2 = 76'd7010772360143344301174;
12'd3177: VAR2 = 76'd7010772501155978998902;
12'd3178: VAR2 = 76'd7010772642168345260662;
12'd3179: VAR2 = 76'd7010916898094177907318;
12'd3180: VAR2 = 76'd7010917039106544169079;
12'd3181: VAR2 = 76'd6937130203824072224375;
12'd3182: VAR2 = 76'd6937274460024514342007;
12'd3183: VAR2 = 76'd6937418856687566908024;
12'd3184: VAR2 = 76'd6937418997699933169784;
12'd3185: VAR2 = 76'd6937563394637863642744;
12'd3186: VAR2 = 76'd6937707650838305760377;
12'd3187: VAR2 = 76'd6937707932313014035065;
12'd3188: VAR2 = 76'd6864065352956106301050;
12'd3189: VAR2 = 76'd6864065352956106301050;
12'd3190: VAR2 = 76'd6864065352956106301050;
12'd3191: VAR2 = 76'd6864065352956106301050;
12'd3192: VAR2 = 76'd6864065352956106301050;
12'd3193: VAR2 = 76'd6864065352956106301050;
12'd3194: VAR2 = 76'd6864065352956106301050;
12'd3195: VAR2 = 76'd6864065352956106301050;
12'd3196: VAR2 = 76'd6864065352956106301050;
12'd3197: VAR2 = 76'd6864065352956106301050;
12'd3198: VAR2 = 76'd6864065352956106301050;
12'd3199: VAR2 = 76'd6864065352956106301050;
12'd3200: VAR2 = 76'd7971300364638276086403;
12'd3201: VAR2 = 76'd7971300364638276086403;
12'd3202: VAR2 = 76'd7971300364638276086403;
12'd3203: VAR2 = 76'd7971300364638276086403;
12'd3204: VAR2 = 76'd7971300364638276086403;
12'd3205: VAR2 = 76'd7971300364638276086403;
12'd3206: VAR2 = 76'd7971300364638276086403;
12'd3207: VAR2 = 76'd7971300364638276086403;
12'd3208: VAR2 = 76'd7971300364638276086403;
12'd3209: VAR2 = 76'd7971300364638276086403;
12'd3210: VAR2 = 76'd7971300364638276086403;
12'd3211: VAR2 = 76'd7971300364638276086403;
12'd3212: VAR2 = 76'd7971300364638276086403;
12'd3213: VAR2 = 76'd7971300364638276086403;
12'd3214: VAR2 = 76'd7971300364638276086403;
12'd3215: VAR2 = 76'd7971300364638276086403;
12'd3216: VAR2 = 76'd7971300364638276086403;
12'd3217: VAR2 = 76'd7971300364638276086403;
12'd3218: VAR2 = 76'd7971300364638276086403;
12'd3219: VAR2 = 76'd7971300364638276086403;
12'd3220: VAR2 = 76'd7971300364638276086403;
12'd3221: VAR2 = 76'd7971300364638276086403;
12'd3222: VAR2 = 76'd7971300364638276086403;
12'd3223: VAR2 = 76'd7971300364638276086403;
12'd3224: VAR2 = 76'd7971300364638276086403;
12'd3225: VAR2 = 76'd7897369273430777850498;
12'd3226: VAR2 = 76'd7897225017505751035010;
12'd3227: VAR2 = 76'd7897225017781166861953;
12'd3228: VAR2 = 76'd7897080902868506308225;
12'd3229: VAR2 = 76'd7823149670648641286273;
12'd3230: VAR2 = 76'd7823149670923788677248;
12'd3231: VAR2 = 76'd7749218579441412010112;
12'd3232: VAR2 = 76'd7749074464528483545728;
12'd3233: VAR2 = 76'd7749074464528752505471;
12'd3234: VAR2 = 76'd7675143373320985309823;
12'd3235: VAR2 = 76'd7675143373596401136255;
12'd3236: VAR2 = 76'd7675143514333890015871;
12'd3237: VAR2 = 76'd7601212423126122820222;
12'd3238: VAR2 = 76'd7601212563863880659070;
12'd3239: VAR2 = 76'd7601212564139027525758;
12'd3240: VAR2 = 76'd7527281613668480774270;
12'd3241: VAR2 = 76'd7527281754406238088830;
12'd3242: VAR2 = 76'd7527281895418604874877;
12'd3243: VAR2 = 76'd7453495060136132930685;
12'd3244: VAR2 = 76'd7453495200873621285501;
12'd3245: VAR2 = 76'd7379708365591149340797;
12'd3246: VAR2 = 76'd7379708506328637695613;
12'd3247: VAR2 = 76'd7379708647341003957373;
12'd3248: VAR2 = 76'd7305921812058532012669;
12'd3249: VAR2 = 76'd7305922093533240287356;
12'd3250: VAR2 = 76'd7232135258250768342652;
12'd3251: VAR2 = 76'd7232135540000354524284;
12'd3252: VAR2 = 76'd7232135821475062798460;
12'd3253: VAR2 = 76'd7232135821475062798460;
12'd3254: VAR2 = 76'd7232135821475062798460;
12'd3255: VAR2 = 76'd7232135821475062798460;
12'd3256: VAR2 = 76'd7232135821475062798460;
12'd3257: VAR2 = 76'd7232135821475062798460;
12'd3258: VAR2 = 76'd7232135821475062798460;
12'd3259: VAR2 = 76'd7232135821475062798460;
12'd3260: VAR2 = 76'd7232135821475062798460;
12'd3261: VAR2 = 76'd7232135821475062798460;
12'd3262: VAR2 = 76'd7232135821475062798460;
12'd3263: VAR2 = 76'd7232135821475062798460;
12'd3264: VAR2 = 76'd8562461285587240673934;
12'd3265: VAR2 = 76'd8562461285587240673934;
12'd3266: VAR2 = 76'd8562461285587240673934;
12'd3267: VAR2 = 76'd8562461285587240673934;
12'd3268: VAR2 = 76'd8562461285587240673934;
12'd3269: VAR2 = 76'd8562461285587240673934;
12'd3270: VAR2 = 76'd8562461285587240673934;
12'd3271: VAR2 = 76'd8562461285587240673934;
12'd3272: VAR2 = 76'd8562461285587240673934;
12'd3273: VAR2 = 76'd8562461285587240673934;
12'd3274: VAR2 = 76'd8562461285587240673934;
12'd3275: VAR2 = 76'd8562461285587240673934;
12'd3276: VAR2 = 76'd8562461285587240673934;
12'd3277: VAR2 = 76'd8562461285587240673934;
12'd3278: VAR2 = 76'd8562461285587240673934;
12'd3279: VAR2 = 76'd8562461285587240673934;
12'd3280: VAR2 = 76'd8562461285587240673934;
12'd3281: VAR2 = 76'd8562461285587240673934;
12'd3282: VAR2 = 76'd8562461285587240673934;
12'd3283: VAR2 = 76'd8562461285587240673934;
12'd3284: VAR2 = 76'd8562461285587240673934;
12'd3285: VAR2 = 76'd8562461285587240673934;
12'd3286: VAR2 = 76'd8562461285587240673934;
12'd3287: VAR2 = 76'd8562461285587240673934;
12'd3288: VAR2 = 76'd8562461285587240673934;
12'd3289: VAR2 = 76'd8562317029662214382734;
12'd3290: VAR2 = 76'd8562172914749553829517;
12'd3291: VAR2 = 76'd8488241682804567238285;
12'd3292: VAR2 = 76'd8488097567617028778124;
12'd3293: VAR2 = 76'd8487953452704100313228;
12'd3294: VAR2 = 76'd8414022361221723646603;
12'd3295: VAR2 = 76'd8414022361496871037579;
12'd3296: VAR2 = 76'd8340091270014225935498;
12'd3297: VAR2 = 76'd8339947155101297470602;
12'd3298: VAR2 = 76'd8266016063618652368009;
12'd3299: VAR2 = 76'd8265871948705723903113;
12'd3300: VAR2 = 76'd8191940997960567155848;
12'd3301: VAR2 = 76'd8191940998235714546824;
12'd3302: VAR2 = 76'd8118010047765167270535;
12'd3303: VAR2 = 76'd8117865932577360374406;
12'd3304: VAR2 = 76'd8043934982106813622918;
12'd3305: VAR2 = 76'd8043935122844302502021;
12'd3306: VAR2 = 76'd7970004031636267394693;
12'd3307: VAR2 = 76'd7969860057185680418436;
12'd3308: VAR2 = 76'd7896073221903209522308;
12'd3309: VAR2 = 76'd7822142271157784339075;
12'd3310: VAR2 = 76'd7822142552907638956162;
12'd3311: VAR2 = 76'd7748211602161944813186;
12'd3312: VAR2 = 76'd7748067627986235219073;
12'd3313: VAR2 = 76'd7674280933166105287297;
12'd3314: VAR2 = 76'd7600349982695289050752;
12'd3315: VAR2 = 76'd7526563287875159118975;
12'd3316: VAR2 = 76'd7526419313699181088895;
12'd3317: VAR2 = 76'd7526419313699181088895;
12'd3318: VAR2 = 76'd7526419313699181088895;
12'd3319: VAR2 = 76'd7526419313699181088895;
12'd3320: VAR2 = 76'd7526419313699181088895;
12'd3321: VAR2 = 76'd7526419313699181088895;
12'd3322: VAR2 = 76'd7526419313699181088895;
12'd3323: VAR2 = 76'd7526419313699181088895;
12'd3324: VAR2 = 76'd7526419313699181088895;
12'd3325: VAR2 = 76'd7526419313699181088895;
12'd3326: VAR2 = 76'd7526419313699181088895;
12'd3327: VAR2 = 76'd7526419313699181088895;
12'd3328: VAR2 = 76'd9227553297744240892571;
12'd3329: VAR2 = 76'd9227553297744240892571;
12'd3330: VAR2 = 76'd9227553297744240892571;
12'd3331: VAR2 = 76'd9227553297744240892571;
12'd3332: VAR2 = 76'd9227553297744240892571;
12'd3333: VAR2 = 76'd9227553297744240892571;
12'd3334: VAR2 = 76'd9227553297744240892571;
12'd3335: VAR2 = 76'd9227553297744240892571;
12'd3336: VAR2 = 76'd9227553297744240892571;
12'd3337: VAR2 = 76'd9227553297744240892571;
12'd3338: VAR2 = 76'd9227553297744240892571;
12'd3339: VAR2 = 76'd9227553297744240892571;
12'd3340: VAR2 = 76'd9227553297744240892571;
12'd3341: VAR2 = 76'd9227553297744240892571;
12'd3342: VAR2 = 76'd9227553297744240892571;
12'd3343: VAR2 = 76'd9227553297744240892571;
12'd3344: VAR2 = 76'd9227553297744240892571;
12'd3345: VAR2 = 76'd9227553297744240892571;
12'd3346: VAR2 = 76'd9227553297744240892571;
12'd3347: VAR2 = 76'd9227553297744240892571;
12'd3348: VAR2 = 76'd9227553297744240892571;
12'd3349: VAR2 = 76'd9227553297744240892571;
12'd3350: VAR2 = 76'd9227553297744240892571;
12'd3351: VAR2 = 76'd9227553297744240892571;
12'd3352: VAR2 = 76'd9227553297744240892571;
12'd3353: VAR2 = 76'd9227409042094092508314;
12'd3354: VAR2 = 76'd9227264926906554048154;
12'd3355: VAR2 = 76'd9153333694961567457433;
12'd3356: VAR2 = 76'd9153045464585685229720;
12'd3357: VAR2 = 76'd9079114373378186470040;
12'd3358: VAR2 = 76'd9078970258190380098199;
12'd3359: VAR2 = 76'd9005039166982613427350;
12'd3360: VAR2 = 76'd9004750936606730675349;
12'd3361: VAR2 = 76'd8930819845398964003988;
12'd3362: VAR2 = 76'd8930675730211157107860;
12'd3363: VAR2 = 76'd8856744779740878791827;
12'd3364: VAR2 = 76'd8782669573069889922194;
12'd3365: VAR2 = 76'd8782525458156960933009;
12'd3366: VAR2 = 76'd8708594507411536274576;
12'd3367: VAR2 = 76'd8634663416203500643471;
12'd3368: VAR2 = 76'd8634519441752914190990;
12'd3369: VAR2 = 76'd8560444375819413152397;
12'd3370: VAR2 = 76'd8486513425348866400396;
12'd3371: VAR2 = 76'd8412582333865952861835;
12'd3372: VAR2 = 76'd8412438359690244316298;
12'd3373: VAR2 = 76'd8338507408944551221897;
12'd3374: VAR2 = 76'd8264432483748538538120;
12'd3375: VAR2 = 76'd8190501533277723350663;
12'd3376: VAR2 = 76'd8116570582532029731974;
12'd3377: VAR2 = 76'd8042783746974411444869;
12'd3378: VAR2 = 76'd7968997052429159419524;
12'd3379: VAR2 = 76'd7895210216871541132419;
12'd3380: VAR2 = 76'd7821423522051142764673;
12'd3381: VAR2 = 76'd7821423522051142764673;
12'd3382: VAR2 = 76'd7821423522051142764673;
12'd3383: VAR2 = 76'd7821423522051142764673;
12'd3384: VAR2 = 76'd7821423522051142764673;
12'd3385: VAR2 = 76'd7821423522051142764673;
12'd3386: VAR2 = 76'd7821423522051142764673;
12'd3387: VAR2 = 76'd7821423522051142764673;
12'd3388: VAR2 = 76'd7821423522051142764673;
12'd3389: VAR2 = 76'd7821423522051142764673;
12'd3390: VAR2 = 76'd7821423522051142764673;
12'd3391: VAR2 = 76'd7821423522051142764673;
12'd3392: VAR2 = 76'd9227553297744240892571;
12'd3393: VAR2 = 76'd9227553297744240892571;
12'd3394: VAR2 = 76'd9227553297744240892571;
12'd3395: VAR2 = 76'd9227553297744240892571;
12'd3396: VAR2 = 76'd9227553297744240892571;
12'd3397: VAR2 = 76'd9227553297744240892571;
12'd3398: VAR2 = 76'd9227553297744240892571;
12'd3399: VAR2 = 76'd9227553297744240892571;
12'd3400: VAR2 = 76'd9227553297744240892571;
12'd3401: VAR2 = 76'd9227553297744240892571;
12'd3402: VAR2 = 76'd9227553297744240892571;
12'd3403: VAR2 = 76'd9227553297744240892571;
12'd3404: VAR2 = 76'd9227553297744240892571;
12'd3405: VAR2 = 76'd9227553297744240892571;
12'd3406: VAR2 = 76'd9227553297744240892571;
12'd3407: VAR2 = 76'd9227553297744240892571;
12'd3408: VAR2 = 76'd9227553297744240892571;
12'd3409: VAR2 = 76'd9227553297744240892571;
12'd3410: VAR2 = 76'd9227553297744240892571;
12'd3411: VAR2 = 76'd9227553297744240892571;
12'd3412: VAR2 = 76'd9227553297744240892571;
12'd3413: VAR2 = 76'd9227553297744240892571;
12'd3414: VAR2 = 76'd9227553297744240892571;
12'd3415: VAR2 = 76'd9227553297744240892571;
12'd3416: VAR2 = 76'd9227553297744240892571;
12'd3417: VAR2 = 76'd9227409042094092508314;
12'd3418: VAR2 = 76'd9227264926906554048154;
12'd3419: VAR2 = 76'd9153333694961567457433;
12'd3420: VAR2 = 76'd9153045464585685229720;
12'd3421: VAR2 = 76'd9079114373378186470040;
12'd3422: VAR2 = 76'd9078970258190380098199;
12'd3423: VAR2 = 76'd9005039166982613427350;
12'd3424: VAR2 = 76'd9004750936606730675349;
12'd3425: VAR2 = 76'd8930819845398964003988;
12'd3426: VAR2 = 76'd8930675730211157107860;
12'd3427: VAR2 = 76'd8856744779740878791827;
12'd3428: VAR2 = 76'd8782669573069889922194;
12'd3429: VAR2 = 76'd8782525458156960933009;
12'd3430: VAR2 = 76'd8708594507411536274576;
12'd3431: VAR2 = 76'd8634663416203500643471;
12'd3432: VAR2 = 76'd8634519441752914190990;
12'd3433: VAR2 = 76'd8560444375819413152397;
12'd3434: VAR2 = 76'd8486513425348866400396;
12'd3435: VAR2 = 76'd8412582333865952861835;
12'd3436: VAR2 = 76'd8412438359690244316298;
12'd3437: VAR2 = 76'd8338507408944551221897;
12'd3438: VAR2 = 76'd8264432483748538538120;
12'd3439: VAR2 = 76'd8190501533277723350663;
12'd3440: VAR2 = 76'd8116570582532029731974;
12'd3441: VAR2 = 76'd8042783746974411444869;
12'd3442: VAR2 = 76'd7968997052429159419524;
12'd3443: VAR2 = 76'd7895210216871541132419;
12'd3444: VAR2 = 76'd7821423522051142764673;
12'd3445: VAR2 = 76'd7821423522051142764673;
12'd3446: VAR2 = 76'd7821423522051142764673;
12'd3447: VAR2 = 76'd7821423522051142764673;
12'd3448: VAR2 = 76'd7821423522051142764673;
12'd3449: VAR2 = 76'd7821423522051142764673;
12'd3450: VAR2 = 76'd7821423522051142764673;
12'd3451: VAR2 = 76'd7821423522051142764673;
12'd3452: VAR2 = 76'd7821423522051142764673;
12'd3453: VAR2 = 76'd7821423522051142764673;
12'd3454: VAR2 = 76'd7821423522051142764673;
12'd3455: VAR2 = 76'd7821423522051142764673;
12'd3456: VAR2 = 76'd9227553297744240892571;
12'd3457: VAR2 = 76'd9227553297744240892571;
12'd3458: VAR2 = 76'd9227553297744240892571;
12'd3459: VAR2 = 76'd9227553297744240892571;
12'd3460: VAR2 = 76'd9227553297744240892571;
12'd3461: VAR2 = 76'd9227553297744240892571;
12'd3462: VAR2 = 76'd9227553297744240892571;
12'd3463: VAR2 = 76'd9227553297744240892571;
12'd3464: VAR2 = 76'd9227553297744240892571;
12'd3465: VAR2 = 76'd9227553297744240892571;
12'd3466: VAR2 = 76'd9227553297744240892571;
12'd3467: VAR2 = 76'd9227553297744240892571;
12'd3468: VAR2 = 76'd9227553297744240892571;
12'd3469: VAR2 = 76'd9227553297744240892571;
12'd3470: VAR2 = 76'd9227553297744240892571;
12'd3471: VAR2 = 76'd9227553297744240892571;
12'd3472: VAR2 = 76'd9227553297744240892571;
12'd3473: VAR2 = 76'd9227553297744240892571;
12'd3474: VAR2 = 76'd9227553297744240892571;
12'd3475: VAR2 = 76'd9227553297744240892571;
12'd3476: VAR2 = 76'd9227553297744240892571;
12'd3477: VAR2 = 76'd9227553297744240892571;
12'd3478: VAR2 = 76'd9227553297744240892571;
12'd3479: VAR2 = 76'd9227553297744240892571;
12'd3480: VAR2 = 76'd9227553297744240892571;
12'd3481: VAR2 = 76'd9227409042094092508314;
12'd3482: VAR2 = 76'd9227264926906554048154;
12'd3483: VAR2 = 76'd9153333694961567457433;
12'd3484: VAR2 = 76'd9153045464585685229720;
12'd3485: VAR2 = 76'd9079114373378186470040;
12'd3486: VAR2 = 76'd9078970258190380098199;
12'd3487: VAR2 = 76'd9005039166982613427350;
12'd3488: VAR2 = 76'd9004750936606730675349;
12'd3489: VAR2 = 76'd8930819845398964003988;
12'd3490: VAR2 = 76'd8930675730211157107860;
12'd3491: VAR2 = 76'd8856744779740878791827;
12'd3492: VAR2 = 76'd8782669573069889922194;
12'd3493: VAR2 = 76'd8782525458156960933009;
12'd3494: VAR2 = 76'd8708594507411536274576;
12'd3495: VAR2 = 76'd8634663416203500643471;
12'd3496: VAR2 = 76'd8634519441752914190990;
12'd3497: VAR2 = 76'd8560444375819413152397;
12'd3498: VAR2 = 76'd8486513425348866400396;
12'd3499: VAR2 = 76'd8412582333865952861835;
12'd3500: VAR2 = 76'd8412438359690244316298;
12'd3501: VAR2 = 76'd8338507408944551221897;
12'd3502: VAR2 = 76'd8264432483748538538120;
12'd3503: VAR2 = 76'd8190501533277723350663;
12'd3504: VAR2 = 76'd8116570582532029731974;
12'd3505: VAR2 = 76'd8042783746974411444869;
12'd3506: VAR2 = 76'd7968997052429159419524;
12'd3507: VAR2 = 76'd7895210216871541132419;
12'd3508: VAR2 = 76'd7821423522051142764673;
12'd3509: VAR2 = 76'd7821423522051142764673;
12'd3510: VAR2 = 76'd7821423522051142764673;
12'd3511: VAR2 = 76'd7821423522051142764673;
12'd3512: VAR2 = 76'd7821423522051142764673;
12'd3513: VAR2 = 76'd7821423522051142764673;
12'd3514: VAR2 = 76'd7821423522051142764673;
12'd3515: VAR2 = 76'd7821423522051142764673;
12'd3516: VAR2 = 76'd7821423522051142764673;
12'd3517: VAR2 = 76'd7821423522051142764673;
12'd3518: VAR2 = 76'd7821423522051142764673;
12'd3519: VAR2 = 76'd7821423522051142764673;
12'd3520: VAR2 = 76'd9227553297744240892571;
12'd3521: VAR2 = 76'd9227553297744240892571;
12'd3522: VAR2 = 76'd9227553297744240892571;
12'd3523: VAR2 = 76'd9227553297744240892571;
12'd3524: VAR2 = 76'd9227553297744240892571;
12'd3525: VAR2 = 76'd9227553297744240892571;
12'd3526: VAR2 = 76'd9227553297744240892571;
12'd3527: VAR2 = 76'd9227553297744240892571;
12'd3528: VAR2 = 76'd9227553297744240892571;
12'd3529: VAR2 = 76'd9227553297744240892571;
12'd3530: VAR2 = 76'd9227553297744240892571;
12'd3531: VAR2 = 76'd9227553297744240892571;
12'd3532: VAR2 = 76'd9227553297744240892571;
12'd3533: VAR2 = 76'd9227553297744240892571;
12'd3534: VAR2 = 76'd9227553297744240892571;
12'd3535: VAR2 = 76'd9227553297744240892571;
12'd3536: VAR2 = 76'd9227553297744240892571;
12'd3537: VAR2 = 76'd9227553297744240892571;
12'd3538: VAR2 = 76'd9227553297744240892571;
12'd3539: VAR2 = 76'd9227553297744240892571;
12'd3540: VAR2 = 76'd9227553297744240892571;
12'd3541: VAR2 = 76'd9227553297744240892571;
12'd3542: VAR2 = 76'd9227553297744240892571;
12'd3543: VAR2 = 76'd9227553297744240892571;
12'd3544: VAR2 = 76'd9227553297744240892571;
12'd3545: VAR2 = 76'd9227409042094092508314;
12'd3546: VAR2 = 76'd9227264926906554048154;
12'd3547: VAR2 = 76'd9153333694961567457433;
12'd3548: VAR2 = 76'd9153045464585685229720;
12'd3549: VAR2 = 76'd9079114373378186470040;
12'd3550: VAR2 = 76'd9078970258190380098199;
12'd3551: VAR2 = 76'd9005039166982613427350;
12'd3552: VAR2 = 76'd9004750936606730675349;
12'd3553: VAR2 = 76'd8930819845398964003988;
12'd3554: VAR2 = 76'd8930675730211157107860;
12'd3555: VAR2 = 76'd8856744779740878791827;
12'd3556: VAR2 = 76'd8782669573069889922194;
12'd3557: VAR2 = 76'd8782525458156960933009;
12'd3558: VAR2 = 76'd8708594507411536274576;
12'd3559: VAR2 = 76'd8634663416203500643471;
12'd3560: VAR2 = 76'd8634519441752914190990;
12'd3561: VAR2 = 76'd8560444375819413152397;
12'd3562: VAR2 = 76'd8486513425348866400396;
12'd3563: VAR2 = 76'd8412582333865952861835;
12'd3564: VAR2 = 76'd8412438359690244316298;
12'd3565: VAR2 = 76'd8338507408944551221897;
12'd3566: VAR2 = 76'd8264432483748538538120;
12'd3567: VAR2 = 76'd8190501533277723350663;
12'd3568: VAR2 = 76'd8116570582532029731974;
12'd3569: VAR2 = 76'd8042783746974411444869;
12'd3570: VAR2 = 76'd7968997052429159419524;
12'd3571: VAR2 = 76'd7895210216871541132419;
12'd3572: VAR2 = 76'd7821423522051142764673;
12'd3573: VAR2 = 76'd7821423522051142764673;
12'd3574: VAR2 = 76'd7821423522051142764673;
12'd3575: VAR2 = 76'd7821423522051142764673;
12'd3576: VAR2 = 76'd7821423522051142764673;
12'd3577: VAR2 = 76'd7821423522051142764673;
12'd3578: VAR2 = 76'd7821423522051142764673;
12'd3579: VAR2 = 76'd7821423522051142764673;
12'd3580: VAR2 = 76'd7821423522051142764673;
12'd3581: VAR2 = 76'd7821423522051142764673;
12'd3582: VAR2 = 76'd7821423522051142764673;
12'd3583: VAR2 = 76'd7821423522051142764673;
12'd3584: VAR2 = 76'd9227553297744240892571;
12'd3585: VAR2 = 76'd9227553297744240892571;
12'd3586: VAR2 = 76'd9227553297744240892571;
12'd3587: VAR2 = 76'd9227553297744240892571;
12'd3588: VAR2 = 76'd9227553297744240892571;
12'd3589: VAR2 = 76'd9227553297744240892571;
12'd3590: VAR2 = 76'd9227553297744240892571;
12'd3591: VAR2 = 76'd9227553297744240892571;
12'd3592: VAR2 = 76'd9227553297744240892571;
12'd3593: VAR2 = 76'd9227553297744240892571;
12'd3594: VAR2 = 76'd9227553297744240892571;
12'd3595: VAR2 = 76'd9227553297744240892571;
12'd3596: VAR2 = 76'd9227553297744240892571;
12'd3597: VAR2 = 76'd9227553297744240892571;
12'd3598: VAR2 = 76'd9227553297744240892571;
12'd3599: VAR2 = 76'd9227553297744240892571;
12'd3600: VAR2 = 76'd9227553297744240892571;
12'd3601: VAR2 = 76'd9227553297744240892571;
12'd3602: VAR2 = 76'd9227553297744240892571;
12'd3603: VAR2 = 76'd9227553297744240892571;
12'd3604: VAR2 = 76'd9227553297744240892571;
12'd3605: VAR2 = 76'd9227553297744240892571;
12'd3606: VAR2 = 76'd9227553297744240892571;
12'd3607: VAR2 = 76'd9227553297744240892571;
12'd3608: VAR2 = 76'd9227553297744240892571;
12'd3609: VAR2 = 76'd9227409042094092508314;
12'd3610: VAR2 = 76'd9227264926906554048154;
12'd3611: VAR2 = 76'd9153333694961567457433;
12'd3612: VAR2 = 76'd9153045464585685229720;
12'd3613: VAR2 = 76'd9079114373378186470040;
12'd3614: VAR2 = 76'd9078970258190380098199;
12'd3615: VAR2 = 76'd9005039166982613427350;
12'd3616: VAR2 = 76'd9004750936606730675349;
12'd3617: VAR2 = 76'd8930819845398964003988;
12'd3618: VAR2 = 76'd8930675730211157107860;
12'd3619: VAR2 = 76'd8856744779740878791827;
12'd3620: VAR2 = 76'd8782669573069889922194;
12'd3621: VAR2 = 76'd8782525458156960933009;
12'd3622: VAR2 = 76'd8708594507411536274576;
12'd3623: VAR2 = 76'd8634663416203500643471;
12'd3624: VAR2 = 76'd8634519441752914190990;
12'd3625: VAR2 = 76'd8560444375819413152397;
12'd3626: VAR2 = 76'd8486513425348866400396;
12'd3627: VAR2 = 76'd8412582333865952861835;
12'd3628: VAR2 = 76'd8412438359690244316298;
12'd3629: VAR2 = 76'd8338507408944551221897;
12'd3630: VAR2 = 76'd8264432483748538538120;
12'd3631: VAR2 = 76'd8190501533277723350663;
12'd3632: VAR2 = 76'd8116570582532029731974;
12'd3633: VAR2 = 76'd8042783746974411444869;
12'd3634: VAR2 = 76'd7968997052429159419524;
12'd3635: VAR2 = 76'd7895210216871541132419;
12'd3636: VAR2 = 76'd7821423522051142764673;
12'd3637: VAR2 = 76'd7821423522051142764673;
12'd3638: VAR2 = 76'd7821423522051142764673;
12'd3639: VAR2 = 76'd7821423522051142764673;
12'd3640: VAR2 = 76'd7821423522051142764673;
12'd3641: VAR2 = 76'd7821423522051142764673;
12'd3642: VAR2 = 76'd7821423522051142764673;
12'd3643: VAR2 = 76'd7821423522051142764673;
12'd3644: VAR2 = 76'd7821423522051142764673;
12'd3645: VAR2 = 76'd7821423522051142764673;
12'd3646: VAR2 = 76'd7821423522051142764673;
12'd3647: VAR2 = 76'd7821423522051142764673;
12'd3648: VAR2 = 76'd9227553297744240892571;
12'd3649: VAR2 = 76'd9227553297744240892571;
12'd3650: VAR2 = 76'd9227553297744240892571;
12'd3651: VAR2 = 76'd9227553297744240892571;
12'd3652: VAR2 = 76'd9227553297744240892571;
12'd3653: VAR2 = 76'd9227553297744240892571;
12'd3654: VAR2 = 76'd9227553297744240892571;
12'd3655: VAR2 = 76'd9227553297744240892571;
12'd3656: VAR2 = 76'd9227553297744240892571;
12'd3657: VAR2 = 76'd9227553297744240892571;
12'd3658: VAR2 = 76'd9227553297744240892571;
12'd3659: VAR2 = 76'd9227553297744240892571;
12'd3660: VAR2 = 76'd9227553297744240892571;
12'd3661: VAR2 = 76'd9227553297744240892571;
12'd3662: VAR2 = 76'd9227553297744240892571;
12'd3663: VAR2 = 76'd9227553297744240892571;
12'd3664: VAR2 = 76'd9227553297744240892571;
12'd3665: VAR2 = 76'd9227553297744240892571;
12'd3666: VAR2 = 76'd9227553297744240892571;
12'd3667: VAR2 = 76'd9227553297744240892571;
12'd3668: VAR2 = 76'd9227553297744240892571;
12'd3669: VAR2 = 76'd9227553297744240892571;
12'd3670: VAR2 = 76'd9227553297744240892571;
12'd3671: VAR2 = 76'd9227553297744240892571;
12'd3672: VAR2 = 76'd9227553297744240892571;
12'd3673: VAR2 = 76'd9227409042094092508314;
12'd3674: VAR2 = 76'd9227264926906554048154;
12'd3675: VAR2 = 76'd9153333694961567457433;
12'd3676: VAR2 = 76'd9153045464585685229720;
12'd3677: VAR2 = 76'd9079114373378186470040;
12'd3678: VAR2 = 76'd9078970258190380098199;
12'd3679: VAR2 = 76'd9005039166982613427350;
12'd3680: VAR2 = 76'd9004750936606730675349;
12'd3681: VAR2 = 76'd8930819845398964003988;
12'd3682: VAR2 = 76'd8930675730211157107860;
12'd3683: VAR2 = 76'd8856744779740878791827;
12'd3684: VAR2 = 76'd8782669573069889922194;
12'd3685: VAR2 = 76'd8782525458156960933009;
12'd3686: VAR2 = 76'd8708594507411536274576;
12'd3687: VAR2 = 76'd8634663416203500643471;
12'd3688: VAR2 = 76'd8634519441752914190990;
12'd3689: VAR2 = 76'd8560444375819413152397;
12'd3690: VAR2 = 76'd8486513425348866400396;
12'd3691: VAR2 = 76'd8412582333865952861835;
12'd3692: VAR2 = 76'd8412438359690244316298;
12'd3693: VAR2 = 76'd8338507408944551221897;
12'd3694: VAR2 = 76'd8264432483748538538120;
12'd3695: VAR2 = 76'd8190501533277723350663;
12'd3696: VAR2 = 76'd8116570582532029731974;
12'd3697: VAR2 = 76'd8042783746974411444869;
12'd3698: VAR2 = 76'd7968997052429159419524;
12'd3699: VAR2 = 76'd7895210216871541132419;
12'd3700: VAR2 = 76'd7821423522051142764673;
12'd3701: VAR2 = 76'd7821423522051142764673;
12'd3702: VAR2 = 76'd7821423522051142764673;
12'd3703: VAR2 = 76'd7821423522051142764673;
12'd3704: VAR2 = 76'd7821423522051142764673;
12'd3705: VAR2 = 76'd7821423522051142764673;
12'd3706: VAR2 = 76'd7821423522051142764673;
12'd3707: VAR2 = 76'd7821423522051142764673;
12'd3708: VAR2 = 76'd7821423522051142764673;
12'd3709: VAR2 = 76'd7821423522051142764673;
12'd3710: VAR2 = 76'd7821423522051142764673;
12'd3711: VAR2 = 76'd7821423522051142764673;
12'd3712: VAR2 = 76'd9227553297744240892571;
12'd3713: VAR2 = 76'd9227553297744240892571;
12'd3714: VAR2 = 76'd9227553297744240892571;
12'd3715: VAR2 = 76'd9227553297744240892571;
12'd3716: VAR2 = 76'd9227553297744240892571;
12'd3717: VAR2 = 76'd9227553297744240892571;
12'd3718: VAR2 = 76'd9227553297744240892571;
12'd3719: VAR2 = 76'd9227553297744240892571;
12'd3720: VAR2 = 76'd9227553297744240892571;
12'd3721: VAR2 = 76'd9227553297744240892571;
12'd3722: VAR2 = 76'd9227553297744240892571;
12'd3723: VAR2 = 76'd9227553297744240892571;
12'd3724: VAR2 = 76'd9227553297744240892571;
12'd3725: VAR2 = 76'd9227553297744240892571;
12'd3726: VAR2 = 76'd9227553297744240892571;
12'd3727: VAR2 = 76'd9227553297744240892571;
12'd3728: VAR2 = 76'd9227553297744240892571;
12'd3729: VAR2 = 76'd9227553297744240892571;
12'd3730: VAR2 = 76'd9227553297744240892571;
12'd3731: VAR2 = 76'd9227553297744240892571;
12'd3732: VAR2 = 76'd9227553297744240892571;
12'd3733: VAR2 = 76'd9227553297744240892571;
12'd3734: VAR2 = 76'd9227553297744240892571;
12'd3735: VAR2 = 76'd9227553297744240892571;
12'd3736: VAR2 = 76'd9227553297744240892571;
12'd3737: VAR2 = 76'd9227409042094092508314;
12'd3738: VAR2 = 76'd9227264926906554048154;
12'd3739: VAR2 = 76'd9153333694961567457433;
12'd3740: VAR2 = 76'd9153045464585685229720;
12'd3741: VAR2 = 76'd9079114373378186470040;
12'd3742: VAR2 = 76'd9078970258190380098199;
12'd3743: VAR2 = 76'd9005039166982613427350;
12'd3744: VAR2 = 76'd9004750936606730675349;
12'd3745: VAR2 = 76'd8930819845398964003988;
12'd3746: VAR2 = 76'd8930675730211157107860;
12'd3747: VAR2 = 76'd8856744779740878791827;
12'd3748: VAR2 = 76'd8782669573069889922194;
12'd3749: VAR2 = 76'd8782525458156960933009;
12'd3750: VAR2 = 76'd8708594507411536274576;
12'd3751: VAR2 = 76'd8634663416203500643471;
12'd3752: VAR2 = 76'd8634519441752914190990;
12'd3753: VAR2 = 76'd8560444375819413152397;
12'd3754: VAR2 = 76'd8486513425348866400396;
12'd3755: VAR2 = 76'd8412582333865952861835;
12'd3756: VAR2 = 76'd8412438359690244316298;
12'd3757: VAR2 = 76'd8338507408944551221897;
12'd3758: VAR2 = 76'd8264432483748538538120;
12'd3759: VAR2 = 76'd8190501533277723350663;
12'd3760: VAR2 = 76'd8116570582532029731974;
12'd3761: VAR2 = 76'd8042783746974411444869;
12'd3762: VAR2 = 76'd7968997052429159419524;
12'd3763: VAR2 = 76'd7895210216871541132419;
12'd3764: VAR2 = 76'd7821423522051142764673;
12'd3765: VAR2 = 76'd7821423522051142764673;
12'd3766: VAR2 = 76'd7821423522051142764673;
12'd3767: VAR2 = 76'd7821423522051142764673;
12'd3768: VAR2 = 76'd7821423522051142764673;
12'd3769: VAR2 = 76'd7821423522051142764673;
12'd3770: VAR2 = 76'd7821423522051142764673;
12'd3771: VAR2 = 76'd7821423522051142764673;
12'd3772: VAR2 = 76'd7821423522051142764673;
12'd3773: VAR2 = 76'd7821423522051142764673;
12'd3774: VAR2 = 76'd7821423522051142764673;
12'd3775: VAR2 = 76'd7821423522051142764673;
12'd3776: VAR2 = 76'd9227553297744240892571;
12'd3777: VAR2 = 76'd9227553297744240892571;
12'd3778: VAR2 = 76'd9227553297744240892571;
12'd3779: VAR2 = 76'd9227553297744240892571;
12'd3780: VAR2 = 76'd9227553297744240892571;
12'd3781: VAR2 = 76'd9227553297744240892571;
12'd3782: VAR2 = 76'd9227553297744240892571;
12'd3783: VAR2 = 76'd9227553297744240892571;
12'd3784: VAR2 = 76'd9227553297744240892571;
12'd3785: VAR2 = 76'd9227553297744240892571;
12'd3786: VAR2 = 76'd9227553297744240892571;
12'd3787: VAR2 = 76'd9227553297744240892571;
12'd3788: VAR2 = 76'd9227553297744240892571;
12'd3789: VAR2 = 76'd9227553297744240892571;
12'd3790: VAR2 = 76'd9227553297744240892571;
12'd3791: VAR2 = 76'd9227553297744240892571;
12'd3792: VAR2 = 76'd9227553297744240892571;
12'd3793: VAR2 = 76'd9227553297744240892571;
12'd3794: VAR2 = 76'd9227553297744240892571;
12'd3795: VAR2 = 76'd9227553297744240892571;
12'd3796: VAR2 = 76'd9227553297744240892571;
12'd3797: VAR2 = 76'd9227553297744240892571;
12'd3798: VAR2 = 76'd9227553297744240892571;
12'd3799: VAR2 = 76'd9227553297744240892571;
12'd3800: VAR2 = 76'd9227553297744240892571;
12'd3801: VAR2 = 76'd9227409042094092508314;
12'd3802: VAR2 = 76'd9227264926906554048154;
12'd3803: VAR2 = 76'd9153333694961567457433;
12'd3804: VAR2 = 76'd9153045464585685229720;
12'd3805: VAR2 = 76'd9079114373378186470040;
12'd3806: VAR2 = 76'd9078970258190380098199;
12'd3807: VAR2 = 76'd9005039166982613427350;
12'd3808: VAR2 = 76'd9004750936606730675349;
12'd3809: VAR2 = 76'd8930819845398964003988;
12'd3810: VAR2 = 76'd8930675730211157107860;
12'd3811: VAR2 = 76'd8856744779740878791827;
12'd3812: VAR2 = 76'd8782669573069889922194;
12'd3813: VAR2 = 76'd8782525458156960933009;
12'd3814: VAR2 = 76'd8708594507411536274576;
12'd3815: VAR2 = 76'd8634663416203500643471;
12'd3816: VAR2 = 76'd8634519441752914190990;
12'd3817: VAR2 = 76'd8560444375819413152397;
12'd3818: VAR2 = 76'd8486513425348866400396;
12'd3819: VAR2 = 76'd8412582333865952861835;
12'd3820: VAR2 = 76'd8412438359690244316298;
12'd3821: VAR2 = 76'd8338507408944551221897;
12'd3822: VAR2 = 76'd8264432483748538538120;
12'd3823: VAR2 = 76'd8190501533277723350663;
12'd3824: VAR2 = 76'd8116570582532029731974;
12'd3825: VAR2 = 76'd8042783746974411444869;
12'd3826: VAR2 = 76'd7968997052429159419524;
12'd3827: VAR2 = 76'd7895210216871541132419;
12'd3828: VAR2 = 76'd7821423522051142764673;
12'd3829: VAR2 = 76'd7821423522051142764673;
12'd3830: VAR2 = 76'd7821423522051142764673;
12'd3831: VAR2 = 76'd7821423522051142764673;
12'd3832: VAR2 = 76'd7821423522051142764673;
12'd3833: VAR2 = 76'd7821423522051142764673;
12'd3834: VAR2 = 76'd7821423522051142764673;
12'd3835: VAR2 = 76'd7821423522051142764673;
12'd3836: VAR2 = 76'd7821423522051142764673;
12'd3837: VAR2 = 76'd7821423522051142764673;
12'd3838: VAR2 = 76'd7821423522051142764673;
12'd3839: VAR2 = 76'd7821423522051142764673;
12'd3840: VAR2 = 76'd9227553297744240892571;
12'd3841: VAR2 = 76'd9227553297744240892571;
12'd3842: VAR2 = 76'd9227553297744240892571;
12'd3843: VAR2 = 76'd9227553297744240892571;
12'd3844: VAR2 = 76'd9227553297744240892571;
12'd3845: VAR2 = 76'd9227553297744240892571;
12'd3846: VAR2 = 76'd9227553297744240892571;
12'd3847: VAR2 = 76'd9227553297744240892571;
12'd3848: VAR2 = 76'd9227553297744240892571;
12'd3849: VAR2 = 76'd9227553297744240892571;
12'd3850: VAR2 = 76'd9227553297744240892571;
12'd3851: VAR2 = 76'd9227553297744240892571;
12'd3852: VAR2 = 76'd9227553297744240892571;
12'd3853: VAR2 = 76'd9227553297744240892571;
12'd3854: VAR2 = 76'd9227553297744240892571;
12'd3855: VAR2 = 76'd9227553297744240892571;
12'd3856: VAR2 = 76'd9227553297744240892571;
12'd3857: VAR2 = 76'd9227553297744240892571;
12'd3858: VAR2 = 76'd9227553297744240892571;
12'd3859: VAR2 = 76'd9227553297744240892571;
12'd3860: VAR2 = 76'd9227553297744240892571;
12'd3861: VAR2 = 76'd9227553297744240892571;
12'd3862: VAR2 = 76'd9227553297744240892571;
12'd3863: VAR2 = 76'd9227553297744240892571;
12'd3864: VAR2 = 76'd9227553297744240892571;
12'd3865: VAR2 = 76'd9227409042094092508314;
12'd3866: VAR2 = 76'd9227264926906554048154;
12'd3867: VAR2 = 76'd9153333694961567457433;
12'd3868: VAR2 = 76'd9153045464585685229720;
12'd3869: VAR2 = 76'd9079114373378186470040;
12'd3870: VAR2 = 76'd9078970258190380098199;
12'd3871: VAR2 = 76'd9005039166982613427350;
12'd3872: VAR2 = 76'd9004750936606730675349;
12'd3873: VAR2 = 76'd8930819845398964003988;
12'd3874: VAR2 = 76'd8930675730211157107860;
12'd3875: VAR2 = 76'd8856744779740878791827;
12'd3876: VAR2 = 76'd8782669573069889922194;
12'd3877: VAR2 = 76'd8782525458156960933009;
12'd3878: VAR2 = 76'd8708594507411536274576;
12'd3879: VAR2 = 76'd8634663416203500643471;
12'd3880: VAR2 = 76'd8634519441752914190990;
12'd3881: VAR2 = 76'd8560444375819413152397;
12'd3882: VAR2 = 76'd8486513425348866400396;
12'd3883: VAR2 = 76'd8412582333865952861835;
12'd3884: VAR2 = 76'd8412438359690244316298;
12'd3885: VAR2 = 76'd8338507408944551221897;
12'd3886: VAR2 = 76'd8264432483748538538120;
12'd3887: VAR2 = 76'd8190501533277723350663;
12'd3888: VAR2 = 76'd8116570582532029731974;
12'd3889: VAR2 = 76'd8042783746974411444869;
12'd3890: VAR2 = 76'd7968997052429159419524;
12'd3891: VAR2 = 76'd7895210216871541132419;
12'd3892: VAR2 = 76'd7821423522051142764673;
12'd3893: VAR2 = 76'd7821423522051142764673;
12'd3894: VAR2 = 76'd7821423522051142764673;
12'd3895: VAR2 = 76'd7821423522051142764673;
12'd3896: VAR2 = 76'd7821423522051142764673;
12'd3897: VAR2 = 76'd7821423522051142764673;
12'd3898: VAR2 = 76'd7821423522051142764673;
12'd3899: VAR2 = 76'd7821423522051142764673;
12'd3900: VAR2 = 76'd7821423522051142764673;
12'd3901: VAR2 = 76'd7821423522051142764673;
12'd3902: VAR2 = 76'd7821423522051142764673;
12'd3903: VAR2 = 76'd7821423522051142764673;
12'd3904: VAR2 = 76'd9227553297744240892571;
12'd3905: VAR2 = 76'd9227553297744240892571;
12'd3906: VAR2 = 76'd9227553297744240892571;
12'd3907: VAR2 = 76'd9227553297744240892571;
12'd3908: VAR2 = 76'd9227553297744240892571;
12'd3909: VAR2 = 76'd9227553297744240892571;
12'd3910: VAR2 = 76'd9227553297744240892571;
12'd3911: VAR2 = 76'd9227553297744240892571;
12'd3912: VAR2 = 76'd9227553297744240892571;
12'd3913: VAR2 = 76'd9227553297744240892571;
12'd3914: VAR2 = 76'd9227553297744240892571;
12'd3915: VAR2 = 76'd9227553297744240892571;
12'd3916: VAR2 = 76'd9227553297744240892571;
12'd3917: VAR2 = 76'd9227553297744240892571;
12'd3918: VAR2 = 76'd9227553297744240892571;
12'd3919: VAR2 = 76'd9227553297744240892571;
12'd3920: VAR2 = 76'd9227553297744240892571;
12'd3921: VAR2 = 76'd9227553297744240892571;
12'd3922: VAR2 = 76'd9227553297744240892571;
12'd3923: VAR2 = 76'd9227553297744240892571;
12'd3924: VAR2 = 76'd9227553297744240892571;
12'd3925: VAR2 = 76'd9227553297744240892571;
12'd3926: VAR2 = 76'd9227553297744240892571;
12'd3927: VAR2 = 76'd9227553297744240892571;
12'd3928: VAR2 = 76'd9227553297744240892571;
12'd3929: VAR2 = 76'd9227409042094092508314;
12'd3930: VAR2 = 76'd9227264926906554048154;
12'd3931: VAR2 = 76'd9153333694961567457433;
12'd3932: VAR2 = 76'd9153045464585685229720;
12'd3933: VAR2 = 76'd9079114373378186470040;
12'd3934: VAR2 = 76'd9078970258190380098199;
12'd3935: VAR2 = 76'd9005039166982613427350;
12'd3936: VAR2 = 76'd9004750936606730675349;
12'd3937: VAR2 = 76'd8930819845398964003988;
12'd3938: VAR2 = 76'd8930675730211157107860;
12'd3939: VAR2 = 76'd8856744779740878791827;
12'd3940: VAR2 = 76'd8782669573069889922194;
12'd3941: VAR2 = 76'd8782525458156960933009;
12'd3942: VAR2 = 76'd8708594507411536274576;
12'd3943: VAR2 = 76'd8634663416203500643471;
12'd3944: VAR2 = 76'd8634519441752914190990;
12'd3945: VAR2 = 76'd8560444375819413152397;
12'd3946: VAR2 = 76'd8486513425348866400396;
12'd3947: VAR2 = 76'd8412582333865952861835;
12'd3948: VAR2 = 76'd8412438359690244316298;
12'd3949: VAR2 = 76'd8338507408944551221897;
12'd3950: VAR2 = 76'd8264432483748538538120;
12'd3951: VAR2 = 76'd8190501533277723350663;
12'd3952: VAR2 = 76'd8116570582532029731974;
12'd3953: VAR2 = 76'd8042783746974411444869;
12'd3954: VAR2 = 76'd7968997052429159419524;
12'd3955: VAR2 = 76'd7895210216871541132419;
12'd3956: VAR2 = 76'd7821423522051142764673;
12'd3957: VAR2 = 76'd7821423522051142764673;
12'd3958: VAR2 = 76'd7821423522051142764673;
12'd3959: VAR2 = 76'd7821423522051142764673;
12'd3960: VAR2 = 76'd7821423522051142764673;
12'd3961: VAR2 = 76'd7821423522051142764673;
12'd3962: VAR2 = 76'd7821423522051142764673;
12'd3963: VAR2 = 76'd7821423522051142764673;
12'd3964: VAR2 = 76'd7821423522051142764673;
12'd3965: VAR2 = 76'd7821423522051142764673;
12'd3966: VAR2 = 76'd7821423522051142764673;
12'd3967: VAR2 = 76'd7821423522051142764673;
12'd3968: VAR2 = 76'd9227553297744240892571;
12'd3969: VAR2 = 76'd9227553297744240892571;
12'd3970: VAR2 = 76'd9227553297744240892571;
12'd3971: VAR2 = 76'd9227553297744240892571;
12'd3972: VAR2 = 76'd9227553297744240892571;
12'd3973: VAR2 = 76'd9227553297744240892571;
12'd3974: VAR2 = 76'd9227553297744240892571;
12'd3975: VAR2 = 76'd9227553297744240892571;
12'd3976: VAR2 = 76'd9227553297744240892571;
12'd3977: VAR2 = 76'd9227553297744240892571;
12'd3978: VAR2 = 76'd9227553297744240892571;
12'd3979: VAR2 = 76'd9227553297744240892571;
12'd3980: VAR2 = 76'd9227553297744240892571;
12'd3981: VAR2 = 76'd9227553297744240892571;
12'd3982: VAR2 = 76'd9227553297744240892571;
12'd3983: VAR2 = 76'd9227553297744240892571;
12'd3984: VAR2 = 76'd9227553297744240892571;
12'd3985: VAR2 = 76'd9227553297744240892571;
12'd3986: VAR2 = 76'd9227553297744240892571;
12'd3987: VAR2 = 76'd9227553297744240892571;
12'd3988: VAR2 = 76'd9227553297744240892571;
12'd3989: VAR2 = 76'd9227553297744240892571;
12'd3990: VAR2 = 76'd9227553297744240892571;
12'd3991: VAR2 = 76'd9227553297744240892571;
12'd3992: VAR2 = 76'd9227553297744240892571;
12'd3993: VAR2 = 76'd9227409042094092508314;
12'd3994: VAR2 = 76'd9227264926906554048154;
12'd3995: VAR2 = 76'd9153333694961567457433;
12'd3996: VAR2 = 76'd9153045464585685229720;
12'd3997: VAR2 = 76'd9079114373378186470040;
12'd3998: VAR2 = 76'd9078970258190380098199;
12'd3999: VAR2 = 76'd9005039166982613427350;
12'd4000: VAR2 = 76'd9004750936606730675349;
12'd4001: VAR2 = 76'd8930819845398964003988;
12'd4002: VAR2 = 76'd8930675730211157107860;
12'd4003: VAR2 = 76'd8856744779740878791827;
12'd4004: VAR2 = 76'd8782669573069889922194;
12'd4005: VAR2 = 76'd8782525458156960933009;
12'd4006: VAR2 = 76'd8708594507411536274576;
12'd4007: VAR2 = 76'd8634663416203500643471;
12'd4008: VAR2 = 76'd8634519441752914190990;
12'd4009: VAR2 = 76'd8560444375819413152397;
12'd4010: VAR2 = 76'd8486513425348866400396;
12'd4011: VAR2 = 76'd8412582333865952861835;
12'd4012: VAR2 = 76'd8412438359690244316298;
12'd4013: VAR2 = 76'd8338507408944551221897;
12'd4014: VAR2 = 76'd8264432483748538538120;
12'd4015: VAR2 = 76'd8190501533277723350663;
12'd4016: VAR2 = 76'd8116570582532029731974;
12'd4017: VAR2 = 76'd8042783746974411444869;
12'd4018: VAR2 = 76'd7968997052429159419524;
12'd4019: VAR2 = 76'd7895210216871541132419;
12'd4020: VAR2 = 76'd7821423522051142764673;
12'd4021: VAR2 = 76'd7821423522051142764673;
12'd4022: VAR2 = 76'd7821423522051142764673;
12'd4023: VAR2 = 76'd7821423522051142764673;
12'd4024: VAR2 = 76'd7821423522051142764673;
12'd4025: VAR2 = 76'd7821423522051142764673;
12'd4026: VAR2 = 76'd7821423522051142764673;
12'd4027: VAR2 = 76'd7821423522051142764673;
12'd4028: VAR2 = 76'd7821423522051142764673;
12'd4029: VAR2 = 76'd7821423522051142764673;
12'd4030: VAR2 = 76'd7821423522051142764673;
12'd4031: VAR2 = 76'd7821423522051142764673;
12'd4032: VAR2 = 76'd9227553297744240892571;
12'd4033: VAR2 = 76'd9227553297744240892571;
12'd4034: VAR2 = 76'd9227553297744240892571;
12'd4035: VAR2 = 76'd9227553297744240892571;
12'd4036: VAR2 = 76'd9227553297744240892571;
12'd4037: VAR2 = 76'd9227553297744240892571;
12'd4038: VAR2 = 76'd9227553297744240892571;
12'd4039: VAR2 = 76'd9227553297744240892571;
12'd4040: VAR2 = 76'd9227553297744240892571;
12'd4041: VAR2 = 76'd9227553297744240892571;
12'd4042: VAR2 = 76'd9227553297744240892571;
12'd4043: VAR2 = 76'd9227553297744240892571;
12'd4044: VAR2 = 76'd9227553297744240892571;
12'd4045: VAR2 = 76'd9227553297744240892571;
12'd4046: VAR2 = 76'd9227553297744240892571;
12'd4047: VAR2 = 76'd9227553297744240892571;
12'd4048: VAR2 = 76'd9227553297744240892571;
12'd4049: VAR2 = 76'd9227553297744240892571;
12'd4050: VAR2 = 76'd9227553297744240892571;
12'd4051: VAR2 = 76'd9227553297744240892571;
12'd4052: VAR2 = 76'd9227553297744240892571;
12'd4053: VAR2 = 76'd9227553297744240892571;
12'd4054: VAR2 = 76'd9227553297744240892571;
12'd4055: VAR2 = 76'd9227553297744240892571;
12'd4056: VAR2 = 76'd9227553297744240892571;
12'd4057: VAR2 = 76'd9227409042094092508314;
12'd4058: VAR2 = 76'd9227264926906554048154;
12'd4059: VAR2 = 76'd9153333694961567457433;
12'd4060: VAR2 = 76'd9153045464585685229720;
12'd4061: VAR2 = 76'd9079114373378186470040;
12'd4062: VAR2 = 76'd9078970258190380098199;
12'd4063: VAR2 = 76'd9005039166982613427350;
12'd4064: VAR2 = 76'd9004750936606730675349;
12'd4065: VAR2 = 76'd8930819845398964003988;
12'd4066: VAR2 = 76'd8930675730211157107860;
12'd4067: VAR2 = 76'd8856744779740878791827;
12'd4068: VAR2 = 76'd8782669573069889922194;
12'd4069: VAR2 = 76'd8782525458156960933009;
12'd4070: VAR2 = 76'd8708594507411536274576;
12'd4071: VAR2 = 76'd8634663416203500643471;
12'd4072: VAR2 = 76'd8634519441752914190990;
12'd4073: VAR2 = 76'd8560444375819413152397;
12'd4074: VAR2 = 76'd8486513425348866400396;
12'd4075: VAR2 = 76'd8412582333865952861835;
12'd4076: VAR2 = 76'd8412438359690244316298;
12'd4077: VAR2 = 76'd8338507408944551221897;
12'd4078: VAR2 = 76'd8264432483748538538120;
12'd4079: VAR2 = 76'd8190501533277723350663;
12'd4080: VAR2 = 76'd8116570582532029731974;
12'd4081: VAR2 = 76'd8042783746974411444869;
12'd4082: VAR2 = 76'd7968997052429159419524;
12'd4083: VAR2 = 76'd7895210216871541132419;
12'd4084: VAR2 = 76'd7821423522051142764673;
12'd4085: VAR2 = 76'd7821423522051142764673;
12'd4086: VAR2 = 76'd7821423522051142764673;
12'd4087: VAR2 = 76'd7821423522051142764673;
12'd4088: VAR2 = 76'd7821423522051142764673;
12'd4089: VAR2 = 76'd7821423522051142764673;
12'd4090: VAR2 = 76'd7821423522051142764673;
12'd4091: VAR2 = 76'd7821423522051142764673;
12'd4092: VAR2 = 76'd7821423522051142764673;
12'd4093: VAR2 = 76'd7821423522051142764673;
12'd4094: VAR2 = 76'd7821423522051142764673;
12'd4095: VAR2 = 76'd7821423522051142764673;
endcase
end
endmodule | gpl-3.0 |
manili/Pipelined_6502 | Effective_Address.v | 24,785 | module MODULE1(
VAR32,
VAR63,
VAR46,
VAR60,
VAR45,
VAR25,
VAR26,
VAR54,
VAR38,
VAR21,
VAR68,
VAR59,
VAR39,
VAR61,
VAR33,
VAR37,
VAR30,
VAR31,
VAR53,
VAR41
,VAR69
);
input wire VAR32;
input wire VAR63;
input wire VAR46;
input wire VAR60;
input wire [1:0] VAR45;
input wire [7:0] VAR25;
input wire [7:0] VAR26;
input wire [15:0] VAR54;
input wire [15:0] VAR38;
input wire [15:0] VAR21;
input wire [VAR56 - 1:0] VAR68;
input wire VAR59;
input wire [15:0] VAR39;
output reg VAR61;
output reg [1:0] VAR33;
output reg [VAR58 - 1:0] VAR37;
output reg [15:0] VAR30;
output reg [15:0] VAR31;
output reg [15:0] VAR53;
output wire [15:0] VAR41;
output wire [VAR13 - 1:0] VAR69;
wire [7:0] VAR44;
wire [7:0] VAR28;
wire [15:0] VAR67;
wire [15:0] VAR6;
wire [15:0] VAR62;
wire [15:0] VAR64;
wire [15:0] VAR14;
wire [15:0] VAR40;
reg [VAR58 - 1:0] VAR48;
reg [1:0] VAR19;
reg [1:0] VAR22;
reg [1:0] VAR12;
reg [5:0] VAR3;
reg [7:0] VAR23;
reg [50:0] VAR17;
assign VAR41 = VAR64;
assign VAR6 = VAR21 + {8'h0, VAR44};
assign VAR62 = VAR67 + {8'h0, VAR28};
assign VAR64 = (VAR9 == VAR42) ? VAR6 :
(VAR70 == VAR43) ? {8'h1, VAR23 + 8'h1} :
(VAR70 == VAR5) ? {8'h1, VAR23 + 8'h1} :
(VAR70 == VAR51) ? {8'h1, VAR23 + 8'h1} : {8'h1, VAR23};
assign VAR40 = (VAR9 == VAR42) ? VAR62 :
(VAR70 == VAR43) ? {8'h1, VAR23 + 8'h1} :
(VAR70 == VAR5) ? {8'h1, VAR23 + 8'h1} :
(VAR70 == VAR51) ? {8'h1, VAR23 + 8'h3} : {8'h1, VAR23};
assign VAR69 = VAR41;
VAR18 VAR66(VAR44, VAR52, VAR38[7:0], VAR25, VAR26, 8'h0);
VAR18 VAR4(VAR28, VAR55, VAR38[7:0], VAR25, VAR26, 8'h0);
VAR18 VAR16(VAR14[07:00], VAR22, VAR39[07:00], VAR38[7:0], VAR38[15:08], 8'h0);
VAR18 VAR36(VAR14[15:08], VAR12, VAR39[15:08], VAR38[7:0], VAR38[15:08], 8'h0);
VAR11 #(16) VAR2(VAR67, VAR35, VAR6, VAR14);
always @(posedge VAR32)
begin
if(VAR63 == 1'h1)
begin
VAR23 <= VAR34;
end
else if(VAR46 == 1'h1 || VAR59 == 1'h1)
begin
end
else if(VAR60 == 1'h1)
begin
end
else if(VAR33 != 2'h0)
begin
end
else if(VAR45 - 2'h1 != 2'h3)
begin
end
else
begin
case(VAR70)
default : VAR23 <= VAR23;
endcase
end
end
always @(posedge VAR32)
begin
if(VAR63 == 1'h1)
begin
VAR37 <= VAR58'h0;
VAR31 <= VAR47;
VAR61 <= 1'h0;
VAR22 <= 1'h0;
VAR12 <= 1'h0;
end
else if(VAR46 == 1'h1 || VAR59 == 1'h1)
begin
end
else if(VAR60 == 1'h1)
begin
VAR61 <= 1'h0;
end
else if(VAR33 != 2'h0)
begin
VAR19 <= VAR33 - 2'h1;
VAR37 <= VAR58'h0;
VAR17 <= VAR17 << 5'h11;
end
else if(VAR45 - 2'h1 != 2'h3)
begin
VAR37 <= VAR58'h0;
VAR17 <= VAR17 << 5'h11;
end
else
begin
if(VAR50 == 1'h1)
begin
VAR3 <= VAR3 << 2'h2;
VAR17 <= VAR17 << 5'h11;
(VAR70 == VAR27) ? {8'h1, VAR23 - 8'h1} :
(VAR70 == VAR71) ? {8'h1, VAR23 - 8'h2} : VAR40;
end
else
begin
VAR3 <= VAR3 << 2'h2;
VAR17 <= VAR17 << 5'h11;
end
VAR30 <= (VAR70 == VAR27 || VAR70 == VAR71) ? VAR54 + 16'h2 : VAR21;
VAR31 <= (VAR70 == VAR5 || VAR70 == VAR51) ? VAR39 : VAR40;
VAR53 <= (VAR70 == VAR29) ? {8'h1, VAR23} :
(VAR70 == VAR27) ? {8'h1, VAR23 - 8'h1} :
(VAR70 == VAR71) ? {8'h1, VAR23 - 8'h2} : VAR40;
VAR61 <= (VAR1 == 1'h1) ? 1'h1 : 1'h0;
VAR37 <= VAR48;
end
end
always @(*)
begin
if(VAR63 == 1'h1)
begin
VAR33 = 2'h0;
end
else if(VAR33 != 2'h0)
begin
VAR33 = VAR19;
end
else if(VAR35 == VAR8)
begin
if(VAR33 == 2'h0 && VAR15 == 1'h1)
begin
case(VAR20)
if(
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
||
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
||
||
||
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
if(VAR33 == 2'h0 && VAR24 == 1'h1)
begin
case(VAR65)
if(
||
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
if(
||
||
||
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
if(
||
||
||
||
||
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
if(VAR33 == 2'h0 && VAR7 == 1'h1)
begin
case(VAR49)
if(
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
||
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
||
||
||
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
if(VAR33 == 2'h0 && VAR15 == 1'h1)
begin
case(VAR20)
if(
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
if(VAR33 == 2'h0 && VAR24 == 1'h1)
begin
case(VAR65)
if(
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
if(
||
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
if(
||
||
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
if(VAR33 == 2'h0 && VAR7 == 1'h1)
begin
case(VAR49)
if(
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
end
else
begin
end
if(VAR63 == 1'h1)
begin
VAR48 = VAR58'h0;
end
else if(VAR57 == VAR10)
begin
if(VAR33 == 2'h0 && VAR7 == 1'h1)
begin
case(VAR49)
if(
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
if(
||
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
if(
||
||
)
begin
VAR33 = 2'h2;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
if(VAR33 == 2'h0 && VAR24 == 1'h1)
begin
case(VAR65)
if(
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
if(
||
||
)
begin
VAR33 = 2'h1;
end
else
begin
end
end
default : begin
end
endcase
end
else
begin
end
if(VAR33 == 2'h0 && VAR15 == 1'h1)
begin
case(VAR20)
if(
)
begin
VAR48 = VAR68[VAR58 - 1:0];
end
else
begin
VAR48 = VAR68[VAR58 - 1:0];
end
end
if(
||
)
begin
VAR48 = VAR68[VAR58 - 1:0];
end
else
begin
VAR48 = VAR68[VAR58 - 1:0];
end
end
if(
||
||
)
begin
VAR48 = VAR68[VAR58 - 1:0];
end
else
begin
VAR48 = VAR68[VAR58 - 1:0];
end
end
default : begin
end
endcase
end
else if(VAR33 == 2'h0)
begin
VAR48 = VAR68[VAR58 - 1:0];
end
else
begin
end
end
else
begin
VAR48 = VAR68[VAR58 - 1:0];
end
end
endmodule | gpl-3.0 |
ShepardSiegel/ocpi | libsrc/hdl/bsv/TriState.v | 1,625 | module MODULE1
(
VAR5,
VAR3,
VAR4, VAR1
);
parameter VAR2 = 1;
input VAR4;
input [VAR2-1:0] VAR1;
output [VAR2-1:0] VAR5;
inout [VAR2-1:0] VAR3;
assign VAR3 = (VAR4) ? VAR1 : { VAR2 { 1'VAR6 } };
assign VAR5 = VAR3;
endmodule | lgpl-3.0 |
os-cillation/easyfpga-soc | easy_cores/spi/fifo4.v | 4,345 | module MODULE1(clk, rst, VAR8, din, VAR6, dout, VAR5, VAR4, VAR3);
parameter VAR10 = 8;
input clk, rst;
input VAR8;
input [VAR10:1] din;
input VAR6; output [VAR10:1] dout;
input VAR5; output VAR4, VAR3;
reg [VAR10:1] VAR2[0:3];
reg [1:0] VAR12; reg [1:0] VAR1; wire [1:0] VAR7; wire [1:0] VAR11; wire VAR4, VAR3;
reg VAR9;
always @(posedge clk or negedge rst)
if(!rst) VAR12 <= 2'h0;
else
if(VAR8) VAR12 <= 2'h0;
else
if(VAR6) VAR12 <= VAR7;
assign VAR7 = VAR12 + 2'h1;
always @(posedge clk or negedge rst)
if(!rst) VAR1 <= 2'h0;
else
if(VAR8) VAR1 <= 2'h0;
else
if(VAR5) VAR1 <= VAR11;
assign VAR11 = VAR1 + 2'h1;
assign dout = VAR2[ VAR1 ];
always @(posedge clk)
if(VAR6) VAR2[ VAR12 ] <= din;
assign VAR3 = (VAR12 == VAR1) & !VAR9;
assign VAR4 = (VAR12 == VAR1) & VAR9;
always @(posedge clk)
if(!rst) VAR9 <= 1'b0;
else
if(VAR8) VAR9 <= 1'b0;
else
if((VAR7 == VAR1) & VAR6) VAR9 <= 1'b1;
else
if(VAR5) VAR9 <= 1'b0;
endmodule | gpl-3.0 |
jameshegarty/rigel | platform/verilator/RAMB16_S36_S36.v | 3,540 | module MODULE1(
input VAR4,
input VAR58,
input VAR70,
input VAR25,
input [8:0] VAR26,
input [31:0] VAR49,
input [3:0] VAR38,
output [31:0] VAR47,
input VAR21,
input VAR3,
input VAR60,
input VAR66,
input [8:0] VAR48,
input [31:0] VAR2,
input [3:0] VAR65,
output [31:0] VAR83);
parameter VAR16 = "VAR11";
parameter VAR37 = "VAR11";
parameter VAR36=256'd0;
parameter VAR5=256'd0;
parameter VAR9=256'd0;
parameter VAR64=256'd0;
parameter VAR71=256'd0;
parameter VAR53=256'd0;
parameter VAR44=256'd0;
parameter VAR82=256'd0;
parameter VAR14=256'd0;
parameter VAR33=256'd0;
parameter VAR78=256'd0;
parameter VAR52=256'd0;
parameter VAR39=256'd0;
parameter VAR57=256'd0;
parameter VAR62=256'd0;
parameter VAR17=256'd0;
parameter VAR19=256'd0;
parameter VAR68=256'd0;
parameter VAR24=256'd0;
parameter VAR80=256'd0;
parameter VAR15=256'd0;
parameter VAR77=256'd0;
parameter VAR28=256'd0;
parameter VAR69=256'd0;
parameter VAR22=256'd0;
parameter VAR1=256'd0;
parameter VAR74=256'd0;
parameter VAR55=256'd0;
parameter VAR6=256'd0;
parameter VAR10=256'd0;
parameter VAR40=256'd0;
parameter VAR46=256'd0;
parameter VAR79=256'd0;
parameter VAR12=256'd0;
parameter VAR61=256'd0;
parameter VAR42=256'd0;
parameter VAR18=256'd0;
parameter VAR41=256'd0;
parameter VAR56=256'd0;
parameter VAR35=256'd0;
parameter VAR29=256'd0;
parameter VAR75=256'd0;
parameter VAR13=256'd0;
parameter VAR27=256'd0;
parameter VAR59=256'd0;
parameter VAR51=256'd0;
parameter VAR54=256'd0;
parameter VAR8=256'd0;
parameter VAR32=256'd0;
parameter VAR45=256'd0;
parameter VAR43=256'd0;
parameter VAR20=256'd0;
parameter VAR67=256'd0;
parameter VAR81=256'd0;
parameter VAR31=256'd0;
parameter VAR84=256'd0;
parameter VAR86=256'd0;
parameter VAR76=256'd0;
parameter VAR7=256'd0;
parameter VAR63=256'd0;
parameter VAR72=256'd0;
parameter VAR30=256'd0;
parameter VAR50=256'd0;
parameter VAR23=256'd0;
VAR34 #(.VAR16(VAR16),.VAR37(VAR37),.VAR85(32),.VAR36(VAR36),.VAR5(VAR5),.VAR9(VAR9),.VAR64(VAR64),.VAR71(VAR71),.VAR53(VAR53),.VAR44(VAR44),.VAR82(VAR82),.VAR14(VAR14),.VAR33(VAR33),.VAR78(VAR78),.VAR52(VAR52),.VAR39(VAR39),.VAR57(VAR57),.VAR62(VAR62),.VAR17(VAR17),.VAR19(VAR19),.VAR68(VAR68),.VAR24(VAR24),.VAR80(VAR80),.VAR15(VAR15),.VAR77(VAR77),.VAR28(VAR28),.VAR69(VAR69),.VAR22(VAR22),.VAR1(VAR1),.VAR74(VAR74),.VAR55(VAR55),.VAR6(VAR6),.VAR10(VAR10),.VAR40(VAR40),.VAR46(VAR46),.VAR79(VAR79),.VAR12(VAR12),.VAR61(VAR61),.VAR42(VAR42),.VAR18(VAR18),.VAR41(VAR41),.VAR56(VAR56),.VAR35(VAR35),.VAR29(VAR29),.VAR75(VAR75),.VAR13(VAR13),.VAR27(VAR27),.VAR59(VAR59),.VAR51(VAR51),.VAR54(VAR54),.VAR8(VAR8),.VAR32(VAR32),.VAR45(VAR45),.VAR43(VAR43),.VAR20(VAR20),.VAR67(VAR67),.VAR81(VAR81),.VAR31(VAR31),.VAR84(VAR84),.VAR86(VAR86),.VAR76(VAR76),.VAR7(VAR7),.VAR63(VAR63),.VAR72(VAR72),.VAR30(VAR30),.VAR50(VAR50),.VAR23(VAR23)) VAR73(.VAR4(VAR4),.VAR58(VAR58),.VAR70(VAR70),.VAR25(VAR25),.VAR26(VAR26),.VAR49(VAR49),.VAR38(VAR38),.VAR47(VAR47),.VAR21(VAR21),.VAR3(VAR3),.VAR60(VAR60),.VAR66(VAR66),.VAR48(VAR48),.VAR2(VAR2),.VAR65(VAR65),.VAR83(VAR83));
endmodule | mit |
CospanDesign/python | game/panda/panda_path/example_project/rtl/bus/interconnect/wishbone_mem_interconnect.v | 3,386 | module MODULE1 (
input clk,
input rst,
input VAR21,
input VAR22,
input VAR1,
input [3:0] VAR2,
input [31:0] VAR17,
input [31:0] VAR14,
output reg [31:0] VAR4,
output reg VAR10,
output reg VAR3,
output VAR12,
output VAR15,
output VAR11,
output [3:0] VAR16,
input VAR9,
output [31:0] VAR20,
input [31:0] VAR8,
output [31:0] VAR6,
input VAR5
);
parameter VAR7 = 0;
parameter VAR13 = 32'h00000000;
parameter VAR18 = 32'h800000;
reg [31:0] VAR19;
always @(rst or VAR17 or VAR19) begin
if (rst) begin
VAR19 <= 32'hFFFFFFFF;
end
else begin
if ((VAR17 >= VAR13) && (VAR17 < (VAR13 + VAR18))) begin
VAR19 <= VAR7;
end
else begin
VAR19 <= 32'hFFFFFFFF;
end
end
end
always @ (VAR19 or VAR8) begin
case (VAR19)
VAR7: begin
VAR4 <= VAR8;
end
default: begin
VAR4 <= 32'h0000;
end
endcase
end
always @ (VAR19 or VAR9) begin
case (VAR19)
VAR7: begin
VAR10 <= VAR9;
end
default: begin
VAR10 <= 1'h0;
end
endcase
end
always @ (VAR19 or VAR5) begin
case (VAR19)
VAR7: begin
VAR3 <= VAR5;
end
default: begin
VAR3 <= 1'h0;
end
endcase
end
assign VAR12 = (VAR19 == VAR7) ? VAR21: 1'b0;
assign VAR11 = (VAR19 == VAR7) ? VAR22: 1'b0;
assign VAR16 = (VAR19 == VAR7) ? VAR2: 4'b0;
assign VAR15 = (VAR19 == VAR7) ? VAR1: 1'b0;
assign VAR6 = (VAR19 == VAR7) ? VAR17: 32'h0;
assign VAR20 = (VAR19 == VAR7) ? VAR14: 32'h0;
endmodule | mit |
lfmunoz/vhdl | ip_blocks/axi_to_stellarip/vivado_prj/vivado_prj.srcs/sources_1/ip/axi_traffic_gen_0/axi_traffic_gen_v2_0/hdl/src/verilog/axi_traffic_gen_v2_0_systeminit_dmg.v | 7,078 | module MODULE1
parameter VAR46 = "VAR25" ,
parameter VAR11 = 4 , parameter VAR52 = 16 , parameter VAR24 = "VAR9.VAR33"
) (
input [VAR11-1 : 0] VAR55 ,
input clk ,
input VAR48 ,
output [31 : 0] VAR51
);
VAR30 #(
.VAR39 (VAR11 ),
.VAR13 ("0" ),
.VAR54 (VAR52),
.VAR46 (VAR46 ),
.VAR37 (1 ),
.VAR41 (0 ),
.VAR31 (0 ),
.VAR21 (0 ),
.VAR3 (0 ),
.VAR15 (0 ),
.VAR10 (0 ),
.VAR45 (0 ),
.VAR35 (0 ),
.VAR38 (0 ),
.VAR7 (1 ),
.VAR22 (0 ),
.VAR14 (0 ),
.VAR17 (1 ),
.VAR4 (0 ),
.VAR16 (0 ),
.VAR12 (VAR24 ),
.VAR19 (0 ),
.VAR49 (1 ),
.VAR32(0 ),
.VAR5 (0 ),
.VAR20 (0 ),
.VAR53 (1 ),
.VAR47 (0 ),
.VAR36 (0 ),
.VAR27 (1 ),
.VAR50 (32 )
)
VAR44 (
.VAR55 (VAR55 ),
.clk (clk ),
.VAR48(VAR48 ),
.VAR51 (VAR51 ),
.VAR2 (32'h0 ),
.VAR8 ({VAR11{1'b0}}),
.VAR34 (1'b0 ),
.VAR23 (1'b0 ),
.VAR18 (1'b0 ),
.VAR26 (1'b0 ),
.VAR6 (1'b0 ),
.VAR1 (1'b0 ),
.VAR40 (1'b0 ),
.VAR43(1'b0 ),
.VAR28 ( ),
.VAR29 ( ),
.VAR42 ( )
);
endmodule | mit |
XCopter-HSU/XCopter | documentations/Bumblebee_Documentation/SoPC/NIOS_MCAPI_Base_v07/soc_system/synthesis/submodules/soc_system_cpu_s0_jtag_debug_module_sysclk.v | 6,946 | module MODULE1 (
clk,
VAR33,
VAR6,
VAR16,
VAR12,
VAR15,
VAR3,
VAR25,
VAR2,
VAR8,
VAR28,
VAR5,
VAR9,
VAR30,
VAR20,
VAR31,
VAR23,
VAR24,
VAR21
)
;
output [ 37: 0] VAR15;
output VAR3;
output VAR25;
output VAR2;
output VAR8;
output VAR28;
output VAR5;
output VAR9;
output VAR30;
output VAR20;
output VAR31;
output VAR23;
output VAR24;
output VAR21;
input clk;
input [ 1: 0] VAR33;
input [ 37: 0] VAR6;
input VAR16;
input VAR12;
reg VAR26 ;
reg [ 1: 0] VAR13 ;
reg [ 37: 0] VAR15 ;
reg VAR17 ;
reg VAR11 ;
reg VAR10 ;
wire VAR22;
wire VAR19;
wire VAR3;
wire VAR25;
wire VAR2;
wire VAR8;
wire VAR28;
wire VAR5;
wire VAR9;
wire VAR30;
wire VAR20;
wire VAR31;
wire VAR23;
wire VAR24;
wire VAR21;
wire VAR32;
wire VAR7;
reg VAR18 ;
assign VAR32 = 1'b1;
VAR4 VAR29
(
.clk (clk),
.din (VAR16),
.dout (VAR22),
.VAR14 (VAR32)
);
assign VAR7 = 1'b1;
VAR4 VAR1
(
.clk (clk),
.din (VAR12),
.dout (VAR19),
.VAR14 (VAR7)
);
always @(posedge clk)
begin
VAR11 <= VAR22;
VAR18 <= VAR22 & ~VAR11;
VAR26 <= VAR18;
VAR10 <= VAR19;
VAR17 <= VAR19 & ~VAR10;
end
assign VAR8 = VAR26 && (VAR13 == 2'b00) &&
~VAR15[35] && VAR15[34];
assign VAR24 = VAR26 && (VAR13 == 2'b00) &&
~VAR15[35] && ~VAR15[34];
assign VAR28 = VAR26 && (VAR13 == 2'b00) &&
VAR15[35];
assign VAR9 = VAR26 && (VAR13 == 2'b01) &&
~VAR15[37] &&
VAR15[36];
assign VAR21 = VAR26 && (VAR13 == 2'b01) &&
~VAR15[37] &&
~VAR15[36];
assign VAR30 = VAR26 && (VAR13 == 2'b01) &&
VAR15[37];
assign VAR3 = VAR26 && (VAR13 == 2'b10) &&
~VAR15[36] &&
VAR15[37];
assign VAR20 = VAR26 && (VAR13 == 2'b10) &&
~VAR15[36] &&
~VAR15[37];
assign VAR25 = VAR26 && (VAR13 == 2'b10) &&
VAR15[36] && ~VAR15[35] &&
VAR15[37];
assign VAR31 = VAR26 && (VAR13 == 2'b10) &&
VAR15[36] && ~VAR15[35] &&
~VAR15[37];
assign VAR2 = VAR26 && (VAR13 == 2'b10) &&
VAR15[36] && VAR15[35] &&
VAR15[37];
assign VAR23 = VAR26 && (VAR13 == 2'b10) &&
VAR15[36] && VAR15[35] &&
~VAR15[37];
assign VAR5 = VAR26 && (VAR13 == 2'b11) &&
VAR15[15];
always @(posedge clk)
begin
if (VAR17)
VAR13 <= VAR33;
if (VAR18)
VAR15 <= VAR6;
end
endmodule | gpl-2.0 |
Separius/DigitalLogicDesign-FixedPoint-LnComputation | CA6_Quartus/frac_rom_bb.v | 4,967 | module MODULE1 (
address,
VAR2,
VAR1);
input [3:0] address;
input VAR2;
output [7:0] VAR1;
tri1 VAR2;
endmodule | gpl-3.0 |
olgirard/openmsp430 | core/synthesis/altera/src/megawizard/arriagx_dmem.v | 7,448 | module MODULE1 (
address,
VAR7,
VAR4,
VAR10,
VAR47,
VAR41,
VAR8);
input [9:0] address;
input [1:0] VAR7;
input VAR4;
input VAR10;
input [15:0] VAR47;
input VAR41;
output [15:0] VAR8;
tri1 [1:0] VAR7;
tri1 VAR4;
tri1 VAR10;
wire [15:0] VAR55;
wire [15:0] VAR8 = VAR55[15:0];
VAR30 VAR27 (
.VAR29 (VAR4),
.VAR6 (VAR41),
.VAR15 (VAR10),
.VAR46 (VAR7),
.VAR9 (address),
.VAR21 (VAR47),
.VAR13 (VAR55),
.VAR54 (1'b0),
.VAR44 (1'b0),
.VAR50 (1'b1),
.VAR23 (1'b0),
.VAR11 (1'b0),
.VAR39 (1'b1),
.VAR5 (1'b1),
.VAR45 (1'b1),
.VAR31 (1'b1),
.VAR35 (1'b1),
.VAR53 (1'b1),
.VAR12 (),
.VAR18 (),
.VAR52 (1'b1),
.VAR32 (1'b1),
.VAR49 (1'b0));
VAR27.VAR24 = 8,
VAR27.VAR38 = "VAR17",
VAR27.VAR26 = "VAR19",
VAR27.VAR43 = "VAR20 VAR37",
VAR27.VAR36 = "VAR1=VAR40",
VAR27.VAR33 = "VAR30",
VAR27.VAR42 = 1024,
VAR27.VAR14 = "VAR51",
VAR27.VAR34 = "VAR22",
VAR27.VAR2 = "VAR56",
VAR27.VAR48 = "VAR16",
VAR27.VAR25 = 10,
VAR27.VAR3 = 16,
VAR27.VAR28 = 2;
endmodule | bsd-3-clause |
kyzhai/NUNY | src/hardware/pizza.v | 6,352 | module MODULE1 (
address,
VAR45,
VAR11);
input [11:0] address;
input VAR45;
output [11:0] VAR11;
tri1 VAR45;
wire [11:0] VAR29;
wire [11:0] VAR11 = VAR29[11:0];
VAR34 VAR47 (
.VAR2 (address),
.VAR28 (VAR45),
.VAR22 (VAR29),
.VAR3 (1'b0),
.VAR52 (1'b0),
.VAR33 (1'b1),
.VAR13 (1'b0),
.VAR19 (1'b0),
.VAR27 (1'b1),
.VAR38 (1'b1),
.VAR12 (1'b1),
.VAR7 (1'b1),
.VAR14 (1'b1),
.VAR15 (1'b1),
.VAR5 (1'b1),
.VAR17 ({12{1'b1}}),
.VAR10 (1'b1),
.VAR1 (),
.VAR24 (),
.VAR9 (1'b1),
.VAR50 (1'b1),
.VAR18 (1'b0),
.VAR51 (1'b0));
VAR47.VAR40 = "VAR8",
VAR47.VAR43 = "VAR48",
VAR47.VAR20 = "VAR48",
VAR47.VAR6 = "./VAR21/MODULE1.VAR49",
VAR47.VAR32 = "VAR36 VAR37",
VAR47.VAR41 = "VAR4=VAR46",
VAR47.VAR44 = "VAR34",
VAR47.VAR16 = 4096,
VAR47.VAR35 = "VAR42",
VAR47.VAR26 = "VAR8",
VAR47.VAR23 = "VAR25",
VAR47.VAR30 = 12,
VAR47.VAR31 = 12,
VAR47.VAR39 = 1;
endmodule | gpl-2.0 |
ShepardSiegel/ocpi | coregen/pcie_4243_axi_v6_gtx_x4_250/source/axi_basic_rx.v | 8,365 | module MODULE1 #(
parameter VAR34 = 128, parameter VAR16 = "VAR21", parameter VAR24 = "VAR10", parameter VAR23 = "VAR10", parameter VAR22 = 1,
parameter VAR18 = (VAR34 == 128) ? 2 : 1, parameter VAR1 = VAR34 / 8 ) (
output [VAR34-1:0] VAR15, output VAR26, input VAR30, output [VAR1-1:0] VAR25, output VAR28, output [21:0] VAR37,
input [VAR34-1:0] VAR2, input VAR8, input VAR31, input VAR32, output VAR36, input VAR19, input [VAR18-1:0] VAR35, input VAR33, input [6:0] VAR11, input VAR17,
output [2:0] VAR13, input VAR4, input VAR7 );
wire VAR5;
wire VAR12;
wire [VAR1-1:0] VAR9;
wire VAR20;
wire [4:0] VAR3;
VAR27 #(
.VAR34( VAR34 ),
.VAR16( VAR16 ),
.VAR22( VAR22 ),
.VAR18( VAR18 ),
.VAR1( VAR1 )
) VAR29 (
.VAR15( VAR15 ),
.VAR26( VAR26 ),
.VAR30( VAR30 ),
.VAR25( VAR25 ),
.VAR28( VAR28 ),
.VAR37( VAR37 ),
.VAR2( VAR2 ),
.VAR8( VAR8 ),
.VAR31( VAR31 ),
.VAR32( VAR32 ),
.VAR36( VAR36 ),
.VAR19( VAR19 ),
.VAR35( VAR35 ),
.VAR33( VAR33 ),
.VAR11( VAR11 ),
.VAR17( VAR17 ),
.VAR5( VAR5 ),
.VAR12( VAR12 ),
.VAR9( VAR9 ),
.VAR20( VAR20 ),
.VAR3( VAR3 ),
.VAR13( VAR13 ),
.VAR4( VAR4 ),
.VAR7( VAR7 )
);
VAR6 #(
.VAR34( VAR34 ),
.VAR22( VAR22 ),
.VAR1( VAR1 )
) VAR14 (
.VAR15( VAR15 ),
.VAR26( VAR26 ),
.VAR30( VAR30 ),
.VAR28( VAR28 ),
.VAR37( VAR37 ),
.VAR5( VAR5 ),
.VAR12( VAR12 ),
.VAR9( VAR9 ),
.VAR20( VAR20 ),
.VAR3( VAR3 ),
.VAR4( VAR4 ),
.VAR7( VAR7 )
);
endmodule | lgpl-3.0 |
freecores/eco32 | fpga/src/rom/rom.v | 2,755 | module MODULE1(clk, reset,
en, wr, VAR5, addr,
VAR8, VAR4,
VAR11, VAR7, VAR1, VAR6, VAR9, VAR2, VAR10);
input clk;
input reset;
input en;
input wr;
input [1:0] VAR5;
input [20:0] addr;
output reg [31:0] VAR8;
output reg VAR4;
output VAR11;
output VAR7;
output VAR1;
output VAR6;
output VAR9;
output [19:0] VAR2;
input [15:0] VAR10;
reg [3:0] state;
reg VAR3;
assign VAR11 = 0;
assign VAR7 = 0;
assign VAR1 = 1;
assign VAR6 = 1;
assign VAR9 = 1;
assign VAR2[19:1] = addr[20:2];
assign VAR2[0] = VAR3;
always @(posedge clk) begin
if (reset == 1) begin
state <= 0;
VAR4 <= 1;
end else begin
if (state == 0) begin
if (en == 1 && wr == 0) begin
state <= 1;
if (VAR5[1] == 1) begin
VAR3 <= 0;
end else begin
VAR3 <= addr[1];
end
end
end else
if (state == 6) begin
if (VAR5[1] == 1) begin
VAR8[31:24] <= VAR10[7:0];
VAR8[23:16] <= VAR10[15:8];
state <= 7;
VAR3 <= 1;
end else begin
VAR8[31:16] <= 16'h0000;
if (VAR5[0] == 1) begin
VAR8[15:8] <= VAR10[7:0];
VAR8[7:0] <= VAR10[15:8];
end else begin
VAR8[15:8] <= 8'h00;
if (addr[0] == 0) begin
VAR8[7:0] <= VAR10[7:0];
end else begin
VAR8[7:0] <= VAR10[15:8];
end
end
state <= 13;
VAR4 <= 0;
end
end else
if (state == 12) begin
VAR8[15:8] <= VAR10[7:0];
VAR8[7:0] <= VAR10[15:8];
state <= 13;
VAR4 <= 0;
end else
if (state == 13) begin
VAR4 <= 1;
state <= 0;
end else begin
state <= state + 1;
end
end
end
endmodule | bsd-2-clause |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/oai221/gf180mcu_fd_sc_mcu9t5v0__oai221_1.functional.pp.v | 1,702 | module MODULE1( VAR18, VAR4, VAR10, VAR2, VAR1, VAR11, VAR17, VAR5 );
input VAR11, VAR1, VAR4, VAR18, VAR2;
inout VAR17, VAR5;
output VAR10;
wire VAR21;
not VAR13( VAR21, VAR11 );
wire VAR6;
not VAR20( VAR6, VAR1 );
wire VAR8;
and VAR14( VAR8, VAR21, VAR6 );
wire VAR16;
not VAR23( VAR16, VAR4 );
wire VAR7;
not VAR19( VAR7, VAR18 );
wire VAR15;
and VAR9( VAR15, VAR16, VAR7 );
wire VAR22;
not VAR12( VAR22, VAR2 );
or VAR3( VAR10, VAR8, VAR15, VAR22 );
endmodule | apache-2.0 |
ptracton/pmodacl2 | soc/xilinx/RAM32M.v | 13,611 | module MODULE1 #(
parameter VAR22 = "VAR3",
parameter [63:0] VAR33 = 64'h0000000000000000,
parameter [63:0] VAR10 = 64'h0000000000000000,
parameter [63:0] VAR47 = 64'h0000000000000000,
parameter [63:0] VAR26 = 64'h0000000000000000,
parameter [0:0] VAR42 = 1'b0
)(
output [1:0] VAR44,
output [1:0] VAR38,
output [1:0] VAR40,
output [1:0] VAR30,
input [4:0] VAR5,
input [4:0] VAR32,
input [4:0] VAR46,
input [4:0] VAR2,
input [1:0] VAR41,
input [1:0] VAR34,
input [1:0] VAR15,
input [1:0] VAR37,
input VAR1,
input VAR11
);
localparam VAR29 = "MODULE1";
reg VAR12 = 1'b0;
reg VAR39 = 1'b1;
reg VAR39 = 1'b0;
reg VAR36 = 1'b0;
wire VAR18;
wire [4:0] VAR17;
wire [1:0] VAR27;
wire [1:0] VAR7;
wire [1:0] VAR35;
wire [1:0] VAR45;
wire VAR4;
wire VAR16;
wire [4:0] VAR6;
wire [1:0] VAR21;
wire [1:0] VAR20;
wire [1:0] VAR19;
wire [1:0] VAR23;
wire VAR43;
wire VAR25;
reg VAR13;
wire VAR14;
wire VAR31;
wire VAR8;
wire VAR9;
assign VAR17 = VAR6;
assign VAR27 = VAR21;
assign VAR7 = VAR20;
assign VAR35 = VAR19;
assign VAR45 = VAR23;
assign VAR4 = VAR43 ^ VAR18;
assign VAR16 = (VAR11 === 1'VAR24) || VAR25; else
assign VAR17 = VAR2;
assign VAR27 = VAR41;
assign VAR7 = VAR34;
assign VAR35 = VAR15;
assign VAR45 = VAR37;
assign VAR4 = VAR1 ^ VAR18;
assign VAR16 = (VAR11 === 1'VAR24) || VAR11; VAR28
assign VAR18 = VAR42; | mit |
amrmorsey/Digital-Design-Project | DES_main.v | 2,237 | module MODULE1(
clk,
rst,
select,
VAR52,
VAR4,
VAR10
);
input clk;
input rst;
input select;
input [64:1] VAR4;
output [64:1] VAR10;
input [64:1] VAR52;
wire [32:1] VAR68, VAR71;
VAR63 VAR56(VAR52, VAR71, VAR68,select);
wire [48:1] VAR28,VAR13,VAR2,VAR24,VAR36,VAR27,VAR8,VAR60,VAR49,VAR7,VAR54,VAR32,VAR74,VAR29,VAR47,VAR23;
VAR1 VAR53(select, VAR4,VAR28,VAR13,VAR2,VAR24,VAR36,VAR27,VAR8,VAR60,VAR49,VAR7,VAR54,VAR32,VAR74,VAR29,VAR47,VAR23);
wire [32:1] VAR12,VAR58;
VAR15 VAR43 (clk,rst,VAR71,VAR68,VAR28,VAR12,VAR58);
wire [32:1] VAR22,VAR48;
VAR15 VAR41 (clk,rst,VAR12,VAR58,VAR13,VAR22,VAR48);
wire [32:1] VAR44,VAR62;
VAR15 VAR50 (clk,rst,VAR22,VAR48,VAR2,VAR44,VAR62);
wire [32:1] VAR64,VAR66;
VAR15 VAR9 (clk,rst,VAR44,VAR62,VAR24,VAR64,VAR66);
wire [32:1] VAR34,VAR6;
VAR15 VAR42 (clk,rst,VAR64,VAR66,VAR36,VAR34,VAR6);
wire [32:1] VAR5,VAR18;
VAR15 VAR46 (clk,rst,VAR34,VAR6,VAR27,VAR5,VAR18);
wire [32:1] VAR17,VAR45;
VAR15 VAR57 (clk,rst,VAR5,VAR18,VAR8,VAR17,VAR45);
wire [32:1] VAR21,VAR61;
VAR15 VAR73 (clk,rst,VAR17,VAR45,VAR60,VAR21,VAR61);
wire [32:1] VAR26,VAR75;
VAR15 VAR59 (clk,rst,VAR21,VAR61,VAR49,VAR26,VAR75);
wire [32:1] VAR25,VAR65;
VAR15 VAR39 (clk,rst,VAR26,VAR75,VAR7,VAR25,VAR65);
wire [32:1] VAR55,VAR72;
VAR15 VAR38 (clk,rst,VAR25,VAR65,VAR54,VAR55,VAR72);
wire [32:1] VAR20,VAR11;
VAR15 VAR35 (clk,rst,VAR55,VAR72,VAR32,VAR20,VAR11);
wire [32:1] VAR51,VAR40;
VAR15 VAR69 (clk,rst,VAR20,VAR11,VAR74,VAR51,VAR40);
wire [32:1] VAR30,VAR31;
VAR15 VAR33 (clk,rst,VAR51,VAR40,VAR29,VAR30,VAR31);
wire [32:1] VAR76,VAR3;
VAR15 VAR67 (clk,rst,VAR30,VAR31,VAR47,VAR76,VAR3);
wire [32:1] VAR70,VAR37;
VAR15 VAR16 (clk,rst,VAR76,VAR3,VAR23,VAR70,VAR37);
VAR19 VAR14 (VAR70,VAR37, VAR10);
endmodule | gpl-2.0 |
chris-wood/yield | sdsoc/hash/SDDebug/_sds/p0/ipi/zc702.srcs/sources_1/bd/zc702/ip/zc702_s00_regslice_0/synth/zc702_s00_regslice_0.v | 15,525 | module MODULE1 (
VAR60,
VAR98,
VAR92,
VAR41,
VAR66,
VAR79,
VAR86,
VAR13,
VAR100,
VAR17,
VAR46,
VAR1,
VAR42,
VAR62,
VAR9,
VAR70,
VAR28,
VAR112,
VAR54,
VAR36,
VAR63,
VAR61,
VAR49,
VAR35,
VAR57,
VAR109,
VAR8,
VAR93,
VAR99,
VAR53,
VAR96,
VAR7,
VAR102,
VAR29,
VAR78,
VAR43,
VAR68,
VAR12,
VAR22,
VAR55,
VAR77,
VAR94,
VAR20,
VAR75,
VAR25,
VAR76,
VAR59,
VAR31,
VAR71,
VAR108,
VAR65,
VAR24,
VAR37,
VAR27,
VAR103,
VAR64,
VAR47,
VAR18,
VAR101,
VAR67,
VAR73,
VAR23,
VAR51,
VAR97,
VAR33,
VAR87,
VAR44,
VAR58,
VAR104,
VAR106,
VAR83,
VAR72,
VAR111,
VAR2,
VAR91,
VAR21,
VAR84,
VAR52
);
input wire VAR60;
input wire VAR98;
input wire [11 : 0] VAR92;
input wire [31 : 0] VAR41;
input wire [3 : 0] VAR66;
input wire [2 : 0] VAR79;
input wire [1 : 0] VAR86;
input wire [1 : 0] VAR13;
input wire [3 : 0] VAR100;
input wire [2 : 0] VAR17;
input wire [3 : 0] VAR46;
input wire VAR1;
output wire VAR42;
input wire [11 : 0] VAR62;
input wire [31 : 0] VAR9;
input wire [3 : 0] VAR70;
input wire VAR28;
input wire VAR112;
output wire VAR54;
output wire [11 : 0] VAR36;
output wire [1 : 0] VAR63;
output wire VAR61;
input wire VAR49;
input wire [11 : 0] VAR35;
input wire [31 : 0] VAR57;
input wire [3 : 0] VAR109;
input wire [2 : 0] VAR8;
input wire [1 : 0] VAR93;
input wire [1 : 0] VAR99;
input wire [3 : 0] VAR53;
input wire [2 : 0] VAR96;
input wire [3 : 0] VAR7;
input wire VAR102;
output wire VAR29;
output wire [11 : 0] VAR78;
output wire [31 : 0] VAR43;
output wire [1 : 0] VAR68;
output wire VAR12;
output wire VAR22;
input wire VAR55;
output wire [11 : 0] VAR77;
output wire [31 : 0] VAR94;
output wire [3 : 0] VAR20;
output wire [2 : 0] VAR75;
output wire [1 : 0] VAR25;
output wire [1 : 0] VAR76;
output wire [3 : 0] VAR59;
output wire [2 : 0] VAR31;
output wire [3 : 0] VAR71;
output wire VAR108;
input wire VAR65;
output wire [11 : 0] VAR24;
output wire [31 : 0] VAR37;
output wire [3 : 0] VAR27;
output wire VAR103;
output wire VAR64;
input wire VAR47;
input wire [11 : 0] VAR18;
input wire [1 : 0] VAR101;
input wire VAR67;
output wire VAR73;
output wire [11 : 0] VAR23;
output wire [31 : 0] VAR51;
output wire [3 : 0] VAR97;
output wire [2 : 0] VAR33;
output wire [1 : 0] VAR87;
output wire [1 : 0] VAR44;
output wire [3 : 0] VAR58;
output wire [2 : 0] VAR104;
output wire [3 : 0] VAR106;
output wire VAR83;
input wire VAR72;
input wire [11 : 0] VAR111;
input wire [31 : 0] VAR2;
input wire [1 : 0] VAR91;
input wire VAR21;
input wire VAR84;
output wire VAR52;
VAR19 #(
.VAR105("VAR14"),
.VAR74(1),
.VAR39(12),
.VAR69(32),
.VAR34(32),
.VAR38(0),
.VAR30(1),
.VAR80(1),
.VAR11(1),
.VAR10(1),
.VAR3(1),
.VAR15(7),
.VAR82(1),
.VAR56(7),
.VAR107(7),
.VAR81(1)
) VAR6 (
.VAR60(VAR60),
.VAR98(VAR98),
.VAR92(VAR92),
.VAR41(VAR41),
.VAR66(VAR66),
.VAR79(VAR79),
.VAR86(VAR86),
.VAR13(VAR13),
.VAR100(VAR100),
.VAR17(VAR17),
.VAR45(4'VAR40),
.VAR46(VAR46),
.VAR95(1'VAR40),
.VAR1(VAR1),
.VAR42(VAR42),
.VAR62(VAR62),
.VAR9(VAR9),
.VAR70(VAR70),
.VAR28(VAR28),
.VAR89(1'VAR40),
.VAR112(VAR112),
.VAR54(VAR54),
.VAR36(VAR36),
.VAR63(VAR63),
.VAR16(),
.VAR61(VAR61),
.VAR49(VAR49),
.VAR35(VAR35),
.VAR57(VAR57),
.VAR109(VAR109),
.VAR8(VAR8),
.VAR93(VAR93),
.VAR99(VAR99),
.VAR53(VAR53),
.VAR96(VAR96),
.VAR90(4'VAR40),
.VAR7(VAR7),
.VAR32(1'VAR40),
.VAR102(VAR102),
.VAR29(VAR29),
.VAR78(VAR78),
.VAR43(VAR43),
.VAR68(VAR68),
.VAR12(VAR12),
.VAR4(),
.VAR22(VAR22),
.VAR55(VAR55),
.VAR77(VAR77),
.VAR94(VAR94),
.VAR20(VAR20),
.VAR75(VAR75),
.VAR25(VAR25),
.VAR76(VAR76),
.VAR59(VAR59),
.VAR31(VAR31),
.VAR110(),
.VAR71(VAR71),
.VAR88(),
.VAR108(VAR108),
.VAR65(VAR65),
.VAR24(VAR24),
.VAR37(VAR37),
.VAR27(VAR27),
.VAR103(VAR103),
.VAR26(),
.VAR64(VAR64),
.VAR47(VAR47),
.VAR18(VAR18),
.VAR101(VAR101),
.VAR50(1'VAR40),
.VAR67(VAR67),
.VAR73(VAR73),
.VAR23(VAR23),
.VAR51(VAR51),
.VAR97(VAR97),
.VAR33(VAR33),
.VAR87(VAR87),
.VAR44(VAR44),
.VAR58(VAR58),
.VAR104(VAR104),
.VAR48(),
.VAR106(VAR106),
.VAR85(),
.VAR83(VAR83),
.VAR72(VAR72),
.VAR111(VAR111),
.VAR2(VAR2),
.VAR91(VAR91),
.VAR21(VAR21),
.VAR5(1'VAR40),
.VAR84(VAR84),
.VAR52(VAR52)
);
endmodule | mit |
alankarkotwal/lca-processor | pipeline/pc_forwarding.v | 1,624 | module MODULE1(clk,VAR19,VAR28,VAR20,VAR12,VAR25,VAR3);
parameter VAR14 = 6'b000000;
parameter VAR22 = 6'b001000;
parameter VAR32 = 6'b000010;
parameter VAR4 = 6'b000001;
parameter VAR18 = 4'b0001;
parameter VAR8 = 6'b001010;
parameter VAR16 = 6'b001001;
parameter VAR5 = 4'b0011;
parameter VAR23 = 4'b0100;
parameter VAR29 = 4'b0101;
parameter VAR17 = 4'b0110;
parameter VAR1 = 4'b0111;
parameter VAR9 = 4'b1100;
parameter VAR33 = 4'b1000;
parameter VAR10 = 4'b1001;
parameter VAR31=3'd1;
parameter VAR26=3'd2;
parameter VAR11= 3'd3;
parameter VAR6 = 3'd4;
parameter VAR35 = 3'd5;
parameter VAR27 = 3'd6;
output reg [2:0] VAR3;
input [15:0] VAR28,VAR20,VAR12,VAR25;
input VAR19,clk;
wire [5:0] VAR13,VAR15,VAR24,VAR21;
wire[2:0] VAR34,VAR7,VAR30,VAR2;
assign VAR13={VAR28[15:12],VAR28[1:0]};
assign VAR15={VAR20[15:12],VAR20[1:0]};
assign VAR24={VAR12[15:12],VAR12[1:0]};
assign VAR21={VAR25[15:12],VAR25[1:0]};
assign VAR34 = VAR28[11:9];
assign VAR7 = VAR28[8:6];
assign VAR30 = VAR28[5:3];
assign VAR2 = VAR25[11:9];
always @(negedge clk)
begin
if((VAR21[5:2]==VAR23||VAR21[5:2]==VAR17)&&VAR2==3'b111)
end
VAR3=VAR26;else if(VAR13[5:2]==VAR5&&VAR34==3'b111)
VAR3=VAR35;
else if((VAR24==VAR14||VAR24==VAR22||VAR24==VAR32||VAR24==VAR4||VAR24==VAR8||VAR24==VAR8||VAR24==VAR16)&&(VAR30==3'b111))
VAR3=VAR27;else if(VAR24[5:2]==VAR18&&VAR7==3'b111)
VAR3=VAR27;else if(VAR19==1&&VAR15[5:2]==VAR9)
VAR3=VAR6;else if(VAR15[5:2]==VAR10)
VAR3=VAR31;else if(VAR13[5:2]==VAR33)
VAR3=VAR11;else
VAR3=0;
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o221ai/sky130_fd_sc_lp__o221ai_4.v | 2,457 | module MODULE2 (
VAR1 ,
VAR4 ,
VAR12 ,
VAR5 ,
VAR10 ,
VAR7 ,
VAR8,
VAR3,
VAR9 ,
VAR2
);
output VAR1 ;
input VAR4 ;
input VAR12 ;
input VAR5 ;
input VAR10 ;
input VAR7 ;
input VAR8;
input VAR3;
input VAR9 ;
input VAR2 ;
VAR11 VAR6 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR1 ,
VAR4,
VAR12,
VAR5,
VAR10,
VAR7
);
output VAR1 ;
input VAR4;
input VAR12;
input VAR5;
input VAR10;
input VAR7;
supply1 VAR8;
supply0 VAR3;
supply1 VAR9 ;
supply0 VAR2 ;
VAR11 VAR6 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR12(VAR12),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR7(VAR7)
);
endmodule | apache-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/pixelq_op_v1_0/0d718de5/hdl/verilog/pixelq_op_Mat2AXIvideo.v | 17,912 | module MODULE1 (
VAR26,
VAR43,
VAR6,
VAR86,
VAR90,
VAR47,
VAR68,
VAR18,
VAR29,
VAR48,
VAR3,
VAR83,
VAR34,
VAR40,
VAR69,
VAR16,
VAR7,
VAR94,
VAR9,
VAR93,
VAR19,
VAR65,
VAR56,
VAR84,
VAR77,
VAR82,
VAR13,
VAR39,
VAR23,
VAR57,
VAR30,
VAR44,
VAR59,
VAR24,
VAR75,
VAR72,
VAR35,
VAR67,
VAR85
);
parameter VAR8 = 1'b1;
parameter VAR53 = 1'b0;
parameter VAR89 = 4'b1;
parameter VAR33 = 4'b10;
parameter VAR91 = 4'b100;
parameter VAR27 = 4'b1000;
parameter VAR58 = 32'b00000000000000000000000000000000;
parameter VAR62 = 1'b1;
parameter VAR15 = 32'b1;
parameter VAR38 = 32'b10;
parameter VAR76 = 1'b0;
parameter VAR22 = 12'b000000000000;
parameter VAR64 = 32'b11;
parameter VAR60 = 3'b111;
parameter VAR2 = 3'b000;
parameter VAR61 = 13'b1111111111111;
parameter VAR41 = 12'b1;
parameter VAR73 = 1'b1;
input VAR26;
input VAR43;
input VAR6;
output VAR86;
input VAR90;
output VAR47;
output VAR68;
input [11:0] VAR18;
input [11:0] VAR29;
input [7:0] VAR48;
input VAR3;
output VAR83;
input [7:0] VAR34;
input VAR40;
output VAR69;
input [7:0] VAR16;
input VAR7;
output VAR94;
output [23:0] VAR9;
input VAR93;
output VAR19;
output [2:0] VAR65;
input VAR56;
output VAR84;
output [2:0] VAR77;
input VAR82;
output VAR13;
output [0:0] VAR39;
input VAR23;
output VAR57;
output [0:0] VAR30;
input VAR44;
output VAR59;
output [0:0] VAR24;
input VAR75;
output VAR72;
output [0:0] VAR35;
input VAR67;
output VAR85;
reg VAR86;
reg VAR47;
reg VAR68;
reg VAR83;
reg VAR69;
reg VAR94;
reg VAR81 = 1'b0;
reg [3:0] VAR92 = 4'b1;
reg VAR37;
reg VAR80;
reg [11:0] VAR21;
reg VAR10;
wire [12:0] VAR54;
reg [12:0] VAR17;
wire [0:0] VAR5;
reg VAR66;
reg VAR55;
wire [11:0] VAR32;
reg [11:0] VAR49;
wire [0:0] VAR63;
reg [0:0] VAR88;
reg VAR52;
reg VAR46;
reg VAR79 = 1'b0;
wire VAR14;
reg VAR51;
reg VAR71 = 1'b0;
wire [11:0] VAR4;
wire [0:0] VAR45;
reg [0:0] VAR31;
reg [11:0] VAR78;
reg VAR74;
reg VAR42;
reg VAR36;
reg [0:0] VAR25;
wire [12:0] VAR12;
wire [12:0] VAR50;
reg [3:0] VAR1;
always @ (posedge VAR26)
begin : VAR20
if (VAR43 == 1'b1) begin
VAR92 <= VAR89;
end else begin
VAR92 <= VAR1;
end
end
always @ (posedge VAR26)
begin : VAR11
if (VAR43 == 1'b1) begin
VAR81 <= VAR53;
end else begin
if ((VAR8 == VAR90)) begin
VAR81 <= VAR53;
end else if (((VAR8 == VAR66) & ~(VAR5 == VAR76))) begin
VAR81 <= VAR8;
end
end
end
always @ (posedge VAR26)
begin : VAR70
if (VAR43 == 1'b1) begin
VAR79 <= VAR53;
end else begin
if (((VAR8 == VAR52) & ~(VAR51 & (VAR8 == VAR71)) & ~(VAR63 == VAR76))) begin
VAR79 <= VAR53;
end else if (((VAR8 == VAR66) & (VAR5 == VAR76))) begin
VAR79 <= VAR8;
end
end
end
always @ (posedge VAR26)
begin : VAR28
if (VAR43 == 1'b1) begin
VAR71 <= VAR53;
end else begin
if (((VAR8 == VAR52) & ~(VAR51 & (VAR8 == VAR71)) & (VAR63 == VAR76))) begin
VAR71 <= VAR8;
end else if ((((VAR8 == VAR66) & (VAR5 == VAR76)) | ((VAR8 == VAR52) & ~(VAR51 & (VAR8 == VAR71)) & ~(VAR63 == VAR76)))) begin
VAR71 <= VAR53;
end
end
end
always @(posedge VAR26)
begin
if (((VAR8 == VAR52) & (VAR8 == VAR79) & ~(VAR51 & (VAR8 == VAR71)) & (VAR63 == VAR76))) begin
VAR21 <= VAR4;
end else if (((VAR8 == VAR66) & (VAR5 == VAR76))) begin
VAR21 <= VAR22;
end
end
always @(posedge VAR26)
begin
if ((VAR8 == VAR74)) begin
VAR78 <= VAR49;
end else if (((VAR8 == VAR37) & ~VAR10)) begin
VAR78 <= VAR22;
end
end
always @(posedge VAR26)
begin
if (((VAR8 == VAR52) & (VAR88 == VAR76) & (VAR8 == VAR71) & ~(VAR51 & (VAR8 == VAR71)))) begin
VAR25 <= VAR76;
end else if (((VAR8 == VAR37) & ~VAR10)) begin
VAR25 <= VAR62;
end
end
always @(posedge VAR26)
begin
if (((VAR8 == VAR52) & ~(VAR51 & (VAR8 == VAR71)) & (VAR63 == VAR76))) begin
VAR31 <= VAR45;
end
end
always @(posedge VAR26)
begin
if (((VAR8 == VAR52) & ~(VAR51 & (VAR8 == VAR71)))) begin
VAR88 <= VAR63;
end
end
always @(posedge VAR26)
begin
if ((VAR8 == VAR66)) begin
VAR49 <= VAR32;
end
end
always @(posedge VAR26)
begin
if (((VAR8 == VAR37) & ~VAR10)) begin
VAR17 <= VAR54;
end
end
always @ (VAR88 or VAR52 or VAR51 or VAR71)
begin
if (((VAR8 == VAR52) & (VAR88 == VAR76) & (VAR8 == VAR71) & ~(VAR51 & (VAR8 == VAR71)))) begin
VAR36 = VAR8;
end else begin
VAR36 = VAR53;
end
end
always @ (VAR81 or VAR5 or VAR66)
begin
if (((VAR8 == VAR81) | ((VAR8 == VAR66) & ~(VAR5 == VAR76)))) begin
VAR86 = VAR8;
end else begin
VAR86 = VAR53;
end
end
always @ (VAR6 or VAR37)
begin
if ((~(VAR8 == VAR6) & (VAR8 == VAR37))) begin
VAR47 = VAR8;
end else begin
VAR47 = VAR53;
end
end
always @ (VAR5 or VAR66)
begin
if (((VAR8 == VAR66) & ~(VAR5 == VAR76))) begin
VAR68 = VAR8;
end else begin
VAR68 = VAR53;
end
end
always @ (VAR46)
begin
if (VAR46) begin
VAR52 = VAR8;
end else begin
VAR52 = VAR53;
end
end
always @ (VAR80)
begin
if (VAR80) begin
VAR37 = VAR8;
end else begin
VAR37 = VAR53;
end
end
always @ (VAR55)
begin
if (VAR55) begin
VAR66 = VAR8;
end else begin
VAR66 = VAR53;
end
end
always @ (VAR42)
begin
if (VAR42) begin
VAR74 = VAR8;
end else begin
VAR74 = VAR53;
end
end
always @ (VAR88 or VAR52 or VAR51 or VAR71)
begin
if (((VAR8 == VAR52) & (VAR88 == VAR76) & (VAR8 == VAR71) & ~(VAR51 & (VAR8 == VAR71)))) begin
VAR83 = VAR8;
end else begin
VAR83 = VAR53;
end
end
always @ (VAR88 or VAR52 or VAR51 or VAR71)
begin
if (((VAR8 == VAR52) & (VAR88 == VAR76) & (VAR8 == VAR71) & ~(VAR51 & (VAR8 == VAR71)))) begin
VAR69 = VAR8;
end else begin
VAR69 = VAR53;
end
end
always @ (VAR88 or VAR52 or VAR51 or VAR71)
begin
if (((VAR8 == VAR52) & (VAR88 == VAR76) & (VAR8 == VAR71) & ~(VAR51 & (VAR8 == VAR71)))) begin
VAR94 = VAR8;
end else begin
VAR94 = VAR53;
end
end
always @ (VAR92 or VAR10 or VAR5 or VAR63 or VAR79 or VAR51 or VAR71)
begin
case (VAR92)
VAR89 :
begin
if (~VAR10) begin
VAR1 = VAR33;
end else begin
VAR1 = VAR89;
end
end
VAR33 :
begin
if (~(VAR5 == VAR76)) begin
VAR1 = VAR89;
end else begin
VAR1 = VAR91;
end
end
VAR91 :
begin
if (~((VAR8 == VAR79) & ~(VAR51 & (VAR8 == VAR71)) & ~(VAR63 == VAR76))) begin
VAR1 = VAR91;
end else if (((VAR8 == VAR79) & ~(VAR51 & (VAR8 == VAR71)) & ~(VAR63 == VAR76))) begin
VAR1 = VAR27;
end else begin
VAR1 = VAR91;
end
end
VAR27 :
begin
VAR1 = VAR33;
end
default :
begin
VAR1 = 'VAR87;
end
endcase
end
assign VAR9 = {{{{VAR16}, {VAR34}}}, {VAR48}};
assign VAR19 = VAR36;
assign VAR35 = VAR76;
assign VAR85 = VAR36;
assign VAR14 = (VAR93 & VAR56 & VAR82 & VAR23 & VAR44 & VAR75 & VAR67);
assign VAR24 = VAR76;
assign VAR72 = VAR36;
assign VAR65 = VAR60;
assign VAR84 = VAR36;
assign VAR30 = VAR31;
assign VAR59 = VAR36;
assign VAR77 = VAR2;
assign VAR13 = VAR36;
assign VAR39 = VAR25;
assign VAR57 = VAR36;
always @ (VAR92)
begin
VAR46 = (VAR62 == VAR92[VAR38]);
end
always @ (VAR3 or VAR40 or VAR7 or VAR88 or VAR14)
begin
VAR51 = (((VAR3 == VAR53) & (VAR88 == VAR76)) | ((VAR88 == VAR76) & (VAR40 == VAR53)) | ((VAR88 == VAR76) & (VAR7 == VAR53)) | ((VAR88 == VAR76) & (VAR14 == VAR53)));
end
always @ (VAR92)
begin
VAR42 = (VAR62 == VAR92[VAR64]);
end
always @ (VAR92)
begin
VAR80 = (VAR92[VAR58] == VAR62);
end
always @ (VAR6 or VAR81)
begin
VAR10 = ((VAR6 == VAR53) | (VAR81 == VAR8));
end
always @ (VAR92)
begin
VAR55 = (VAR62 == VAR92[VAR15]);
end
assign VAR45 = (VAR50 == VAR17? 1'b1: 1'b0);
assign VAR5 = (VAR78 == VAR18? 1'b1: 1'b0);
assign VAR63 = (VAR21 == VAR29? 1'b1: 1'b0);
assign VAR32 = (VAR78 + VAR41);
assign VAR4 = (VAR21 + VAR41);
assign VAR54 = ((VAR12) + (VAR61));
assign VAR50 = VAR21;
assign VAR12 = VAR29;
endmodule | gpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.