repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
zhangly/azpr_cpu | rtl/top/rtl/chip_top.v | 2,481 | module MODULE1 (
input wire VAR1, input wire VAR2
);
wire clk; wire clk; wire VAR7;
VAR5 VAR5 (
.VAR1 (VAR1), .VAR2 (VAR2),
.clk (clk), .clk (clk),
.VAR7 (VAR7) );
VAR4 VAR4 (
.clk (clk), .clk (clk), .reset (VAR7)
, .VAR6 (VAR6) , .VAR3 (VAR3) VAR8
);
endmodule | mit |
P3Stor/P3Stor | ftl/Dynamic_Controller/ipcore_dir/gc_command_fifo.v | 13,468 | module MODULE1(
clk,
rst,
din,
VAR136,
VAR146,
dout,
VAR24,
VAR123,
VAR243,
VAR411
);
input clk;
input rst;
input [28 : 0] din;
input VAR136;
input VAR146;
output [28 : 0] dout;
output VAR24;
output VAR123;
output [5 : 0] VAR243;
output VAR411;
VAR71 #(
.VAR182(0),
.VAR175(0),
.VAR293(0),
.VAR138(0),
.VAR336(0),
.VAR282(0),
.VAR287(0),
.VAR366(32),
.VAR73(1),
.VAR163(1),
.VAR89(1),
.VAR59(64),
.VAR415(4),
.VAR19(1),
.VAR272(0),
.VAR108(1),
.VAR9(64),
.VAR330(4),
.VAR352(8),
.VAR199(4),
.VAR35(4),
.VAR346(4),
.VAR270(0),
.VAR192(1),
.VAR310(0),
.VAR1(6),
.VAR18("VAR127"),
.VAR229(29),
.VAR84(1),
.VAR403(32),
.VAR143(64),
.VAR196(32),
.VAR376(64),
.VAR377(2),
.VAR132("0"),
.VAR344(29),
.VAR72(0),
.VAR380(1),
.VAR195(0),
.VAR125(0),
.VAR290(0),
.VAR404(0),
.VAR99(0),
.VAR90(0),
.VAR150(0),
.VAR158("VAR349"),
.VAR283(1),
.VAR63(0),
.VAR113(0),
.VAR278(0),
.VAR3(0),
.VAR33(0),
.VAR409(0),
.VAR276(0),
.VAR120(0),
.VAR325(0),
.VAR265(0),
.VAR315(0),
.VAR93(0),
.VAR169(0),
.VAR202(0),
.VAR397(1),
.VAR286(0),
.VAR209(0),
.VAR299(0),
.VAR49(1),
.VAR402(0),
.VAR105(0),
.VAR23(0),
.VAR126(0),
.VAR410(0),
.VAR142(0),
.VAR302(0),
.VAR343(0),
.VAR45(0),
.VAR179(0),
.VAR331(0),
.VAR61(0),
.VAR176(0),
.VAR392(0),
.VAR381(0),
.VAR364(0),
.VAR81(0),
.VAR210(0),
.VAR232(1),
.VAR69(0),
.VAR68(0),
.VAR4(0),
.VAR109(0),
.VAR394(0),
.VAR275(0),
.VAR25(0),
.VAR323(0),
.VAR383(1),
.VAR277(1),
.VAR207(1),
.VAR354(1),
.VAR124(1),
.VAR76(1),
.VAR47(0),
.VAR367(0),
.VAR413(1),
.VAR111("VAR127"),
.VAR121(1),
.VAR414(0),
.VAR218(0),
.VAR44(0),
.VAR335(1),
.VAR54("512x36"),
.VAR311(4),
.VAR375(1022),
.VAR312(1022),
.VAR234(1022),
.VAR140(1022),
.VAR41(1022),
.VAR320(1022),
.VAR398(5),
.VAR37(0),
.VAR255(5),
.VAR75(5),
.VAR226(5),
.VAR145(5),
.VAR347(5),
.VAR249(5),
.VAR284(31),
.VAR205(1023),
.VAR221(1023),
.VAR51(1023),
.VAR239(1023),
.VAR253(1023),
.VAR368(1023),
.VAR193(30),
.VAR406(1),
.VAR165(5),
.VAR183(5),
.VAR170(5),
.VAR379(5),
.VAR152(5),
.VAR388(5),
.VAR28(0),
.VAR214(6),
.VAR263(32),
.VAR188(1),
.VAR319(5),
.VAR200(0),
.VAR269(0),
.VAR338(0),
.VAR240(0),
.VAR324(0),
.VAR285(0),
.VAR115(0),
.VAR224(2),
.VAR370(0),
.VAR184(0),
.VAR334(0),
.VAR27(0),
.VAR313(1),
.VAR129(0),
.VAR88(0),
.VAR147(0),
.VAR399(0),
.VAR318(0),
.VAR6(0),
.VAR316(0),
.VAR384(0),
.VAR303(0),
.VAR357(1),
.VAR83(0),
.VAR396(0),
.VAR327(0),
.VAR374(0),
.VAR117(6),
.VAR52(32),
.VAR174(1024),
.VAR393(16),
.VAR291(1024),
.VAR230(16),
.VAR103(1024),
.VAR94(16),
.VAR167(1),
.VAR42(5),
.VAR271(10),
.VAR321(4),
.VAR204(10),
.VAR173(4),
.VAR14(10),
.VAR80(4),
.VAR58(1),
.VAR258(0)
)
VAR248 (
.VAR166(clk),
.VAR11(rst),
.VAR361(din),
.VAR78(VAR136),
.VAR219(VAR146),
.VAR387(dout),
.VAR372(VAR24),
.VAR50(VAR123),
.VAR337(VAR243),
.VAR294(VAR411),
.VAR297(),
.VAR215(),
.VAR86(),
.VAR116(),
.VAR301(),
.VAR280(),
.VAR236(),
.VAR55(),
.VAR371(),
.VAR154(),
.VAR187(),
.VAR95(),
.VAR39(),
.VAR326(),
.VAR306(),
.VAR130(),
.VAR345(),
.VAR112(),
.VAR46(),
.VAR22(),
.VAR67(),
.VAR216(),
.VAR355(),
.VAR356(),
.VAR245(),
.VAR250(),
.VAR351(),
.VAR386(),
.VAR92(),
.VAR378(),
.VAR268(),
.VAR296(),
.VAR238(),
.VAR7(),
.VAR405(),
.VAR223(),
.VAR389(),
.VAR82(),
.VAR281(),
.VAR64(),
.VAR36(),
.VAR309(),
.VAR110(),
.VAR100(),
.VAR350(),
.VAR137(),
.VAR262(),
.VAR231(),
.VAR114(),
.VAR340(),
.VAR74(),
.VAR225(),
.VAR159(),
.VAR180(),
.VAR65(),
.VAR244(),
.VAR157(),
.VAR40(),
.VAR222(),
.VAR118(),
.VAR300(),
.VAR186(),
.VAR48(),
.VAR254(),
.VAR15(),
.VAR266(),
.VAR308(),
.VAR237(),
.VAR185(),
.VAR247(),
.VAR85(),
.VAR292(),
.VAR298(),
.VAR208(),
.VAR261(),
.VAR26(),
.VAR155(),
.VAR161(),
.VAR260(),
.VAR148(),
.VAR168(),
.VAR228(),
.VAR373(),
.VAR348(),
.VAR201(),
.VAR87(),
.VAR191(),
.VAR60(),
.VAR203(),
.VAR21(),
.VAR206(),
.VAR408(),
.VAR211(),
.VAR382(),
.VAR8(),
.VAR400(),
.VAR96(),
.VAR329(),
.VAR252(),
.VAR353(),
.VAR12(),
.VAR385(),
.VAR177(),
.VAR328(),
.VAR53(),
.VAR212(),
.VAR164(),
.VAR139(),
.VAR29(),
.VAR98(),
.VAR257(),
.VAR217(),
.VAR160(),
.VAR317(),
.VAR339(),
.VAR360(),
.VAR10(),
.VAR17(),
.VAR314(),
.VAR358(),
.VAR34(),
.VAR2(),
.VAR79(),
.VAR259(),
.VAR242(),
.VAR156(),
.VAR391(),
.VAR220(),
.VAR104(),
.VAR133(),
.VAR97(),
.VAR122(),
.VAR342(),
.VAR131(),
.VAR251(),
.VAR264(),
.VAR31(),
.VAR194(),
.VAR30(),
.VAR151(),
.VAR91(),
.VAR172(),
.VAR13(),
.VAR401(),
.VAR62(),
.VAR135(),
.VAR235(),
.VAR141(),
.VAR197(),
.VAR395(),
.VAR213(),
.VAR128(),
.VAR256(),
.VAR341(),
.VAR332(),
.VAR5(),
.VAR288(),
.VAR305(),
.VAR198(),
.VAR43(),
.VAR273(),
.VAR162(),
.VAR66(),
.VAR181(),
.VAR365(),
.VAR119(),
.VAR70(),
.VAR189(),
.VAR274(),
.VAR190(),
.VAR412(),
.VAR322(),
.VAR289(),
.VAR101(),
.VAR233(),
.VAR106(),
.VAR304(),
.VAR178(),
.VAR149(),
.VAR56(),
.VAR38(),
.VAR227(),
.VAR246(),
.VAR153(),
.VAR57(),
.VAR144(),
.VAR363(),
.VAR295(),
.VAR362(),
.VAR77(),
.VAR333(),
.VAR102(),
.VAR307(),
.VAR32(),
.VAR407(),
.VAR134(),
.VAR171(),
.VAR241(),
.VAR359(),
.VAR107(),
.VAR267(),
.VAR390(),
.VAR20(),
.VAR279(),
.VAR16(),
.VAR369()
);
endmodule | gpl-2.0 |
parallella/oh | padring/hdl/oh_padring.v | 6,520 | module MODULE1
parameter VAR78 = 8,
parameter VAR82 = 8,
parameter VAR28 = 8,
parameter VAR39 = 8,
parameter VAR23 = 1,
parameter VAR71 = 8,
parameter VAR25 = 8,
parameter VAR37 = 8,
parameter VAR10 = 8,
parameter VAR21 = 8,
parameter VAR61 = 1,
parameter VAR38 = 8,
parameter VAR59 = 8,
parameter VAR86 = 8,
parameter VAR81 = 8,
parameter VAR70 = 8
)
(
inout VAR60,
inout VAR36,
inout [VAR57-1:0] VAR31,
inout [VAR57-1:0] VAR43,
inout [VAR40-1:0] VAR49, output [VAR40-1:0] VAR1, input [VAR40-1-1:0] VAR79, input [VAR40*8-1:0] VAR4, input [VAR40-1:0] VAR7, input [VAR40-1:0] VAR22, inout [VAR74-1:0] VAR69,
inout [VAR74-1:0] VAR12,
inout [VAR63-1:0] VAR50, output [VAR63-1:0] VAR67, input [VAR63-1-1:0] VAR87, input [VAR63*8-1:0] VAR9, input [VAR63-1:0] VAR51, input [VAR63-1:0] VAR5, inout [VAR23-1:0] VAR46,
inout [VAR23-1:0] VAR75,
inout [VAR71-1:0] VAR16, output [VAR71-1:0] VAR80, input [VAR71-1-1:0] VAR15, input [VAR71*8-1:0] VAR72, input [VAR71-1:0] VAR35, input [VAR71-1:0] VAR64, inout [VAR61-1:0] VAR89,
inout [VAR61-1:0] VAR11,
inout [VAR38-1:0] VAR88, output [VAR38-1:0] VAR44, input [VAR38-1-1:0] VAR55, input [VAR38*8-1:0] VAR6, input [VAR38-1:0] VAR42, input [VAR38-1:0] VAR19 );
wire [VAR57-1:0] VAR73;
wire [VAR74-1:0] VAR83;
wire [VAR61-1:0] VAR24;
wire [VAR23-1:0] VAR62;
generate
genvar VAR27;
for(VAR27=0;VAR27<VAR57;VAR27=VAR27+1)
begin: VAR84
VAR65 #(.VAR29("VAR90"),
.VAR47(VAR47),
.VAR77(VAR40),
.VAR48(VAR33),
.VAR54(VAR68),
.VAR14(VAR18),
.VAR58(VAR30),
.VAR45(1),
.VAR32(1),
.VAR26(1))
VAR41 (.VAR36 (VAR36),
.VAR60 (VAR60),
.din (VAR1[VAR40-1:0]),
.VAR66 (VAR49[VAR40-1:0]),
.VAR20 (VAR31[VAR27]),
.VAR52 (VAR43[VAR27]),
.VAR17 (VAR73[VAR27]),
.dout (VAR79[VAR40-1:0]),
.VAR2 (VAR22[VAR40-1:0]),
.VAR8 (VAR7[VAR40-1:0]),
.VAR85 (VAR4[VAR40*8-1:0]));
end
for(VAR27=0;VAR27<VAR74;VAR27=VAR27+1)
begin: VAR34
VAR65 #(.VAR29("VAR13"),
.VAR47(VAR47),
.VAR77(VAR63),
.VAR48(VAR78),
.VAR54(VAR82),
.VAR14(VAR28),
.VAR58(VAR39),
.VAR45(1),
.VAR32(1),
.VAR26(1))
VAR41 (.VAR36 (VAR36),
.VAR60 (VAR60),
.din (VAR67[VAR63-1:0]),
.VAR66 (VAR50[VAR63-1:0]),
.VAR20 (VAR69[VAR27]),
.VAR52 (VAR12[VAR27]),
.VAR17 (VAR83[VAR27]),
.dout (VAR87[VAR63-1:0]),
.VAR2 (VAR5[VAR63-1:0]),
.VAR8 (VAR51[VAR63-1:0]),
.VAR85 (VAR9[VAR63*8-1:0]));
end
for(VAR27=0;VAR27<VAR23;VAR27=VAR27+1)
begin: VAR56
VAR65 #(.VAR29("VAR53"),
.VAR47(VAR47),
.VAR77(VAR71),
.VAR48(VAR25),
.VAR54(VAR37),
.VAR14(VAR10),
.VAR58(VAR21),
.VAR45(1),
.VAR32(1),
.VAR26(1))
VAR41 (.VAR36 (VAR36),
.VAR60 (VAR60),
.din (VAR80[VAR71-1:0]),
.VAR66 (VAR16[VAR71-1:0]),
.VAR20 (VAR46[VAR27]),
.VAR52 (VAR75[VAR27]),
.VAR17 (VAR62[VAR27]),
.dout (VAR15[VAR71-1:0]),
.VAR2 (VAR64[VAR71-1:0]),
.VAR8 (VAR35[VAR71-1:0]),
.VAR85 (VAR72[VAR71*8-1:0]));
end
for(VAR27=0;VAR27<VAR61;VAR27=VAR27+1)
begin: VAR76
VAR65 #(.VAR29("VAR3"),
.VAR47(VAR47),
.VAR77(VAR38),
.VAR48(VAR59),
.VAR54(VAR86),
.VAR14(VAR81),
.VAR58(VAR70),
.VAR45(1),
.VAR32(1),
.VAR26(1))
VAR41 (.VAR36 (VAR36),
.VAR60 (VAR60),
.din (VAR44[VAR38-1:0]),
.VAR66 (VAR88[VAR38-1:0]),
.VAR20 (VAR89[VAR27]),
.VAR52 (VAR11[VAR27]),
.VAR17 (VAR24[VAR27]),
.dout (VAR55[VAR38-1:0]),
.VAR2 (VAR19[VAR38-1:0]),
.VAR8 (VAR42[VAR38-1:0]),
.VAR85 (VAR6[VAR38*8-1:0]));
end
endgenerate
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlxtn/sky130_fd_sc_hd__dlxtn.pp.blackbox.v | 1,323 | module MODULE1 (
VAR3 ,
VAR6 ,
VAR2,
VAR5 ,
VAR1 ,
VAR4 ,
VAR7
);
output VAR3 ;
input VAR6 ;
input VAR2;
input VAR5 ;
input VAR1 ;
input VAR4 ;
input VAR7 ;
endmodule | apache-2.0 |
DProvinciani/Arquitectura_TPF | Codigo_fuente/6-pipe_registers/latch_ID_EX.v | 5,515 | module MODULE1
parameter VAR39=32,VAR14=5
)
(
input wire clk,
input wire reset,
inout wire VAR27,
input wire VAR26,
input wire [VAR39-1:0] VAR21,
input wire [VAR39-1:0] VAR23,
input wire [VAR39-1:0] VAR16,
input wire [VAR39-1:0] VAR44,
input wire [VAR14-1:0] VAR9, input wire [VAR14-1:0] VAR30,
input wire [VAR14-1:0] VAR19,
output wire [VAR39-1:0] VAR35,
output wire [VAR39-1:0] VAR43,
output wire [VAR39-1:0] VAR22,
output wire [VAR39-1:0] VAR12,
output wire [VAR14-1:0] VAR8, output wire [VAR14-1:0] VAR28,
output wire [VAR14-1:0] VAR17,
input wire VAR4,
input wire VAR5,
input wire VAR33,
input wire VAR46,
input wire [5:0] VAR15,
input wire VAR3,
input wire [5:0] VAR29,
output wire VAR13,
output wire VAR11,
output wire VAR41,
output wire VAR25,
output wire [5:0] VAR6,
output wire VAR36,
output wire [5:0] VAR20
);
reg [VAR39-1:0] VAR34;
reg signed [VAR39-1:0] VAR18;
reg signed [VAR39-1:0] VAR38;
reg [VAR39-1:0] VAR45;
reg [VAR14-1:0] VAR24; reg [VAR14-1:0] VAR32;
reg [VAR14-1:0] VAR1;
reg VAR31;
reg VAR2;
reg VAR7;
reg VAR37;
reg [5:0] VAR42;
reg VAR40;
reg [5:0] VAR10;
always @(posedge clk)
begin
if (reset)
begin
VAR34 <= 0;
VAR18 <= 0;
VAR38 <= 0;
VAR45 <= 0;
VAR24 <= 5'b00000; VAR32 <= 5'b00000;
VAR1 <= 5'b00000;
VAR31 <= 0;
VAR2 <= 0;
VAR7 <= 0;
VAR37 <= 0;
VAR42 <= 0;
VAR40 <= 0;
VAR10 <= 0;
end
else
if(VAR27==1'b1)
if (VAR26)
begin
VAR34 <= 0;
VAR18 <= 0;
VAR38 <= 0;
VAR45 <= 0;
VAR24 <= 5'b00000; VAR32 <= 5'b00000;
VAR1 <= 5'b00000;
VAR31 <= 0;
VAR2 <= 0;
VAR7 <= 0;
VAR37 <= 0;
VAR42 <= 0;
VAR40 <= 0;
VAR10 <= 0;
end
else
begin
VAR34 <= VAR21;
VAR18 <= VAR23;
VAR38 <= VAR16;
VAR45 <= VAR44;
VAR24 <= VAR9; VAR32 <= VAR30;
VAR1 <= VAR19;
VAR31 <= VAR4;
VAR2 <= VAR5;
VAR7 <= VAR33;
VAR37 <= VAR46;
VAR42 <= VAR15;
VAR40 <= VAR3;
VAR10 <= VAR29;
end
end
assign VAR35 = VAR34;
assign VAR43 = VAR18;
assign VAR22 = VAR38;
assign VAR12 = VAR45;
assign VAR8 = VAR24; assign VAR28 = VAR32;
assign VAR17 = VAR1;
assign VAR13 = VAR31;
assign VAR11 = VAR2;
assign VAR41 = VAR7;
assign VAR25 = VAR37;
assign VAR6 = VAR42;
assign VAR36 = VAR40;
assign VAR20 = VAR10;
endmodule | gpl-3.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/mem/dct_transpose_32x16.v | 1,325 | module MODULE1(
clk ,
VAR6 ,
VAR3 ,
VAR1 ,
VAR5 ,
VAR7 ,
VAR9
);
input clk;
input VAR6;
input VAR3;
input VAR1;
input [4:0] VAR5;
input [15:0] VAR7;
output [15:0] VAR9;
VAR8 #(.VAR4(16),.VAR2(5))
MODULE1(
.clk(clk),
.VAR6(VAR6),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR9(VAR9)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/clkdlyinv3sd3/sky130_fd_sc_ms__clkdlyinv3sd3.blackbox.v | 1,323 | module MODULE1 (
VAR2,
VAR3
);
output VAR2;
input VAR3;
supply1 VAR5;
supply0 VAR4;
supply1 VAR6 ;
supply0 VAR1 ;
endmodule | apache-2.0 |
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors- | DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/cpci/dma_read_fifo_4x32.v | 2,346 | module MODULE1(
input [31:0] din, input VAR13,
input VAR10, output [31:0] dout,
input VAR6, input VAR8,
output VAR11,
output VAR5,
input reset,
input clk
);
parameter VAR2 = 2;
parameter VAR3 = 2 ** VAR2;
reg [31:0] VAR4 [VAR3 - 1 : 0];
reg [VAR2 - 1 : 0] VAR1;
reg [VAR2 - 1 : 0] VAR9;
reg [VAR2 - 1 : 0] VAR7;
reg [VAR2 - 1 + 1 : 0] VAR12;
always @(posedge clk)
begin
if (VAR13)
VAR4[VAR7] <= din;
end
always @(posedge clk)
begin
if (reset) begin
VAR1 <= 'h0;
VAR9 <= 'h0;
VAR7 <= 'h0;
VAR12 <= 'h0;
end
else begin
if (VAR13) VAR7 <= VAR7 + 'h1;
if (VAR8)
VAR1 <= VAR9;
end
else if (VAR10)
VAR1 <= VAR1 + 'h1;
if (VAR6) VAR9 <= VAR9 + 'h1;
if (VAR13 & ~VAR6) VAR12 <= VAR12 + 'h1;
if (~VAR13 & VAR6) VAR12 <= VAR12 - 'h1;
end
end
assign dout = VAR4[VAR1];
assign VAR11 = VAR12 == VAR3;
assign VAR5 = VAR12 == 'h0;
always @(posedge clk)
begin
if (VAR13 && VAR12 == VAR3 && !VAR6)
if ((VAR10 || VAR6) && VAR12 == 'h0)
end
endmodule | mit |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_MATLAB_Function.v | 1,082 | module MODULE1
(
VAR2,
VAR3
);
input [17:0] VAR2; output [8:0] VAR3;
wire [8:0] VAR1;
assign VAR1 = VAR2[17:9];
assign VAR3 = VAR1;
endmodule | gpl-3.0 |
asicguy/gplgpu | hdl/altera_ddr3_128/ddr3_int_controller_phy.v | 15,224 | module MODULE1 (
VAR150,
VAR42,
VAR63,
VAR79,
VAR17,
VAR59,
VAR103,
VAR147,
VAR61,
VAR114,
VAR161,
VAR27,
VAR31,
VAR165,
VAR67,
VAR43,
VAR51,
VAR30,
VAR28,
VAR11,
VAR6,
VAR96,
VAR99,
VAR66,
VAR34,
VAR152,
VAR93,
VAR53,
VAR149,
VAR94,
VAR45,
VAR132,
VAR69,
VAR100,
VAR26,
VAR144,
VAR44,
VAR18,
VAR163,
VAR148,
VAR169,
VAR55,
VAR168,
VAR136,
VAR127,
VAR37,
VAR39,
VAR153,
VAR62,
VAR65,
VAR155,
VAR78,
VAR47,
VAR115,
VAR32,
VAR91,
VAR98,
VAR166,
VAR104,
VAR46,
VAR15,
VAR158,
VAR76,
VAR84,
VAR58,
VAR162,
VAR142,
VAR131,
VAR146,
VAR164,
VAR35,
VAR83,
VAR5,
VAR82,
VAR1,
VAR105,
VAR145
)
;
output VAR148;
output VAR169;
output VAR55;
output VAR168;
output VAR136;
output [ 5: 0] VAR127;
output VAR37;
output [ 63: 0] VAR39;
output VAR153;
output VAR62;
output [255: 0] VAR65;
output VAR155;
output VAR78;
output VAR47;
output VAR115;
output [ 12: 0] VAR32;
output [ 2: 0] VAR91;
output VAR98;
output [ 0: 0] VAR166;
inout [ 0: 0] VAR104;
inout [ 0: 0] VAR46;
output [ 0: 0] VAR15;
output [ 7: 0] VAR158;
inout [ 63: 0] VAR76;
inout [ 7: 0] VAR84;
inout [ 7: 0] VAR58;
output [ 0: 0] VAR162;
output VAR142;
output VAR131;
output VAR146;
output VAR164;
output VAR35;
output VAR83;
output VAR5;
output [ 8: 0] VAR82;
output VAR1;
output VAR105;
output VAR145;
input [ 5: 0] VAR150;
input [ 5: 0] VAR42;
input VAR63;
input VAR79;
input [ 7: 0] VAR17;
input VAR59;
input [ 7: 0] VAR103;
input [ 63: 0] VAR147;
input [ 7: 0] VAR61;
input [ 7: 0] VAR114;
input [ 7: 0] VAR161;
input [ 23: 0] VAR27;
input VAR31;
input [ 31: 0] VAR165;
input VAR67;
input VAR43;
input VAR51;
input VAR30;
input VAR28;
input VAR11;
input VAR6;
input [ 4: 0] VAR96;
input [255: 0] VAR99;
input VAR66;
input [ 13: 0] VAR34;
input [ 13: 0] VAR152;
input [ 3: 0] VAR93;
input VAR53;
input VAR149;
input VAR94;
input [ 2: 0] VAR45;
input [ 3: 0] VAR132;
input [ 8: 0] VAR69;
input VAR100;
input VAR26;
input VAR144;
input VAR44;
input VAR18;
input VAR163;
wire [ 25: 0] VAR95;
wire [ 5: 0] VAR172;
wire [ 1: 0] VAR124;
wire [ 1: 0] VAR90;
wire [ 1: 0] VAR71;
wire VAR126;
wire VAR92;
wire [ 31: 0] VAR2;
wire [ 15: 0] VAR38;
wire [ 1: 0] VAR87;
wire [ 1: 0] VAR73;
wire [255: 0] VAR86;
wire [ 15: 0] VAR108;
wire [ 15: 0] VAR112;
wire [ 1: 0] VAR133;
wire [ 1: 0] VAR72;
wire [255: 0] VAR74;
wire [ 15: 0] VAR116;
wire [ 1: 0] VAR36;
wire [ 4: 0] VAR25;
wire VAR148;
wire VAR169;
wire VAR55;
wire VAR168;
wire [ 31: 0] VAR89;
wire VAR54;
wire VAR50;
wire [ 7: 0] VAR75;
wire VAR52;
wire VAR16;
wire VAR167;
wire VAR64;
wire VAR123;
wire [ 4: 0] VAR111;
wire [ 31: 0] VAR33;
wire VAR41;
wire VAR136;
wire [ 5: 0] VAR127;
wire VAR37;
wire [ 63: 0] VAR39;
wire VAR153;
wire VAR62;
wire [255: 0] VAR65;
wire VAR155;
wire VAR78;
wire VAR47;
wire VAR115;
wire [ 12: 0] VAR32;
wire [ 2: 0] VAR91;
wire VAR98;
wire [ 0: 0] VAR166;
wire [ 0: 0] VAR104;
wire [ 0: 0] VAR46;
wire [ 0: 0] VAR15;
wire [ 7: 0] VAR158;
wire [ 63: 0] VAR76;
wire [ 7: 0] VAR84;
wire [ 7: 0] VAR58;
wire [ 0: 0] VAR162;
wire VAR142;
wire VAR131;
wire VAR146;
wire VAR164;
wire VAR35;
wire VAR83;
wire VAR5;
wire [ 8: 0] VAR82;
wire VAR1;
wire VAR110;
wire VAR105;
wire VAR145;
assign VAR164 = VAR64;
assign VAR105 = VAR110;
VAR101 VAR137
(
.VAR95 (VAR95),
.VAR172 (VAR172),
.VAR159 (VAR75),
.VAR23 (VAR52),
.VAR109 (VAR16),
.VAR85 (VAR167),
.VAR124 (VAR124),
.VAR90 (VAR90),
.VAR71 (VAR71),
.VAR126 (VAR126),
.VAR92 (VAR92),
.VAR2 (VAR2),
.VAR38 (VAR38),
.VAR22 (VAR123),
.VAR87 (VAR87),
.VAR73 (VAR73),
.VAR86 (VAR86),
.VAR108 (VAR108),
.VAR112 (VAR112),
.VAR133 (VAR133),
.VAR97 (VAR111),
.VAR72 (VAR72),
.VAR88 ({1{1'b0}}),
.VAR74 (VAR74),
.VAR116 (VAR116),
.VAR36 (VAR36),
.VAR25 (VAR25),
.clk (VAR64),
.VAR121 (16'b0),
.VAR125 (4'b0),
.VAR57 (1'b0),
.VAR143 (1'b0),
.VAR10 (VAR89),
.VAR151 (VAR54),
.VAR129 (1'b0),
.VAR4 (VAR50),
.VAR119 (32'b0),
.VAR135 (1'b0),
.VAR37 (VAR37),
.VAR106 (VAR169),
.VAR27 (VAR27),
.VAR31 (VAR31),
.VAR130 (VAR67),
.VAR120 (VAR96),
.VAR134 (VAR165),
.VAR153 (VAR153),
.VAR139 (VAR43),
.VAR24 (VAR62),
.VAR12 (1'b0),
.VAR107 (1'b1),
.VAR9 (VAR51),
.VAR171 (VAR65),
.VAR49 (VAR155),
.VAR78 (VAR78),
.VAR47 (VAR47),
.VAR30 (VAR30),
.VAR28 (VAR28),
.VAR115 (VAR115),
.VAR11 (VAR11),
.VAR6 (VAR6),
.VAR102 (VAR66),
.VAR60 (VAR99),
.VAR122 (VAR110)
);
VAR117 VAR81
(
.VAR148 (VAR148),
.VAR169 (VAR169),
.VAR40 (VAR95),
.VAR21 (VAR172),
.VAR75 (VAR75),
.VAR52 (VAR52),
.VAR16 (VAR16),
.VAR167 (VAR167),
.VAR128 (VAR124),
.VAR20 (VAR90),
.VAR64 (VAR64),
.VAR19 (VAR71),
.VAR138 (VAR2),
.VAR118 (VAR108),
.VAR13 (VAR38),
.VAR123 (VAR123),
.VAR56 (VAR87),
.VAR3 (VAR73),
.VAR77 (VAR86),
.VAR7 (VAR133),
.VAR8 (VAR110),
.VAR111 (VAR111),
.VAR68 (VAR72),
.VAR141 (VAR74),
.VAR154 (VAR116),
.VAR113 (VAR36),
.VAR80 (VAR25),
.VAR160 (13'b0),
.VAR14 (VAR64),
.VAR70 (1'b0),
.VAR156 (1'b0),
.VAR157 (VAR33),
.VAR140 (VAR110),
.VAR29 (VAR41),
.VAR170 (1'b0),
.VAR48 (32'b0),
.VAR136 (VAR136),
.VAR127 (VAR127),
.VAR150 (VAR150),
.VAR42 (VAR42),
.VAR63 (VAR63),
.VAR32 (VAR32),
.VAR91 (VAR91),
.VAR98 (VAR98),
.VAR166 (VAR166),
.VAR104 (VAR104),
.VAR46 (VAR46),
.VAR15 (VAR15),
.VAR158 (VAR158[7 : 0]),
.VAR76 (VAR76),
.VAR84 (VAR84[7 : 0]),
.VAR58 (VAR58[7 : 0]),
.VAR162 (VAR162),
.VAR142 (VAR142),
.VAR131 (VAR131),
.VAR146 (VAR146),
.VAR34 (VAR34),
.VAR152 (VAR152),
.VAR18 (VAR18),
.VAR145 (VAR145),
.VAR163 (VAR163)
);
endmodule | gpl-3.0 |
JY-Kim/CA2016 | Sources/full_adder.v | 2,241 | module MODULE1
(
input VAR9, input VAR6, input VAR4,
output wire VAR8, output wire VAR2 );
wire VAR7;
wire VAR3;
wire VAR10;
VAR5 VAR11 (
.VAR9 ( VAR9 ),
.VAR6 ( VAR6 ),
.VAR8 ( VAR7 ),
.VAR2 ( VAR3 )
);
VAR5 VAR1 (
.VAR9 ( VAR7 ),
.VAR6 ( VAR4 ),
.VAR8 ( VAR8 ),
.VAR2 ( VAR10 )
);
assign VAR2 = ( VAR3 | VAR10 );
endmodule | mit |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v | 1,874 | module MODULE1 #( parameter VAR19(VAR9 )
, parameter VAR19(VAR8 )
)
( input VAR14
, input VAR12
, input VAR6
, input VAR3
, output VAR17
);
localparam VAR7 = VAR4(VAR8);
localparam VAR15 = VAR4(VAR9);
logic [VAR7-1:0] VAR5;
logic [VAR15-1:0] VAR22,VAR11;
logic VAR21, VAR2;
VAR10 #(.VAR8(VAR8)
,.VAR20(0)
,.VAR13(VAR9)
) VAR16
( .VAR14(VAR14)
, .VAR12(VAR12)
, .VAR18(VAR22)
, .VAR1(VAR11)
, .VAR23(VAR5)
);
assign VAR22 = {{(VAR15-1){1'b0}},VAR6};
assign VAR11 = VAR17 ? VAR15'((VAR9)) : VAR15'(0);
assign VAR21 = (VAR5 >= VAR9);
assign VAR2 = (VAR5 >= (VAR9-1));
assign VAR17 = VAR3 & (VAR21 | (VAR2 & VAR6));
endmodule | bsd-3-clause |
trivoldus28/pulsarch-verilog | design/sys/iop/jbi/jbi_min/rtl/jbi_min_rq_rhq_ctl.v | 15,932 | module MODULE1(
VAR5, VAR82, VAR53, VAR102,
VAR50, VAR38, VAR25, VAR34, VAR81,
clk, VAR96, VAR22, VAR16, VAR44, VAR76,
VAR58, VAR18,
VAR92, VAR79, VAR74, VAR56,
VAR36, VAR23, VAR28
);
input clk;
input VAR96;
input VAR22;
input VAR16;
input VAR44;
input VAR76;
input [31:0] VAR58;
input [1:0] VAR18;
input [3:0] VAR92;
output VAR5;
output [3:0] VAR82;
input VAR79;
output VAR53;
input VAR74;
output VAR102;
input VAR56;
output VAR50;
output VAR38;
output [VAR46-1:0] VAR25;
output [VAR46-1:0] VAR34;
output [VAR46-1:0] VAR81;
input VAR36;
input VAR23; input VAR28;
wire VAR5;
wire [3:0] VAR82;
wire VAR53;
wire VAR102;
wire VAR50;
wire VAR38;
wire [VAR46-1:0] VAR25;
wire [VAR46-1:0] VAR34;
wire [VAR46-1:0] VAR81;
wire [VAR46:0] VAR37;
wire [VAR46:0] VAR29;
wire [2:0] VAR62;
wire [4:0] VAR19;
wire [31:0] VAR91;
wire [3:0] VAR54;
reg [VAR46:0] VAR88;
reg [VAR46:0] VAR52;
reg [2:0] VAR63;
reg [4:0] VAR3;
reg [31:0] VAR40;
reg [3:0] VAR93;
wire VAR69;
wire [3:0] VAR17;
wire [VAR46:0] VAR98;
wire [VAR46:0] VAR73;
wire [VAR46:0] VAR59;
wire [VAR46:0] VAR71;
wire [VAR46:0] VAR39;
wire [VAR46:0] VAR78;
wire VAR60;
wire VAR95;
wire VAR35;
wire [VAR46:0] VAR41;
wire VAR67;
wire VAR11;
wire VAR51;
wire VAR42;
wire VAR12;
wire VAR33;
wire VAR86;
wire VAR20;
wire [1:0] VAR83;
wire [1:0] VAR21;
wire [3:0] VAR103;
wire [3:0] VAR55;
wire [31:0] VAR26;
wire [31:0] VAR104;
wire VAR31;
wire VAR84;
wire VAR8;
always @ ( VAR37 or VAR79) begin
if (VAR79)
VAR88 = VAR37 + 1'b1;
end
else
VAR88 = VAR37;
end
assign VAR25 = VAR37[VAR46-1:0];
assign VAR50 = ~(VAR96 & VAR79);
always @ ( VAR74 or VAR29) begin
if (VAR74)
VAR52 = VAR29 + 1'b1;
end
else
VAR52 = VAR29;
end
assign VAR95 = VAR98[VAR46:0] == VAR29[VAR46:0];
assign VAR34 = VAR52[VAR46-1:0];
assign VAR81 = VAR29[VAR46-1:0];
assign VAR38 = VAR73[VAR46:0] == VAR52[VAR46:0];
assign VAR102 = ~VAR95
& VAR36 & ~( VAR56 & VAR42)
& ~(~VAR56 & VAR86);
assign VAR35 = VAR71 == VAR37;
assign VAR41 = VAR71 - VAR37;
assign VAR53 = VAR41[VAR46-1:0] < VAR46'd2
& ~VAR35;
assign VAR11 = VAR74 & VAR56;
assign VAR51 = VAR67 & VAR60;
always @ ( VAR51 or VAR11
or VAR62) begin
case ({ VAR11, VAR51 }) 2'b00,
2'b11: VAR63 = VAR62;
2'b01: VAR63 = VAR62 - 1'b1;
2'b10: VAR63 = VAR62 + 1'b1;
default: VAR63 = {3{1'VAR6}};
endcase
end
assign VAR42 = VAR62 > {1'b0, VAR21[1:0]};
assign VAR12 = VAR74 & ~VAR56;
assign VAR33 = VAR28;
always @ ( VAR33 or VAR12
or VAR19) begin
case ({ VAR12, VAR33 }) 2'b00,
2'b11: VAR3 = VAR19;
2'b01: VAR3 = VAR19 - 1'b1;
2'b10: VAR3 = VAR19 + 1'b1;
default: VAR3 = {5{1'VAR6}};
endcase
end
assign VAR86 = VAR19 > {1'b0, VAR55[3:0]};
always @ ( VAR104 or VAR95
or VAR44 or VAR31 or VAR8
or VAR91) begin
if ( VAR8
| VAR95
| (VAR31 & VAR44))
VAR40 = VAR104;
end
else begin
if (VAR31)
VAR40 = VAR91;
end
else
VAR40 = VAR91 - 1'b1;
end
end
assign VAR31 = VAR91 == 32'd0;
assign VAR69 = ~VAR95
& ~VAR36 & ~( VAR56 & VAR42)
& ~(~VAR56 & VAR86);
always @ ( VAR44 or VAR54
or VAR69) begin
if (VAR44)
VAR93 = {3'd0, VAR69};
end
else begin
if (VAR69)
VAR93 = VAR54 + 1'b1;
end
else
VAR93 = VAR54;
end
end
VAR75 #(VAR46+1) VAR43
(.din(VAR29),
.clk(VAR22),
.en(VAR44),
.VAR96(VAR16),
.VAR27(VAR59)
);
VAR65 #(VAR46+1) VAR87
(.din(VAR59),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR71)
);
VAR75 #(4) VAR2
(.din(VAR54),
.clk(VAR22),
.en(VAR44),
.VAR96(VAR16),
.VAR27(VAR17)
);
VAR65 #(4) VAR24
(.din(VAR17),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR82)
);
VAR75 #(1) VAR48
(.din(VAR31),
.clk(VAR22),
.en(VAR44),
.VAR96(VAR16),
.VAR27(VAR84)
);
VAR65 #(1) VAR77
(.din(VAR84),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR5)
);
VAR75 #(VAR46+1) VAR100
(.din(VAR78),
.clk(VAR22),
.en(VAR76),
.VAR96(VAR16),
.VAR27(VAR98)
);
VAR75 #(VAR46+1) VAR49
(.din(VAR39),
.clk(VAR22),
.en(VAR76),
.VAR96(VAR16),
.VAR27(VAR73)
);
VAR65 #(1) VAR14
(.din(VAR23),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR20)
);
VAR75 #(1) VAR68
(.din(VAR20),
.clk(VAR22),
.en(VAR76),
.VAR96(VAR16),
.VAR27(VAR67)
);
VAR65 #(2) VAR89
(.din(VAR18),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR83)
);
VAR75 #(2) VAR13
(.din(VAR83),
.clk(VAR22),
.en(VAR76),
.VAR96(VAR16),
.VAR27(VAR21)
);
VAR65 #(4) VAR32
(.din(VAR92),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR103)
);
VAR75 #(4) VAR64
(.din(VAR103),
.clk(VAR22),
.en(VAR76),
.VAR96(VAR16),
.VAR27(VAR55)
);
VAR65 #(32) VAR101
(.din(VAR58),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR26)
);
VAR75 #(32) VAR66
(.din(VAR26),
.clk(VAR22),
.en(VAR76),
.VAR96(VAR16),
.VAR27(VAR104)
);
VAR70 #(1) VAR7
(.din(VAR76),
.clk(VAR22),
.VAR27(VAR60)
);
VAR70 #(32) VAR15
(.din(VAR40),
.clk(VAR22),
.VAR27(VAR91)
);
VAR65 #(VAR46+1) VAR4
(.din(VAR88),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR37)
);
VAR65 #(VAR46+1) VAR47
(.din(VAR37),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR39)
);
VAR65 #(VAR46+1) VAR94
(.din(VAR39),
.clk(clk),
.VAR96(VAR96),
.VAR27(VAR78)
);
VAR65 #(VAR46+1) VAR85
(.din(VAR52),
.clk(VAR22),
.VAR96(VAR16),
.VAR27(VAR29)
);
VAR65 #(3) VAR80
(.din(VAR63),
.clk(VAR22),
.VAR96(VAR16),
.VAR27(VAR62)
);
VAR65 #(5) VAR90
(.din(VAR3),
.clk(VAR22),
.VAR96(VAR16),
.VAR27(VAR19)
);
VAR65 #(4) VAR61
(.din(VAR93),
.clk(VAR22),
.VAR96(VAR16),
.VAR27(VAR54)
);
VAR65 #(1) VAR30
(.din(VAR74),
.clk(VAR22),
.VAR96(VAR16),
.VAR27(VAR8)
);
wire VAR97 = VAR71[VAR46] != VAR37[VAR46]
&& VAR71[VAR46-1:0] == VAR37[VAR46-1:0];
always @ ( VAR97 or VAR79) begin
@clk;
if (VAR97 && VAR79)
VAR45 ("MODULE1", 49,"%VAR72 %VAR57: VAR9 - VAR99 VAR10!", );
end
always @ ( VAR95 or VAR74) begin
@VAR22;
if (VAR95 && VAR74)
VAR45 ("MODULE1", 49,"%VAR72 %VAR57: VAR9 - VAR99 VAR1!", );
end
endmodule | gpl-2.0 |
GSejas/Aproximate-Arithmetic-Operators | add_approx_flow/integracion_fisica/front_end/db/SINGLE/Approx_adder_GeArN8R1P5_syn.v | 8,686 | module MODULE1 ( VAR136, VAR34, VAR191, VAR170 );
input [15:0] VAR34;
input [15:0] VAR191;
output [16:0] VAR170;
input VAR136;
wire VAR37, VAR135, VAR288, VAR270, VAR137, VAR81, VAR319, VAR275, VAR333, VAR117, VAR29, VAR113, VAR214, VAR328,
VAR24, VAR158, VAR315, VAR13, VAR232, VAR73, VAR95, VAR235, VAR74, VAR307, VAR27, VAR139, VAR230, VAR332,
VAR25, VAR167, VAR213, VAR317, VAR2, VAR12, VAR134, VAR55, VAR302, VAR217, VAR128, VAR168, VAR79, VAR242,
VAR9, VAR199, VAR282, VAR266, VAR327, VAR130, VAR203, VAR155, VAR85, VAR196, VAR218, VAR65, VAR244, VAR146,
VAR314, VAR258, VAR22, VAR180, VAR265, VAR50, VAR186, VAR162, VAR261, VAR80, VAR236, VAR272, VAR253, VAR325,
VAR120, VAR241, VAR87, VAR154, VAR49, VAR231, VAR59, VAR254, VAR147, VAR15, VAR291,
VAR44, VAR228, VAR179, VAR169, VAR277, VAR145, VAR257, VAR294, VAR227, VAR122, VAR3,
VAR321, VAR103, VAR312, VAR309, VAR212, VAR226, VAR177, VAR141, VAR252, VAR334, VAR243,
VAR209, VAR195, VAR118, VAR84, VAR188, VAR102, VAR248, VAR176, VAR281, VAR61, VAR264,
VAR105, VAR263, VAR301, VAR171, VAR114, VAR247, VAR160, VAR66, VAR256, VAR91, VAR324,
VAR57, VAR1, VAR17, VAR132;
VAR126 VAR10 ( .VAR39(VAR169), .VAR239(VAR270), .VAR47(VAR179), .VAR107(VAR170[16]) );
VAR316 VAR123 ( .VAR221(VAR270), .VAR313(VAR179), .VAR107(VAR253) );
VAR187 VAR165 ( .VAR221(VAR29), .VAR313(VAR191[13]), .VAR107(VAR265) );
VAR220 VAR287 ( .VAR221(VAR186), .VAR313(VAR136), .VAR107(VAR29) );
VAR16 VAR329 ( .VAR221(VAR327), .VAR313(VAR34[10]), .VAR107(VAR37) );
VAR187 VAR151 ( .VAR221(VAR196), .VAR313(VAR191[11]), .VAR107(VAR65) );
VAR316 VAR322 ( .VAR221(VAR327), .VAR313(VAR34[10]), .VAR107(VAR334) );
VAR224 VAR175 ( .VAR221(VAR282), .VAR313(VAR34[8]), .VAR107(VAR176) );
VAR125 VAR298 ( .VAR221(VAR291), .VAR313(VAR34[6]), .VAR107(VAR217) );
VAR316 VAR184 ( .VAR221(VAR9), .VAR313(VAR34[7]), .VAR107(VAR263) );
VAR125 VAR318 ( .VAR221(VAR113), .VAR313(VAR146), .VAR107(VAR328) );
VAR69 VAR148 ( .VAR221(VAR139), .VAR313(VAR27), .VAR107(VAR57) );
VAR316 VAR208 ( .VAR221(VAR307), .VAR313(VAR136), .VAR107(VAR95) );
VAR197 VAR94 ( .VAR221(VAR27), .VAR313(VAR73), .VAR323(VAR213), .VAR107(VAR117) );
VAR205 VAR274 ( .VAR221(VAR191[2]), .VAR107(VAR333) );
VAR305 VAR250 ( .VAR221(VAR9), .VAR313(VAR34[7]), .VAR107(VAR242) );
VAR18 VAR246 ( .VAR210(VAR176), .VAR200(VAR248), .VAR47(VAR281), .VAR107(VAR102) );
VAR142 VAR310 ( .VAR221(VAR321), .VAR313(VAR3), .VAR107(VAR170[12]) );
VAR249 VAR297 ( .VAR221(VAR307), .VAR313(VAR117), .VAR107(VAR12) );
VAR300 VAR63 ( .VAR221(VAR191[3]), .VAR107(VAR73) );
VAR300 VAR251 ( .VAR221(VAR191[4]), .VAR107(VAR27) );
VAR300 VAR56 ( .VAR221(VAR191[5]), .VAR107(VAR213) );
VAR189 VAR181 ( .VAR221(VAR212), .VAR107(VAR248) );
VAR159 VAR290 ( .VAR26(VAR226), .VAR313(VAR212), .VAR107(VAR155) );
VAR125 VAR296 ( .VAR221(VAR314), .VAR313(VAR146), .VAR107(VAR22) );
VAR89 VAR116 ( .VAR210(VAR195), .VAR200(VAR281), .VAR47(VAR118), .VAR107(VAR177) );
VAR249 VAR20 ( .VAR221(VAR195), .VAR313(VAR176), .VAR107(VAR226) );
VAR142 VAR119 ( .VAR221(VAR132), .VAR313(VAR17), .VAR107(VAR170[5]) );
VAR249 VAR4 ( .VAR221(VAR24), .VAR313(VAR191[9]), .VAR107(VAR113) );
VAR193 VAR138 ( .VAR221(VAR12), .VAR313(VAR134), .VAR107(VAR168) );
VAR106 VAR161 ( .VAR221(VAR235), .VAR313(VAR333), .VAR107(VAR307) );
VAR143 VAR207 ( .VAR221(VAR272), .VAR313(VAR34[15]), .VAR107(VAR179) );
VAR16 VAR229 ( .VAR221(VAR272), .VAR313(VAR34[15]), .VAR107(VAR270) );
VAR143 VAR245 ( .VAR221(VAR261), .VAR313(VAR34[14]), .VAR107(VAR120) );
VAR16 VAR153 ( .VAR221(VAR265), .VAR313(VAR34[13]), .VAR107(VAR275) );
VAR143 VAR201 ( .VAR221(VAR265), .VAR313(VAR34[13]), .VAR107(VAR277) );
VAR43 VAR109 ( .VAR210(VAR37), .VAR200(VAR177), .VAR47(VAR130), .VAR107(VAR203) );
VAR224 VAR216 ( .VAR221(VAR180), .VAR313(VAR34[12]), .VAR107(VAR294) );
VAR143 VAR276 ( .VAR221(VAR80), .VAR313(VAR135), .VAR107(VAR162) );
VAR16 VAR45 ( .VAR221(VAR65), .VAR313(VAR34[11]), .VAR107(VAR218) );
VAR187 VAR326 ( .VAR221(VAR22), .VAR313(VAR258), .VAR107(VAR180) );
VAR143 VAR99 ( .VAR221(VAR65), .VAR313(VAR34[11]), .VAR107(VAR103) );
VAR143 VAR286 ( .VAR221(VAR266), .VAR313(VAR34[9]), .VAR107(VAR118) );
VAR143 VAR64 ( .VAR221(VAR282), .VAR313(VAR34[8]), .VAR107(VAR281) );
VAR193 VAR330 ( .VAR221(VAR113), .VAR313(VAR214), .VAR107(VAR85) );
VAR110 VAR299 ( .VAR221(VAR158), .VAR313(VAR191[9]), .VAR107(VAR266) );
VAR83 VAR194 ( .VAR221(VAR132), .VAR313(VAR34[5]), .VAR107(VAR317) );
VAR193 VAR331 ( .VAR221(VAR315), .VAR313(VAR13), .VAR107(VAR24) );
VAR125 VAR28 ( .VAR221(VAR315), .VAR313(VAR146), .VAR107(VAR232) );
VAR43 VAR238 ( .VAR210(VAR319), .VAR200(VAR288), .VAR47(VAR81), .VAR107(VAR332) );
VAR249 VAR163 ( .VAR221(VAR168), .VAR313(VAR191[7]), .VAR107(VAR315) );
VAR69 VAR115 ( .VAR221(VAR74), .VAR313(VAR191[2]), .VAR107(VAR247) );
VAR204 VAR304 ( .VAR221(VAR213), .VAR313(VAR167), .VAR107(VAR132) );
VAR62 VAR289 ( .VAR210(VAR248), .VAR200(VAR252), .VAR47(VAR141), .VAR107(VAR209) );
VAR269 VAR86 ( .VAR210(VAR91), .VAR200(VAR34[3]), .VAR47(VAR91), .VAR292(VAR59), .VAR23(VAR34[3]),
.VAR104(VAR59), .VAR107(VAR147) );
VAR190 VAR96 ( .VAR210(VAR87), .VAR200(VAR325), .VAR47(VAR120), .VAR107(VAR169) );
VAR54 VAR5 ( .VAR210(VAR171), .VAR200(VAR242), .VAR47(VAR199), .VAR107(VAR212) );
VAR110 VAR78 ( .VAR221(VAR328), .VAR313(VAR214), .VAR107(VAR327) );
VAR249 VAR124 ( .VAR221(VAR266), .VAR313(VAR34[9]), .VAR107(VAR195) );
VAR284 VAR97 ( .VAR221(VAR307), .VAR313(VAR191[3]), .VAR107(VAR25) );
VAR110 VAR152 ( .VAR221(VAR232), .VAR313(VAR13), .VAR107(VAR282) );
VAR193 VAR7 ( .VAR221(VAR314), .VAR313(VAR258), .VAR107(VAR186) );
VAR259 VAR233 ( .VAR221(VAR103), .VAR107(VAR244) );
VAR143 VAR280 ( .VAR221(VAR180), .VAR313(VAR34[12]), .VAR107(VAR227) );
VAR143 VAR225 ( .VAR221(VAR57), .VAR313(VAR34[4]), .VAR107(VAR230) );
VAR316 VAR234 ( .VAR221(VAR168), .VAR313(VAR136), .VAR107(VAR79) );
VAR187 VAR131 ( .VAR221(VAR162), .VAR313(VAR191[14]), .VAR107(VAR261) );
VAR110 VAR144 ( .VAR221(VAR55), .VAR313(VAR134), .VAR107(VAR291) );
VAR249 VAR219 ( .VAR221(VAR57), .VAR313(VAR34[4]), .VAR107(VAR254) );
VAR224 VAR223 ( .VAR221(VAR261), .VAR313(VAR34[14]), .VAR107(VAR325) );
VAR259 VAR14 ( .VAR221(VAR277), .VAR107(VAR50) );
VAR156 VAR41 ( .VAR221(VAR91), .VAR313(VAR34[3]), .VAR107(VAR81) );
VAR249 VAR112 ( .VAR221(VAR85), .VAR313(VAR191[11]), .VAR107(VAR314) );
VAR75 VAR295 ( .VAR221(VAR132), .VAR313(VAR34[5]), .VAR107(VAR137) );
VAR259 VAR262 ( .VAR221(VAR263), .VAR107(VAR199) );
VAR316 VAR90 ( .VAR221(VAR85), .VAR313(VAR136), .VAR107(VAR196) );
VAR110 VAR202 ( .VAR221(VAR236), .VAR313(VAR191[15]), .VAR107(VAR272) );
VAR259 VAR32 ( .VAR221(VAR177), .VAR107(VAR141) );
VAR142 VAR260 ( .VAR221(VAR34[6]), .VAR313(VAR228), .VAR107(VAR170[6]) );
VAR187 VAR53 ( .VAR221(VAR44), .VAR313(VAR291), .VAR107(VAR228) );
VAR316 VAR149 ( .VAR221(VAR242), .VAR313(VAR263), .VAR107(VAR301) );
VAR187 VAR111 ( .VAR221(VAR105), .VAR313(VAR264), .VAR107(VAR170[8]) );
VAR316 VAR273 ( .VAR221(VAR61), .VAR313(VAR281), .VAR107(VAR264) );
VAR259 VAR127 ( .VAR221(VAR248), .VAR107(VAR105) );
VAR259 VAR133 ( .VAR221(VAR176), .VAR107(VAR61) );
VAR187 VAR240 ( .VAR221(VAR102), .VAR313(VAR188), .VAR107(VAR170[9]) );
VAR316 VAR182 ( .VAR221(VAR84), .VAR313(VAR118), .VAR107(VAR188) );
VAR259 VAR30 ( .VAR221(VAR195), .VAR107(VAR84) );
VAR187 VAR42 ( .VAR221(VAR209), .VAR313(VAR243), .VAR107(VAR170[10]) );
VAR316 VAR311 ( .VAR221(VAR37), .VAR313(VAR334), .VAR107(VAR243) );
VAR259 VAR6 ( .VAR221(VAR226), .VAR107(VAR252) );
VAR187 VAR98 ( .VAR221(VAR309), .VAR313(VAR312), .VAR107(VAR170[11]) );
VAR316 VAR93 ( .VAR221(VAR218), .VAR313(VAR103), .VAR107(VAR312) );
VAR316 VAR82 ( .VAR221(VAR122), .VAR313(VAR227), .VAR107(VAR321) );
VAR259 VAR21 ( .VAR221(VAR294), .VAR107(VAR122) );
VAR316 VAR8 ( .VAR221(VAR275), .VAR313(VAR277), .VAR107(VAR257) );
VAR316 VAR92 ( .VAR221(VAR241), .VAR313(VAR120), .VAR107(VAR154) );
VAR259 VAR271 ( .VAR221(VAR325), .VAR107(VAR241) );
VAR269 VAR71 ( .VAR210(VAR132), .VAR200(VAR34[5]), .VAR47(VAR132), .VAR292(VAR15), .VAR23(VAR34[5]),
.VAR104(VAR15), .VAR107(VAR44) );
VAR259 VAR192 ( .VAR221(VAR146), .VAR107(VAR135) );
VAR267 VAR150 ( .VAR221(VAR136), .VAR107(VAR146) );
VAR187 VAR215 ( .VAR221(VAR257), .VAR313(VAR145), .VAR107(VAR170[13]) );
VAR75 VAR48 ( .VAR221(VAR247), .VAR313(VAR34[2]), .VAR107(VAR288) );
VAR305 VAR76 ( .VAR221(VAR91), .VAR313(VAR34[3]), .VAR107(VAR319) );
VAR316 VAR77 ( .VAR221(VAR24), .VAR313(VAR136), .VAR107(VAR158) );
VAR259 VAR35 ( .VAR221(VAR334), .VAR107(VAR130) );
VAR316 VAR268 ( .VAR221(VAR291), .VAR313(VAR34[6]), .VAR107(VAR302) );
VAR46 VAR108 ( .VAR221(VAR191[0]), .VAR313(VAR34[0]), .VAR308(VAR160), .VAR58(VAR170[0]) );
VAR51 VAR303 ( .VAR221(VAR191[0]), .VAR313(VAR191[1]), .VAR107(VAR235) );
VAR259 VAR211 ( .VAR221(VAR191[6]), .VAR107(VAR134) );
VAR259 VAR198 ( .VAR221(VAR191[8]), .VAR107(VAR13) );
VAR259 VAR67 ( .VAR221(VAR191[10]), .VAR107(VAR214) );
VAR259 VAR178 ( .VAR221(VAR191[12]), .VAR107(VAR258) );
VAR293 VAR164 ( .VAR221(VAR95), .VAR313(VAR73), .VAR107(VAR91) );
VAR125 VAR306 ( .VAR221(VAR146), .VAR313(VAR235), .VAR107(VAR74) );
VAR316 VAR19 ( .VAR221(VAR25), .VAR313(VAR136), .VAR107(VAR139) );
VAR190 VAR206 ( .VAR210(VAR332), .VAR200(VAR254), .VAR47(VAR230), .VAR107(VAR2) );
VAR62 VAR68 ( .VAR210(VAR25), .VAR200(VAR191[4]), .VAR47(VAR136), .VAR107(VAR167) );
VAR54 VAR285 ( .VAR210(VAR2), .VAR200(VAR317), .VAR47(VAR137), .VAR107(VAR128) );
VAR125 VAR36 ( .VAR221(VAR12), .VAR313(VAR146), .VAR107(VAR55) );
VAR190 VAR283 ( .VAR210(VAR128), .VAR200(VAR217), .VAR47(VAR302), .VAR107(VAR171) );
VAR110 VAR52 ( .VAR221(VAR79), .VAR313(VAR191[7]), .VAR107(VAR9) );
VAR70 VAR183 ( .VAR39(VAR37), .VAR239(VAR155), .VAR47(VAR203), .VAR107(VAR309) );
VAR54 VAR60 ( .VAR210(VAR309), .VAR200(VAR218), .VAR47(VAR244), .VAR107(VAR3) );
VAR190 VAR174 ( .VAR210(VAR3), .VAR200(VAR294), .VAR47(VAR227), .VAR107(VAR145) );
VAR54 VAR121 ( .VAR210(VAR275), .VAR200(VAR145), .VAR47(VAR50), .VAR107(VAR87) );
VAR16 VAR140 ( .VAR221(VAR186), .VAR313(VAR191[13]), .VAR107(VAR80) );
VAR62 VAR157 ( .VAR210(VAR80), .VAR200(VAR191[14]), .VAR47(VAR136), .VAR107(VAR236) );
VAR187 VAR185 ( .VAR221(VAR169), .VAR313(VAR253), .VAR107(VAR170[15]) );
VAR142 VAR173 ( .VAR221(VAR154), .VAR313(VAR87), .VAR107(VAR170[14]) );
VAR316 VAR172 ( .VAR221(VAR136), .VAR313(VAR191[0]), .VAR107(VAR49) );
VAR187 VAR278 ( .VAR221(VAR49), .VAR313(VAR191[1]), .VAR107(VAR66) );
VAR237 VAR166 ( .VAR210(VAR34[2]), .VAR200(VAR247), .VAR47(VAR66), .VAR23(VAR34[1]), .VAR107(VAR231)
);
VAR11 VAR33 ( .VAR39(VAR247), .VAR239(VAR34[2]), .VAR47(VAR231), .VAR107(VAR59) );
VAR72 VAR40 ( .VAR47(VAR147), .VAR292(VAR254), .VAR39(VAR34[4]), .VAR239(VAR57), .VAR107(VAR15)
);
VAR187 VAR129 ( .VAR221(VAR171), .VAR313(VAR301), .VAR107(VAR170[7]) );
VAR279 VAR38 ( .VAR221(VAR34[2]), .VAR313(VAR247), .VAR323(VAR114), .VAR308(VAR256), .VAR58(VAR170[2]) );
VAR279 VAR88 ( .VAR221(VAR34[1]), .VAR313(VAR66), .VAR323(VAR160), .VAR308(VAR114), .VAR58(VAR170[1]) );
VAR279 VAR255 ( .VAR221(VAR34[3]), .VAR313(VAR91), .VAR323(VAR256), .VAR308(VAR324), .VAR58(VAR170[3]) );
VAR279 VAR320 ( .VAR221(VAR34[4]), .VAR313(VAR57), .VAR323(VAR324), .VAR308(VAR1), .VAR58(VAR170[4]) );
VAR142 VAR31 ( .VAR221(VAR34[5]), .VAR313(VAR1), .VAR107(VAR17) );
VAR101 ("VAR222.VAR100");
endmodule | apache-2.0 |
cheehieu/qm-fir-digital-filter-core | ISAAC/qmfir_documentation/v/uart_if.v | 5,326 | module MODULE1 (
VAR30, VAR5, VAR10, VAR18, VAR19, VAR24,
VAR26, VAR13, clk, VAR7, VAR2
);
output [23:0] VAR30;
output [13:0] VAR5;
output VAR10;
output VAR18;
output VAR19;
output VAR24;
input [23:0] VAR26;
input [23:0] VAR13;
input clk;
input VAR7;
input VAR2;
reg [15:0] VAR38;
reg [23:0] VAR30;
parameter VAR28 = 0;
parameter VAR11 = 1;
parameter VAR4 = 2;
parameter VAR3 = 3;
parameter VAR21 = 4;
parameter VAR33 = 5;
parameter VAR32 = 6;
parameter VAR27 = 7;
reg [2:0] state;
reg [7:0] VAR29;
wire [7:0] VAR6; wire VAR36; wire VAR8; wire VAR17; wire VAR22;
wire VAR15;
wire VAR12;
reg VAR34;
reg VAR23;
VAR31 VAR25 ( .VAR20 (VAR24),
.VAR16 (),
.VAR9 (VAR2),
.VAR37 (1'b0),
.VAR35 (VAR7),
.VAR6 (VAR6[7:0]),
.VAR8 (VAR8),
.VAR36 (VAR36),
.clk (clk),
.VAR17 (VAR17),
.VAR22 (VAR22),
.VAR29 (VAR29[7:0]),
.VAR34 (VAR34),
.VAR23 (VAR23));
VAR14 VAR1 (
.VAR17 (VAR17),
.VAR22 (VAR22),
.clk (clk),
.VAR7 (VAR7));
always @ (posedge clk or negedge VAR7)
if (~VAR7)
state <= VAR28;
else
case (state)
VAR28 : if (~VAR36) state <= VAR11;
VAR11 : if (~VAR36) state <= VAR4;
VAR4 : if (VAR15) state <= VAR27; else if (~VAR36) state <= VAR3; VAR3: if (VAR15) state <= VAR21; else if (~VAR36) state <= VAR21; VAR21: if (VAR15) state <= VAR33; else if (~VAR36) state <= VAR33; VAR33: if (VAR15) state <= VAR28; else state <= VAR32; VAR32: state <= VAR28;
VAR27: state <= VAR3;
endcase
always @ (posedge clk or negedge VAR7)
if (~VAR7) VAR38 <= 0;
else
begin
if (state==VAR28) VAR38[15:8] <= VAR6[7:0];
if (state==VAR11) VAR38[7:0] <= VAR6[7:0];
end
assign VAR15 = ~VAR38[15];
assign VAR12 = VAR38[14];
assign VAR5 = VAR38[13:0];
always @ (posedge clk or negedge VAR7)
if (~VAR7)
VAR30 <= 0;
else
begin
if (state==VAR4 & ~VAR15) VAR30[23:16] <= VAR6[7:0];
if (state==VAR3 & ~VAR15) VAR30[15:8] <= VAR6[7:0];
if (state==VAR21 & ~VAR15) VAR30[7:0] <= VAR6[7:0];
end
always @ (VAR15 or VAR36 or state)
case (state)
VAR28 : VAR34 = ~VAR36;
VAR11 : VAR34 = ~VAR36;
VAR4 : VAR34 = ~VAR36 & ~VAR15;
VAR3: VAR34 = ~VAR36 & ~VAR15;
VAR21: VAR34 = ~VAR36 & ~VAR15;
default: VAR34 = 0;
endcase
assign VAR10 = (state==VAR32) & VAR12;
assign VAR19 = (state==VAR32) & ~VAR12;
always @ (VAR12 or state or VAR26 or VAR13)
case (state)
VAR21: VAR29[7:0] = VAR12 ? VAR26[15:8] : VAR13[15:8];
VAR33: VAR29[7:0] = VAR12 ? VAR26[7:0] : VAR13[7:0];
default: VAR29[7:0] = VAR12 ? VAR26[23:16] : VAR13[23:16];
endcase
always @ (VAR15 or state)
case (state)
VAR3: VAR23 = VAR15;
VAR21: VAR23 = VAR15;
VAR33: VAR23 = VAR15;
default: VAR23 = 0;
endcase
assign VAR18 = (state==VAR27);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/or4b/sky130_fd_sc_ls__or4b.functional.v | 1,402 | module MODULE1 (
VAR5 ,
VAR10 ,
VAR9 ,
VAR1 ,
VAR3
);
output VAR5 ;
input VAR10 ;
input VAR9 ;
input VAR1 ;
input VAR3;
wire VAR6 ;
wire VAR8;
not VAR2 (VAR6 , VAR3 );
or VAR4 (VAR8, VAR6, VAR1, VAR9, VAR10);
buf VAR7 (VAR5 , VAR8 );
endmodule | apache-2.0 |
tmolteno/TART | hardware/FPGA/wishbone/rtl/wb_chunk.v | 3,478 | module MODULE1
parameter VAR22 = VAR12-1,
parameter VAR5 = 8,
parameter VAR3 = VAR5-1,
parameter VAR7 = (VAR12+VAR5-1) / VAR5 - 1,
parameter VAR9 = 3,
parameter VAR4 = VAR9-1,
parameter VAR11 = 3)
(
input VAR6,
input VAR19,
input VAR15,
input VAR23,
input VAR13, output reg VAR14 = 0,
input [VAR3:0] VAR10, output [VAR3:0] VAR21,
output reg VAR17 = 0,
input VAR16,
input [VAR22:0] VAR2
);
reg VAR8 = 1'b1;
reg [VAR22:0] word = {VAR12{1'VAR20}};
reg [VAR4:0] VAR18 = {VAR9{1'b0}};
wire VAR24 = VAR18 == VAR7;
wire [VAR9:0] VAR1 = VAR24 ? {VAR9{1'b0}} : VAR18 + 1;
assign VAR21 = word[VAR3:0];
always @(posedge VAR6)
if (VAR19 || VAR14)
VAR14 <= #VAR11 1'b0;
else if (VAR15 && VAR23 && !VAR8)
VAR14 <= #VAR11 1'b1;
always @(posedge VAR6)
if (VAR19 || VAR17 && VAR16)
VAR18 <= #VAR11 {VAR9{1'b0}};
else if (VAR15 && VAR23 && !VAR14 && !VAR8)
VAR18 <= #VAR11 VAR1[VAR4:0];
always @(posedge VAR6)
if (VAR19 || VAR17 && VAR16)
VAR17 <= #VAR11 1'b0;
else if (VAR15 && VAR23 && VAR8)
VAR17 <= #VAR11 1'b1;
always @(posedge VAR6)
if (VAR19)
VAR8 <= #VAR11 1'b1;
else if (VAR17 && VAR16)
VAR8 <= #VAR11 1'b0;
else if (VAR24 && VAR15 && VAR23 && !VAR14)
VAR8 <= #VAR11 1'b1;
always @(posedge VAR6)
if (VAR17 && VAR16)
word <= #VAR11 VAR2;
else if (VAR15 && VAR23 && VAR14)
word <= #VAR11 {{VAR5{1'b0}}, word[VAR22:VAR5]};
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/and4bb/sky130_fd_sc_ms__and4bb.behavioral.v | 1,512 | module MODULE1 (
VAR7 ,
VAR11,
VAR2,
VAR3 ,
VAR14
);
output VAR7 ;
input VAR11;
input VAR2;
input VAR3 ;
input VAR14 ;
supply1 VAR8;
supply0 VAR9;
supply1 VAR1 ;
supply0 VAR12 ;
wire VAR6 ;
wire VAR5;
nor VAR10 (VAR6 , VAR11, VAR2 );
and VAR13 (VAR5, VAR6, VAR3, VAR14 );
buf VAR4 (VAR7 , VAR5 );
endmodule | apache-2.0 |
f3zz3h/Embedded-Co-Design | ts7300_top_restored/ethernet/eth_cop.v | 13,433 | module MODULE1
(
VAR39, VAR33,
VAR57, VAR4, VAR49, VAR27,
VAR43, VAR9, VAR23, VAR12,
VAR48,
VAR58, VAR26, VAR45, VAR38,
VAR52, VAR55, VAR50, VAR18,
VAR34,
VAR8, VAR20, VAR19, VAR44,
VAR53, VAR2, VAR3, VAR25,
VAR5,
VAR51, VAR31, VAR13, VAR30,
VAR21, VAR14, VAR24, VAR15,
VAR17
);
parameter VAR41=1;
input VAR39, VAR33;
input [31:0] VAR57, VAR43;
input [3:0] VAR4;
input VAR9, VAR23, VAR49;
output [31:0] VAR27;
output VAR12, VAR48;
input [31:0] VAR58, VAR52;
input [3:0] VAR26;
input VAR55, VAR50, VAR45;
output [31:0] VAR38;
output VAR18, VAR34;
input [31:0] VAR25;
input VAR2, VAR3;
output [31:0] VAR8, VAR5;
output [3:0] VAR20;
output VAR19, VAR44, VAR53;
input [31:0] VAR15;
input VAR14, VAR24;
output [31:0] VAR51, VAR17;
output [3:0] VAR31;
output VAR13, VAR30, VAR21;
reg VAR37;
reg VAR42;
reg [31:0] VAR8;
reg [3:0] VAR20;
reg VAR19;
reg [31:0] VAR5;
reg VAR44;
reg VAR53;
reg [31:0] VAR51;
reg [3:0] VAR31;
reg VAR13;
reg [31:0] VAR17;
reg VAR30;
reg VAR21;
reg VAR12;
reg [31:0] VAR27;
reg VAR18;
reg [31:0] VAR38;
reg VAR48;
reg VAR34;
wire VAR7;
wire VAR29 = VAR9 & VAR23 & (VAR60 | VAR56);
wire VAR32 = VAR55 & VAR50 & (VAR36 | VAR22);
always @ (posedge VAR39 or posedge VAR33)
begin
if(VAR33)
begin
VAR37 <=#VAR41 0;
VAR42 <=#VAR41 0;
VAR8 <=#VAR41 0;
VAR20 <=#VAR41 0;
VAR19 <=#VAR41 0;
VAR5 <=#VAR41 0;
VAR44 <=#VAR41 0;
VAR53 <=#VAR41 0;
VAR51 <=#VAR41 0;
VAR31 <=#VAR41 0;
VAR13 <=#VAR41 0;
VAR17 <=#VAR41 0;
VAR30 <=#VAR41 0;
VAR21 <=#VAR41 0;
end
else
begin
case({VAR37, VAR42, VAR29, VAR32, VAR7}) 5'b00100, 5'b00110 :
begin
VAR37 <=#VAR41 1'b1; if(VAR60)
begin
VAR8 <=#VAR41 VAR57;
VAR20 <=#VAR41 VAR4;
VAR19 <=#VAR41 VAR49;
VAR5 <=#VAR41 VAR43;
VAR44 <=#VAR41 1'b1;
VAR53 <=#VAR41 1'b1;
end
else if(VAR56)
begin
VAR51 <=#VAR41 VAR57;
VAR31 <=#VAR41 VAR4;
VAR13 <=#VAR41 VAR49;
VAR17 <=#VAR41 VAR43;
VAR30 <=#VAR41 1'b1;
VAR21 <=#VAR41 1'b1;
end
else
(%VAR47)VAR40 VAR10: VAR6 address VAR35 VAR28", );
end
5'b00010 :
begin
VAR42 <=#VAR41 1'b1; if(VAR36)
begin
VAR8 <=#VAR41 VAR58;
VAR20 <=#VAR41 VAR26;
VAR19 <=#VAR41 VAR45;
VAR5 <=#VAR41 VAR52;
VAR44 <=#VAR41 1'b1;
VAR53 <=#VAR41 1'b1;
end
else if(VAR22)
begin
VAR51 <=#VAR41 VAR58;
VAR31 <=#VAR41 VAR26;
VAR13 <=#VAR41 VAR45;
VAR17 <=#VAR41 VAR52;
VAR30 <=#VAR41 1'b1;
VAR21 <=#VAR41 1'b1;
end
else
(%VAR47)VAR40 VAR10: VAR6 address VAR35 VAR28", );
end
5'b10101, 5'b10111 :
begin
VAR37 <=#VAR41 1'b0; if(VAR60)
begin
VAR44 <=#VAR41 1'b0;
VAR53 <=#VAR41 1'b0;
end
else if(VAR56)
begin
VAR30 <=#VAR41 1'b0;
VAR21 <=#VAR41 1'b0;
end
end
5'b01011, 5'b01111 :
begin
VAR42 <=#VAR41 1'b0; if(VAR36)
begin
VAR44 <=#VAR41 1'b0;
VAR53 <=#VAR41 1'b0;
end
else if(VAR22)
begin
VAR30 <=#VAR41 1'b0;
VAR21 <=#VAR41 1'b0;
end
end
endcase
end
end
always @ (VAR37 or VAR57 or VAR2 or VAR14 or VAR25 or VAR15 or VAR60 or VAR56)
begin
if(VAR37)
begin
if(VAR60) begin
VAR12 <= VAR2;
VAR27 <= VAR25;
end
else if(VAR56) begin
VAR12 <= VAR14;
VAR27 <= VAR15;
end
end
else
VAR12 <= 0;
end
always @ (VAR42 or VAR58 or VAR2 or VAR14 or VAR25 or VAR15 or VAR36 or VAR22)
begin
if(VAR42)
begin
if(VAR36) begin
VAR18 <= VAR2;
VAR38 <= VAR25;
end
else if(VAR22) begin
VAR18 <= VAR14;
VAR38 <= VAR15;
end
end
else
VAR18 <= 0;
end
always @ (VAR37 or VAR57 or VAR3 or VAR24 or VAR36 or VAR22 or
VAR9 or VAR23)
begin
if(VAR37) begin
if(VAR60)
VAR48 <= VAR3;
end
else if(VAR56)
VAR48 <= VAR24;
end
else if(VAR9 & VAR23 & ~VAR60 & ~VAR56)
VAR48 <= 1'b1;
else
VAR48 <= 1'b0;
end
always @ (VAR42 or VAR58 or VAR3 or VAR24 or VAR36 or VAR22 or
VAR55 or VAR50)
begin
if(VAR42) begin
if(VAR36)
VAR34 <= VAR3;
end
else if(VAR22)
VAR34 <= VAR24;
end
else if(VAR55 & VAR50 & ~VAR36 & ~VAR22)
VAR34 <= 1'b1;
else
VAR34 <= 1'b0;
end
assign VAR7 = VAR12 | VAR48 | VAR18 | VAR34;
integer VAR1;
always @ (posedge VAR39 or posedge VAR33)
begin
if(VAR33)
VAR1 <=#VAR41 0;
end
else
if(VAR2 | VAR3 | VAR14 | VAR24)
VAR1 <=#VAR41 0;
else
if(VAR44 | VAR30)
VAR1 <=#VAR41 VAR1+1;
end
always @ (posedge VAR39)
begin
if(VAR1==1000) begin
(%VAR47) VAR10: VAR16 VAR11 ??? ", );
if(VAR44) begin
end
else if(VAR30) begin
end
end
end
always @ (posedge VAR39)
begin
if(VAR3 & VAR44) begin
VAR10: VAR16 VAR59 VAR54 with VAR46 acknowledge ", );
end
if(VAR24 & VAR30) begin
VAR10: VAR16 VAR59 VAR54 with VAR46 acknowledge ", );
end
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/clkinv/sky130_fd_sc_lp__clkinv.functional.v | 1,251 | module MODULE1 (
VAR5,
VAR3
);
output VAR5;
input VAR3;
wire VAR1;
not VAR4 (VAR1, VAR3 );
buf VAR2 (VAR5 , VAR1 );
endmodule | apache-2.0 |
camacazio/icestick_JSTK2_ORGB | source/Main_Control.v | 4,028 | module MODULE1(
clk,
rst,
VAR27,
VAR15,
VAR1,
VAR26,
VAR21
);
input clk; input rst;
output [4:0] VAR27;
input VAR26; output VAR15; output VAR1; output VAR21;
wire VAR20;
wire [39:0] VAR10;
wire [9:0] VAR29;
wire [9:0] VAR13;
wire [39:0] VAR2;
wire [23:0] VAR8;
VAR23 VAR3(
.VAR9(clk),
.VAR19(rst),
.VAR20(VAR20),
.VAR5(VAR2),
.VAR11(VAR26),
.VAR12(VAR15),
.VAR4(VAR21),
.VAR18(VAR1),
.VAR31(VAR10)
);
VAR25 VAR14(
.clk(clk),
.VAR28(VAR10[1:0]),
.VAR8(VAR8)
);
VAR22 VAR7(
.VAR9(clk),
.VAR19(rst),
.VAR24(VAR20)
);
VAR6 VAR30(
.clk(clk),
.VAR16(VAR29),
.VAR17(VAR13),
.VAR28(VAR10[1:0]),
.VAR27(VAR27)
);
assign VAR13 = {VAR10[25:24], VAR10[39:32]};
assign VAR29 = {VAR10[9:8], VAR10[23:16]};
assign VAR2 = {8'b10000100, VAR8, 8'b00000000};
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/and4b/sky130_fd_sc_ms__and4b.symbol.v | 1,323 | module MODULE1 (
input VAR6,
input VAR5 ,
input VAR3 ,
input VAR2 ,
output VAR4
);
supply1 VAR8;
supply0 VAR7;
supply1 VAR9 ;
supply0 VAR1 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/or4b/sky130_fd_sc_ls__or4b.symbol.v | 1,317 | module MODULE1 (
input VAR4 ,
input VAR2 ,
input VAR9 ,
input VAR7,
output VAR3
);
supply1 VAR5;
supply0 VAR8;
supply1 VAR6 ;
supply0 VAR1 ;
endmodule | apache-2.0 |
UGent-HES/ConnectionRouter | vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_074.v | 1,421 | module MODULE1 (
VAR3,
VAR4
);
input [31:0] VAR3;
output [31:0]
VAR4;
wire [31:0]
VAR10,
VAR5,
VAR8,
VAR1,
VAR6,
VAR2,
VAR7;
assign VAR10 = VAR3;
assign VAR7 = VAR2 - VAR8;
assign VAR2 = VAR6 << 5;
assign VAR6 = VAR1 - VAR10;
assign VAR1 = VAR8 << 3;
assign VAR8 = VAR5 - VAR10;
assign VAR5 = VAR10 << 3;
assign VAR4 = VAR7;
endmodule
module MODULE2(
VAR3,
VAR4,
clk
);
input [31:0] VAR3;
output [31:0] VAR4;
reg [31:0] VAR4;
input clk;
reg [31:0] VAR12;
wire [30:0] VAR9;
always @(posedge clk) begin
VAR12 <= VAR3;
VAR4 <= VAR9;
end
MODULE1 MODULE1(
.VAR3(VAR12),
.VAR4(VAR9)
);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sdfxtp/sky130_fd_sc_lp__sdfxtp.pp.symbol.v | 1,409 | module MODULE1 (
input VAR1 ,
output VAR3 ,
input VAR2 ,
input VAR9 ,
input VAR4 ,
input VAR5 ,
input VAR8,
input VAR6,
input VAR7
);
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/pcie_4243_axi_k7_x4_250/source/pcie_7x_v1_3_qpll_wrapper.v | 17,393 | module MODULE1 #
(
parameter VAR82 = "VAR63", parameter VAR65 = "VAR10", parameter VAR18 = "1.1", parameter VAR49 = "VAR79", parameter VAR4 = 0
)
(
input VAR31,
input VAR57,
output VAR71,
output VAR51,
output VAR26,
input VAR70,
input VAR30,
input VAR39,
input [ 7:0] VAR27,
input VAR16,
input [15:0] VAR43,
input VAR2,
output [15:0] VAR28,
output VAR25
);
localparam VAR68 = (VAR4 == 2) && (VAR49 == "VAR8") ? 10'b0010000000 :
(VAR4 == 1) && (VAR49 == "VAR8") ? 10'b0100100000 :
(VAR4 == 0) && (VAR49 == "VAR8") ? 10'b0101110000 :
(VAR4 == 2) && (VAR49 == "VAR79") ? 10'b0001100000 :
(VAR4 == 1) && (VAR49 == "VAR79") ? 10'b0011100000 : 10'b0100100000;
localparam VAR37 = ((VAR18 == "1.0") && (VAR49 == "VAR79")) ? 64'h0000042000001000 : 64'h0000040000001000;
generate if (VAR65 == "VAR67")
begin : VAR42
VAR80 #
(
.VAR72 (3'b001), .VAR76 (VAR82), .VAR53 (VAR18),
.VAR35 (27'h06801C1), .VAR60 ( 6'b010000), .VAR5 ( 1'b0), .VAR61 (10'h1FF), .VAR21 ( 1'b0), .VAR77 ( 1'b0), .VAR68 (VAR68), .VAR23 ( 1'b0), .VAR56 ( 1'b1), .VAR32 (16'h01D0), .VAR12 ( 4'hD), .VAR74 ( 1),
.VAR37 (VAR37)
)
VAR48
(
.VAR58 ( 1'd0), .VAR73 (VAR31), .VAR55 ( 1'd0), .VAR11 ( 1'd0), .VAR9 ( 1'd0), .VAR69 ( 1'd0), .VAR52 ( 1'd0), .VAR15 (VAR57), .VAR81 ( 1'd1), .VAR38 ( 3'd1), .VAR1 (16'd0), .VAR29 ( 5'b11111),
.VAR78 (VAR71), .VAR3 (VAR51), .VAR41 (VAR26), .VAR19 (), .VAR22 (), .VAR47 (),
.VAR50 (VAR70), .VAR46 (VAR30), .VAR75 (1'd0),
.VAR20 (VAR39), .VAR14 (VAR27), .VAR59 (VAR16), .VAR64 (VAR43), .VAR36 (VAR2),
.VAR6 (VAR28), .VAR33 (VAR25),
.VAR24 ( 1'd1), .VAR66 ( 1'd1), .VAR17 ( 1'd1), .VAR34 ( 5'd0),
.VAR7 ( 8'd0), .VAR83 ( 1'b0),
.VAR44 (),
.VAR13 ( 1'd0), .VAR54 ()
);
end
else
begin : VAR45
VAR40 #
(
.VAR72 (3'b001), .VAR76 (VAR82), .VAR53 (VAR18),
.VAR35 (27'h06801C1), .VAR60 ( 6'b010000), .VAR5 ( 1'b0), .VAR61 (10'h1FF), .VAR21 ( 1'b0), .VAR77 ( 1'b0), .VAR68 (VAR68), .VAR23 ( 1'b0), .VAR56 ( 1'b1), .VAR32 (16'h01D0), .VAR12 ( 4'hD), .VAR74 ( 1),
.VAR37 (VAR37)
)
VAR62
(
.VAR58 ( 1'd0), .VAR73 (VAR31), .VAR55 ( 1'd0), .VAR11 ( 1'd0), .VAR9 ( 1'd0), .VAR69 ( 1'd0), .VAR52 ( 1'd0), .VAR15 (VAR57), .VAR81 ( 1'd1), .VAR38 ( 3'd1), .VAR1 (16'd0), .VAR29 ( 5'b11111),
.VAR78 (VAR71), .VAR3 (VAR51), .VAR41 (VAR26), .VAR19 (), .VAR22 (), .VAR47 (),
.VAR50 (VAR70), .VAR46 (VAR30), .VAR75 ( 1'd0),
.VAR20 (VAR39), .VAR14 (VAR27), .VAR59 (VAR16), .VAR64 (VAR43), .VAR36 (VAR2),
.VAR6 (VAR28), .VAR33 (VAR25),
.VAR24 ( 1'd1), .VAR66 ( 1'd1), .VAR17 ( 1'd1), .VAR34 ( 5'd0),
.VAR7 ( 8'd0), .VAR83 ( 1'b0),
.VAR44 ()
);
end
endgenerate
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/and4/sky130_fd_sc_hs__and4.behavioral.pp.v | 1,735 | module MODULE1 (
VAR11,
VAR13,
VAR8 ,
VAR9 ,
VAR5 ,
VAR3 ,
VAR10
);
input VAR11;
input VAR13;
output VAR8 ;
input VAR9 ;
input VAR5 ;
input VAR3 ;
input VAR10 ;
wire VAR12 ;
wire VAR7;
and VAR4 (VAR12 , VAR9, VAR5, VAR3, VAR10 );
VAR1 VAR2 (VAR7, VAR12, VAR11, VAR13);
buf VAR6 (VAR8 , VAR7 );
endmodule | apache-2.0 |
minosys-jp/FPGA | Zybo/vgagraph/vgagraph/HDL/syncgen.v | 1,112 | module MODULE1 (
input VAR18,
input VAR3,
output VAR11,
output reg VAR15,
output reg VAR2,
output [9:0] VAR9,
output [9:0] VAR6
);
reg VAR1 = 1'b0;
reg VAR5 = 1'b0;
assign VAR11 = VAR5;
always @(posedge VAR18) begin
if (VAR1 == 1'b1) begin
VAR5 <= ~VAR5;
end
VAR1 <= ~VAR1;
end
reg [9:0] VAR16 = 10'h0;
reg [9:0] VAR8 = 10'h0;
wire VAR12 = (VAR16 == VAR17 - 10'd1);
always @(posedge VAR11) begin
if (VAR3 | VAR12)
VAR16 <= 10'h0;
end
else
VAR16 <= VAR16 + 10'd1;
end
always @(posedge VAR11) begin
VAR15 <= ~(VAR16 >= VAR14 - 1 && VAR16 < VAR14 + VAR10 - 1);
end
always @(posedge VAR11) begin
if (VAR3)
VAR8 = 10'd0;
if (VAR12)
if (VAR8 == VAR13 - 10'd1)
VAR8 = 10'd0;
end
else
VAR8 <= VAR8 + 10'd1;
end
always @(posedge VAR11) begin
VAR2 <= ~(VAR8 >= VAR7 && VAR8 < VAR7 + VAR4);
end
assign VAR9 = VAR16;
assign VAR6 = VAR8;
endmodule | bsd-2-clause |
trevortheblack/NL16-BinaryCompression | hf_decompression.v | 6,611 | module MODULE1 (
input wire VAR20,
output reg [3:0] VAR32,
output reg VAR27,
input wire VAR38,
input wire VAR35
);
reg VAR13;
reg [15:0] VAR34;
always @ (posedge VAR38 or negedge VAR35) begin
if(~VAR35) begin
VAR13 <= 1'b1;
VAR32 <= 4'b0;
VAR27 <= 1'b0;
VAR34 <= 16'b0;
end
else if(~VAR13) begin
if (VAR14 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR28[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR4 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR9[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR8 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR29[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR37 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR31[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR10 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR15[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR21 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR2[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR11 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR7[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR3 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR25[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR1 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR33[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR19 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR22[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR24 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR16[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR12 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR30[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR36 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR5[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR17 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR23[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR18 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR26[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else if (VAR39 == {VAR34[14:0], VAR20}) begin
VAR32 <= VAR6[3:0];
VAR27 <= 1'b1;
VAR34 <= 16'b0;
end else begin
VAR32 <= 4'b0;
VAR27 <= 1'b0;
VAR34 <= {VAR34[14:0], VAR20};
end
end
end
reg [15:0] VAR14;
reg [15:0] VAR4;
reg [15:0] VAR8;
reg [15:0] VAR37;
reg [15:0] VAR10;
reg [15:0] VAR21;
reg [15:0] VAR11;
reg [15:0] VAR3;
reg [15:0] VAR1;
reg [15:0] VAR19;
reg [15:0] VAR24;
reg [15:0] VAR12;
reg [15:0] VAR36;
reg [15:0] VAR17;
reg [15:0] VAR18;
reg [15:0] VAR39;
reg [3:0] VAR28;
reg [3:0] VAR9;
reg [3:0] VAR29;
reg [3:0] VAR31;
reg [3:0] VAR15;
reg [3:0] VAR2;
reg [3:0] VAR7;
reg [3:0] VAR25;
reg [3:0] VAR33;
reg [3:0] VAR22;
reg [3:0] VAR16;
reg [3:0] VAR30;
reg [3:0] VAR5;
reg [3:0] VAR23;
reg [3:0] VAR26;
reg [3:0] VAR6;
always @ (posedge VAR38 or negedge VAR35) begin
if(~VAR35) begin
VAR14 <= 16'b0;
VAR4 <= 16'b0;
VAR8 <= 16'b0;
VAR37 <= 16'b0;
VAR10 <= 16'b0;
VAR21 <= 16'b0;
VAR11 <= 16'b0;
VAR3 <= 16'b0;
VAR1 <= 16'b0;
VAR19 <= 16'b0;
VAR24 <= 16'b0;
VAR12 <= 16'b0;
VAR36 <= 16'b0;
VAR17 <= 16'b0;
VAR18 <= 16'b0;
VAR39 <= 16'b0;
VAR28 <= 4'b0;
VAR9 <= 4'b0;
VAR29 <= 4'b0;
VAR31 <= 4'b0;
VAR15 <= 4'b0;
VAR2 <= 4'b0;
VAR7 <= 4'b0;
VAR25 <= 4'b0;
VAR33 <= 4'b0;
VAR22 <= 4'b0;
VAR16 <= 4'b0;
VAR30 <= 4'b0;
VAR5 <= 4'b0;
VAR23 <= 4'b0;
VAR26 <= 4'b0;
VAR6 <= 4'b0;
end
else begin
if (VAR13) begin
end
else begin
VAR14 <= VAR14;
VAR4 <= VAR4;
VAR8 <= VAR8;
VAR37 <= VAR37;
VAR10 <= VAR10;
VAR21 <= VAR21;
VAR11 <= VAR11;
VAR3 <= VAR3;
VAR1 <= VAR1;
VAR19 <= VAR19;
VAR24 <= VAR24;
VAR12 <= VAR12;
VAR36 <= VAR36;
VAR17 <= VAR17;
VAR18 <= VAR18;
VAR39 <= VAR39;
VAR28 <= VAR28;
VAR9 <= VAR9;
VAR29 <= VAR29;
VAR31 <= VAR31;
VAR15 <= VAR15;
VAR2 <= VAR2;
VAR7 <= VAR7;
VAR25 <= VAR25;
VAR33 <= VAR33;
VAR22 <= VAR22;
VAR16 <= VAR16;
VAR30 <= VAR30;
VAR5 <= VAR5;
VAR23 <= VAR23;
VAR26 <= VAR26;
VAR6 <= VAR6;
end
end
end
endmodule | apache-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/cmp/rtl/jbi_l2_buf2.v | 2,840 | module MODULE1(
VAR15, VAR16, VAR14,
VAR1, VAR3,
VAR6, VAR12,
VAR4, VAR13,
VAR18, VAR2, VAR7, VAR11,
VAR5, VAR17, VAR9,
VAR10, VAR8
);
output [31:0] VAR15;
output [31:0] VAR16;
output [6:0] VAR14;
output VAR1;
output VAR3;
output VAR6;
output VAR12;
output VAR4;
output VAR13;
input [31:0] VAR18;
input [31:0] VAR2;
input [6:0] VAR7;
input VAR11;
input VAR5;
input VAR17;
input VAR9;
input VAR10;
input VAR8;
assign VAR15 = VAR18 ;
assign VAR16 = VAR2 ;
assign VAR14[6:0] = VAR7[6:0] ;
assign VAR1 = VAR11 ;
assign VAR3 = VAR5 ;
assign VAR6 = VAR17 ;
assign VAR12 = VAR9 ;
assign VAR4 = VAR10;
assign VAR13 = VAR8 ;
endmodule | gpl-2.0 |
bluespec/Flute | src_SSITH_P2/xilinx_ip/hdl/mkJtagTap.v | 19,418 | module MODULE1(VAR74,
VAR113,
VAR116,
VAR55,
VAR88,
VAR47,
VAR139,
VAR81,
VAR162,
VAR76,
VAR28,
VAR117,
VAR160,
VAR108,
VAR25,
VAR27,
VAR32);
input VAR74;
input VAR113;
input VAR116;
input VAR55;
input VAR88;
output VAR47;
input VAR139;
output VAR81;
output [6 : 0] VAR162;
output [31 : 0] VAR76;
output [1 : 0] VAR28;
output VAR117;
input VAR160;
input [31 : 0] VAR108;
input [1 : 0] VAR25;
output VAR27;
output VAR32;
wire [31 : 0] VAR76;
wire [6 : 0] VAR162;
wire [1 : 0] VAR28;
wire VAR32,
VAR27,
VAR81,
VAR117,
VAR47;
wire [40 : 0] VAR142;
wire VAR167,
VAR19,
VAR131,
VAR13,
VAR85;
reg [39 : 0] VAR124;
wire [39 : 0] VAR136;
wire VAR38;
reg VAR156;
wire VAR129, VAR11;
reg [39 : 0] VAR21;
reg [39 : 0] VAR36;
wire VAR63;
reg [39 : 0] VAR106;
wire [39 : 0] VAR37;
wire VAR118;
reg [17 : 0] VAR98;
wire [17 : 0] VAR96;
wire VAR29;
reg [3 : 0] VAR103;
wire [3 : 0] VAR51;
wire VAR161;
reg VAR101;
wire VAR78, VAR158;
wire VAR22,
VAR52,
VAR102,
VAR67,
VAR31;
wire [40 : 0] VAR125, VAR99;
wire VAR165,
VAR89,
VAR164,
VAR59,
VAR44,
VAR62,
VAR105;
wire [39 : 0] VAR153, VAR16;
wire VAR39,
VAR15,
VAR45,
VAR64,
VAR48,
VAR135,
VAR41;
wire VAR127;
wire VAR100, VAR141;
wire VAR122;
wire VAR92,
VAR91,
VAR40,
VAR71,
VAR14,
VAR138,
VAR68,
VAR93,
VAR152,
VAR134,
VAR30,
VAR35,
VAR157,
VAR79,
VAR34,
VAR60,
VAR83,
VAR10,
VAR77,
VAR90,
VAR144,
VAR42,
VAR137,
VAR69,
VAR66,
VAR57,
VAR128,
VAR73,
VAR115,
VAR8,
VAR107,
VAR87,
VAR43,
VAR149;
reg [39 : 0] VAR84,
VAR9;
reg [17 : 0] VAR126;
reg [3 : 0] VAR119,
VAR146;
wire [39 : 0] VAR82,
VAR7,
VAR24,
VAR86,
VAR97,
VAR163;
wire [17 : 0] VAR6, VAR94, VAR70;
wire VAR5,
VAR49,
VAR147;
assign VAR27 = VAR141 ;
assign VAR32 = 1'b1 ;
assign VAR60 = 1'd1 ;
assign VAR43 = 1'd1 ;
assign VAR83 = 1'd1 ;
assign VAR149 = 1'd1 ;
assign VAR34 = 1'd1 ;
assign VAR87 = 1'd1 ;
assign VAR47 = VAR131 ? VAR13 : VAR101 ;
assign VAR30 = 1'd1 ;
assign VAR73 = 1'd1 ;
assign VAR81 = VAR164 ;
assign VAR162 = VAR125[40:34] ;
assign VAR76 = VAR125[33:2] ;
assign VAR28 = VAR125[1:0] ;
assign VAR117 = VAR41 ;
assign VAR79 = 1'd1 ;
assign VAR107 = 1'd1 ;
assign VAR35 = 1'd1 ;
assign VAR115 = 1'd1 ;
assign VAR157 = 1'd1 ;
assign VAR8 = 1'd1 ;
VAR133 #(.VAR33(32'd1)) VAR4(.VAR23(VAR127),
.VAR74(VAR141),
.VAR20(VAR67),
.VAR72(VAR52),
.VAR75(VAR22),
.VAR110(VAR31),
.VAR54(VAR102));
VAR104 #(.VAR1(32'd41),
.VAR112(32'd2),
.VAR123(32'd1)) VAR168(.VAR50(VAR141),
.VAR80(VAR74),
.VAR140(VAR127),
.VAR46(VAR99),
.VAR61(VAR62),
.VAR109(VAR89),
.VAR3(VAR59),
.VAR111(VAR165),
.VAR53(VAR125),
.VAR130(VAR105),
.VAR145(VAR164),
.VAR95(),
.VAR155(),
.VAR121(VAR44),
.VAR17());
VAR104 #(.VAR1(32'd40),
.VAR112(32'd2),
.VAR123(32'd1)) VAR114(.VAR50(VAR74),
.VAR80(VAR141),
.VAR140(VAR113),
.VAR46(VAR16),
.VAR61(VAR135),
.VAR109(VAR45),
.VAR3(VAR48),
.VAR111(VAR39),
.VAR53(VAR153),
.VAR130(VAR41),
.VAR145(VAR64),
.VAR95(),
.VAR155(),
.VAR121(),
.VAR17(VAR15));
VAR26 #(.VAR148(32'd3)) VAR132(.VAR74(VAR141),
.VAR166(VAR113),
.VAR150(VAR127));
VAR151 VAR58(.VAR2(VAR100), .VAR120(VAR141));
VAR56 #(.VAR65(32'd1)) VAR143(.VAR154(VAR88),
.VAR18(VAR122));
assign VAR152 = 1'd1 ;
assign VAR57 = 1'd1 ;
assign VAR134 = 1'd1 ;
assign VAR128 = 1'd1 ;
assign VAR93 =
VAR147 &&
VAR105 &&
VAR31 &&
VAR142[1:0] != 2'd0 ;
assign VAR66 = VAR93 ;
assign VAR92 = VAR164 ;
assign VAR10 = VAR164 ;
assign VAR40 = 1'd1 ;
assign VAR90 = 1'd1 ;
assign VAR91 = VAR164 && VAR139 ;
assign VAR77 = VAR91 ;
assign VAR68 =
VAR64 && VAR102 ;
assign VAR69 = VAR68 ;
assign VAR71 =
VAR5 &&
(!VAR21[17] || VAR44 && VAR15) ;
assign VAR144 = VAR71 ;
assign VAR138 = 1'd1 ;
assign VAR137 = 1'd1 ;
assign VAR14 = VAR41 && VAR160 ;
assign VAR42 = VAR14 ;
assign VAR142 = { 1'd0, VAR21 } ;
assign VAR131 = VAR103 == 4'd4 || VAR103 == 4'd11 ;
assign VAR13 = (VAR103 == 4'd4) ? VAR21[0] : VAR98[0] ;
assign VAR85 =
VAR131 ? VAR13 : VAR101 ;
assign VAR167 =
VAR49 ||
VAR156 ;
assign VAR19 =
!VAR71 && VAR167 ;
assign VAR136 = VAR153 ;
assign VAR38 =
VAR69 && VAR124[1:0] != 2'd2 &&
VAR124[1:0] != 2'd3 ;
assign VAR129 = VAR19 ;
assign VAR11 = 1'b1 ;
always@(VAR103 or VAR21 or VAR9 or VAR24)
begin
case (VAR103)
4'd0: VAR36 = VAR21;
4'd3: VAR36 = VAR9;
4'd4: VAR36 = VAR24;
default: VAR36 = VAR21;
endcase
end
assign VAR63 = 1'd1 ;
assign VAR37 =
(VAR103 == 4'd3) ?
VAR84 :
VAR106 ;
assign VAR118 = 1'd1 ;
assign VAR96 = VAR126 ;
assign VAR29 = 1'd1 ;
assign VAR51 =
VAR55 ?
VAR146 :
VAR119 ;
assign VAR161 = 1'd1 ;
assign VAR78 = VAR85 ;
assign VAR158 = 1'b1 ;
assign VAR67 = VAR93 ;
assign VAR52 = VAR68 ;
assign VAR22 = VAR144 && VAR21[17] ;
assign VAR99 = VAR142 ;
assign VAR62 = VAR93 ;
assign VAR89 = VAR91 ;
assign VAR59 = VAR144 && VAR21[17] ;
assign VAR165 = 1'b0 ;
assign VAR16 = { 6'h2A, VAR108, VAR25 } ;
assign VAR135 = VAR14 ;
assign VAR45 = VAR68 ;
assign VAR48 = 1'b0 ;
assign VAR39 = VAR144 && VAR21[17] ;
assign VAR100 = VAR122 ;
assign VAR82 =
(VAR156 ||
VAR124[1:0] != 2'd2 && VAR124[1:0] != 2'd3 &&
VAR102) ?
40'hAAAAAAAAAB :
VAR124 ;
assign VAR5 =
VAR103 == 4'd8 && VAR98 == 18'b100010100100100100 &&
(VAR21[17] || VAR21[16]) ;
assign VAR49 =
VAR103 == 4'd8 && VAR98 == 18'b000011100100100100 &&
VAR124[1:0] != 2'd2 &&
VAR124[1:0] != 2'd3 &&
VAR102 ;
assign VAR147 =
VAR103 == 4'd8 && VAR98 == 18'b000011100100100100 &&
VAR124[1:0] != 2'd2 &&
VAR124[1:0] != 2'd3 &&
!VAR102 ;
assign VAR7 = { 22'd960, VAR98 } ;
assign VAR24 = VAR97 | VAR163 ;
assign VAR6 = VAR94 | VAR70 ;
assign VAR86 = { 28'd0, VAR124[1:0], 10'd97 } ;
assign VAR97 = { 1'd0, VAR21[39:1] } ;
assign VAR94 = { 1'd0, VAR98[17:1] } ;
assign VAR163 = VAR116 ? VAR106 : 40'd0 ;
assign VAR70 = VAR116 ? 18'd131072 : 18'd0 ;
always@(VAR103 or VAR98 or VAR6)
begin
case (VAR103)
4'd0: VAR126 = 18'd1;
4'd3, 4'd4, 4'd8: VAR126 = VAR98;
4'd11: VAR126 = VAR6;
default: VAR126 = VAR98;
endcase
end
always@(VAR98 or
VAR7 or
VAR82 or
VAR86)
begin
case (VAR98)
18'd0,
18'h00012,
18'h00013,
18'h00014,
18'h00015,
18'h00016,
18'h00017,
18'd262143:
VAR9 = 40'd0;
18'd1: VAR9 = 40'd4093;
18'b000011100100100100:
VAR9 =
VAR82;
18'b100010100100100100: VAR9 = VAR86;
default: VAR9 = VAR7;
endcase
end
always@(VAR126)
begin
case (VAR126)
18'd0,
18'h00012,
18'h00013,
18'h00014,
18'h00015,
18'h00016,
18'h00017,
18'd262143:
VAR84 = 40'd1;
18'd1, 18'b100010100100100100:
VAR84 =
40'h0080000000;
18'b000011100100100100:
VAR84 =
40'h8000000000;
default: VAR84 =
40'h0100000000;
endcase
end
always@(VAR103)
begin
case (VAR103)
4'd0: VAR146 = VAR103;
4'd1, 4'd8, 4'd15:
VAR146 = 4'd2;
4'd2: VAR146 = 4'd9;
4'd3, 4'd4:
VAR146 = 4'd5;
4'd5, 4'd7:
VAR146 = 4'd8;
4'd6: VAR146 = 4'd7;
4'd9: VAR146 = 4'd0;
4'd10, 4'd11:
VAR146 = 4'd12;
4'd12, 4'd14:
VAR146 = 4'd15;
4'd13: VAR146 = 4'd14;
endcase
end
always@(VAR103)
begin
case (VAR103)
4'd0, 4'd1, 4'd8, 4'd15:
VAR119 = 4'd1;
4'd2: VAR119 = 4'd3;
4'd3, 4'd4, 4'd7:
VAR119 = 4'd4;
4'd5, 4'd6:
VAR119 = 4'd6;
4'd9: VAR119 = 4'd10;
4'd10, 4'd11, 4'd14:
VAR119 = 4'd11;
4'd12, 4'd13:
VAR119 = 4'd13;
endcase
end
always@(posedge VAR141)
begin
if (VAR127 == VAR12)
begin
VAR124 <= VAR159 40'd0;
VAR156 <= VAR159 1'd0;
VAR103 <= VAR159 4'd0;
end
else
begin
if (VAR38) VAR124 <= VAR159 VAR136;
if (VAR11)
VAR156 <= VAR159 VAR129;
if (VAR161) VAR103 <= VAR159 VAR51;
end
if (VAR63) VAR21 <= VAR159 VAR36;
if (VAR118) VAR106 <= VAR159 VAR37;
if (VAR29) VAR98 <= VAR159 VAR96;
if (VAR158) VAR101 <= VAR159 VAR78;
end
begin
VAR124 = 40'hAAAAAAAAAA;
VAR156 = 1'h0;
VAR21 = 40'hAAAAAAAAAA;
VAR106 = 40'hAAAAAAAAAA;
VAR98 = 18'h2AAAA;
VAR103 = 4'hA;
VAR101 = 1'h0;
end
always@(negedge VAR141)
begin
if (VAR127 != VAR12)
if (VAR103 == 4'd3 && VAR98 != 18'd0 && VAR98 != 18'h00012 &&
VAR98 != 18'h00013 &&
VAR98 != 18'h00014 &&
VAR98 != 18'h00015 &&
VAR98 != 18'h00016 &&
VAR98 != 18'h00017 &&
VAR98 != 18'd262143 &&
VAR98 != 18'd1 &&
VAR98 != 18'b100010100100100100 &&
VAR98 != 18'b000011100100100100)
end
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/and4bb/sky130_fd_sc_lp__and4bb.pp.symbol.v | 1,334 | module MODULE1 (
input VAR9 ,
input VAR8 ,
input VAR5 ,
input VAR4 ,
output VAR2 ,
input VAR3 ,
input VAR7,
input VAR6,
input VAR1
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sdfbbn/sky130_fd_sc_lp__sdfbbn.blackbox.v | 1,528 | module MODULE1 (
VAR10 ,
VAR5 ,
VAR3 ,
VAR12 ,
VAR1 ,
VAR8 ,
VAR9 ,
VAR6
);
output VAR10 ;
output VAR5 ;
input VAR3 ;
input VAR12 ;
input VAR1 ;
input VAR8 ;
input VAR9 ;
input VAR6;
supply1 VAR2;
supply0 VAR11;
supply1 VAR4 ;
supply0 VAR7 ;
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/dffnrsnq/gf180mcu_fd_sc_mcu7t5v0__dffnrsnq_1.functional.pp.v | 1,027 | module MODULE1( VAR17, VAR1, VAR15, VAR11, VAR3, VAR18, VAR4, VAR14 );
input VAR17, VAR1, VAR11, VAR15, VAR18, VAR4, VAR14;
output VAR3;
not VAR7( VAR5, VAR17 );
not VAR10( VAR2, VAR11 );
not VAR6( VAR8, VAR15 );
not VAR13( VAR19, VAR1 );
VAR12( VAR9, VAR8, VAR2, VAR5, VAR19, VAR14 );
not VAR16( VAR3, VAR9 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o2111a/sky130_fd_sc_lp__o2111a.functional.pp.v | 2,074 | module MODULE1 (
VAR4 ,
VAR13 ,
VAR5 ,
VAR17 ,
VAR9 ,
VAR7 ,
VAR14,
VAR18,
VAR16 ,
VAR3
);
output VAR4 ;
input VAR13 ;
input VAR5 ;
input VAR17 ;
input VAR9 ;
input VAR7 ;
input VAR14;
input VAR18;
input VAR16 ;
input VAR3 ;
wire VAR15 ;
wire VAR2 ;
wire VAR12;
or VAR8 (VAR15 , VAR5, VAR13 );
and VAR11 (VAR2 , VAR17, VAR9, VAR15, VAR7 );
VAR6 VAR1 (VAR12, VAR2, VAR14, VAR18);
buf VAR10 (VAR4 , VAR12 );
endmodule | apache-2.0 |
nishtahir/arty-blaze | src/bd/system/ip/system_axi_quad_spi_shield_0/system_axi_quad_spi_shield_0_stub.v | 2,667 | module MODULE1(VAR11, VAR26, VAR18,
VAR8, VAR30, VAR31, VAR7, VAR29, VAR4,
VAR14, VAR24, VAR12, VAR15, VAR19, VAR6,
VAR25, VAR22, VAR20, VAR5, VAR3, VAR9, VAR32, VAR27, VAR16,
VAR23, VAR33, VAR17, VAR21, VAR2, VAR13, VAR10, VAR28, VAR1)
;
input VAR11;
input VAR26;
input VAR18;
input [6:0]VAR8;
input VAR30;
output VAR31;
input [31:0]VAR7;
input [3:0]VAR29;
input VAR4;
output VAR14;
output [1:0]VAR24;
output VAR12;
input VAR15;
input [6:0]VAR19;
input VAR6;
output VAR25;
output [31:0]VAR22;
output [1:0]VAR20;
output VAR5;
input VAR3;
input VAR9;
output VAR32;
output VAR27;
input VAR16;
output VAR23;
output VAR33;
input VAR17;
output VAR21;
output VAR2;
input [0:0]VAR13;
output [0:0]VAR10;
output VAR28;
output VAR1;
endmodule | apache-2.0 |
hcabrera-/lancetfish | RTL/router/rtl/routing_algorithm/west_first_minimal.v | 12,416 | module MODULE1 #(
parameter VAR6 = VAR22,
parameter VAR4 = 2,
parameter VAR32 = 2,
parameter VAR3 = 2,
parameter VAR24 = 2
)
(
input wire VAR15,
input wire [VAR39-1:0] VAR18,
input wire [VAR39-1:0] VAR10,
output reg [3:0] VAR19
);
reg [7:0] VAR26;
wire VAR34;
wire VAR33;
assign VAR34 = (VAR18 > VAR4) ? 1'b1 : 1'b0;
assign VAR33 = (VAR18 == VAR4) ? 1'b1 : 1'b0;
wire VAR8;
wire VAR2;
assign VAR8 = (VAR10 > VAR32) ? 1'b1 : 1'b0;
assign VAR2 = (VAR10 == VAR32) ? 1'b1 : 1'b0;
generate
if (VAR4 == 1)
begin
always @
begin
VAR26 = "VAR17";
if (VAR6 == VAR27)
begin
VAR19 [VAR14] = ( VAR2 & VAR33) ? 1'b1 : 1'b0; VAR19 [VAR41] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR42] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR12] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR22)
begin
VAR19 [VAR30] = ~VAR15;
VAR19 [VAR7] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR11] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR13] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR20)
begin
VAR19 [VAR36] = ~VAR15;
VAR19 [VAR28] = ( VAR2 & VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR31] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR5] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR29)
begin
VAR19 [VAR40] = ~VAR15;
VAR19 [VAR16] = ( VAR2 & VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR23] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR1] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR37)
begin
VAR19 [VAR35] = ~VAR15;
VAR19 [VAR38] = ( VAR2 & VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR9] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR25] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
end
end
end
else
begin
always @(*)
begin
VAR26 = "VAR21";
if (VAR6 == VAR27)
begin
VAR19 [VAR14] = ( VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR41] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR42] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR12] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR22)
begin
VAR19 [VAR30] = ~VAR15;
VAR19 [VAR7] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR11] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR13] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR20)
begin
VAR19 [VAR36] = ~VAR15;
VAR19 [VAR28] = ( VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR31] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR5] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR29)
begin
VAR19 [VAR40] = ~VAR15;
VAR19 [VAR16] = ( VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR23] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR1] = (~VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
end
else if(VAR6 == VAR37)
begin
VAR19 [VAR35] = ~VAR15;
VAR19 [VAR38] = ( VAR34 & ~VAR33) ? 1'b1 : 1'b0;
VAR19 [VAR9] = ( VAR8 & (VAR34 | VAR33) & ~VAR2) ? 1'b1 : 1'b0;
VAR19 [VAR25] = (~VAR34 & ~VAR33) ? 1'b1 : 1'b0;
end
end
end
endgenerate
endmodule | gpl-3.0 |
jmahler/mips-cpu | alu.v | 1,307 | module MODULE1(
input [3:0] VAR2,
input [31:0] VAR1, VAR4,
output reg [31:0] out,
output VAR10);
wire [31:0] VAR7;
wire [31:0] VAR3;
wire VAR9;
wire VAR8;
wire VAR6;
wire VAR5;
assign VAR10 = (0 == out);
assign VAR7 = VAR1 - VAR4;
assign VAR3 = VAR1 + VAR4;
assign VAR9 = (VAR1[31] == VAR4[31] && VAR3[31] != VAR1[31]) ? 1 : 0;
assign VAR8 = (VAR1[31] == VAR4[31] && VAR7[31] != VAR1[31]) ? 1 : 0;
assign VAR6 = (VAR2 == 4'b0010) ? VAR9 : VAR8;
assign VAR5 = VAR8 ? ~(VAR1[31]) : VAR1[31];
always @(*) begin
case (VAR2)
4'd2: out <= VAR3;
4'd0: out <= VAR1 & VAR4;
4'd12: out <= ~(VAR1 | VAR4);
4'd1: out <= VAR1 | VAR4;
4'd7: out <= {{31{1'b0}}, VAR5};
4'd6: out <= VAR7;
4'd13: out <= VAR1 ^ VAR4;
default: out <= 0;
endcase
end
endmodule | gpl-3.0 |
miamiasheep/nctu-dlab-99 | lab4/flashLED.v | 3,626 | module MODULE1(
output reg [7:0] VAR2,
input VAR7,
input [3:0] VAR1,
input in,
input reset
);
parameter VAR10 = 24;
reg [1:0] VAR11;
reg VAR4;
reg enable, VAR13;
reg [VAR10:0] VAR6, VAR12;
wire VAR3;
reg [1:0] VAR9;
VAR5 VAR14(VAR7, reset, VAR9[1], VAR3);
always @(posedge VAR7)
begin
if (reset) begin
VAR9 <= 2'b00;
end
else begin
VAR9[1] <= VAR9[0];
VAR9[0] <= in;
end
end
always @(posedge VAR7)
begin
if (reset) begin
VAR6 <= 0;
VAR12 <= 0;
enable <= 0;
end
else begin
VAR6 <= VAR6 + 1;
VAR12 <= VAR12 + 1;
if (enable)
enable <= 0;
end
else if ((VAR11 == 2'b00 && VAR6 >= (1 << VAR10))
|| (VAR11 == 2'b01 && VAR6 >= (1 << (VAR10-1)))
|| (VAR11 >= 2'b10 && VAR6 >= (1 << (VAR10-2)))) begin
enable <= 1;
VAR6 <= 0;
end
if (VAR13)
VAR13 <= 0;
end
else if (VAR6 >= (1 << VAR10)) begin
VAR13 <= 1;
VAR12 <= 0;
end
end
end
always @(posedge VAR7)
begin
if (reset)
VAR11 <= 2'b00;
end
else if (VAR3)
case (VAR11)
2'b00:
VAR11 <= 2'b01;
2'b01:
VAR11 <= 2'b10;
default:
VAR11 <= 2'b00;
endcase
end
always @(posedge VAR7)
if (reset) begin
VAR2 <= 8'b00000000;
VAR4 <= 0;
end
else if (VAR1 == 4'b0011)
if (VAR1[0] && VAR13)
VAR2 <= VAR2 + 1;
else
VAR2 <= VAR2;
else if (VAR1[0] && enable) begin
case (VAR1[3:1])
3'b100:
case ({VAR2, VAR4})
9'b000000010: VAR2 <= 8'b00000010;
9'b000000100: VAR2 <= 8'b00000100;
9'b000001000: VAR2 <= 8'b00001000;
9'b000010000: VAR2 <= 8'b00010000;
9'b000100000: VAR2 <= 8'b00100000;
9'b001000000: VAR2 <= 8'b01000000;
9'b010000000: VAR2 <= 8'b10000000;
9'b100000000: {VAR2, VAR4} <= 9'b010000001;
9'b010000001: VAR2 <= 8'b00100000;
9'b001000001: VAR2 <= 8'b00010000;
9'b000100001: VAR2 <= 8'b00001000;
9'b000010001: VAR2 <= 8'b00000100;
9'b000001001: VAR2 <= 8'b00000010;
9'b000000101: VAR2 <= 8'b00000001;
9'b000000011: {VAR2, VAR4} <= 9'b000000100;
default: {VAR2, VAR4} <= 9'b000000010;
endcase
3'b010:
case ({VAR2, VAR4})
9'b100000010: VAR2 <= 8'b01000010;
9'b010000100: VAR2 <= 8'b00100100;
9'b001001000: VAR2 <= 8'b00011000;
9'b000110000: {VAR2, VAR4} <= 9'b001001001;
9'b001001001: VAR2 <= 8'b01000010;
9'b010000101: VAR2 <= 8'b10000001;
9'b100000011: {VAR2, VAR4} <= 9'b010000100;
default: {VAR2, VAR4} <= 9'b100000010;
endcase
3'b011:
case (VAR2)
8'b01010101: VAR2 <= 8'b10101010;
8'b10101010: VAR2 <= 8'b01010101;
default: VAR2 <= 8'b01010101;
endcase
3'b101:
case ({VAR2, VAR4})
9'b000000000: VAR2 <= 8'b11111111;
9'b111111110: {VAR2, VAR4} <= 9'b000000001;
9'b000000001: VAR2 <= 8'b01000000;
9'b010000001: VAR2 <= 8'b00010000;
9'b000100001: VAR2 <= 8'b00000100;
9'b000001001: VAR2 <= 8'b00000001;
9'b000000011: VAR2 <= 8'b11000000;
9'b110000001: VAR2 <= 8'b00110000;
9'b001100001: VAR2 <= 8'b00001100;
9'b000011001: VAR2 <= 8'b00000011;
9'b000000111: VAR2 <= 8'b11100000;
9'b111000001: VAR2 <= 8'b00000111;
9'b000001111: VAR2 <= 8'b00111100;
9'b001111001: {VAR2, VAR4} <= 9'b000000000;
default: {VAR2, VAR4} <= 9'b000000000;
endcase
3'b110: VAR2 <= VAR2 * 7;
3'b111:
begin
VAR2[7:4] <= VAR2[7:4] - 1;
VAR2[3:0] <= VAR2[7:4] * 11;
end
default: {VAR2, VAR4} <= 9'VAR8;
endcase
end
endmodule | gpl-3.0 |
maijohnson/comp3601_blue_15s2 | AudioController/tone.v | 1,226 | module MODULE1(VAR15, VAR1, VAR4, VAR2, VAR12);
input VAR15; input [5:0] VAR1; input VAR4; input [3:0] VAR2; output VAR12; reg [5:0] VAR11; wire [13:0] period; wire [7:0] VAR10;
reg [13:0] counter;
parameter VAR3 = 6'd48;
VAR6 VAR8 (VAR15, VAR10, VAR2, VAR12);
VAR7 VAR9 (VAR1, period);
VAR13 VAR14 (VAR11, VAR10);
parameter VAR5 = 14'd11945; | mit |
loonquawl/fermiac | pcm/buspcm.v | 1,258 | module MODULE1
parameter VAR3=32,
parameter VAR4=16,
parameter VAR19=1,
parameter VAR9=1,
parameter VAR1=8
)
(
input clk,
inout [VAR3-1:0] VAR11,
inout [VAR4-1:0] VAR15,
inout [VAR4-1:0] VAR14,
inout [VAR4-1:0] VAR20,
input [VAR4-1:0] VAR7
output VAR10
);
wire [VAR3-1:0] VAR16,
wire [VAR4-1:0] VAR17,
wire VAR18,
wire VAR12,
wire VAR13,
VAR21
.VAR3(VAR3),
.VAR4(VAR4),
.VAR19(VAR19),
.VAR9(VAR9)
) VAR6
(
clk,
VAR11,
VAR15,
VAR20,
VAR7,
VAR16,
VAR17,
VAR18,
VAR12,
VAR13
);
reg [VAR1-1:0] VAR8;
VAR5
.VAR1(VAR1),
)
{
VAR8,
clk,
VAR10
};
always @(posedge clk) begin
if {~VAR12) begin
VAR8<=VAR11;
VAR2<=1;
end
else begin
VAR2<=0;
end
end
endmodule | mit |
jefg89/proyecto_final_prototipado | ProyectoFinal/HDLNeuralNetwork/EscrituraRegistroToMemoria.v | 4,559 | module MODULE1#(parameter VAR16 = 4)
(VAR18,VAR5,VAR19,VAR9,VAR4,VAR20,VAR36,VAR39,VAR15,VAR8,VAR38,
VAR2,VAR14,VAR33,VAR27,VAR17,VAR10,VAR24,VAR32,VAR13,VAR22,VAR12,VAR7,VAR34,
VAR6,VAR26,VAR3,VAR28,VAR11,VAR37,VAR35,VAR21,VAR29,VAR1,VAR31,VAR23,VAR25,VAR30);
input VAR18,VAR5,VAR9;
input [8:0] VAR19;
input signed [VAR16-1:0] VAR4,VAR20,VAR36,VAR39,VAR15,VAR8,VAR38,
VAR2,VAR14,VAR33,VAR27,VAR17,VAR10,VAR24,VAR32,VAR13,VAR22,VAR12,
VAR7,VAR34,VAR6,VAR26,VAR3,VAR28,VAR11,VAR37,VAR35,VAR21,VAR29,VAR1,VAR31,VAR23,VAR25;
output reg signed [VAR16-1:0] VAR30;
always @*begin if(VAR18) begin
if(VAR19==9'h000 && VAR9==1'b1) begin VAR30 <= 1;
end else if(VAR19==9'h004 ) begin VAR30 <= VAR4;
end else if(VAR19==9'h008 && VAR5==1'b1) begin VAR30 <= 1;
end else if(VAR19==9'h00C ) begin VAR30 <= VAR20;
end else if(VAR19==9'h010 ) begin VAR30 <= VAR36;
end else if(VAR19==9'h014 ) begin VAR30 <= VAR39;
end else if(VAR19==9'h018 ) begin VAR30 <= VAR15;
end else if(VAR19==9'h01C ) begin VAR30 <= VAR8;
end else if(VAR19==9'h020 ) begin VAR30 <= VAR38;
end else if(VAR19==9'h024 ) begin VAR30 <= VAR2;
end else if(VAR19==9'h028 ) begin VAR30 <= VAR14;
end else if(VAR19==9'h02C ) begin VAR30 <= VAR33;
end else if(VAR19==9'h030 ) begin VAR30 <= VAR27;
end else if(VAR19==9'h034 ) begin VAR30 <= VAR17;
end else if(VAR19==9'h038 ) begin VAR30 <= VAR10;
end else if(VAR19==9'h03C ) begin VAR30 <= VAR24;
end else if(VAR19==9'h040 ) begin VAR30 <= VAR32;
end else if(VAR19==9'h044 ) begin VAR30 <= VAR13;
end else if(VAR19==9'h048 ) begin VAR30 <= VAR22;
end else if(VAR19==9'h04C ) begin VAR30 <= VAR12;
end else if(VAR19==9'h050 ) begin VAR30 <= VAR7;
end else if(VAR19==9'h054 ) begin VAR30 <= VAR34;
end else if(VAR19==9'h058 ) begin VAR30 <= VAR6;
end else if(VAR19==9'h05C ) begin VAR30 <= VAR26;
end else if(VAR19==9'h060 ) begin VAR30 <= VAR3;
end else if(VAR19==9'h064 ) begin VAR30 <= VAR28;
end else if(VAR19==9'h068 ) begin VAR30 <= VAR11;
end else if(VAR19==9'h06C ) begin VAR30 <= VAR37;
end else if(VAR19==9'h070 ) begin VAR30 <= VAR35;
end else if(VAR19==9'h074 ) begin VAR30 <= VAR21;
end else if(VAR19==9'h078 ) begin VAR30 <= VAR29;
end else if(VAR19==9'h07C ) begin VAR30 <= VAR1;
end else if(VAR19==9'h080 ) begin VAR30 <= VAR31;
end else if(VAR19==9'h084 ) begin VAR30 <= VAR23;
end else if(VAR19==9'h088 ) begin VAR30 <= VAR25;
end else begin
VAR30 <= 0;
end
end
else begin
VAR30 <= 0;
end
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/decaphe/sky130_fd_sc_ls__decaphe_2.v | 1,899 | module MODULE2 (
VAR4,
VAR3,
VAR1 ,
VAR6
);
input VAR4;
input VAR3;
input VAR1 ;
input VAR6 ;
VAR2 VAR5 (
.VAR4(VAR4),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR6(VAR6)
);
endmodule
module MODULE2 ();
supply1 VAR4;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR6 ;
VAR2 VAR5 ();
endmodule | apache-2.0 |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie_recv_fifo/pcie_recv_fifo_stub.v | 1,369 | module MODULE1(clk, VAR2, din, VAR4, VAR1, dout, VAR5, VAR3)
;
input clk;
input VAR2;
input [255:0]din;
input VAR4;
input VAR1;
output [255:0]dout;
output VAR5;
output VAR3;
endmodule | gpl-3.0 |
praveendath92/securePUF | source/Runs.v | 4,254 | module MODULE2(
input wire clk,
input wire rst,
input wire rand,
output wire VAR10
);
parameter VAR6 = 20000;
reg [14:0] VAR2, VAR1, VAR8, VAR7;
reg VAR4;
wire en;
wire VAR5, VAR9;
assign en = (VAR1 == (VAR6-1));
assign VAR10 = VAR5 & VAR9;
MODULE1 MODULE1(
.clk(clk),
.rst(rst),
.en(en),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR9(VAR9)
);
always @(posedge clk)
if (rst) begin
VAR2 <= 15'VAR3;
VAR1 <= 0;
VAR8 <= 0;
VAR7 <= 1;
VAR4 <= 0;
end
else begin
VAR4 <= rand;
VAR2 <= VAR2 + 1;
VAR1 <= VAR2;
if (VAR2 == (VAR6-1)) begin
VAR2 <= 0;
end
if (rand) VAR8 <= VAR8 + 1;
if (VAR4^rand) VAR7 <= VAR7 + 1;
if (VAR1 == (VAR6-1)) begin
VAR8 <= rand;
VAR7 <= VAR4^rand + 1;
end
end
endmodule
module MODULE1 (
input wire clk,
input wire rst,
input wire en,
input wire [14:0] VAR8,
input wire [14:0] VAR7,
output reg VAR5, VAR9
);
always @(posedge clk)
if (rst) begin
VAR5 <= 0;
VAR9 <= 0;
end
else begin
if(en)begin
if (VAR8 < 9818)
VAR5 <= 0;
end
else if (VAR8 < 9840) begin
if (VAR7 > 10179) VAR5 <= 0;
end
else VAR5 <= 1;
end
else if (VAR8 < 9874) begin
if (VAR7 > 10180) VAR5 <= 0;
end
else VAR5 <= 1;
end
else if (VAR8 < 9921) begin
if (VAR7 > 10181) VAR5 <= 0;
end
else VAR5 <= 1;
end
else if (VAR8 < 10080) begin
if (VAR7 > 10182) VAR5 <= 0;
end
else VAR5 <= 1;
end
else if (VAR8 < 10127) begin
if (VAR7 > 10181) VAR5 <= 0;
end
else VAR5 <= 1;
end
else if (VAR8 <10161) begin
if (VAR7 > 10180) VAR5 <= 0;
end
else VAR5 <= 1;
end
else if (VAR8 <10182) begin
if (VAR7 > 10179) VAR5 <= 0;
end
else VAR5 <= 1;
end
else
VAR5 <= 0;
if (VAR8 < 9818)
VAR9 <= 0;
else if (VAR8 < 9845) begin
if (VAR7 < 9815) VAR9 <= 0;
end
else VAR9 <= 1;
end
else if (VAR8 < 9883) begin
if (VAR7 < 9816) VAR9 <= 0;
end
else VAR9 <= 1;
end
else if (VAR8 < 9940) begin
if (VAR7 < 9817) VAR9 <= 0;
end
else VAR9 <= 1;
end
else if (VAR8 < 10061) begin
if (VAR7 < 9818) VAR9 <= 0;
end
else VAR9 <= 1;
end
else if (VAR8 < 10118) begin
if (VAR7 < 9817) VAR9 <= 0;
end
else VAR9 <= 1;
end
else if (VAR8 < 10156) begin
if (VAR7 < 9816) VAR9 <= 0;
end
else VAR9 <= 1;
end
else if (VAR8 <10182) begin
if (VAR7 < 9815) VAR9 <= 0;
end
else VAR9 <= 1;
end
else
VAR9 <= 0;
end
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/clkdlybuf4s25/sky130_fd_sc_lp__clkdlybuf4s25.functional.pp.v | 1,866 | module MODULE1 (
VAR11 ,
VAR1 ,
VAR12,
VAR7,
VAR6 ,
VAR2
);
output VAR11 ;
input VAR1 ;
input VAR12;
input VAR7;
input VAR6 ;
input VAR2 ;
wire VAR9 ;
wire VAR4;
buf VAR8 (VAR9 , VAR1 );
VAR3 VAR5 (VAR4, VAR9, VAR12, VAR7);
buf VAR10 (VAR11 , VAR4 );
endmodule | apache-2.0 |
csturton/wirepatch | system/hardware/cores/or1200/or1200_ctrl.v | 31,479 | module MODULE1
(
clk, rst,
VAR147, VAR135, VAR24, VAR60, VAR40,
VAR20,
VAR109, VAR8, VAR128, VAR74, VAR4, VAR42, VAR15,
VAR124, VAR111, VAR12, VAR99,
VAR34, VAR27, VAR100, VAR115, VAR86, VAR43, VAR146,
VAR63, VAR55, VAR136, VAR73,
VAR123, VAR67, VAR130, VAR65, VAR105, VAR10,
VAR29, VAR6,
VAR106, VAR126, VAR21, VAR46, VAR95,
VAR44, VAR53, VAR58, VAR121, VAR48,
VAR76, VAR5, VAR31, VAR92, VAR143,
VAR62,
VAR132, VAR64, VAR50, VAR97, VAR72, VAR144
, VAR120, VAR140
);
input clk;
input rst;
input VAR109;
input VAR8 ;
input VAR128 ;
output VAR24;
output VAR60;
output VAR40;
output VAR20;
input VAR135;
input VAR147;
input VAR15 ;
input [31:0] VAR74;
output [31:0] VAR4;
output [31:0] VAR42 ;
output [VAR35-1:0] VAR111;
output [VAR35-1:0] VAR124;
input VAR12;
output [VAR56-1:0] VAR55;
output [VAR56-1:0] VAR34;
output [VAR56-1:0] VAR27;
output VAR100;
output VAR115;
output [VAR127-1:0] VAR86;
output [VAR7-1:0] VAR43;
output [VAR90-1:0] VAR146;
output [VAR83-1:0] VAR136;
output [VAR81-1:0] VAR73;
input VAR99;
output [31:0] VAR123;
output [31:2] VAR65;
output [31:2] VAR105;
output [VAR36-1:0] VAR10;
output [VAR36-1:0] VAR29;
output [VAR30-1:0] VAR6;
output [VAR75-1:0] VAR63;
output [VAR118-1:0] VAR44;
output [VAR51-1:0] VAR53;
output [4:0] VAR106;
output [5:0] VAR126;
input [31:0] VAR21;
input [31:0] VAR46;
output [31:0] VAR67;
output [31:0] VAR130;
input VAR58;
input VAR95;
output VAR121;
output VAR48;
output VAR76;
output VAR5;
output VAR31;
output VAR92;
output VAR143;
output VAR62;
output [VAR90-1:0] VAR132;
output VAR64;
output VAR50;
output VAR97;
output VAR72;
output VAR144;
input VAR120;
input [31:0] VAR140;
reg [VAR35-1:0] VAR124;
reg [VAR35-1:0] VAR111;
reg [VAR127-1:0] VAR86;
reg [VAR7-1:0] VAR43;
wire VAR28;
reg [VAR90-1:0] VAR88;
reg [VAR90-1:0] VAR132;
wire [VAR90-1:0] VAR146;
reg VAR50;
wire [VAR90-1:0] VAR146;
wire VAR50;
reg [31:0] VAR4 ;
reg [31:0] VAR42 ;
reg [31:0] VAR123 ;
reg [VAR56-1:0] VAR55;
reg [VAR56-1:0] VAR133;
reg [VAR83-1:0] VAR136;
reg [VAR36-1:0] VAR10;
reg [VAR36-1:0] VAR29;
reg VAR110;
reg [VAR30-1:0] VAR6;
reg [VAR75-1:0] VAR63;
reg [VAR118-1:0] VAR44;
reg [VAR51-1:0] VAR53;
reg [31:0] VAR67;
reg [31:0] VAR130;
reg VAR121;
reg VAR48;
reg VAR72;
wire VAR31;
wire VAR92;
wire VAR134;
reg VAR77;
reg VAR59;
reg VAR84;
reg VAR66;
reg [31:2] VAR105;
reg VAR144;
assign VAR34 = VAR74[20:16];
assign VAR27 = VAR74[15:11];
assign VAR100 = VAR74[31] || VAR28;
assign VAR115 = VAR74[30];
assign VAR76 = 1'b0;
assign VAR5 = (|VAR111 & !VAR31 & VAR12) |
(VAR111 == VAR119);
assign VAR31 = (VAR4[31:26] == VAR25) & VAR4[16];
assign VAR92 = (VAR42[31:26] == VAR25) & VAR42[16];
assign VAR134 = (VAR123[31:26] == VAR25) & VAR123[16];
assign VAR62 = VAR66 && !VAR15;
assign VAR143 = VAR84 && !VAR15;
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14) begin
VAR59 <= 1'b0;
VAR77 <= 1'b0;
end
else if (!VAR8 & !VAR77 & VAR59) begin
VAR59 <= VAR31;
VAR77 <= !VAR31;
end
else if (!VAR8 & VAR77 & !VAR59) begin
VAR59 <= 1'b0;
VAR77 <= 1'b0;
end
else if (!VAR8) begin
VAR59 <= VAR31 && VAR12 &&
(VAR111 != VAR125) &&
(VAR111 != VAR119);
VAR77 <= !VAR31 && VAR12 &&
(VAR111 != VAR125) &&
(VAR111 != VAR119);
end
end
assign VAR24 = VAR147 | VAR99 | VAR135;
assign VAR60 = VAR147 | VAR99 | VAR135;
assign VAR40 = VAR147 | VAR99 | VAR135;
assign VAR20 = VAR147 | VAR99 | VAR135;
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR130 <= 32'h00000000;
end
else if (!VAR8) begin
VAR130 <= VAR67;
end
end
always @(VAR4) begin
case (VAR4[31:26])
VAR67 = {{16{VAR4[15]}}, VAR4[15:0]};
VAR67 = {{16{VAR4[15]}}, VAR4[15:0]};
VAR67 = {{16{VAR4[15]}}, VAR4[15:0]};
VAR67 = {{16{VAR4[15]}}, VAR4[15:0]};
VAR67 = {{16{VAR4[15]}}, VAR4[15:0]};
VAR67 = {16'b0, VAR4[25:21], VAR4[10:0]};
VAR67 = {{16{VAR4[25]}}, VAR4[25:21], VAR4[10:0]};
VAR67 = {{16{VAR4[15]}}, VAR4[15:0]};
VAR67 = {{16{VAR4[15]}}, VAR4[15:0]};
default:
VAR67 = {{16'b0}, VAR4[15:0]};
endcase
end
assign VAR65 = {{4{VAR4[25]}}, VAR4[25:0]} + VAR21[31:2];
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR105 <= 0;
end
else if (!VAR8)
VAR105 <= VAR65;
end
assign VAR28 = (VAR74[31:26] == VAR102);
assign VAR28 = 1'b0;
assign VAR64 = (VAR4[31:26] == VAR85) & VAR4[16];
assign VAR64 = 1'b0;
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR50 <= 1'b0;
end
else if (!VAR8 & VAR109 | VAR40)
VAR50 <= 1'b0;
else if (!VAR8)
VAR50 <= VAR64;
end
assign VAR50 = 1'b0;
assign VAR106 = VAR42[4:0];
assign VAR126 = VAR42[10:5];
assign VAR97 = (VAR124 == VAR119) |
(VAR111 == VAR119);
function [31:0] VAR108;
VAR108 = VAR123;
endfunction
function [31:0] VAR93;
VAR93 = VAR4;
endfunction
function [31:0] VAR104;
VAR104 = VAR42;
endfunction
always @(VAR55 or VAR4 or VAR136 or VAR58 or VAR133)
if ((VAR4[20:16] == VAR55) && VAR136[0])
VAR10 = VAR68;
else if ((VAR4[20:16] == VAR133) && VAR58)
VAR10 = VAR52;
else
VAR10 = VAR47;
always @(VAR55 or VAR110 or VAR4 or VAR136 or VAR58 or
VAR133)
if (VAR110)
VAR29 = VAR69;
else if ((VAR4[15:11] == VAR55) && VAR136[0])
VAR29 = VAR68;
else if ((VAR4[15:11] == VAR133) && VAR58)
VAR29 = VAR52;
else
VAR29 = VAR47;
always @(VAR4) begin
case (VAR4[31:26]) VAR139,
VAR44 = VAR16; default: begin
VAR44 = VAR145;
end
endcase
end
always @(VAR4) begin
case (VAR4[31:26]) VAR122:
VAR53 = ( 1'b0
| (VAR4[4:0] == VAR98)
| (VAR4[4:0] == VAR114)
| (VAR4[4:0] == VAR112)
| (VAR4[4:0] == VAR49)
) ? VAR80 : VAR2;
VAR53 = VAR80;
VAR53 = VAR4[16] ? VAR80 :
VAR53 = VAR4[VAR142] ? 0 : VAR138;
end
VAR53 = VAR103;
end
default: begin
VAR53 = VAR2;
end
endcase end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR55 <= 5'd0;
end
else if (!VAR8 & VAR109)
VAR55 <= 5'd00;
else if (!VAR8)
case (VAR4[31:26]) VAR150, VAR41:
VAR55 <= 5'd09; default:
VAR55 <= VAR4[25:21];
endcase
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR133 <= 5'd0;
end
else if (!VAR128)
VAR133 <= VAR55;
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR4 <= {VAR25, 26'h0410000};
end
else if (VAR60)
VAR4 <= {VAR25, 26'h0410000}; else if (!VAR109) begin
VAR4 <= VAR74;
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR42 <= {VAR25, 26'h0410000};
end
else if (!VAR8 & VAR109 | VAR40)
VAR42 <= {VAR25, 26'h0410000}; else if (!VAR8) begin
VAR42 <= VAR4;
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR123 <= {VAR25, 26'h0410000};
end
else if (!VAR128) begin
VAR123 <= VAR42;
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR110 <= 1'b0;
end
else if (!VAR109) begin
case (VAR74[31:26])
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
VAR110 <= 1'b0;
default: begin
VAR110 <= 1'b1;
end
endcase
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR72 <= 1'b0;
end
else if (!VAR8 & VAR109 | VAR40)
VAR72 <= 1'b0;
else if (!VAR8) begin
case (VAR4[31:26])
VAR72 <= 1'b0 | VAR120;
VAR72 <= VAR4[VAR142] | VAR120;
VAR72 <= 1'b0 | VAR120
| (VAR4[4:0] == VAR98)
| (VAR4[4:0] == VAR114)
| (VAR4[4:0] == VAR98)
| (VAR4[4:0] == VAR114)
| (VAR4[4:0] == VAR112)
| (VAR4[4:0] == VAR91)
| (VAR4[4:0] == VAR54)
| ((VAR4[4:0] == VAR148) &
(VAR4[9:6] == VAR117))
| (VAR4[4:0] == VAR71)
| (VAR4[4:0] == VAR137)
| (VAR4[4:0] == VAR17)
;
default:
VAR72 <= 1'b1;
endcase
end end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR86 <= VAR94;
else if (!VAR8 & VAR109 | VAR40)
VAR86 <= VAR94;
else if (!VAR8) begin
case (VAR4[31:26])
VAR86 <= VAR3;
VAR86 <= VAR141;
VAR86 <= VAR91;
VAR86 <= VAR39;
VAR86 <= VAR107;
VAR86 <= VAR13;
VAR86 <= VAR112;
VAR86 <= VAR148;
VAR86 <= VAR33;
VAR86 <= {1'b0,VAR4[3:0]};
VAR86 <= VAR33;
VAR86 <= VAR149;
default: begin
VAR86 <= VAR94;
end
endcase
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR43 <= 0;
end
else if (!VAR8 & VAR109 | VAR40)
VAR43 <= 0;
else if (!VAR8) begin
VAR43 <= VAR4[VAR1];
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14) begin
VAR84 <= 1'b0;
VAR66 <= 1'b0;
end
else if (!VAR8 & VAR109 | VAR40) begin
VAR84 <= 1'b0;
VAR66 <= 1'b0;
end
else if (!VAR8) begin
case (VAR4[31:26])
VAR84 <= 1'b1;
VAR66 <= 1'b0;
end
VAR84 <= 1'b0;
VAR66 <= 1'b1;
end
default: begin
VAR84 <= 1'b0;
VAR66 <= 1'b0;
end
endcase
end
end
always @(VAR4) begin
case (VAR4[31:26])
VAR132 = VAR32;
VAR132 = VAR4[2:0];
default:
VAR132 = VAR113;
endcase
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR88 <= VAR113;
end
else if (!VAR8 & VAR109 | VAR40)
VAR88 <= VAR113;
else if (!VAR8)
VAR88 <= VAR132;
end
assign VAR146 = VAR15 ? VAR113 : VAR88;
assign VAR132 = VAR113;
assign VAR146 = VAR113;
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR136 <= VAR26;
end
else if (!VAR8 & VAR109 | VAR40)
VAR136 <= VAR26;
else if (!VAR8) begin
case (VAR4[31:26])
VAR136 <= {VAR57, 1'b1};
VAR136 <= {VAR57, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR19, 1'b1};
VAR136 <= {VAR82, 1'b1};
VAR136 <= {VAR82, 1'b1};
VAR136 <= {VAR82, 1'b1};
VAR136 <= {VAR82, 1'b1};
VAR136 <= {VAR82, 1'b1};
VAR136 <= {VAR82, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR45, 1'b1};
VAR136 <= {VAR116,!VAR4[3]};
default:
VAR136 <= VAR26;
endcase
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR124 <= VAR125;
end
else if (VAR60)
VAR124 <= VAR125;
else if (!VAR109) begin
case (VAR74[31:26])
VAR124 <= VAR37;
VAR124 <= VAR37;
VAR124 <= VAR11;
VAR124 <= VAR11;
VAR124 <= VAR18;
VAR124 <= VAR87;
VAR124 <= VAR119;
default:
VAR124 <= VAR125;
endcase
end
end
always @(posedge clk or VAR70 rst)
if (rst == VAR14)
VAR111 <= VAR125;
else if (!VAR8 & VAR109 | VAR40)
VAR111 <= VAR125;
else if (!VAR8)
VAR111 <= VAR124;
always @(VAR4) begin
case (VAR4[31:26])
VAR6 = VAR38;
VAR6 = VAR79;
VAR6 = VAR23;
VAR6 = VAR96;
VAR6 = VAR101;
VAR6 = VAR61;
VAR6 = VAR129;
VAR6 = VAR22;
VAR6 = VAR89;
default:
VAR6 = VAR131;
endcase
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14) begin
VAR63 <= 4'd0;
end else if (!VAR8 & VAR109 | VAR40)
VAR63 <= 4'd0;
end
else if (!VAR8)
VAR63 <= VAR4[24:21];
end
assign VAR73 = {(VAR4[31:26] == VAR9),
VAR4[VAR81-2:0]};
assign VAR73 = {VAR81{1'b0}};
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR121 <= 1'b0;
end
else if (!VAR8 & VAR109 | VAR40)
VAR121 <= 1'b0;
else if (!VAR8) begin
if (VAR4[31:23] == {VAR78, 3'b000})
VAR121 <= (VAR4[31:23] == {VAR78, 3'b000});
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR48 <= 1'b0;
end
else if (!VAR8 & VAR109 | VAR40)
VAR48 <= 1'b0;
else if (!VAR8) begin
if (VAR4[31:23] == {VAR78, 3'b010})
VAR48 <= (VAR4[31:23] == {VAR78, 3'b010})
| VAR95;
end
end
always @(posedge clk or VAR70 rst) begin
if (rst == VAR14)
VAR144 <= 0;
end
else if (!VAR8)
VAR144 <= (VAR4[20:16] == 5'd1) | (VAR4[20:16] == 5'd2);
end
assign VAR144 = 0;
endmodule | mit |
Siliciumer/DOS-Mario-FPGA | sources/change2negedge.v | 1,335 | module MODULE1
(
input wire VAR4,
input wire VAR1,
input wire VAR5,
input wire [23:0] VAR6,
input wire clk,
input wire rst,
output reg VAR3,
output reg VAR7,
output reg VAR2,
output reg [23:0] VAR8
);
always @(negedge clk or posedge rst) begin
if(rst) begin
VAR3 <= 0;
VAR7 <= 0;
VAR2 <= 0;
VAR8 <= 0;
end
else begin
VAR3 <= VAR4;
VAR7 <= VAR1;
VAR2 <= VAR5;
VAR8 <= VAR6;
end
end
endmodule | mit |
peteasa/parallella-fpga | AdaptevaLib/elink-gold/fifo_empty_block.v | 2,674 | module MODULE1 (
VAR9, VAR6, VAR10,
reset, VAR11, VAR4, VAR1
);
parameter VAR2 = 2;
input reset;
input VAR11;
input [VAR2:0] VAR4; input VAR1;
output VAR9;
output [VAR2-1:0] VAR6;
output [VAR2:0] VAR10;
reg [VAR2:0] VAR10;
reg [VAR2:0] VAR8;
reg VAR9;
wire VAR5;
wire [VAR2:0] VAR7;
wire [VAR2:0] VAR3;
always @(posedge VAR11 or posedge reset)
if(reset)
begin
VAR8[VAR2:0] <= {(VAR2+1){1'b0}};
VAR10[VAR2:0] <= {(VAR2+1){1'b0}};
end
else if(VAR1)
begin
VAR8[VAR2:0] <= VAR7[VAR2:0];
VAR10[VAR2:0] <= VAR3[VAR2:0];
end
assign VAR6[VAR2-1:0] = VAR8[VAR2-1:0];
assign VAR7[VAR2:0] = VAR8[VAR2:0] +
{{(VAR2){1'b0}},VAR1};
assign VAR3[VAR2:0] = {1'b0,VAR7[VAR2:1]} ^
VAR7[VAR2:0];
assign VAR5 = (VAR3[VAR2:0]==VAR4[VAR2:0]);
always @ (posedge VAR11 or posedge reset)
if(reset)
VAR9 <= 1'b1;
else
VAR9 <= VAR5;
endmodule | lgpl-3.0 |
parallella/oh | common/hdl/oh_mux.v | 1,030 | module MODULE1 #( parameter VAR2 = 1, parameter VAR1 = 1 )
(
input [VAR1-1:0] sel, input [VAR1*VAR2-1:0] in, output [VAR2-1:0] out );
reg [VAR2-1:0] mux;
integer VAR3;
always @*
begin
mux[VAR2-1:0] = 'b0;
for(VAR3=0;VAR3<VAR1;VAR3=VAR3+1)
mux[VAR2-1:0] = mux[VAR2-1:0] | {(VAR2){sel[VAR3]}} & in[((VAR3+1)*VAR2-1)-:VAR2];
end
assign out[VAR2-1:0] = mux;
endmodule | mit |
aquaxis/synverll | lib/llvm_memcpy/aq_axi_master32.v | 10,309 | module MODULE1(
input VAR27,
input VAR15,
output [0:0] VAR68,
output [31:0] VAR39,
output [7:0] VAR55, output [2:0] VAR11, output [1:0] VAR3, output VAR81, output [3:0] VAR41, output [2:0] VAR2, output [3:0] VAR24, output [0:0] VAR42, output VAR77,
input VAR40,
output [63:0] VAR91,
output [7:0] VAR16,
output VAR53,
output [0:0] VAR92,
output VAR22,
input VAR78,
input [0:0] VAR61,
input [1:0] VAR62,
input [0:0] VAR87,
input VAR5,
output VAR65,
output [0:0] VAR82,
output [31:0] VAR63,
output [7:0] VAR54,
output [2:0] VAR4,
output [1:0] VAR31,
output [1:0] VAR64,
output [3:0] VAR33,
output [2:0] VAR76,
output [3:0] VAR59,
output [0:0] VAR10,
output VAR6,
input VAR73,
input [0:0] VAR71,
input [63:0] VAR36,
input [1:0] VAR74,
input VAR23,
input [0:0] VAR70,
input VAR79,
output VAR45,
input VAR60,
input VAR72,
input [31:0] VAR43,
input [31:0] VAR9,
output VAR84,
output VAR56,
input VAR51,
input VAR7,
input [63:0] VAR80,
input VAR52,
input [31:0] VAR34,
input [31:0] VAR67,
output VAR66,
output VAR32,
input VAR37,
input VAR86,
output [63:0] VAR75
);
localparam VAR1 = 3'd0;
localparam VAR18 = 3'd1;
localparam VAR90 = 3'd2;
localparam VAR44 = 3'd3;
localparam VAR49 = 3'd4;
localparam VAR48 = 3'd5;
reg [2:0] VAR25;
reg [31:0] VAR69;
reg [31:0] VAR85;
reg VAR28, VAR13, VAR50;
reg [7:0] VAR21;
reg [7:0] VAR30;
reg [1:0] VAR19;
reg [3:0] VAR89, VAR46;
reg [7:0] VAR47, VAR20;
reg [1:0] VAR38;
always @(posedge VAR15 or negedge VAR27) begin
if(!VAR27) begin
VAR25 <= VAR1;
VAR69[31:0] <= 32'd0;
VAR85[31:0] <= 32'd0;
VAR28 <= 1'b0;
VAR13 <= 1'b0;
VAR50 <= 1'b0;
VAR21[7:0] <= 8'd0;
VAR30[7:0] <= 8'd0;
VAR19[1:0] <= 2'd0;
VAR89[3:0] <= 4'd0;
VAR46[3:0] <= 4'd0;
VAR20 <= 8'd0;
VAR47 <= 8'd0;
VAR38 <= 2'd0;
end else begin
if(VAR60) begin
VAR25 <= VAR1;
end else begin
case(VAR25)
VAR1: begin
if(VAR72) begin
VAR25 <= VAR18;
VAR69[31:0] <= VAR43[31:0];
VAR85[31:0] <= VAR9[31:0] -32'd1;
end
VAR28 <= 1'b0;
VAR13 <= 1'b0;
VAR50 <= 1'b0;
VAR21[7:0] <= 8'd0;
VAR30[7:0] <= 8'd0;
VAR19[1:0] <= 2'd0;
end
VAR18: begin
if(!VAR7 | (VAR85[31:11] == 21'd0)) begin
VAR25 <= VAR90;
end
end
VAR90: begin
VAR25 <= VAR44;
VAR28 <= 1'b1;
VAR85[31:11] <= VAR85[31:11] - 21'd1;
if(VAR85[31:11] != 21'd0) begin
VAR21[7:0] <= 8'hFF;
VAR50 <= 1'b0;
VAR30[7:0] <= 8'hFF;
end else begin
VAR21[7:0] <= VAR85[10:3];
VAR50 <= 1'b1;
VAR30[7:0] <= 8'hFF;
case(VAR85[2:0])
3'd0: VAR30[7:0] <= 8'b00000000;
3'd1: VAR30[7:0] <= 8'b00000001;
3'd2: VAR30[7:0] <= 8'b00000011;
3'd3: VAR30[7:0] <= 8'b00000111;
3'd4: VAR30[7:0] <= 8'b00001111;
3'd5: VAR30[7:0] <= 8'b00011111;
3'd6: VAR30[7:0] <= 8'b00111111;
3'd7: VAR30[7:0] <= 8'b01111111;
default: VAR30[7:0] <= 8'b11111111;
endcase
end
end
VAR44: begin
if(VAR40) begin
VAR25 <= VAR49;
VAR28 <= 1'b0;
VAR13 <= 1'b1;
end
end
VAR49: begin
if(VAR78 & ~VAR51) begin
if(VAR21[7:0] == 8'd0) begin
VAR25 <= VAR48;
VAR13 <= 1'b0;
VAR30[7:0] <= 8'h00;
end else begin
VAR21[7:0] <= VAR21[7:0] -8'd1;
end
end
end
VAR48: begin
if(VAR5) begin
VAR19[1:0] <= VAR19[1:0] | VAR62[1:0];
if(VAR50) begin
VAR25 <= VAR1;
end else begin
VAR25 <= VAR18;
VAR69[31:0] <= VAR69[31:0] + 32'd2048;
end
end
end
default: begin
VAR25 <= VAR1;
end
endcase
end
end
end
assign VAR68 = 1'b0;
assign VAR39[31:0] = VAR69[31:0];
assign VAR55[7:0] = VAR21[7:0];
assign VAR11[2:0] = 2'b011;
assign VAR3[1:0] = 2'b01;
assign VAR81 = 1'b0;
assign VAR41[3:0] = 4'b0011;
assign VAR2[2:0] = 3'b000;
assign VAR24[3:0] = 4'b0000;
assign VAR42[0] = 1'b1;
assign VAR77 = VAR28;
assign VAR91[63:0] = VAR80[63:0];
assign VAR16[7:0] = (VAR13 & ~VAR51)?8'hFF:8'h00;
assign VAR53 = (VAR21[7:0] == 8'd0)?1'b1:1'b0;
assign VAR92 = 1;
assign VAR22 = VAR13 & ~VAR51;
assign VAR65 = VAR5;
assign VAR84 = (VAR25 == VAR1)?1'b1:1'b0;
assign VAR56 = VAR13 & ~VAR51 & VAR78;
localparam VAR83 = 3'd0;
localparam VAR58 = 3'd1;
localparam VAR29 = 3'd2;
localparam VAR17 = 3'd3;
localparam VAR8 = 3'd4;
reg [2:0] VAR57;
reg [31:0] VAR35;
reg [31:0] VAR88;
reg VAR14, VAR12;
reg [7:0] VAR26;
always @(posedge VAR15 or negedge VAR27) begin
if(!VAR27) begin
VAR57 <= VAR83;
VAR35[31:0] <= 32'd0;
VAR88[31:0] <= 32'd0;
VAR14 <= 1'b0;
VAR26[7:0] <= 8'd0;
end else begin
case(VAR57)
VAR83: begin
if(VAR52) begin
VAR57 <= VAR58;
VAR35[31:0] <= VAR34[31:0];
VAR88[31:0] <= VAR67[31:0] -32'd1;
end
VAR14 <= 1'b0;
VAR26[7:0] <= 8'd0;
end
VAR58: begin
if(~VAR86) begin
VAR57 <= VAR29;
end
end
VAR29: begin
VAR57 <= VAR17;
VAR14 <= 1'b1;
VAR88[31:11] <= VAR88[31:11] -21'd1;
if(VAR88[31:11] != 21'd0) begin
VAR12 <= 1'b0;
VAR26[7:0] <= 8'd255;
end else begin
VAR12 <= 1'b1;
VAR26[7:0] <= VAR88[10:3];
end
end
VAR17: begin
if(VAR73) begin
VAR57 <= VAR8;
VAR14 <= 1'b0;
end
end
VAR8: begin
if(VAR79) begin
if(VAR23) begin
if(VAR12) begin
VAR57 <= VAR83;
end else begin
VAR57 <= VAR58;
VAR35[31:0] <= VAR35[31:0] + 32'd2048;
end
end else begin
VAR26[7:0] <= VAR26[7:0] -8'd1;
end
end
end
endcase
end
end
assign VAR82 = 1'b0;
assign VAR63[31:0] = VAR35[31:0];
assign VAR54[7:0] = VAR26[7:0];
assign VAR4[2:0] = 3'b011;
assign VAR31[1:0] = 2'b01;
assign VAR64 = 1'b0;
assign VAR33[3:0] = 4'b0011;
assign VAR76[2:0] = 3'b000;
assign VAR59[3:0] = 4'b0000;
assign VAR10[0] = 1'b1;
assign VAR6 = VAR14;
assign VAR45 = VAR79 & ~VAR37;
assign VAR66 = (VAR57 == VAR83)?1'b1:1'b0;
assign VAR32 = VAR79;
assign VAR75[63:0] = VAR36[63:0];
endmodule | mit |
gigglesninja/digital-system-design | lab2_part2/ipcore_dir/add13bit.v | 9,508 | module MODULE1 (
VAR99, VAR108, VAR59
);
output [12 : 0] VAR99;
input [12 : 0] VAR108;
input [12 : 0] VAR59;
wire \VAR20/VAR31 ;
wire \VAR20/VAR34 ;
wire \VAR20/VAR2 ;
wire \VAR20/VAR84 ;
wire \VAR20/VAR21 ;
wire \VAR20/VAR109 ;
wire \VAR20/VAR89 ;
wire \VAR20/VAR61 ;
wire \VAR20/VAR39 ;
wire \VAR20/VAR19 ;
wire \VAR20/VAR43 ;
wire \VAR20/VAR65 ;
wire \VAR20/VAR11 ;
wire \VAR20/VAR9 ;
wire \VAR20/VAR8 ;
wire \VAR20/VAR78 ;
wire \VAR20/VAR28 ;
wire \VAR20/VAR70 ;
wire \VAR20/VAR86 ;
wire \VAR20/VAR74 ;
wire \VAR20/VAR46 ;
wire \VAR20/VAR105 ;
wire \VAR20/VAR91 ;
wire \VAR20/VAR82 ;
wire \VAR20/VAR66 ;
wire \VAR20/VAR18 ;
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR49 (
.VAR111(VAR59[0]),
.VAR56(VAR108[0]),
.VAR38(\VAR20/VAR11 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR35 (
.VAR111(VAR59[1]),
.VAR56(VAR108[1]),
.VAR38(\VAR20/VAR39 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR24 (
.VAR111(VAR59[2]),
.VAR56(VAR108[2]),
.VAR38(\VAR20/VAR61 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR32 (
.VAR111(VAR59[3]),
.VAR56(VAR108[3]),
.VAR38(\VAR20/VAR89 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR23 (
.VAR111(VAR59[4]),
.VAR56(VAR108[4]),
.VAR38(\VAR20/VAR109 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR79 (
.VAR111(VAR59[5]),
.VAR56(VAR108[5]),
.VAR38(\VAR20/VAR21 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR6 (
.VAR111(VAR59[6]),
.VAR56(VAR108[6]),
.VAR38(\VAR20/VAR84 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR104 (
.VAR111(VAR59[7]),
.VAR56(VAR108[7]),
.VAR38(\VAR20/VAR2 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR42 (
.VAR111(VAR59[8]),
.VAR56(VAR108[8]),
.VAR38(\VAR20/VAR34 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR17 (
.VAR111(VAR59[9]),
.VAR56(VAR108[9]),
.VAR38(\VAR20/VAR31 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR15 (
.VAR111(VAR59[10]),
.VAR56(VAR108[10]),
.VAR38(\VAR20/VAR65 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR72 (
.VAR111(VAR59[11]),
.VAR56(VAR108[11]),
.VAR38(\VAR20/VAR43 )
);
VAR103 #(
.VAR12 ( 4'h6 ))
\VAR20/VAR44 (
.VAR111(VAR59[12]),
.VAR56(VAR108[12]),
.VAR38(\VAR20/VAR19 )
);
VAR16 \VAR20/VAR55 (
.VAR95(\VAR20/VAR18 ),
.VAR41(VAR108[0]),
.VAR106(\VAR20/VAR11 ),
.VAR38(\VAR20/VAR66 )
);
VAR71 \VAR20/VAR63 (
.VAR95(\VAR20/VAR18 ),
.VAR26(\VAR20/VAR11 ),
.VAR38(VAR99[0])
);
VAR71 \VAR20/VAR69 (
.VAR95(\VAR20/VAR91 ),
.VAR26(\VAR20/VAR19 ),
.VAR38(VAR99[12])
);
VAR16 \VAR20/VAR52 (
.VAR95(\VAR20/VAR66 ),
.VAR41(VAR108[1]),
.VAR106(\VAR20/VAR39 ),
.VAR38(\VAR20/VAR105 )
);
VAR71 \VAR20/VAR97 (
.VAR95(\VAR20/VAR66 ),
.VAR26(\VAR20/VAR39 ),
.VAR38(VAR99[1])
);
VAR16 \VAR20/VAR36 (
.VAR95(\VAR20/VAR105 ),
.VAR41(VAR108[2]),
.VAR106(\VAR20/VAR61 ),
.VAR38(\VAR20/VAR46 )
);
VAR71 \VAR20/VAR96 (
.VAR95(\VAR20/VAR105 ),
.VAR26(\VAR20/VAR61 ),
.VAR38(VAR99[2])
);
VAR16 \VAR20/VAR22 (
.VAR95(\VAR20/VAR46 ),
.VAR41(VAR108[3]),
.VAR106(\VAR20/VAR89 ),
.VAR38(\VAR20/VAR74 )
);
VAR71 \VAR20/VAR85 (
.VAR95(\VAR20/VAR46 ),
.VAR26(\VAR20/VAR89 ),
.VAR38(VAR99[3])
);
VAR16 \VAR20/VAR47 (
.VAR95(\VAR20/VAR74 ),
.VAR41(VAR108[4]),
.VAR106(\VAR20/VAR109 ),
.VAR38(\VAR20/VAR86 )
);
VAR71 \VAR20/VAR54 (
.VAR95(\VAR20/VAR74 ),
.VAR26(\VAR20/VAR109 ),
.VAR38(VAR99[4])
);
VAR16 \VAR20/VAR40 (
.VAR95(\VAR20/VAR86 ),
.VAR41(VAR108[5]),
.VAR106(\VAR20/VAR21 ),
.VAR38(\VAR20/VAR70 )
);
VAR71 \VAR20/VAR25 (
.VAR95(\VAR20/VAR86 ),
.VAR26(\VAR20/VAR21 ),
.VAR38(VAR99[5])
);
VAR16 \VAR20/VAR5 (
.VAR95(\VAR20/VAR70 ),
.VAR41(VAR108[6]),
.VAR106(\VAR20/VAR84 ),
.VAR38(\VAR20/VAR28 )
);
VAR71 \VAR20/VAR64 (
.VAR95(\VAR20/VAR70 ),
.VAR26(\VAR20/VAR84 ),
.VAR38(VAR99[6])
);
VAR16 \VAR20/VAR73 (
.VAR95(\VAR20/VAR28 ),
.VAR41(VAR108[7]),
.VAR106(\VAR20/VAR2 ),
.VAR38(\VAR20/VAR78 )
);
VAR71 \VAR20/VAR107 (
.VAR95(\VAR20/VAR28 ),
.VAR26(\VAR20/VAR2 ),
.VAR38(VAR99[7])
);
VAR16 \VAR20/VAR80 (
.VAR95(\VAR20/VAR78 ),
.VAR41(VAR108[8]),
.VAR106(\VAR20/VAR34 ),
.VAR38(\VAR20/VAR8 )
);
VAR71 \VAR20/VAR88 (
.VAR95(\VAR20/VAR78 ),
.VAR26(\VAR20/VAR34 ),
.VAR38(VAR99[8])
);
VAR16 \VAR20/VAR77 (
.VAR95(\VAR20/VAR8 ),
.VAR41(VAR108[9]),
.VAR106(\VAR20/VAR31 ),
.VAR38(\VAR20/VAR9 )
);
VAR71 \VAR20/VAR13 (
.VAR95(\VAR20/VAR8 ),
.VAR26(\VAR20/VAR31 ),
.VAR38(VAR99[9])
);
VAR16 \VAR20/VAR10 (
.VAR95(\VAR20/VAR9 ),
.VAR41(VAR108[10]),
.VAR106(\VAR20/VAR65 ),
.VAR38(\VAR20/VAR82 )
);
VAR71 \VAR20/VAR75 (
.VAR95(\VAR20/VAR9 ),
.VAR26(\VAR20/VAR65 ),
.VAR38(VAR99[10])
);
VAR16 \VAR20/VAR62 (
.VAR95(\VAR20/VAR82 ),
.VAR41(VAR108[11]),
.VAR106(\VAR20/VAR43 ),
.VAR38(\VAR20/VAR91 )
);
VAR71 \VAR20/VAR3 (
.VAR95(\VAR20/VAR82 ),
.VAR26(\VAR20/VAR43 ),
.VAR38(VAR99[11])
);
VAR114 \VAR20/VAR57 (
.VAR112(\VAR20/VAR18 )
);
endmodule
module MODULE2 ();
parameter VAR68 = 100000;
parameter VAR29 = 0;
wire VAR113;
wire VAR48;
wire VAR102;
wire VAR90;
tri1 VAR100;
tri (weak1, strong0) VAR33 = VAR100;
wire VAR81;
wire VAR76;
reg VAR45;
reg VAR93;
reg VAR4;
wire VAR87;
wire VAR101;
wire VAR92;
wire VAR7;
wire VAR94;
reg VAR98;
reg VAR50;
reg VAR51;
reg VAR60;
reg VAR110;
reg VAR30 = 0;
reg VAR58 = 0 ;
reg VAR37 = 0;
reg VAR67 = 0;
reg VAR83 = 1'VAR27;
reg VAR14 = 1'VAR27;
reg VAR1 = 1'VAR27;
reg VAR53 = 1'VAR27;
assign (weak1, weak0) VAR113 = VAR45;
assign (weak1, weak0) VAR48 = VAR93;
assign (weak1, weak0) VAR90 = VAR4; | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dfrbp/sky130_fd_sc_hd__dfrbp.blackbox.v | 1,378 | module MODULE1 (
VAR9 ,
VAR1 ,
VAR5 ,
VAR3 ,
VAR2
);
output VAR9 ;
output VAR1 ;
input VAR5 ;
input VAR3 ;
input VAR2;
supply1 VAR7;
supply0 VAR8;
supply1 VAR4 ;
supply0 VAR6 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/sdfsbp/sky130_fd_sc_ms__sdfsbp.blackbox.v | 1,455 | module MODULE1 (
VAR8 ,
VAR1 ,
VAR2 ,
VAR11 ,
VAR5 ,
VAR3 ,
VAR9
);
output VAR8 ;
output VAR1 ;
input VAR2 ;
input VAR11 ;
input VAR5 ;
input VAR3 ;
input VAR9;
supply1 VAR6;
supply0 VAR10;
supply1 VAR7 ;
supply0 VAR4 ;
endmodule | apache-2.0 |
julioamerico/OpenCRC | src/SoC/component/work/crc_ahb_ip_MSS/MSS_CCC_0/crc_ahb_ip_MSS_tmp_MSS_CCC_0_MSS_CCC.v | 2,786 | module MODULE1(
VAR5,
VAR27,
VAR62,
VAR69,
VAR42,
VAR55,
VAR31,
VAR36,
VAR7,
VAR10,
VAR38,
VAR14,
VAR24,
VAR63,
VAR3,
VAR22,
VAR35,
VAR37,
VAR44,
VAR30,
VAR54,
VAR65,
VAR61,
VAR73,
VAR4,
VAR71,
VAR46,
VAR23,
VAR57
);
input VAR5;
input VAR27;
input VAR62;
input VAR69;
input VAR42;
input VAR55;
input VAR31;
input VAR36;
input VAR7;
input VAR10;
input VAR38;
input VAR14;
input VAR24;
input VAR63;
input VAR3;
output VAR22;
output VAR35;
output VAR37;
output VAR44;
output VAR30;
output VAR54;
output VAR65;
output VAR61;
output VAR73;
output VAR4;
output VAR71;
output VAR46;
output VAR23;
output VAR57;
wire VAR32, VAR33, VAR28;
wire VAR49;
wire VAR51;
assign VAR35 = VAR33;
assign VAR44 = VAR33;
assign VAR30 = VAR33;
assign VAR54 = VAR33;
assign VAR65 = VAR33;
assign VAR61 = VAR33;
assign VAR73 = VAR33;
assign VAR4 = VAR33;
assign VAR71 = VAR33;
assign VAR33 = VAR49;
assign VAR28 = VAR51;
VAR58 #( .VAR18(-1.000) ) VAR50 (.VAR5(VAR32),
.VAR53(VAR33), .VAR35(), .VAR60(VAR22), .VAR12(), .VAR47(),
.VAR42(VAR33), .VAR54(VAR37), .VAR65(), .VAR7(VAR33), .VAR61(), .VAR73()
, .VAR3(), .VAR9({VAR33, VAR33, VAR33, VAR33, VAR33}),
.VAR13(VAR33), .VAR72({VAR33, VAR33, VAR33}), .VAR15(
VAR28), .VAR6({VAR33, VAR33, VAR33, VAR33, VAR33}),
.VAR56({VAR33, VAR33, VAR33, VAR33, VAR33}), .VAR43({
VAR33, VAR33, VAR33, VAR33, VAR33}), .VAR48({VAR33, VAR33,
VAR33, VAR28, VAR28}), .VAR45(VAR33), .VAR2({VAR33, VAR28,
VAR28}), .VAR11(VAR33), .VAR40({VAR33, VAR33, VAR33, VAR33,
VAR33}), .VAR66({VAR33, VAR33, VAR33, VAR28, VAR28}),
.VAR39(VAR33), .VAR68({VAR33, VAR33, VAR33}), .VAR8(
VAR28), .VAR34({VAR33, VAR33, VAR33, VAR33, VAR33}), .VAR1({
VAR33, VAR33, VAR33, VAR33, VAR28, VAR28, VAR33}), .VAR29({
VAR33, VAR33, VAR33, VAR33, VAR28, VAR28, VAR33}), .VAR52({
VAR33, VAR33, VAR33, VAR33, VAR28}), .VAR19({VAR33, VAR28}),
.VAR17(VAR33), .VAR70({VAR33, VAR33}), .VAR26({VAR33,
VAR33}));
VAR41 VAR64 (.VAR16(VAR32));
VAR21 VAR25 (.VAR20(VAR49));
VAR67 VAR59 (.VAR20(VAR51));
endmodule | gpl-3.0 |
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors- | DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/tmp/spree/tmp/addersub_slt.v | 1,932 | module MODULE1 (
VAR11, VAR4,
VAR2,
VAR12,
VAR3 );
parameter VAR7=32;
input [VAR7-1:0] VAR11;
input [VAR7-1:0] VAR4;
input [3-1:0] VAR2;
output [VAR7-1:0] VAR12;
output VAR3;
wire VAR6;
reg [VAR7:0] sum;
wire VAR10;
wire VAR5;
wire VAR1;
assign VAR10=VAR2[2];
assign VAR5=VAR2[1];
assign VAR1=VAR2[0];
assign VAR12=sum[VAR7-1:0];
assign VAR3=sum[VAR7];
wire [VAR7-1:0] VAR8;
wire [VAR7-1:0] VAR9;
wire [VAR7-1:0] VAR9;
assign VAR8 = {VAR5&VAR11[VAR7-1],VAR11};
assign VAR9 = {VAR5&VAR4[VAR7-1],VAR4};
assign VAR9 = ~{VAR5&VAR4[VAR7-1],VAR4} + 1'b1;
always @(*) begin
if(VAR1 == 1'b1) begin
sum = VAR8 + VAR9;
end else begin
sum = VAR8 + VAR9;
end
end
assign VAR6=sum[VAR7];
endmodule | mit |
jotego/jt12 | hdl/jt12_sumch.v | 1,352 | module MODULE1
(
input [4:0] VAR4,
output reg [4:0] VAR2
);
parameter VAR3=6;
reg [2:0] VAR1;
always @(*) begin
VAR1 = VAR4[2:0] + 3'd1;
if( VAR3==6 ) begin
VAR2[2:0] = VAR1[1:0]==2'b11 ? VAR1+3'd1 : VAR1;
VAR2[4:3] = VAR4[2:0]==3'd6 ? VAR4[4:3]+2'd1 : VAR4[4:3]; end else begin VAR2[2:0] = VAR1[1:0]==2'b11 ? 3'd0 : VAR1;
VAR2[4:3] = VAR4[2:0]==3'd2 ? VAR4[4:3]+2'd1 : VAR4[4:3]; end
end
endmodule | gpl-3.0 |
tmatsuya/milkymist-ml401 | cores/pfpu/rtl/pfpu_sincos.v | 2,437 | module MODULE1(
input VAR7,
input VAR10,
input [31:0] VAR6,
input VAR8,
input VAR2,
output [31:0] VAR11,
output VAR14
);
reg VAR13;
reg VAR17;
reg [12:0] VAR15;
wire [12:0] VAR4 = 13'd0 - VAR6[12:0];
always @(posedge VAR7) begin
if(VAR10)
VAR13 <= 1'b0;
end
else
VAR13 <= VAR2;
VAR17 <= VAR8;
if(VAR6[31]) begin
if(~VAR8)
VAR15 <= VAR4 + 13'd4096;
end
else
VAR15 <= VAR4;
end else
VAR15 <= VAR6[12:0];
end
reg VAR9;
reg VAR3;
reg [10:0] VAR12;
reg VAR16;
always @(posedge VAR7) begin
if(VAR10)
VAR3 <= 1'b0;
end
else
VAR3 <= VAR13;
VAR16 <= 1'b0;
if(VAR17) begin
VAR9 <= VAR15[12] ^ VAR15[11];
if(VAR15[11])
VAR12 <= VAR15[10:0];
end
else begin
VAR12 <= 11'd0 - VAR15[10:0];
VAR16 <= VAR15[10:0] == 11'd0;
end
end else begin
VAR9 <= VAR15[12];
if(VAR15[11]) begin
VAR12 <= 11'd0 - VAR15[10:0];
VAR16 <= VAR15[10:0] == 11'd0;
end else
VAR12 <= VAR15[10:0];
end
end
reg [30:0] VAR5[0:2047];
reg [30:0] VAR1;
always @(posedge VAR7)
VAR1 <= VAR5[VAR12]; | lgpl-3.0 |
takeshineshiro/fpga_linear_128 | DAS_RF_bb.v | 7,787 | module MODULE1 (
VAR4,
VAR3,
VAR1,
VAR6,
VAR2,
VAR5);
input VAR4;
input [15:0] VAR3;
input [13:0] VAR1;
input [13:0] VAR6;
input VAR2;
output [15:0] VAR5;
tri1 VAR2;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/inv/sky130_fd_sc_ls__inv.symbol.v | 1,238 | module MODULE1 (
input VAR5,
output VAR6
);
supply1 VAR4;
supply0 VAR2;
supply1 VAR3 ;
supply0 VAR1 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/o211ai/sky130_fd_sc_hdll__o211ai.behavioral.pp.v | 2,068 | module MODULE1 (
VAR10 ,
VAR15 ,
VAR9 ,
VAR11 ,
VAR1 ,
VAR13,
VAR7,
VAR4 ,
VAR8
);
output VAR10 ;
input VAR15 ;
input VAR9 ;
input VAR11 ;
input VAR1 ;
input VAR13;
input VAR7;
input VAR4 ;
input VAR8 ;
wire VAR5 ;
wire VAR6 ;
wire VAR17;
or VAR12 (VAR5 , VAR9, VAR15 );
nand VAR16 (VAR6 , VAR1, VAR5, VAR11 );
VAR3 VAR2 (VAR17, VAR6, VAR13, VAR7);
buf VAR14 (VAR10 , VAR17 );
endmodule | apache-2.0 |
donnaware/AGC | rtl/de0/modules/ng_CLK.v | 1,490 | module MODULE1(
input VAR9, input VAR2, input VAR10, input VAR1, input VAR3, input VAR8, output VAR6, output VAR4 );
wire VAR12 = !(!(VAR1 & VAR3) & !(!VAR3 & VAR8)); wire VAR7 = !((VAR2 | VAR10) & !(VAR10 & VAR12));
reg VAR5, VAR11;
always@(negedge VAR7 or negedge VAR9)
if(!VAR9) VAR5 <= 1'b1;
else VAR5 <= (~VAR5 & ~VAR11) | (VAR5 & ~VAR11);
always@(posedge VAR7 or negedge VAR9)
if(!VAR9) VAR11 <= 1'b1;
else VAR11 <= (~VAR11 & VAR5) | (VAR11 & VAR5);
assign VAR6 = !(~VAR5 | VAR11);
assign VAR4 = !( VAR5 | ~VAR11);
endmodule | gpl-3.0 |
FAST-Switch/fast | lib/hardware/pipeline/IPE_IF_OPENFLOW/OUTPUT_CTL.v | 7,569 | module MODULE1(
clk,
reset,
VAR16,
VAR18,
VAR1,
VAR17,
VAR10,
VAR14,
VAR22,
VAR42,
VAR33,
VAR34,
VAR37,
VAR8,
VAR3,
VAR39,
VAR26,
VAR4,
VAR27,
VAR9,
VAR31
);
input clk;
input reset;
input VAR16;
input [133:0] VAR18;
input VAR1;
input VAR17;
output wire VAR10;
output reg [133:0] VAR14;
output reg VAR22;
output reg VAR42;
output reg VAR33;
input VAR34;
output reg [133:0] VAR37;
output reg VAR8;
output reg VAR3;
output reg VAR39;
input VAR26;
output reg VAR4;
output reg VAR27;
output reg VAR9;
output reg VAR31;
reg [2:0] VAR40;
reg [2:0] VAR13; parameter VAR38 = 3'b000,
VAR15 = 3'b001,
VAR7 = 3'b010,
VAR43 = 3'b011,
VAR20 = 3'b100;
always@(posedge clk or negedge reset)
if(!reset)
begin
VAR22 <= 1'b0;
VAR14 <= 134'b0;
VAR33 <= 1'b0;
VAR42 <= 1'b0;
VAR8 <= 1'b0;
VAR37 <= 134'b0;
VAR39 <= 1'b0;
VAR3 <= 1'b0;
VAR30 <= 1'b0;
VAR2 <= 1'b0;
VAR40 <= 3'b0;
VAR4 <= 1'b0;
VAR27 <= 1'b0;
VAR9 <= 1'b0;
VAR31 <= 1'b0;
VAR13 <= VAR38;
end
else
begin
case(VAR13)
VAR38:begin VAR22 <= 1'b0;
VAR14 <= 134'b0;
VAR33 <= 1'b0;
VAR42 <= 1'b0;
VAR8 <= 1'b0;
VAR37 <= 134'b0;
VAR39 <= 1'b0;
VAR3 <= 1'b0;
VAR27 <= 1'b0;
VAR9 <= 1'b0;
VAR31 <= 1'b0;
if(VAR11 == 1'b0) begin if(VAR6 == 1'b1) begin
if(VAR32[110] == 1'b0) begin if(VAR34 == 1'b1) begin
VAR13 <= VAR38;
end
else begin
VAR2 <= 1'b1;
VAR30 <= 1'b1;
VAR40 <= VAR32[112:110]; VAR4 <= 1'b1;
VAR13 <= VAR7;
end
end
else begin
if(VAR26 == 1'b1) begin
VAR13 <= VAR38;
end
else begin
VAR2 <= 1'b1;
VAR30 <= 1'b1;
VAR40 <= VAR32[112:110];
VAR4 <= 1'b1;
VAR13 <= VAR7;
end
end
end
else begin
VAR2 <= 1'b1;
VAR30 <= 1'b1;
VAR13 <= VAR15;
end
end
else
VAR13 <= VAR38;
end
VAR15:begin VAR30 <= 1'b0;
if(VAR32[133:132]==2'b10)
begin
VAR27 <= 1'b1;
VAR2 <= 1'b0;
VAR13 <= VAR38;
end
else
begin
VAR13<= VAR15;
end
end
VAR7:begin VAR4 <= 1'b0;
VAR30 <= 1'b0;
case(VAR40[2:0]) 3'b000:begin
VAR22 <=1'b1;
VAR14 <= VAR32;
if(VAR32[133:132]==2'b10) begin
VAR2 <= 1'b0;
VAR33 <= 1'b1;
VAR9 <= 1'b1;
VAR42 <= 1'b1;
VAR13<= VAR38;
end
else begin
VAR2 <= 1'b1;
VAR13<= VAR7;
end
end
3'b001:begin
VAR8 <=1'b1;
VAR37 <=VAR32;
if(VAR32[133:132]==2'b10) begin
VAR2 <= 1'b0;
VAR39 <= 1'b1;
VAR31 <= 1'b1;
VAR3 <= 1'b1;
VAR13 <= VAR38;
end
else begin
VAR2 <=1'b1;
VAR13<= VAR7;
end
end
endcase
end
endcase
end
wire [7:0] VAR24;
assign VAR10 = VAR24[7];
reg VAR2;
wire [133:0] VAR32;
VAR44 VAR23(
.VAR12(!reset),
.VAR41(clk),
.VAR29(VAR18),
.VAR5(VAR2),
.VAR28(VAR16),
.VAR36(VAR32),
.VAR25(VAR24)
);
reg VAR30;
wire VAR11;
wire VAR6;
VAR35 VAR19(
.VAR12(!reset),
.VAR41(clk),
.VAR29(VAR17),
.VAR5(VAR30),
.VAR28(VAR1),
.VAR21(VAR11),
.VAR36(VAR6)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/o41ai/sky130_fd_sc_hd__o41ai.behavioral.v | 1,571 | module MODULE1 (
VAR1 ,
VAR14,
VAR13,
VAR4,
VAR9,
VAR8
);
output VAR1 ;
input VAR14;
input VAR13;
input VAR4;
input VAR9;
input VAR8;
supply1 VAR15;
supply0 VAR5;
supply1 VAR2 ;
supply0 VAR12 ;
wire VAR6 ;
wire VAR10;
or VAR3 (VAR6 , VAR9, VAR4, VAR13, VAR14 );
nand VAR11 (VAR10, VAR8, VAR6 );
buf VAR7 (VAR1 , VAR10 );
endmodule | apache-2.0 |
AngelTerrones/ADA | rtl/ada_hazard.v | 6,171 | module MODULE1(
input [4:0] VAR3, input [4:0] VAR27, input [4:0] VAR37, input [4:0] VAR39, input [4:0] VAR17, input VAR16, input VAR10, input VAR15, input VAR2, input VAR22, input VAR20, input VAR30, input VAR31, input VAR32, input VAR12, input VAR18, output [1:0] VAR26, output [1:0] VAR5, output VAR38, output VAR33, output VAR6, output VAR4, output VAR28 );
wire VAR23;
wire VAR24;
wire VAR29;
wire VAR21;
wire VAR13;
wire VAR9;
wire VAR25;
wire VAR14;
wire VAR34;
wire VAR7;
wire VAR8;
wire VAR35;
wire VAR19;
wire VAR11;
wire VAR36;
wire VAR1;
assign VAR23 = (VAR3 == 5'b0);
assign VAR24 = (VAR27 == 5'b0);
assign VAR29 = (VAR3 == VAR37) & (~VAR23) & VAR16;
assign VAR13 = (VAR3 == VAR39) & (~VAR23) & VAR10;
assign VAR25 = (VAR3 == VAR17) & (~VAR23) & VAR15;
assign VAR21 = (VAR27 == VAR37) & (~VAR24) & VAR16;
assign VAR9 = (VAR27 == VAR39) & (~VAR24) & VAR10;
assign VAR14 = (VAR27 == VAR17) & (~VAR24) & VAR15;
assign VAR34 = VAR29 & VAR22;
assign VAR7 = VAR21 & VAR22;
assign VAR8 = VAR29 & ~VAR22;
assign VAR35 = VAR21 & ~VAR22;
assign VAR19 = VAR13;
assign VAR11 = VAR9;
assign VAR36 = VAR25;
assign VAR1 = VAR14;
assign VAR28 = VAR4; assign VAR4 = VAR12 | VAR20;
assign VAR6 = VAR32 | VAR18 | VAR4;
assign VAR33 = VAR31 | VAR34 | VAR7 | VAR6 ;
assign VAR38 = VAR30 | VAR2 | VAR33;
assign VAR26 = (VAR8) ? 2'b01 : ((VAR19) ? 2'b10 : ((VAR36) ? 2'b11 : 2'b00));
assign VAR5 = (VAR35) ? 2'b01 : ((VAR11) ? 2'b10 : ((VAR1) ? 2'b11 : 2'b00));
endmodule | mit |
mbuesch/pyprofibus | phy_fpga/led_blink_mod.v | 1,747 | module MODULE1 #(
parameter VAR4 = 1024,
parameter VAR3 = 1024,
) (
input clk,
input VAR6,
input enable,
output reg VAR5,
);
reg [31:0] VAR2;
reg [31:0] VAR1; | gpl-2.0 |
alexforencich/xfcp | lib/eth/rtl/ptp_perout.v | 10,445 | module MODULE1 #
(
parameter VAR67 = 1,
parameter VAR66 = 48'h0,
parameter VAR52 = 30'h0,
parameter VAR58 = 16'h0000,
parameter VAR4 = 48'd1,
parameter VAR46 = 30'd0,
parameter VAR30 = 16'h0000,
parameter VAR25 = 48'h0,
parameter VAR61 = 30'd1000,
parameter VAR19 = 16'h0000
)
(
input wire clk,
input wire rst,
input wire [95:0] VAR15,
input wire VAR45,
input wire enable,
input wire [95:0] VAR39,
input wire VAR51,
input wire [95:0] VAR34,
input wire VAR5,
input wire [95:0] VAR36,
input wire VAR48,
output wire VAR20,
output wire VAR60,
output wire VAR56
);
localparam [2:0]
VAR63 = 3'd0,
VAR17 = 3'd1,
VAR28 = 3'd2,
VAR24 = 3'd3,
VAR14 = 3'd4,
VAR65 = 3'd5;
reg [2:0] VAR2 = VAR63, VAR44;
reg [47:0] VAR13 = 0;
reg [30:0] VAR40 = 0;
reg [15:0] VAR6 = 0;
reg [47:0] VAR37 = 0, VAR21;
reg [30:0] VAR41 = 0, VAR27;
reg [15:0] VAR31 = 0, VAR29;
reg [47:0] VAR12 = 0, VAR50;
reg [30:0] VAR64 = 0, VAR9;
reg [15:0] VAR59 = 0, VAR55;
reg [47:0] VAR7 = VAR66;
reg [30:0] VAR38 = VAR52;
reg [15:0] VAR26 = VAR58;
reg [47:0] VAR54 = VAR4;
reg [30:0] VAR49 = VAR46;
reg [15:0] VAR22 = VAR30;
reg [47:0] VAR68 = VAR25;
reg [30:0] VAR53 = VAR61;
reg [15:0] VAR35 = VAR19;
reg [29:0] VAR16 = 0, VAR1;
reg [15:0] VAR18 = 0, VAR62;
reg [30:0] VAR23 = 0, VAR69;
reg [15:0] VAR32 = 0, VAR57;
reg VAR43 = 1'b0, VAR3;
reg VAR8 = 1'b0, VAR33;
reg VAR11 = 1'b0, VAR42;
reg VAR47 = 1'b0, VAR10;
assign VAR20 = VAR43;
assign VAR60 = VAR8;
assign VAR56 = VAR47;
always @* begin
VAR44 = VAR63;
VAR21 = VAR37;
VAR27 = VAR41;
VAR29 = VAR31;
VAR50 = VAR12;
VAR9 = VAR64;
VAR55 = VAR59;
VAR1 = VAR16;
VAR62 = VAR18;
VAR69 = VAR23;
VAR57 = VAR32;
VAR3 = VAR43;
VAR33 = VAR8;
VAR42 = VAR11;
VAR10 = VAR47;
if (VAR51 || VAR5 || VAR45) begin
VAR3 = 1'b0;
VAR42 = 1'b0;
VAR10 = 1'b0;
VAR33 = VAR45;
VAR44 = VAR63;
end else begin
case (VAR2)
VAR63: begin
VAR21 = VAR7;
VAR27 = VAR38;
if (VAR67) begin
VAR29 = VAR26;
end
VAR3 = 1'b0;
VAR42 = 1'b0;
VAR10 = 1'b0;
VAR44 = VAR24;
end
VAR17: begin
{VAR1, VAR62} = {VAR41, VAR31} + {VAR49, VAR22};
{VAR69, VAR57} = {VAR41, VAR31} + {VAR49, VAR22} - {31'd1000000000, 16'd0};
VAR44 = VAR28;
end
VAR28: begin
if (!VAR23[30]) begin
VAR21 = VAR37 + VAR54 + 1;
VAR27 = VAR23;
VAR29 = VAR32;
end else begin
VAR21 = VAR37 + VAR54;
VAR27 = VAR16;
VAR29 = VAR18;
end
VAR44 = VAR65;
end
VAR24: begin
{VAR1, VAR62} = {VAR41, VAR31} + {VAR53, VAR35};
{VAR69, VAR57} = {VAR41, VAR31} + {VAR53, VAR35} - {31'd1000000000, 16'd0};
VAR44 = VAR14;
end
VAR14: begin
if (!VAR23[30]) begin
VAR50 = VAR37 + VAR68 + 1;
VAR9 = VAR23;
VAR55 = VAR32;
end else begin
VAR50 = VAR37 + VAR68;
VAR9 = VAR16;
VAR55 = VAR18;
end
VAR44 = VAR65;
end
VAR65: begin
if ((VAR13 > VAR37) || (VAR13 == VAR37 && {VAR40, VAR6} > {VAR41, VAR31})) begin
VAR42 = 1'b1;
VAR10 = enable && VAR43;
VAR44 = VAR17;
end else if ((VAR13 > VAR12) || (VAR13 == VAR12 && {VAR40, VAR6} > {VAR64, VAR59})) begin
VAR42 = 1'b0;
VAR10 = 1'b0;
VAR44 = VAR24;
end else begin
VAR3 = VAR43 || VAR11;
VAR33 = VAR8 && !(VAR43 || VAR11);
VAR44 = VAR65;
end
end
endcase
end
end
always @(posedge clk) begin
VAR2 <= VAR44;
VAR13 <= VAR15[95:48];
VAR40 <= VAR15[45:16];
if (VAR67) begin
VAR6 <= VAR15[15:0];
end
if (VAR51) begin
VAR7 <= VAR39[95:48];
VAR38 <= VAR39[45:16];
if (VAR67) begin
VAR26 <= VAR39[15:0];
end
end
if (VAR5) begin
VAR54 <= VAR34[95:48];
VAR49 <= VAR34[45:16];
if (VAR67) begin
VAR22 <= VAR34[15:0];
end
end
if (VAR48) begin
VAR68 <= VAR36[95:48];
VAR53 <= VAR36[45:16];
if (VAR67) begin
VAR35 <= VAR36[15:0];
end
end
VAR37 <= VAR21;
VAR41 <= VAR27;
if (VAR67) begin
VAR31 <= VAR29;
end
VAR12 <= VAR50;
VAR64 <= VAR9;
if (VAR67) begin
VAR59 <= VAR55;
end
VAR16 <= VAR1;
if (VAR67) begin
VAR18 <= VAR62;
end
VAR23 <= VAR69;
if (VAR67) begin
VAR32 <= VAR57;
end
VAR43 <= VAR3;
VAR8 <= VAR33;
VAR11 <= VAR42;
VAR47 <= VAR10;
if (rst) begin
VAR2 <= VAR63;
VAR7 <= VAR66;
VAR38 <= VAR52;
VAR26 <= VAR58;
VAR54 <= VAR4;
VAR49 <= VAR46;
VAR22 <= VAR30;
VAR68 <= VAR25;
VAR53 <= VAR61;
VAR35 <= VAR19;
VAR43 <= 1'b0;
VAR8 <= 1'b0;
VAR47 <= 1'b0;
end
end
endmodule | mit |
anderson1008/NOCulator | hring/hw/bless/priority_comp.v | 4,872 | module MODULE2(
input VAR46 VAR12,
input VAR46 VAR5,
input VAR46 VAR17,
input VAR46 VAR45,
input [1:0] VAR39,
output [1:0] VAR41,
output [1:0] VAR35,
output [1:0] VAR23,
output [1:0] VAR10);
wire VAR46 hc00, hc01, hc10, hc11, hc20, VAR25, VAR34, VAR28, VAR30, VAR37; wire [1:0] VAR38, VAR42, VAR29, VAR3, VAR32, VAR9, VAR20, VAR15, VAR6, VAR16;
MODULE1 MODULE5(.VAR13(VAR12),
.VAR44(VAR5),
.VAR7(2'b00),
.VAR19(2'b01),
.VAR39(VAR39),
.VAR43(hc00),
.VAR47(VAR25),
.VAR22(VAR38),
.VAR24(VAR9));
MODULE1 MODULE1(.VAR13(VAR17),
.VAR44(VAR45),
.VAR7(2'b10),
.VAR19(2'b11),
.VAR39(VAR39),
.VAR43(hc01),
.VAR47(VAR34),
.VAR22(VAR42),
.VAR24(VAR20));
MODULE1 MODULE3(.VAR13(hc00),
.VAR44(hc01),
.VAR7(VAR38),
.VAR19(VAR42),
.VAR39(VAR39),
.VAR43(hc10),
.VAR47(VAR28),
.VAR22(VAR41),
.VAR24(VAR15));
MODULE1 MODULE2(.VAR13(VAR25),
.VAR44(VAR34),
.VAR7(VAR9),
.VAR19(VAR20),
.VAR39(VAR39),
.VAR43(hc11),
.VAR47(VAR30),
.VAR22(VAR3),
.VAR24(VAR10));
MODULE1 MODULE4(.VAR13(VAR28),
.VAR44(hc11),
.VAR7(VAR15),
.VAR19(VAR3),
.VAR39(VAR39),
.VAR43(hc20),
.VAR47(VAR37),
.VAR22(VAR35),
.VAR24(VAR23));
endmodule
module MODULE1 (
input VAR46 VAR13, input VAR46 VAR44, input [1:0] VAR7, input [1:0] VAR19, input [1:0] VAR39, output VAR46 VAR43, output VAR46 VAR47, output [1:0] VAR22, output [1:0] VAR24);
wire VAR49, VAR26;
wire VAR4, VAR14;
wire VAR40 VAR18, VAR8;
wire VAR36;
assign VAR49 = VAR13[VAR27];
assign VAR26 = VAR44[VAR27];
assign VAR4 = VAR13[VAR2];
assign VAR14 = VAR44[VAR2];
assign VAR18 = VAR13[VAR48];
assign VAR8 = VAR44[VAR48];
assign VAR36 = (VAR49 && ~VAR26) ? 1'b0 : (~VAR49 && VAR26) ? 1'b1 : (~VAR49 && ~VAR26) ? 1'b1 : (VAR4 && ~VAR14) ? 1'b0 : (~VAR4 && VAR14) ? 1'b1 : (VAR4 && VAR14) ? ((VAR18 < VAR8) ? 1'b0 : (VAR18 > VAR8) ? 1'b1 : 1'b1) : ((VAR39 - VAR7) < (VAR39 - VAR19)) ? 1'b0 : 1'b1;
assign VAR22 = (VAR36 == 1'b1) ? VAR19 : VAR7;
assign VAR24 = (VAR36 == 1'b1) ? VAR7 : VAR19;
assign VAR43 = (VAR36 == 1'b1) ? VAR44 : VAR13;
assign VAR47 = (VAR36 == 1'b1) ? VAR13 : VAR44;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o2111ai/sky130_fd_sc_ms__o2111ai.functional.pp.v | 2,086 | module MODULE1 (
VAR14 ,
VAR9 ,
VAR6 ,
VAR11 ,
VAR1 ,
VAR5 ,
VAR3,
VAR2,
VAR7 ,
VAR12
);
output VAR14 ;
input VAR9 ;
input VAR6 ;
input VAR11 ;
input VAR1 ;
input VAR5 ;
input VAR3;
input VAR2;
input VAR7 ;
input VAR12 ;
wire VAR15 ;
wire VAR10 ;
wire VAR13;
or VAR18 (VAR15 , VAR6, VAR9 );
nand VAR4 (VAR10 , VAR1, VAR11, VAR5, VAR15 );
VAR8 VAR16 (VAR13, VAR10, VAR3, VAR2);
buf VAR17 (VAR14 , VAR13 );
endmodule | apache-2.0 |
hpeng2/ECE492_Group4_Project | ECE_492_Project_new/Video_System/synthesis/submodules/altera_up_slow_clock_generator.v | 7,439 | module MODULE1 (
clk,
reset,
VAR1,
VAR4,
VAR8,
VAR5,
VAR3,
VAR2
);
parameter VAR7 = 10;
input clk;
input reset;
input VAR1;
output reg VAR4;
output reg VAR8;
output reg VAR5;
output reg VAR3;
output reg VAR2;
reg [VAR7:1] VAR6;
always @(posedge clk)
begin
if (reset)
VAR6 <= 'h0;
end
else if (VAR1)
VAR6 <= VAR6 + 1;
end
always @(posedge clk)
begin
if (reset)
VAR4 <= 1'b0;
end
else
VAR4 <= VAR6[VAR7];
end
always @(posedge clk)
begin
if (reset)
VAR8 <= 1'b0;
end
else
VAR8 <= (VAR6[VAR7] ^ VAR4) & ~VAR4;
end
always @(posedge clk)
begin
if (reset)
VAR5 <= 1'b0;
end
else
VAR5 <= (VAR6[VAR7] ^ VAR4) & VAR4;
end
always @(posedge clk)
begin
if (reset)
VAR3 <= 1'b0;
end
else
VAR3 <=
VAR6[VAR7] &
~VAR6[(VAR7 - 1)] &
(&(VAR6[(VAR7 - 2):1]));
end
always @(posedge clk)
begin
if (reset)
VAR2 <= 1'b0;
end
else
VAR2 <=
~VAR6[VAR7] &
~VAR6[(VAR7 - 1)] &
(&(VAR6[(VAR7 - 2):1]));
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a311oi/sky130_fd_sc_lp__a311oi.functional.pp.v | 2,076 | module MODULE1 (
VAR11 ,
VAR2 ,
VAR1 ,
VAR12 ,
VAR16 ,
VAR10 ,
VAR6,
VAR15,
VAR9 ,
VAR13
);
output VAR11 ;
input VAR2 ;
input VAR1 ;
input VAR12 ;
input VAR16 ;
input VAR10 ;
input VAR6;
input VAR15;
input VAR9 ;
input VAR13 ;
wire VAR3 ;
wire VAR14 ;
wire VAR7;
and VAR17 (VAR3 , VAR12, VAR2, VAR1 );
nor VAR18 (VAR14 , VAR3, VAR16, VAR10 );
VAR5 VAR8 (VAR7, VAR14, VAR6, VAR15);
buf VAR4 (VAR11 , VAR7 );
endmodule | apache-2.0 |
cybero/Verilog | src/UART + checker module/rtl/uart.v | 1,035 | module MODULE1
parameter VAR1 = 8, VAR18 = 16, VAR7 = 326 )
(
input wire clk,
input wire reset,
input wire VAR8,
input wire VAR19,
input wire [7:0] VAR15,
output wire VAR14,
output wire VAR11,
output wire VAR12,
output wire VAR9,
output wire [7:0] VAR13
);
VAR3 VAR10 (
.clk(clk),
.reset(reset),
.VAR4(VAR4)
);
VAR16 VAR17 (
.clk(clk),
.reset(reset),
.VAR8(VAR8),
.VAR5(VAR4),
.VAR11(VAR11),
.VAR14(VAR13)
);
VAR2 VAR6 (
.clk(clk),
.reset(reset),
.VAR8(VAR15),
.VAR5(VAR4),
.VAR19(VAR19),
.VAR12(VAR12),
.VAR14(VAR14)
);
endmodule | mit |
alan4186/16bit-Processor | control_fsm.v | 15,454 | module MODULE1
(
input clk, reset, VAR40,
input [15:0] VAR33, VAR29, VAR7, VAR30, VAR12,
output reg VAR17, VAR50,
output reg [2:0] VAR39,
output reg [3:0] VAR6, VAR58, VAR49,
output [15:0] VAR48, VAR18,
output reg [15:0] VAR44, VAR5
);
parameter VAR11 = 5'd0;
parameter VAR16 = 5'd1;
parameter VAR47 = 5'd2;
parameter VAR31 = 5'd3;
parameter VAR19 = 5'd4;
parameter VAR35 = 5'd5;
parameter VAR57 = 5'd6;
parameter VAR52 = 5'd7;
parameter VAR46 = 4'd8;
parameter VAR36 = 5'd9;
parameter VAR2 = 5'd10;
parameter VAR26 = 5'd11;
parameter VAR54 = 5'd12;
parameter VAR34 = 5'd13;
parameter VAR20 = 5'd14;
parameter VAR51 = 5'd15;
parameter VAR32 = 5'd16;
parameter VAR1 = 5'd17;
parameter VAR10 = 5'd18;
parameter VAR45 = 5'd19;
parameter VAR3 = 5'd20;
parameter VAR41 = 5'd21;
parameter VAR9 = 5'd22;
parameter VAR24 = 5'd23;
parameter VAR59 = 5'd24;
parameter VAR43 = 5'd25;
parameter VAR21 = 5'd26;
parameter VAR13 = 5'd27;
parameter VAR4 = 5'd28;
parameter VAR8 = 5'd29;
reg VAR55;
reg [4:0] state;
reg [15:0] VAR23,VAR56, VAR37;
wire VAR14;
wire [3:0] VAR42, VAR38, VAR15, VAR22, VAR25;
wire [11:0] VAR27;
assign VAR42 = VAR23[15:12];
assign VAR38 = VAR23[3:0];
assign VAR15 = VAR23[7:4];
assign VAR22 = VAR23[11:8];
assign VAR25 = VAR23[3:0];
assign VAR27 = VAR23[11:0];
assign VAR48 = VAR55 ? {12'd0, VAR25} : VAR29;
assign VAR14 = (state == VAR57) | (state == VAR9) | (state == VAR24);
assign VAR18 = VAR14 ? VAR33 : VAR12;
always @ (posedge clk or negedge reset) begin
if (reset == 1'b0) begin
state <= VAR32;
end else if(!VAR40)
case (state)
VAR32:
state <= VAR41;
VAR41:
state <= {1'b0, VAR42};
VAR11:
state <= VAR32;
VAR16:
state <= VAR32;
VAR47:
state <= VAR32;
VAR31:
state <= VAR32;
VAR19:
state <= VAR32;
VAR35:
state <= VAR3;
VAR3:
state <= VAR59;
VAR59:
state <= VAR43;
VAR43:
state <= VAR32;
VAR57:
state <= VAR9;
VAR9:
state <= VAR24;
VAR24:
state <= VAR32;
VAR52:
state <= VAR32;
VAR46:
state <= VAR32;
VAR36:
state <= VAR32;
VAR2:
state <= VAR32;
VAR26:
state <= VAR32;
VAR54:
state <= VAR1;
VAR34:
state <= VAR10;
VAR20:
state <= VAR45;
VAR51:
state <= VAR21;
VAR21:
state <= VAR32;
VAR1:
state <= VAR4;
VAR4:
state <= VAR32;
VAR10:
state <= VAR13;
VAR13:
state <= VAR32;
VAR45:
state <= VAR8;
VAR8:
state <= VAR32;
default:
state <= VAR32;
endcase
end
always @ (posedge clk or negedge reset) begin
if(reset == 1'b0) begin
VAR56 <= 16'h0000;
VAR23 <= 16'hf000; VAR44 <= 16'h0000;
VAR17 <= 1'b1;
VAR5 <= 16'h0000;
end else begin
case (state)
VAR32: begin
VAR23 <= VAR33;
VAR44 <= VAR56;
VAR17 <= 1'b1;
VAR56 <= VAR56;
VAR5 <= 16'hffff;
end
VAR41: begin
VAR56 <= VAR56;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR11: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR16: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR47: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR31: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR19: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR35: begin
VAR17 <= 1'b1; VAR5 <= VAR29; VAR44 <= VAR12;
VAR56 <= VAR56;
VAR23 <= VAR23;
end
VAR3: begin
VAR17 <= 1'b0;
VAR5 <= VAR29; VAR44 <= VAR12;
VAR56 <= VAR56;
VAR23 <= VAR23;
end
VAR59: begin
VAR17 <= 1'b1;
VAR5 <= VAR29; VAR44 <= VAR56; VAR56 <= VAR56;
VAR23 <= VAR23;
end
VAR43: begin
VAR17 <= 1'b1;
VAR5 <= VAR29; VAR44 <= VAR56; VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
end
VAR57:begin
VAR17 <= 1'b1;
VAR44 <= VAR12;
VAR56 <= VAR56;
VAR23 <= VAR23;
VAR5 <= 16'hffff;
end
VAR9:begin
VAR17 <= 1'b1;
VAR44 <= VAR56;
VAR56 <= VAR56;
VAR23 <= VAR23;
VAR5 <= 16'hffff;
end
VAR24:begin
VAR17 <= 1'b1;
VAR44 <= VAR56;
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR5 <= 16'hffff;
end
VAR52: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR46: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR36: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR2: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR26: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR54: begin
VAR56 <= VAR56;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR34: begin
VAR56 <= VAR56;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR20: begin
VAR56 <= VAR56;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
VAR51: begin
VAR56 <= VAR56 + {VAR27[11],VAR27[11],VAR27[11],VAR27[11],VAR27} - 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR56 + {VAR27[11],VAR27[11],VAR27[11],VAR27[11],VAR27} - 16'd1; end
VAR21: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR56;
end
VAR1: begin
if(VAR30 == 16'd1) begin
VAR56 <= VAR56 + {12'd0, VAR25};
VAR44 <= VAR56 + {12'd0, VAR25};
end else begin
VAR56 <= VAR56;
VAR44 <= VAR44;
end
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
end
VAR4: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44;
end
VAR10: begin
if(VAR30 == 16'd1) begin
VAR56 <= VAR56 + {12'd0, VAR25};
VAR44 <= VAR56 + {12'd0, VAR25};
end else begin
VAR56 <= VAR56; VAR44 <= VAR44;
end
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
end
VAR13: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44;
end
VAR45: begin
if(VAR30 == 16'd0) begin
VAR56 <= VAR56 + {12'd0, VAR25};
VAR44 <= VAR56 + {12'd0, VAR25};
end else begin
VAR56 <= VAR56; VAR44 <= VAR44; end
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
end
VAR8: begin
VAR56 <= VAR56 + 16'd1;
VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44;
end
default: begin
VAR56 <= 16'd0; VAR23 <= VAR23;
VAR17 <= 1'b1;
VAR5 <= 16'hffff;
VAR44 <= VAR44; end
endcase
end
end
always@(*) begin
case (state)
VAR32: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR41: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR11: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR16: begin
VAR6 = 4'VAR53; VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h0;
VAR55 = 1'b1;
end
VAR47: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h1;
VAR55 = 1'b0;
end
VAR31: begin
VAR6 = 4'VAR53; VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h1;
VAR55 = 1'b1;
end
VAR19: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h2;
VAR55 = 1'b0;
end
VAR35: begin
VAR6 = VAR22; VAR58 = VAR15; VAR49 = 4'VAR53; VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b1;
end
VAR3: begin
VAR6 = VAR22; VAR58 = VAR15; VAR49 = 4'VAR53; VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b1;
VAR50 = 1'b0;
end
VAR59: begin
VAR6 = VAR22; VAR58 = VAR15; VAR49 = 4'VAR53; VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b1;
VAR50 = 1'b0;
end
VAR43: begin
VAR6 = VAR22; VAR58 = VAR15; VAR49 = 4'VAR53; VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b1;
VAR50 = 1'b0;
end
VAR57:begin
VAR6 = 4'VAR53; VAR58 = VAR15; VAR49 = VAR22; VAR39 = VAR28'h0;
VAR55 = 1'b1;
VAR50 = 1'b0;
end
VAR9:begin
VAR6 = 4'VAR53; VAR58 = VAR15; VAR49 = VAR22; VAR39 = VAR28'h0;
VAR55 = 1'b1;
VAR50 = 1'b0;
end
VAR24:begin
VAR6 = 4'VAR53; VAR58 = VAR15; VAR49 = VAR22; VAR50 = 1'b1;
VAR39 = VAR28'h0;
VAR55 = 1'b1;
end
VAR52: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h6;
VAR55 = 1'b0;
end
VAR46: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h3;
VAR55 = 1'b0;
end
VAR36: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h4;
VAR55 = 1'b0;
end
VAR2: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h5;
VAR55 = 1'b0;
end
VAR26: begin
VAR6 = VAR38;
VAR58 = VAR15;
VAR49 = VAR22;
VAR50 = 1'b1;
VAR39 = VAR28'h7;
VAR55 = 1'b0;
end
VAR54: begin
VAR6 = VAR22;
VAR58 = VAR15;
VAR49 = 4'VAR53;
VAR50 = 1'b0;
VAR39 = VAR28'h6;
VAR55 = 1'b0;
end
VAR34: begin
VAR6 = VAR22;
VAR58 = VAR15;
VAR49 = 4'VAR53;
VAR50 = 1'b0;
VAR39 = VAR28'h7;
VAR55 = 1'b0;
end
VAR20: begin
VAR6 = VAR22;
VAR58 = VAR15;
VAR49 = 4'VAR53;
VAR50 = 1'b0;
VAR39 = VAR28'h1;
VAR55 = 1'b0;
end
VAR51: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR21: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR1: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR10: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR45: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR4: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR13: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
VAR8: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
default: begin
VAR6 = 4'hf;
VAR58 = 4'hf;
VAR49 = 4'hf;
VAR50 = 1'b0;
VAR39 = VAR28'h0;
VAR55 = 1'b0;
end
endcase
end
endmodule | mit |
marmolejo/zet | cores/hpdmc_sdr16/rtl/hpdmc.v | 6,211 | module MODULE1 #(
parameter VAR47 = 1'b0,
parameter VAR65 = 23,
parameter VAR3 = 8,
parameter VAR64 = VAR65-1-1-(VAR3+2)+1
)(
input VAR55,
input VAR38,
input [2:0] VAR40,
input VAR30,
input [15:0] VAR57,
output [15:0] VAR19,
input [VAR65-1:0] VAR4,
input VAR54,
input VAR13,
output VAR14,
input [1:0] VAR10,
input [15:0] VAR16,
output [15:0] VAR31,
output reg VAR2,
output reg VAR73,
output reg VAR17,
output reg VAR52,
output reg VAR8,
output reg [VAR64-1:0] VAR37,
output reg [1:0] VAR12,
output [1:0] VAR51,
inout [15:0] VAR23
);
wire VAR27;
wire VAR71;
wire VAR26;
wire VAR6;
wire VAR9;
wire [VAR64-1:0] VAR1;
wire [1:0] VAR28;
always @(posedge VAR55) begin
VAR2 <= VAR27;
VAR73 <= VAR71;
VAR17 <= VAR26;
VAR52 <= VAR6;
VAR8 <= VAR9;
VAR12 <= VAR28;
VAR37 <= VAR1;
end
wire VAR29;
wire VAR63;
wire VAR48;
wire VAR41;
wire VAR59;
wire [VAR64-1:0] VAR32;
wire [1:0] VAR43;
wire VAR36;
wire VAR58;
wire VAR7;
wire VAR42;
wire [VAR64-1:0] VAR35;
wire [1:0] VAR72;
assign VAR71 = VAR29 ? VAR63 : VAR36;
assign VAR26 = VAR29 ? VAR48 : VAR58;
assign VAR6 = VAR29 ? VAR41 : VAR7;
assign VAR9 = VAR29 ? VAR59 : VAR42;
assign VAR1 = VAR29 ? VAR32 : VAR35;
assign VAR28 = VAR29 ? VAR43 : VAR72;
wire VAR33;
wire [2:0] VAR60;
wire [2:0] VAR75;
wire VAR67;
wire [10:0] VAR61;
wire [3:0] VAR50;
wire [1:0] VAR22;
VAR46 #(
.VAR47 (VAR47),
.VAR64 (VAR64)
) VAR18 (
.VAR55(VAR55),
.VAR38(VAR38),
.VAR40(VAR40),
.VAR30(VAR30),
.VAR57(VAR57),
.VAR19(VAR19),
.VAR29(VAR29),
.VAR33(VAR33),
.VAR2(VAR27),
.VAR73(VAR63),
.VAR17(VAR48),
.VAR52(VAR41),
.VAR8(VAR59),
.VAR37(VAR32),
.VAR12(VAR43),
.VAR60(VAR60),
.VAR75(VAR75),
.VAR67(VAR67),
.VAR61(VAR61),
.VAR50(VAR50),
.VAR22(VAR22)
);
wire VAR20;
wire VAR74;
wire [VAR65-1-1:0] VAR68;
wire VAR49;
wire read;
wire write;
wire [3:0] VAR53;
wire VAR11;
wire VAR70;
wire [3:0] VAR24;
VAR5 #(
.VAR65(VAR65),
.VAR3(VAR3)
) VAR15 (
.VAR55(VAR55),
.VAR33(VAR33),
.VAR60(VAR60),
.VAR75(VAR75),
.VAR61(VAR61),
.VAR50(VAR50),
.VAR45(VAR20),
.VAR77(VAR74),
.address(VAR68),
.ack(VAR49),
.read(read),
.write(write),
.VAR53(VAR53),
.VAR11(VAR11),
.VAR70(VAR70),
.VAR24(VAR24),
.VAR73(VAR36),
.VAR17(VAR58),
.VAR52(VAR7),
.VAR8(VAR42),
.VAR37(VAR35),
.VAR12(VAR72)
);
wire VAR25;
VAR34 #(
.VAR65(VAR65)
) VAR66 (
.VAR55(VAR55),
.VAR33(VAR33),
.VAR4(VAR4),
.VAR54(VAR54),
.VAR13(VAR13),
.VAR14(VAR14),
.VAR20(VAR20),
.VAR74(VAR74),
.VAR68(VAR68),
.VAR49(VAR49),
.VAR25(VAR25)
);
wire VAR69;
wire VAR39;
VAR56 VAR62(
.VAR55(VAR55),
.VAR33(VAR33),
.read(read),
.write(write),
.VAR53(VAR53),
.VAR11(VAR11),
.VAR70(VAR70),
.VAR24(VAR24),
.ack(VAR25),
.VAR69(VAR69),
.VAR39(VAR39),
.VAR67(VAR67),
.VAR22(VAR22)
);
VAR21 VAR76(
.VAR55(VAR55),
.VAR69(VAR69),
.VAR39(VAR39),
.VAR78(~VAR10),
.dout(VAR16),
.VAR44(VAR31),
.VAR51(VAR51),
.VAR23(VAR23)
);
endmodule | gpl-3.0 |
ckdur/mriscv_vivado_arty | mriscv_vivado.srcs/sources_1/new/SEGMENT_interface_AXI.v | 14,633 | module MODULE1 #
(
parameter VAR22 = 15625
)
(
input VAR20,
input VAR31,
input VAR46,
output VAR26,
input [32-1:0] VAR13,
input [3-1:0] VAR5,
input VAR47,
output VAR8,
input [32-1:0] VAR15,
input [4-1:0] VAR9,
output reg VAR1,
input VAR27,
input VAR28,
output VAR36,
input [32-1:0] VAR10,
input [3-1:0] VAR37,
output reg VAR19,
input VAR7,
output reg [32-1:0] VAR35,
output reg [7:0] VAR2,
output reg [7:0] VAR11);
function integer VAR6;
input integer VAR32;
integer VAR29;
begin
VAR6 = 0;
for(VAR29 = 0; 2**VAR29 < VAR32; VAR29 = VAR29 + 1)
VAR6 = VAR29 + 1;
end
endfunction
localparam integer VAR24 = 2e9; localparam integer VAR33 = (VAR24/VAR22) - 1;
localparam integer VAR18 = 32;
reg [VAR18-1:0] VAR43;
wire VAR40;
assign VAR40 = VAR43 == VAR33?1'b1:1'b0;
always @(posedge VAR20)
begin : VAR41
if(VAR31 == 1'b0) begin
VAR43 <= 0;
end else begin
if(VAR40) begin
VAR43 <= 0;
end else begin
VAR43 <= VAR43+1;
end
end
end
reg [31:0] VAR16, VAR12;
reg [31:0] VAR45;
reg [3:0] VAR48;
reg [1:0] VAR23;
reg VAR4;
assign VAR26 = 1'b1;
assign VAR36 = 1'b1;
assign VAR8 = 1'b1;
always @(posedge VAR20)
begin : VAR30
if(VAR31 == 1'b0) begin
VAR16 <= 0;
VAR12 <= 0;
VAR45 <= 0;
VAR48 <= 0;
VAR23 <= 2'b00;
VAR4 <= 1'b0;
end else begin
if(VAR1) begin VAR16 <= VAR16;
VAR23[0] <= 1'b0;
end else if(VAR46) begin
VAR16 <= VAR13;
VAR23[0] <= 1'b1;
end else begin
VAR16 <= VAR16;
VAR23[0] <= VAR23[0];
end
if(VAR1) begin VAR45 <= VAR45;
VAR48 <= VAR48;
VAR23[1] <= 1'b0;
end else if(VAR47) begin
VAR45 <= VAR15;
VAR48 <= VAR9;
VAR23[1] <= 1'b1;
end else begin
VAR45 <= VAR45;
VAR48 <= VAR48;
VAR23[1] <= VAR23[1];
end
if(VAR19) begin VAR12 <= VAR12;
VAR4 <= 1'b0;
end else if(VAR28) begin
VAR12 <= VAR10;
VAR4 <= 1'b1;
end else begin
VAR12 <= VAR12;
VAR4 <= VAR4;
end
end
end
reg [7:0] VAR39 [0:7];
integer VAR17;
reg [1:0] state;
reg VAR25;
parameter VAR44 = 0, VAR21 = 1, VAR14 = 2;
always @(posedge VAR20)
if (VAR31 == 1'b0) begin
state <= VAR44;
for(VAR17 = 0; VAR17 < 8; VAR17 = VAR17 + 1)
VAR39[VAR17] <= 0;
VAR1 <= 1'b0;
VAR19 <= 1'b0;
VAR35 <= 0;
VAR25 <= 1'b0;
end else case (state)
VAR44 :
if (VAR4) begin
VAR35 <= {24'd0, VAR39[VAR12[4:2]]};
state <= VAR21;
VAR19 <= 1'b1;
end else if(VAR23 == 2'b11) begin
if(VAR16[4:2] == 3'h0 && VAR45[7:0] == 8'h0A)
VAR25 <= 1'b1;
end
else if(VAR16[4:2] == 3'h0 && VAR45[7:0] >= 8'd32) begin
if(VAR25) begin
VAR25 <= 1'b0;
for(VAR17 = 0; VAR17 < 8; VAR17 = VAR17 + 1)
VAR39[VAR17] <= 0;
end else begin
VAR39[0] <= VAR45[7:0];
for(VAR17 = 0; VAR17 < 7; VAR17 = VAR17 + 1)
VAR39[VAR17+1] <= VAR39[VAR17];
end
end else begin
VAR39[VAR16[4:2]] <= VAR45[7:0];
end
state <= VAR14;
VAR1 <= 1'b1;
end else begin
state <= state;
end
VAR21 :
if (VAR7 ==1) begin
VAR19 <= 1'b0;
state <= VAR44;
end else begin
state <= state;
end
VAR14 :
if (VAR27 ==1) begin
VAR1 <= 1'b0;
state <= VAR44;
end else begin
state <= state;
end
default: begin
state <= VAR44; end
endcase
reg [7:0] VAR34;
wire [7:0] VAR3;
always @(VAR3) begin
case (VAR3)
8'd0 : VAR34 = 8'b00000001;
8'd1 : VAR34 = 8'b00000001;
8'd2 : VAR34 = 8'b00000001;
8'd3 : VAR34 = 8'b00000001;
8'd4 : VAR34 = 8'b00000001;
8'd5 : VAR34 = 8'b00000001;
8'd6 : VAR34 = 8'b00000001;
8'd7 : VAR34 = 8'b00000001;
8'd8 : VAR34 = 8'b00000001;
8'd9 : VAR34 = 8'b00000001;
8'd10 : VAR34 = 8'b00000001;
8'd11 : VAR34 = 8'b00000001;
8'd12 : VAR34 = 8'b00000001;
8'd13 : VAR34 = 8'b00000001;
8'd14 : VAR34 = 8'b00000001;
8'd15 : VAR34 = 8'b00000001;
8'd16 : VAR34 = 8'b00000001;
8'd17 : VAR34 = 8'b00000001;
8'd18 : VAR34 = 8'b00000001;
8'd19 : VAR34 = 8'b00000001;
8'd20 : VAR34 = 8'b00000001;
8'd21 : VAR34 = 8'b00000001;
8'd22 : VAR34 = 8'b00000001;
8'd23 : VAR34 = 8'b00000001;
8'd24 : VAR34 = 8'b00000001;
8'd25 : VAR34 = 8'b00000001;
8'd26 : VAR34 = 8'b00000001;
8'd27 : VAR34 = 8'b00000001;
8'd28 : VAR34 = 8'b00000001;
8'd29 : VAR34 = 8'b00000001;
8'd30 : VAR34 = 8'b00000001;
8'd31 : VAR34 = 8'b00000001;
8'd32 : VAR34 = 8'b00000000;
8'd33 : VAR34 = 8'b01100001; 8'd34 : VAR34 = 8'b01000010; 8'd35 : VAR34 = 8'b01111111; 8'd36 : VAR34 = 8'b10110111; 8'd37 : VAR34 = 8'b11111111; 8'd38 : VAR34 = 8'b11111010; 8'd39 : VAR34 = 8'b01000000; 8'd40 : VAR34 = 8'b00011100; 8'd41 : VAR34 = 8'b00111000; 8'd42 : VAR34 = 8'b01000010; 8'd43 : VAR34 = 8'b01100010; 8'd44 : VAR34 = 8'b00100000; 8'd45 : VAR34 = 8'b00000010; 8'd46 : VAR34 = 8'b00000001; 8'd47 : VAR34 = 8'b01001010; 8'd48 : VAR34 = 8'b11111100; 8'd49 : VAR34 = 8'b01100000; 8'd50 : VAR34 = 8'b11011010; 8'd51 : VAR34 = 8'b11110010; 8'd52 : VAR34 = 8'b01100110; 8'd53 : VAR34 = 8'b10110110; 8'd54 : VAR34 = 8'b10111110; 8'd55 : VAR34 = 8'b11100000; 8'd56 : VAR34 = 8'b11111110; 8'd57 : VAR34 = 8'b11110110; 8'd58 : VAR34 = 8'b00010011; 8'd59 : VAR34 = 8'b00010001; 8'd60 : VAR34 = 8'b00011000; 8'd61 : VAR34 = 8'b00010010; 8'd62 : VAR34 = 8'b00110000; 8'd63 : VAR34 = 8'b11000001; 8'd64 : VAR34 = 8'b11111010; 8'd65 : VAR34 = 8'b11101110; 8'd66 : VAR34 = 8'b00111110; 8'd67 : VAR34 = 8'b00011010; 8'd68 : VAR34 = 8'b01111010; 8'd69 : VAR34 = 8'b10011110; 8'd70 : VAR34 = 8'b10001110; 8'd71 : VAR34 = 8'b11110110; 8'd72 : VAR34 = 8'b01101110; 8'd73 : VAR34 = 8'b01100000; 8'd74 : VAR34 = 8'b01110000; 8'd75 : VAR34 = 8'b10101110; 8'd76 : VAR34 = 8'b00001110; 8'd77 : VAR34 = 8'b11101100; 8'd78 : VAR34 = 8'b00101010; 8'd79 : VAR34 = 8'b11111100; 8'd80 : VAR34 = 8'b11001110; 8'd81 : VAR34 = 8'b11100110; 8'd82 : VAR34 = 8'b00001010; 8'd83 : VAR34 = 8'b10110110; 8'd84 : VAR34 = 8'b10001100; 8'd85 : VAR34 = 8'b01111100; 8'd86 : VAR34 = 8'b01000110; 8'd87 : VAR34 = 8'b01111110; 8'd88 : VAR34 = 8'b10101010; 8'd89 : VAR34 = 8'b01110110; 8'd90 : VAR34 = 8'b11011010; 8'd91 : VAR34 = 8'b10011100; 8'd92 : VAR34 = 8'b00100110; 8'd93 : VAR34 = 8'b11100100; 8'd94 : VAR34 = 8'b10000100; 8'd95 : VAR34 = 8'b00010000; 8'd96 : VAR34 = 8'b01000000; 8'd97 : VAR34 = 8'b11101110; 8'd98 : VAR34 = 8'b00111110; 8'd99 : VAR34 = 8'b00011010; 8'd100 : VAR34 = 8'b01111010; 8'd101 : VAR34 = 8'b10011110; 8'd102 : VAR34 = 8'b10001110; 8'd103 : VAR34 = 8'b11110110; 8'd104 : VAR34 = 8'b01101110; 8'd105 : VAR34 = 8'b01100000; 8'd106 : VAR34 = 8'b01110000; 8'd107 : VAR34 = 8'b10101110; 8'd108 : VAR34 = 8'b00001110; 8'd109 : VAR34 = 8'b11101100; 8'd110 : VAR34 = 8'b00101010; 8'd111 : VAR34 = 8'b11111100; 8'd112 : VAR34 = 8'b11001110; 8'd113 : VAR34 = 8'b11100110; 8'd114 : VAR34 = 8'b00001010; 8'd115 : VAR34 = 8'b10110110; 8'd116 : VAR34 = 8'b10001100; 8'd117 : VAR34 = 8'b01111100; 8'd118 : VAR34 = 8'b01000110; 8'd119 : VAR34 = 8'b01111110; 8'd120 : VAR34 = 8'b10101010; 8'd121 : VAR34 = 8'b01110110; 8'd122 : VAR34 = 8'b11011010; 8'd123 : VAR34 = 8'b00011101; 8'd124 : VAR34 = 8'b01100000; 8'd125 : VAR34 = 8'b01100101; 8'd126 : VAR34 = 8'b10000000; 8'd127 : VAR34 = 8'b00000001; 8'd128 : VAR34 = 8'b00000001;
8'd129 : VAR34 = 8'b00000001;
8'd130 : VAR34 = 8'b00000001;
8'd131 : VAR34 = 8'b00000001;
8'd132 : VAR34 = 8'b00000001;
8'd133 : VAR34 = 8'b00000001;
8'd134 : VAR34 = 8'b00000001;
8'd135 : VAR34 = 8'b00000001;
8'd136 : VAR34 = 8'b00000001;
8'd137 : VAR34 = 8'b00000001;
8'd138 : VAR34 = 8'b00000001;
8'd139 : VAR34 = 8'b00000001;
8'd140 : VAR34 = 8'b00000001;
8'd141 : VAR34 = 8'b00000001;
8'd142 : VAR34 = 8'b00000001;
8'd143 : VAR34 = 8'b00000001;
8'd144 : VAR34 = 8'b00000001;
8'd145 : VAR34 = 8'b00000001;
8'd146 : VAR34 = 8'b00000001;
8'd147 : VAR34 = 8'b00000001;
8'd148 : VAR34 = 8'b00000001;
8'd149 : VAR34 = 8'b00000001;
8'd150 : VAR34 = 8'b00000001;
8'd151 : VAR34 = 8'b00000001;
8'd152 : VAR34 = 8'b00000001;
8'd153 : VAR34 = 8'b00000001;
8'd154 : VAR34 = 8'b00000001;
8'd155 : VAR34 = 8'b00000001;
8'd156 : VAR34 = 8'b00000001;
8'd157 : VAR34 = 8'b00000001;
8'd158 : VAR34 = 8'b00000001;
8'd159 : VAR34 = 8'b00000001;
8'd160 : VAR34 = 8'b00000001;
8'd161 : VAR34 = 8'b00000001;
8'd162 : VAR34 = 8'b00000001;
8'd163 : VAR34 = 8'b00000001;
8'd164 : VAR34 = 8'b00000001;
8'd165 : VAR34 = 8'b00000001;
8'd166 : VAR34 = 8'b00000001;
8'd167 : VAR34 = 8'b00000001;
8'd168 : VAR34 = 8'b00000001;
8'd169 : VAR34 = 8'b00000001;
8'd170 : VAR34 = 8'b00000001;
8'd171 : VAR34 = 8'b00000001;
8'd172 : VAR34 = 8'b00000001;
8'd173 : VAR34 = 8'b00000001;
8'd174 : VAR34 = 8'b00000001;
8'd175 : VAR34 = 8'b00000001;
8'd176 : VAR34 = 8'b00000001;
8'd177 : VAR34 = 8'b00000001;
8'd178 : VAR34 = 8'b00000001;
8'd179 : VAR34 = 8'b00000001;
8'd180 : VAR34 = 8'b00000001;
8'd181 : VAR34 = 8'b00000001;
8'd182 : VAR34 = 8'b00000001;
8'd183 : VAR34 = 8'b00000001;
8'd184 : VAR34 = 8'b00000001;
8'd185 : VAR34 = 8'b00000001;
8'd186 : VAR34 = 8'b00000001;
8'd187 : VAR34 = 8'b00000001;
8'd188 : VAR34 = 8'b00000001;
8'd189 : VAR34 = 8'b00000001;
8'd190 : VAR34 = 8'b00000001;
8'd191 : VAR34 = 8'b00000001;
8'd192 : VAR34 = 8'b00000001;
8'd193 : VAR34 = 8'b00000001;
8'd194 : VAR34 = 8'b00000001;
8'd195 : VAR34 = 8'b00000001;
8'd196 : VAR34 = 8'b00000001;
8'd197 : VAR34 = 8'b00000001;
8'd198 : VAR34 = 8'b00000001;
8'd199 : VAR34 = 8'b00000001;
8'd200 : VAR34 = 8'b00000001;
8'd201 : VAR34 = 8'b00000001;
8'd202 : VAR34 = 8'b00000001;
8'd203 : VAR34 = 8'b00000001;
8'd204 : VAR34 = 8'b00000001;
8'd205 : VAR34 = 8'b00000001;
8'd206 : VAR34 = 8'b00000001;
8'd207 : VAR34 = 8'b00000001;
8'd208 : VAR34 = 8'b00000001;
8'd209 : VAR34 = 8'b00000001;
8'd210 : VAR34 = 8'b00000001;
8'd211 : VAR34 = 8'b00000001;
8'd212 : VAR34 = 8'b00000001;
8'd213 : VAR34 = 8'b00000001;
8'd214 : VAR34 = 8'b00000001;
8'd215 : VAR34 = 8'b00000001;
8'd216 : VAR34 = 8'b00000001;
8'd217 : VAR34 = 8'b00000001;
8'd218 : VAR34 = 8'b00000001;
8'd219 : VAR34 = 8'b00000001;
8'd220 : VAR34 = 8'b00000001;
8'd221 : VAR34 = 8'b00000001;
8'd222 : VAR34 = 8'b00000001;
8'd223 : VAR34 = 8'b00000001;
8'd224 : VAR34 = 8'b00000001;
8'd225 : VAR34 = 8'b00000001;
8'd226 : VAR34 = 8'b00000001;
8'd227 : VAR34 = 8'b00000001;
8'd228 : VAR34 = 8'b00000001;
8'd229 : VAR34 = 8'b00000001;
8'd230 : VAR34 = 8'b00000001;
8'd231 : VAR34 = 8'b00000001;
8'd232 : VAR34 = 8'b00000001;
8'd233 : VAR34 = 8'b00000001;
8'd234 : VAR34 = 8'b00000001;
8'd235 : VAR34 = 8'b00000001;
8'd236 : VAR34 = 8'b00000001;
8'd237 : VAR34 = 8'b00000001;
8'd238 : VAR34 = 8'b00000001;
8'd239 : VAR34 = 8'b00000001;
8'd240 : VAR34 = 8'b00000001;
8'd241 : VAR34 = 8'b00000001;
8'd242 : VAR34 = 8'b00000001;
8'd243 : VAR34 = 8'b00000001;
8'd244 : VAR34 = 8'b00000001;
8'd245 : VAR34 = 8'b00000001;
8'd246 : VAR34 = 8'b00000001;
8'd247 : VAR34 = 8'b00000001;
8'd248 : VAR34 = 8'b00000001;
8'd249 : VAR34 = 8'b00000001;
8'd250 : VAR34 = 8'b00000001;
8'd251 : VAR34 = 8'b00000001;
8'd252 : VAR34 = 8'b00000001;
8'd253 : VAR34 = 8'b00000001;
8'd254 : VAR34 = 8'b00000001;
8'd255 : VAR34 = 8'b00000001;
default : VAR34 = 8'b00000001;
endcase
end
reg [2:0] sync;
always @(sync)
begin : VAR42
case(sync)
3'd0 : VAR2 = 8'b11111110;
3'd1 : VAR2 = 8'b11111101;
3'd2 : VAR2 = 8'b11111011;
3'd3 : VAR2 = 8'b11110111;
3'd4 : VAR2 = 8'b11101111;
3'd5 : VAR2 = 8'b11011111;
3'd6 : VAR2 = 8'b10111111;
3'd7 : VAR2 = 8'b01111111;
endcase
end
always @(posedge VAR20)
begin : VAR38
if(VAR31 == 1'b0) begin
sync <= 0;
VAR11 <= 0;
end else begin
if(VAR40)
sync <= sync+1;
VAR11 <= ~VAR34;
end
end
assign VAR3 = VAR39[sync];
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o311ai/sky130_fd_sc_ls__o311ai_2.v | 2,435 | module MODULE2 (
VAR11 ,
VAR12 ,
VAR7 ,
VAR1 ,
VAR5 ,
VAR10 ,
VAR3,
VAR9,
VAR8 ,
VAR4
);
output VAR11 ;
input VAR12 ;
input VAR7 ;
input VAR1 ;
input VAR5 ;
input VAR10 ;
input VAR3;
input VAR9;
input VAR8 ;
input VAR4 ;
VAR6 VAR2 (
.VAR11(VAR11),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR11 ,
VAR12,
VAR7,
VAR1,
VAR5,
VAR10
);
output VAR11 ;
input VAR12;
input VAR7;
input VAR1;
input VAR5;
input VAR10;
supply1 VAR3;
supply0 VAR9;
supply1 VAR8 ;
supply0 VAR4 ;
VAR6 VAR2 (
.VAR11(VAR11),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/a211oi/sky130_fd_sc_ms__a211oi.functional.pp.v | 2,044 | module MODULE1 (
VAR14 ,
VAR10 ,
VAR13 ,
VAR11 ,
VAR2 ,
VAR7,
VAR4,
VAR12 ,
VAR5
);
output VAR14 ;
input VAR10 ;
input VAR13 ;
input VAR11 ;
input VAR2 ;
input VAR7;
input VAR4;
input VAR12 ;
input VAR5 ;
wire VAR3 ;
wire VAR15 ;
wire VAR1;
and VAR16 (VAR3 , VAR10, VAR13 );
nor VAR6 (VAR15 , VAR3, VAR11, VAR2 );
VAR8 VAR9 (VAR1, VAR15, VAR7, VAR4);
buf VAR17 (VAR14 , VAR1 );
endmodule | apache-2.0 |
eda-globetrotter/PicenoDecoders | final/src/prog_counter2.v | 1,339 | module MODULE1 (VAR1,rst,clk);
output [0:31] VAR1;
input clk;
input rst;
reg [0:31] VAR1;
always @(posedge clk)
begin
if(rst==1)
begin
VAR1<=32'd0;
end
else
begin
VAR1<=VAR1+32'd4;
end
end
endmodule | mit |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/common/altera/ad_lvds_in.v | 3,949 | module MODULE1 (
VAR6,
VAR23,
VAR31,
VAR3,
VAR33,
VAR27,
VAR8,
VAR13,
VAR34,
VAR18,
VAR1);
parameter VAR11 = 0;
parameter VAR29 = 0;
parameter VAR5 = "VAR2";
localparam VAR19 = 0;
localparam VAR28 = 1;
input VAR6;
input VAR23;
input VAR31;
output VAR3;
output VAR33;
input VAR27;
input VAR8;
input VAR13;
input [ 4:0] VAR34;
output [ 4:0] VAR18;
output VAR1;
assign VAR18 = 5'd0;
assign VAR1 = 1'b1;
VAR20 #(
.VAR30("VAR7"),
.VAR10("VAR15"),
.VAR35("VAR20"),
.VAR16("VAR7"),
.VAR12(1))
VAR4 (
.VAR26 (1'b0),
.VAR14 (1'b0),
.VAR21 (1'b0),
.VAR22 (1'b0),
.VAR24 (1'b1),
.VAR9 (VAR6),
.VAR32 (VAR23),
.VAR17 (VAR3),
.VAR25 (VAR33));
endmodule | gpl-3.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/or3/gf180mcu_fd_sc_mcu7t5v0__or3_4.behavioral.pp.v | 1,311 | module MODULE1( VAR6, VAR7, VAR1, VAR8, VAR5, VAR9 );
input VAR1, VAR7, VAR6;
inout VAR5, VAR9;
output VAR8;
VAR3 VAR4(.VAR6(VAR6),.VAR7(VAR7),.VAR1(VAR1),.VAR8(VAR8),.VAR5(VAR5),.VAR9(VAR9));
VAR3 VAR2(.VAR6(VAR6),.VAR7(VAR7),.VAR1(VAR1),.VAR8(VAR8),.VAR5(VAR5),.VAR9(VAR9)); | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o211a/sky130_fd_sc_lp__o211a.behavioral.pp.v | 2,036 | module MODULE1 (
VAR11 ,
VAR17 ,
VAR8 ,
VAR1 ,
VAR7 ,
VAR15,
VAR13,
VAR4 ,
VAR9
);
output VAR11 ;
input VAR17 ;
input VAR8 ;
input VAR1 ;
input VAR7 ;
input VAR15;
input VAR13;
input VAR4 ;
input VAR9 ;
wire VAR2 ;
wire VAR6 ;
wire VAR14;
or VAR16 (VAR2 , VAR8, VAR17 );
and VAR3 (VAR6 , VAR2, VAR1, VAR7 );
VAR5 VAR12 (VAR14, VAR6, VAR15, VAR13);
buf VAR10 (VAR11 , VAR14 );
endmodule | apache-2.0 |
sehugg/8bitworkshop | src/worker/lib/verilog/8bitworkshop.v | 1,122 | VAR23 = 1
VAR14 = 0
VAR13 = 1
VAR9 = 8
VAR22 = 255
module MODULE1(
output reg [31:0] VAR24,
output VAR15,
output VAR3,
input clk,
input reset
);
wire [7:0] VAR6;
wire [7:0] VAR7;
wire [7:0] VAR19;
wire VAR20;
wire VAR8;
wire VAR12;
assign VAR12 = 1'b1;
wire VAR1;
VAR5 VAR17(
.VAR25(clk),
.reset(reset),
.VAR21(VAR6),
.VAR16(VAR7),
.VAR11(VAR19),
.VAR4(VAR20),
.VAR2(VAR8),
.VAR10(VAR12),
.VAR18(VAR1)
);
assign VAR24 = {8'hff, VAR19, VAR7, VAR6};
assign VAR15 = VAR20;
assign VAR3 = VAR8;
endmodule | gpl-3.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/sparc/exu/rtl/sparc_exu_aluadder64.v | 2,219 | module MODULE1
(
VAR3,
VAR1,
VAR6,
VAR4,
VAR2,
VAR5
);
input [63:0] VAR3; input [63:0] VAR1; input VAR6;
output [63:0] VAR4; output VAR2; output VAR5;
assign {VAR2, VAR4[31:0]} = VAR3[31:0]+VAR1[31:0]+
VAR6;
assign {VAR5, VAR4[63:32]} = VAR3[63:32]
+ VAR1[63:32] + VAR2;
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dlygate4s18/sky130_fd_sc_lp__dlygate4s18.behavioral.v | 1,405 | module MODULE1 (
VAR5,
VAR8
);
output VAR5;
input VAR8;
supply1 VAR9;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR7 ;
wire VAR1;
buf VAR3 (VAR1, VAR8 );
buf VAR6 (VAR5 , VAR1 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/lsbuflv2hv_clkiso_hlkg/sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg.pp.blackbox.v | 1,608 | module MODULE1 (
VAR8 ,
VAR3 ,
VAR2,
VAR1 ,
VAR7 ,
VAR4 ,
VAR5 ,
VAR6
);
output VAR8 ;
input VAR3 ;
input VAR2;
input VAR1 ;
input VAR7 ;
input VAR4 ;
input VAR5 ;
input VAR6 ;
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/common/ad_jesd_align.v | 3,711 | module MODULE1 (
VAR3,
VAR4,
VAR6,
VAR8,
VAR5);
input VAR3;
input [ 3:0] VAR4;
input [31:0] VAR6;
output VAR8;
output [31:0] VAR5;
reg [31:0] VAR1 = 'd0;
reg [ 3:0] VAR7 = 'd0;
reg VAR8 = 'd0;
reg VAR2 = 'd0;
reg [31:0] VAR5 = 'd0;
always @(posedge VAR3) begin
VAR1 <= VAR6;
VAR2 <= VAR4;
if (VAR4 != 4'h0) begin
VAR7 <= VAR4;
end
VAR8 <= |VAR2;
if (VAR7[0] == 1'b1) begin
VAR5 <= VAR6;
end else if (VAR7[1] == 1'b1) begin
VAR5 <= {VAR6[ 7:0], VAR1[31: 8]};
end else if (VAR7[2] == 1'b1) begin
VAR5 <= {VAR6[15:0], VAR1[31:16]};
end else if (VAR7[3] == 1'b1) begin
VAR5 <= {VAR6[23:0], VAR1[31:24]};
end else begin
VAR5 <= 32'd0;
end
end
endmodule | gpl-3.0 |
Nrpickle/ECE272 | Lab3_7SegDisplayDriver/section3/section3_schematic.v | 2,785 | module MODULE1( VAR44, VAR38, VAR26, VAR74, VAR77, VAR76, VAR22, VAR36, VAR51, VAR11, VAR20,
VAR28 );
input VAR44;
input VAR38;
input VAR26;
input VAR74;
output VAR77;
output VAR76;
output VAR22;
output VAR36;
output VAR51;
output VAR11;
output VAR20;
output VAR28;
wire VAR65;
wire VAR39;
wire VAR24;
wire VAR75;
wire VAR70;
wire VAR29;
wire VAR1;
wire VAR15;
wire VAR16;
wire VAR67;
wire VAR19;
wire VAR85;
wire VAR78;
wire VAR91;
wire VAR63;
wire VAR33;
wire VAR66;
wire VAR41;
wire VAR27;
wire VAR84;
wire VAR12;
wire VAR80;
wire VAR10;
wire VAR79;
wire VAR68;
wire VAR56;
wire VAR88;
wire VAR49;
wire VAR3;
wire VAR32;
wire VAR50;
wire VAR54;
VAR58 VAR47 ( .VAR44(VAR39), .VAR38(VAR29), .VAR64(VAR33) );
VAR48 VAR72 ( .VAR44(VAR16), .VAR38(VAR67), .VAR26(VAR15), .VAR64(VAR28) );
VAR48 VAR13 ( .VAR44(VAR66), .VAR38(VAR63), .VAR26(VAR33), .VAR64(VAR11) );
VAR48 VAR35 ( .VAR44(VAR79), .VAR38(VAR80), .VAR26(VAR10), .VAR64(VAR36) );
VAR81 VAR73 ( .VAR44(VAR39), .VAR38(VAR75), .VAR26(VAR65), .VAR64(VAR15) );
VAR81 VAR53 ( .VAR44(VAR39), .VAR38(VAR75), .VAR26(VAR24), .VAR64(VAR78) );
VAR81 VAR82 ( .VAR44(VAR39), .VAR38(VAR24), .VAR26(VAR29), .VAR64(VAR85) );
VAR81 VAR62 ( .VAR44(VAR39), .VAR38(VAR75), .VAR26(VAR29), .VAR64(VAR19) );
VAR81 VAR43 ( .VAR44(VAR75), .VAR38(VAR65), .VAR26(VAR29), .VAR64(VAR63) );
VAR81 VAR69 ( .VAR44(VAR39), .VAR38(VAR70), .VAR26(VAR65), .VAR64(VAR66) );
VAR81 VAR46 ( .VAR44(VAR70), .VAR38(VAR24), .VAR26(VAR29), .VAR64(VAR84) );
VAR81 VAR34 ( .VAR44(VAR70), .VAR38(VAR24), .VAR26(VAR77), .VAR64(VAR88) );
VAR81 VAR60 ( .VAR44(VAR1), .VAR38(VAR70), .VAR26(VAR77), .VAR64(VAR49) );
VAR81 VAR83 ( .VAR44(VAR1), .VAR38(VAR24), .VAR26(VAR29), .VAR64(VAR54) );
VAR81 VAR59 ( .VAR44(VAR1), .VAR38(VAR70), .VAR26(VAR77), .VAR64(VAR80) );
VAR81 VAR14 ( .VAR44(VAR1), .VAR38(VAR70), .VAR26(VAR24), .VAR64(VAR10) );
VAR90 VAR25 ( .VAR44(VAR91), .VAR38(VAR19), .VAR26(VAR85), .VAR74(VAR78), .VAR64(VAR20) );
VAR90 VAR86 ( .VAR44(VAR12), .VAR38(VAR41), .VAR26(VAR27), .VAR74(VAR84), .VAR64(VAR51) );
VAR90 VAR61 ( .VAR44(VAR3), .VAR38(VAR68), .VAR26(VAR56), .VAR74(VAR32), .VAR64(VAR76) );
VAR90 VAR31 ( .VAR44(VAR50), .VAR38(VAR88), .VAR26(VAR49), .VAR74(VAR54), .VAR64(VAR22) );
VAR21 VAR40 ( .VAR44(VAR39), .VAR38(VAR70), .VAR26(VAR24), .VAR74(VAR29), .VAR64(VAR16) );
VAR21 VAR71 ( .VAR44(VAR1), .VAR38(VAR70), .VAR26(VAR65), .VAR74(VAR77), .VAR64(VAR67) );
VAR21 VAR30 ( .VAR44(VAR1), .VAR38(VAR70), .VAR26(VAR65), .VAR74(VAR29), .VAR64(VAR91) );
VAR21 VAR55 ( .VAR44(VAR39), .VAR38(VAR70), .VAR26(VAR65), .VAR74(VAR77), .VAR64(VAR12) );
VAR21 VAR2 ( .VAR44(VAR1), .VAR38(VAR75), .VAR26(VAR24), .VAR74(VAR77), .VAR64(VAR27) );
VAR21 VAR89 ( .VAR44(VAR39), .VAR38(VAR75), .VAR26(VAR65), .VAR74(VAR29), .VAR64(VAR41) );
VAR21 VAR57 ( .VAR44(VAR39), .VAR38(VAR75), .VAR26(VAR65), .VAR74(VAR29), .VAR64(VAR3) );
VAR21 VAR37 ( .VAR44(VAR39), .VAR38(VAR70), .VAR26(VAR65), .VAR74(VAR77), .VAR64(VAR68) );
VAR21 VAR7 ( .VAR44(VAR1), .VAR38(VAR70), .VAR26(VAR65), .VAR74(VAR29), .VAR64(VAR56) );
VAR21 VAR45 ( .VAR44(VAR1), .VAR38(VAR75), .VAR26(VAR24), .VAR74(VAR29), .VAR64(VAR32) );
VAR21 VAR23 ( .VAR44(VAR39), .VAR38(VAR70), .VAR26(VAR65), .VAR74(VAR29), .VAR64(VAR50) );
VAR21 VAR17 ( .VAR44(VAR39), .VAR38(VAR75), .VAR26(VAR24), .VAR74(VAR77), .VAR64(VAR79) );
VAR52 VAR18 ( .VAR44(VAR24), .VAR64(VAR65) );
VAR52 VAR9 ( .VAR44(VAR70), .VAR64(VAR75) );
VAR52 VAR5 ( .VAR44(VAR74), .VAR64(VAR29) );
VAR52 VAR4 ( .VAR44(VAR26), .VAR64(VAR24) );
VAR52 VAR8 ( .VAR44(VAR38), .VAR64(VAR70) );
VAR52 VAR87 ( .VAR44(VAR44), .VAR64(VAR1) );
VAR52 VAR42 ( .VAR44(VAR1), .VAR64(VAR39) );
VAR52 VAR6 ( .VAR44(VAR29), .VAR64(VAR77) );
endmodule | mit |
shaform/ArkanoidOnVerilog | block_memory.v | 2,734 | module MODULE1(
input VAR15, reset, enable,
input [4:0] VAR6, VAR25, input [4:0] VAR26, VAR28, input [1:0] VAR4,
input [1:0] VAR11,
output [2:0] VAR12, VAR10,
output ready
);
localparam VAR30 = 30;
localparam VAR18 = 2'b00;
reg write;
reg [4:0] VAR7, VAR2;
wire [4:0] VAR3;
reg [1:0] state, VAR20;
reg [1:0] VAR21;
wire [29:0] VAR14, VAR1, VAR19;
reg [29:0] VAR24, VAR8, VAR22;
wire VAR9, VAR13, VAR23;
assign ready = state == VAR18;
assign VAR12 = (VAR1 >> VAR26*3) & 3'b111;
assign VAR10 = (VAR19 >> VAR28*3) & 3'b111;
assign VAR3 = VAR25;
always @
begin
if (VAR13)
VAR20 = VAR18;
end
else case (state)
VAR18: VAR20 = enable ? VAR4 : VAR18;
VAR5: VAR20 = VAR5;
VAR17: VAR20 = VAR17;
VAR16: VAR20 = VAR16;
default: VAR20 = 2'VAR27;
endcase
end
always @(posedge VAR15)
begin
if (reset)
VAR21 <= 2'b00;
end
else if (enable && VAR4 == VAR5 && ready)
VAR21 <= VAR11;
end
always @(posedge VAR15)
begin
if (~write)
case (state)
VAR17: begin
if (VAR7 == 5'd29)
VAR24 <= 30'b000000000000000000000000000000;
end
else
VAR24 <= VAR1;
end
VAR16: begin
if (VAR7 == 5'b00000)
VAR24 <= 30'b000000000000000000000000000000;
end
else
VAR24 <= VAR1;
end
default: VAR24 <= 30'VAR29;
endcase
else
VAR24 <= 30'VAR29;
end
always @(*)
begin
if (state == VAR18)
VAR22 = VAR1 &(30'b111111111111111111111111111111 ^ (3'b111 << VAR26*3));
end
else if (state == VAR5)
VAR22 = VAR14;
else
VAR22 = VAR24;
end
always @(posedge VAR15)
begin
if (ready)
write <= 1'b0;
end
else
write <= ~write;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a211oi/sky130_fd_sc_ls__a211oi.functional.v | 1,457 | module MODULE1 (
VAR4 ,
VAR5,
VAR8,
VAR3,
VAR9
);
output VAR4 ;
input VAR5;
input VAR8;
input VAR3;
input VAR9;
wire VAR1 ;
wire VAR10;
and VAR7 (VAR1 , VAR5, VAR8 );
nor VAR6 (VAR10, VAR1, VAR3, VAR9);
buf VAR2 (VAR4 , VAR10 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a221oi/sky130_fd_sc_ls__a221oi.functional.pp.v | 2,207 | module MODULE1 (
VAR17 ,
VAR6 ,
VAR16 ,
VAR8 ,
VAR14 ,
VAR4 ,
VAR3,
VAR10,
VAR12 ,
VAR1
);
output VAR17 ;
input VAR6 ;
input VAR16 ;
input VAR8 ;
input VAR14 ;
input VAR4 ;
input VAR3;
input VAR10;
input VAR12 ;
input VAR1 ;
wire VAR15 ;
wire VAR20 ;
wire VAR2 ;
wire VAR19;
and VAR11 (VAR15 , VAR8, VAR14 );
and VAR5 (VAR20 , VAR6, VAR16 );
nor VAR7 (VAR2 , VAR15, VAR4, VAR20);
VAR18 VAR13 (VAR19, VAR2, VAR3, VAR10);
buf VAR9 (VAR17 , VAR19 );
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig34/mig_v3_4_patch20110331.v | 23,770 | module MODULE1 #
(
parameter VAR9 = 200,
parameter VAR22 = "VAR163",
parameter VAR108 = 6, parameter VAR31 = 1,
parameter VAR109 = 3,
parameter VAR29 = 2,
parameter VAR69 = 2500,
parameter VAR118 = "VAR66",
parameter VAR190 = "VAR96",
parameter VAR83 = "VAR96",
parameter VAR172 = 1,
parameter VAR142 = 3,
parameter VAR123 = 1,
parameter VAR77 = 3,
parameter VAR147 = 1,
parameter VAR62 = 1,
parameter VAR16 = 10,
parameter VAR20 = 1,
parameter VAR135 = 8,
parameter VAR38 = 64,
parameter VAR5 = 8,
parameter VAR7 = 13,
parameter VAR169 = "8",
parameter VAR11 = 2,
parameter VAR26 = "VAR10",
parameter VAR121 = "VAR193",
parameter VAR85 = "VAR66",
parameter VAR136 = "VAR66",
parameter VAR34 = "40", parameter VAR50 = "VAR63",
parameter VAR152 = "VAR37",
parameter VAR165 = "VAR63",
parameter VAR73 = 3,
parameter VAR184 = 5,
parameter VAR90 = 0,
parameter VAR110 = 0,
parameter VAR21 = 24'h020100,
parameter VAR59 = 40'h0706050403,
parameter VAR106 = 0,
parameter VAR92 = 0,
parameter VAR179 = "VAR66",
parameter VAR189 = 27,
parameter VAR146 = "VAR63",
parameter VAR25 = 100,
parameter VAR54 = 64,
parameter VAR2 = (VAR146 == "VAR63") ? VAR54 : VAR38,
parameter VAR56 = 0,
parameter VAR72 = "VAR138",
parameter VAR94 = 2
)
(
input VAR95,
input VAR181,
input VAR156,
inout [VAR38-1:0] VAR74,
output [VAR7-1:0] VAR41,
output [VAR77-1:0] VAR127,
output VAR187,
output VAR139,
output VAR182,
output VAR88,
output [(VAR20*VAR172)-1:0] VAR35,
output [(VAR20*VAR172)-1:0] VAR24,
output [VAR20-1:0] VAR13,
output [VAR135-1:0] VAR60,
inout [VAR5-1:0] VAR196,
inout [VAR5-1:0] VAR97,
output [VAR147-1:0] VAR43,
output [VAR147-1:0] VAR180,
inout VAR75,
output VAR105,
input VAR15,
input [(4*VAR2)-1:0] VAR47,
input [(4*VAR2)/8-1:0] VAR185,
input VAR3,
input [VAR189-1:0] VAR188,
input [2:0] VAR80,
input VAR132,
output VAR170,
output VAR173,
output [(4*VAR2)-1:0] VAR39,
output VAR19,
output VAR145, output VAR23,
output VAR30,
output VAR51,
output [VAR5-1:0] VAR42,
output [2*VAR5-1:0] VAR144,
output [5*VAR5-1:0] VAR191,
output [5*VAR5-1:0] VAR87,
output [1:0] VAR128,
output [1:0] VAR153,
output [5*VAR5-1:0] VAR131,
output [5*VAR5-1:0] VAR186,
output [5*VAR5-1:0] VAR58,
output [3*VAR5-1:0] VAR17,
output [2*VAR5-1:0] VAR129,
output [4:0] VAR120,
input VAR57,
input VAR162,
input VAR52,
input VAR55,
input VAR158,
input VAR86,
input VAR84,
input VAR116,
input [VAR142-1:0] VAR194,
output [5*VAR5-1:0] VAR93,
output [5*VAR5-1:0] VAR174,
output [5*VAR5-1:0] VAR49,
output [4*VAR38-1:0] VAR122
);
function integer VAR168;
input [7:0] in;
begin
if(in == "8")
VAR168 = 8;
end
else if(in == "4")
VAR168 = 4;
else
VAR168 = 0;
end
endfunction
localparam VAR140 = VAR69 * VAR29;
localparam VAR53 = VAR168(VAR169);
localparam VAR137 = VAR2 * 4;
localparam VAR28 = VAR137 / 8;
wire VAR6;
wire VAR18;
wire rst;
wire VAR177;
wire VAR141;
wire clk;
wire VAR98;
wire VAR126;
wire VAR8;
wire VAR45;
wire VAR32;
wire VAR101;
wire [(VAR11)-1:0] VAR36;
wire VAR130;
wire [VAR189-1:0] VAR64;
wire VAR133;
wire VAR102;
wire [3:0] VAR107;
wire [47:0] VAR14;
wire [47:0] VAR117;
wire VAR155;
wire [35:0] VAR112;
wire [383:0] VAR103;
wire [7:0] VAR164;
wire [255:0] VAR79;
wire [35:0] VAR48;
wire [255:0] VAR1;
wire [35:0] VAR178;
wire [255:0] VAR76;
wire [35:0] VAR151;
wire VAR160;
wire [35:0] VAR82;
wire [31:0] VAR159;
assign VAR23 = VAR102;
assign VAR64 = VAR188;
assign VAR133 = 1'b0;
assign VAR51 = clk;
assign VAR30 = !rst;
VAR100 VAR195
(
.VAR46 (VAR105),
.VAR150 (VAR176),
.VAR115 (1'b0),
.VAR111 (1'b1)
);
VAR100 VAR166
(
.VAR46 (VAR75),
.VAR150 (VAR125),
.VAR115 (1'b0),
.VAR111 (1'b1)
);
assign VAR192 = 1'b0;
assign VAR143 = 1'b0;
assign VAR148 = 1'b0;
assign VAR104 = 1'b0;
VAR113 #
(
.VAR25 (VAR25),
.VAR22 (VAR22),
.VAR72 (VAR72),
.VAR56 (VAR56)
)
VAR89
(
.VAR192 (VAR192),
.VAR143 (VAR143),
.VAR181 (VAR181),
.VAR156 (VAR156),
.VAR18 (VAR18)
);
assign VAR6 = VAR95;
VAR114 #
(
.VAR25 (VAR25),
.VAR99 (VAR140),
.VAR29 (VAR29),
.VAR108 (VAR108),
.VAR31 (VAR31),
.VAR109 (VAR109),
.VAR56 (VAR56)
)
VAR171
(
.VAR6 (VAR6),
.VAR156 (VAR156),
.VAR18 (VAR18),
.VAR98 (VAR98),
.clk (clk),
.VAR27 (VAR126), .VAR71 (rst),
.VAR175 (VAR45),
.VAR70 (VAR32),
.VAR183 (VAR101)
);
VAR91 #
(
.VAR26 (VAR26),
.VAR77 (VAR77),
.VAR147 (VAR147),
.VAR62 (VAR62), .VAR29 (VAR29),
.VAR16 (VAR16),
.VAR20 (VAR20),
.VAR135 (VAR135),
.VAR172 (VAR172),
.VAR118 (VAR118),
.VAR22 (VAR22),
.VAR38 (VAR38),
.VAR5 (VAR5),
.VAR142 (VAR142),
.VAR179 (VAR179),
.VAR121 (VAR121),
.VAR152 (VAR152),
.VAR136 (VAR136),
.VAR123 (VAR123),
.VAR9 (VAR9),
.VAR165 (VAR165),
.VAR7 (VAR7),
.VAR34 (VAR34),
.VAR50 (VAR50),
.VAR83 (VAR83),
.VAR190 (VAR190),
.VAR85 (VAR85),
.VAR73 (VAR73),
.VAR184 (VAR184),
.VAR90 (VAR90),
.VAR110 (VAR110),
.VAR21 (VAR21),
.VAR59 (VAR59),
.VAR106 (VAR106),
.VAR92 (VAR92),
.VAR169 (VAR169),
.VAR11 (VAR11),
.VAR69 (VAR69),
.VAR189 (VAR189),
.VAR25 (VAR25),
.VAR146 (VAR146),
.VAR2 (VAR2),
.VAR54 (VAR54) )
VAR149
(
.VAR61 (VAR41),
.VAR119 (VAR127),
.VAR124 (VAR139),
.VAR65 (VAR180),
.VAR161 (VAR43),
.VAR78 (VAR13),
.VAR67 (VAR35),
.VAR154 (VAR60),
.VAR134 (VAR24),
.VAR44 (VAR187),
.VAR81 (VAR88),
.VAR68 (VAR130),
.VAR40 (VAR182),
.VAR33 (VAR74),
.VAR4 (VAR97),
.VAR167 (VAR196),
.VAR36 (VAR36),
.VAR102 (VAR102),
.VAR157 (VAR107),
.clk (clk),
.VAR98 (VAR98),
.VAR27 (VAR126), .rst (rst),
.VAR39 (VAR39),
.VAR145 (VAR145),
.VAR19 (VAR19),
.VAR170 (VAR170),
.VAR173 (VAR173),
.VAR64 (VAR64),
.VAR80 (VAR80),
.VAR132 (VAR132),
.VAR133 (VAR133),
.VAR12 (1'b1),
.VAR47 (VAR47),
.VAR3 (VAR3),
.VAR185 (VAR185),
.VAR15 (VAR15),
.VAR42 (VAR42),
.VAR144 (VAR144),
.VAR191 (VAR191),
.VAR87 (VAR87),
.VAR128 (VAR128),
.VAR153 (VAR153),
.VAR131 (VAR131),
.VAR186 (VAR186),
.VAR58 (VAR58),
.VAR17 (VAR17),
.VAR129 (VAR129),
.VAR120 (VAR120),
.VAR49 (VAR49),
.VAR122 (VAR122),
.VAR57 (VAR57),
.VAR162 (VAR162),
.VAR52 (VAR52),
.VAR158 (VAR158),
.VAR86 (VAR86),
.VAR84 (VAR84),
.VAR116 (VAR116),
.VAR194 (VAR194)
);
endmodule | lgpl-3.0 |
dingzh/piplined-MIPS-CPU | src/LAB6/Register.v | 1,210 | module MODULE1(
input VAR5,
input VAR2,
input [4:0] VAR1, input [4:0] VAR10,
input [4:0] VAR6, input [31:0] VAR11,
input reset,
output [31:0] VAR4,
output [31:0] VAR8,
output [31:0] VAR9,
output [31:0] VAR7
);
reg [31:0] VAR3[31:0];
begin
end | gpl-3.0 |
efabless/openlane | designs/aes_cipher/src/aes_key_expand_128.v | 3,913 | module MODULE1(clk, VAR7, VAR3, VAR5, VAR1, VAR8, VAR9);
input clk;
input VAR7;
input [127:0] VAR3;
output [31:0] VAR5, VAR1, VAR8, VAR9;
reg [31:0] VAR6[3:0];
wire [31:0] VAR2;
wire [31:0] VAR18;
wire [31:0] VAR16;
assign VAR5 = VAR6[0];
assign VAR1 = VAR6[1];
assign VAR8 = VAR6[2];
assign VAR9 = VAR6[3];
always @(posedge clk) VAR6[0] <= VAR7 ? VAR3[127:096] : VAR6[0]^VAR18^VAR16;
always @(posedge clk) VAR6[1] <= VAR7 ? VAR3[095:064] : VAR6[0]^VAR6[1]^VAR18^VAR16;
always @(posedge clk) VAR6[2] <= VAR7 ? VAR3[063:032] : VAR6[0]^VAR6[2]^VAR6[1]^VAR18^VAR16;
always @(posedge clk) VAR6[3] <= VAR7 ? VAR3[031:000] : VAR6[0]^VAR6[3]^VAR6[2]^VAR6[1]^VAR18^VAR16;
assign VAR2 = VAR6[3];
VAR11 VAR14( .VAR13(VAR2[23:16]), .VAR12(VAR18[31:24]));
VAR11 VAR19( .VAR13(VAR2[15:08]), .VAR12(VAR18[23:16]));
VAR11 VAR10( .VAR13(VAR2[07:00]), .VAR12(VAR18[15:08]));
VAR11 VAR17( .VAR13(VAR2[31:24]), .VAR12(VAR18[07:00]));
VAR4 VAR15( .clk(clk), .VAR7(VAR7), .out(VAR16));
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/iso0p/sky130_fd_sc_lp__iso0p.symbol.v | 1,299 | module MODULE1 (
input VAR6 ,
output VAR5 ,
input VAR1
);
supply1 VAR2;
supply0 VAR4 ;
supply1 VAR3 ;
supply0 VAR7 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlrbp/sky130_fd_sc_hd__dlrbp.pp.symbol.v | 1,462 | module MODULE1 (
input VAR6 ,
output VAR1 ,
output VAR7 ,
input VAR4,
input VAR8 ,
input VAR5 ,
input VAR9 ,
input VAR2 ,
input VAR3
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nand4b/sky130_fd_sc_hd__nand4b.blackbox.v | 1,326 | module MODULE1 (
VAR7 ,
VAR6,
VAR4 ,
VAR2 ,
VAR1
);
output VAR7 ;
input VAR6;
input VAR4 ;
input VAR2 ;
input VAR1 ;
supply1 VAR8;
supply0 VAR9;
supply1 VAR5 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
EPiCS/soundgates | hardware/design/reference/cf_lib/edk/pcores/axi_dmac_v1_00_a/hdl/verilog/response_generator.v | 3,332 | module MODULE1 (
input clk,
input VAR7,
input enable,
output reg VAR5,
input [VAR2-1:0] VAR6,
output reg [VAR2-1:0] VAR3,
input VAR4,
input VAR13,
output VAR1,
input VAR12,
output VAR9,
output [1:0] VAR11
);
parameter VAR2 = 3;
assign VAR11 = VAR10;
assign VAR9 = VAR13;
assign VAR1 = VAR6 != VAR3;
always @(posedge clk) begin
if (VAR7 == 1'b0) begin
VAR5 <= 1'b0;
end else begin
if (enable)
VAR5 <= 1'b1;
end
else if (VAR6 == VAR3)
VAR5 <= 1'b0;
end
end
always @(posedge clk) begin
if (VAR7 == 1'b0) begin
VAR3 <= 'h0;
end else begin
if ((VAR1 && VAR12) ||
(VAR4 && VAR3 != VAR6))
VAR3 <= VAR8(VAR3);
end
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/sdfsbp/sky130_fd_sc_hd__sdfsbp.symbol.v | 1,524 | module MODULE1 (
input VAR2 ,
output VAR4 ,
output VAR1 ,
input VAR11,
input VAR3 ,
input VAR8 ,
input VAR5
);
supply1 VAR10;
supply0 VAR6;
supply1 VAR7 ;
supply0 VAR9 ;
endmodule | apache-2.0 |
freecores/zet86 | rtl-model/util/div_uu.v | 5,864 | module MODULE1(clk, VAR13, VAR2, VAR9, VAR3, VAR10, VAR12, VAR17);
parameter VAR14 = 16;
parameter VAR19 = VAR14 /2;
input clk; input VAR13;
input [VAR14 -1:0] VAR2; input [VAR19 -1:0] VAR9; output [VAR19 -1:0] VAR3; output [VAR19 -1:0] VAR10; output VAR12;
output VAR17;
reg [VAR19-1:0] VAR3;
reg [VAR19-1:0] VAR10;
reg VAR12;
reg VAR17;
function [VAR14:0] VAR21;
input [VAR14:0] VAR20;
input [VAR14:0] VAR18;
begin
if(VAR20[VAR14])
VAR21 = {VAR20[VAR14-1:0], 1'b0} + VAR18;
end
else
VAR21 = {VAR20[VAR14-1:0], 1'b0} - VAR18;
end
endfunction
function [VAR19-1:0] VAR1;
input [VAR19-1:0] VAR16;
input [VAR14:0] VAR20;
begin
VAR1 = {VAR16[VAR19-2:0], ~VAR20[VAR14]};
end
endfunction
function [VAR19-1:0] VAR8;
input [VAR14:0] VAR20;
input [VAR14:0] VAR18;
reg [VAR14:0] VAR5;
begin
if(VAR20[VAR14])
VAR5 = VAR20 + VAR18;
end
else
VAR5 = VAR20;
VAR8 = VAR5[VAR14-1:VAR14-VAR19];
end
endfunction
reg [VAR19-1:0] VAR7 [VAR19-1:0];
reg [VAR14:0] VAR6 [VAR19:0];
reg [VAR14:0] VAR15 [VAR19:0];
reg [VAR19:0] VAR11, VAR4;
begin
begin
begin | gpl-3.0 |
monotone-RK/FACE | IEICE-Trans/16-way_2-tree/src/riffa/recv_credit_flow_ctrl.v | 4,918 | module MODULE1
(
input VAR4,
input VAR19,
input [2:0] VAR12, input [11:0] VAR1, input [7:0] VAR11, input VAR3, input VAR6, input VAR13, output VAR8 );
reg VAR10=0;
reg VAR16=0;
reg VAR7=0;
reg [12:0] VAR17=0;
reg [11:0] VAR9=0;
reg [7:0] VAR15=0;
reg [11:0] VAR2=0;
reg [7:0] VAR18=0;
reg VAR14; reg VAR5;
assign VAR8 = VAR10;
always @(posedge VAR4) begin
VAR14 <= (VAR11 == 0);
VAR5 <= (VAR1 == 0);
VAR17 <= (13'd128<<VAR12);
VAR15 <= (VAR17>>({2'b11, VAR3}));
VAR9 <= (VAR17>>4);
VAR7 <= (VAR18 <= VAR11);
VAR16 <= (VAR2 <= VAR1);
VAR10 <= ((VAR7|VAR14) & (VAR16 | VAR5));
end
always @ (posedge VAR4) begin
if (VAR19) begin
VAR18 <= 0;
VAR2 <= 0;
end
else if (VAR6 & VAR13) begin
VAR18 <= VAR18;
VAR2 <= VAR2;
end
else if (VAR13) begin
VAR18 <= VAR18 + VAR15;
VAR2 <= VAR2 + VAR9;
end
else if (VAR6) begin
VAR18 <= VAR18 - VAR15;
VAR2 <= VAR2 - VAR9;
end
end
endmodule | mit |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.