repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfbbp/sky130_fd_sc_ms__sdfbbp_1.v
2,821
module MODULE1 ( VAR8 , VAR2 , VAR13 , VAR9 , VAR14 , VAR12 , VAR10 , VAR1, VAR4 , VAR3 , VAR5 , VAR11 ); output VAR8 ; output VAR2 ; input VAR13 ; input VAR9 ; input VAR14 ; input VAR12 ; input VAR10 ; input VAR1; input VAR4 ; input VAR3 ; input VAR5 ; input VAR11 ; VAR6 VAR7 ( .VAR8(VAR8), .VAR2(VAR2), .VAR13(VAR13), .VAR9(VAR9), .VAR14(VAR14), .VAR12(VAR12), .VAR10(VAR10), .VAR1(VAR1), .VAR4(VAR4), .VAR3(VAR3), .VAR5(VAR5), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR8 , VAR2 , VAR13 , VAR9 , VAR14 , VAR12 , VAR10 , VAR1 ); output VAR8 ; output VAR2 ; input VAR13 ; input VAR9 ; input VAR14 ; input VAR12 ; input VAR10 ; input VAR1; supply1 VAR4; supply0 VAR3; supply1 VAR5 ; supply0 VAR11 ; VAR6 VAR7 ( .VAR8(VAR8), .VAR2(VAR2), .VAR13(VAR13), .VAR9(VAR9), .VAR14(VAR14), .VAR12(VAR12), .VAR10(VAR10), .VAR1(VAR1) ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig37/mig_37/user_design/rtl/controller/arb_row_col.v
12,634
module MODULE1 # ( parameter VAR46 = 100, parameter VAR2 = "VAR47", parameter VAR22 = "VAR31", parameter VAR44 = 4, parameter VAR38 = 2, parameter VAR54 = 2 ) ( VAR29, VAR18, VAR66, VAR39, VAR13, VAR35, VAR3, VAR63, VAR11, VAR12, VAR69, VAR76, VAR45, VAR21, VAR33, VAR65, clk, rst, VAR6, VAR34, VAR8, VAR10, VAR19, VAR32 ); input clk; input rst; input [VAR44-1:0] VAR6; input VAR34; input [VAR44-1:0] VAR8; reg VAR40; wire VAR43; wire VAR20; wire VAR78 = (VAR54 == 1) ? 1'b0 : VAR40; wire [VAR44-1:0] VAR5; wire VAR30 = |VAR5; wire [VAR44-1:0] VAR27 = VAR6 & {VAR44{~VAR34}}; wire VAR52 = |VAR27; generate if (VAR2 == "2T") begin : VAR71 assign VAR5 = VAR8 & {VAR44{~(VAR78 || VAR34)}}; wire [1:0] VAR26; wire [1:0] VAR58 = ~VAR52 ? 2'b10 : VAR26; wire VAR9 = ~VAR52 || |VAR26; VAR77 # (.VAR15 (2)) VAR28 (.VAR68 (), .VAR16 (VAR26), .VAR9 (VAR9), .VAR58 (VAR58), .clk (clk), .rst (rst), .req ({VAR52, VAR30}), .VAR56 (1'b0)); assign {VAR20, VAR43} = VAR26; end else begin : VAR62 assign VAR5 = VAR8 & {VAR44{~VAR78}}; assign VAR43 = 1'b0; assign VAR20 = 1'b0; end endgenerate wire[VAR44-1:0] VAR72; output wire[VAR44-1:0] VAR29; assign VAR29 = VAR72; reg VAR61; wire VAR51 = VAR61 && ~VAR43; output wire VAR18; assign VAR18 = VAR51; VAR77 # (.VAR15 (VAR44)) VAR25 (.VAR68 (), .VAR16 (VAR72[VAR44-1:0]), .VAR9 (VAR51), .VAR58 (VAR72[VAR44-1:0]), .clk (clk), .rst (rst), .req (VAR27), .VAR56 (1'b0)); output wire [VAR44-1:0] VAR66; assign VAR66 = VAR72 & {VAR44{~VAR43}}; VAR23: cover property (@(posedge clk) (~rst && &VAR6)); input [VAR44-1:0] VAR10; wire [VAR44-1:0] VAR49; output wire [VAR44-1:0] VAR39; assign VAR39 = VAR49; wire VAR17; VAR77 # (.VAR15 (VAR44)) VAR36 (.VAR68 (), .VAR16 (VAR49[VAR44-1:0]), .VAR9 (VAR17), .VAR58 (VAR49[VAR44-1:0]), .clk (clk), .rst (rst), .req (VAR10[VAR44-1:0]), .VAR56 (1'b0)); VAR73: cover property (@(posedge clk) (~rst && &VAR10)); input VAR19; wire VAR37 = ~VAR40 && (|VAR10 || VAR19) && ~VAR30; output wire VAR13; assign VAR13 = VAR40; reg VAR48; always @(posedge clk) VAR48 <= output wire VAR35; assign VAR35 = VAR48; assign VAR17 = VAR40 && ~VAR48; reg VAR75; wire VAR64; assign VAR64 = ~rst && (VAR75 || VAR37); output wire VAR3; assign VAR3 = VAR75; wire [VAR44-1:0] VAR59; output wire [VAR44-1:0] VAR63; assign VAR63 = VAR59; reg VAR42; wire VAR24; VAR77 # (.VAR15 (VAR44)) VAR57 (.VAR68 (), .VAR16 (VAR59[VAR44-1:0]), .VAR9 (VAR24), .VAR58 (VAR59[VAR44-1:0]), .clk (clk), .rst (rst), .req (VAR5), .VAR56 (1'b0)); VAR4: cover property (@(posedge clk) (~rst && &VAR8)); output wire [VAR44-1:0] VAR11; assign VAR11 = VAR59 & {VAR44{~VAR20}}; assign VAR24 = VAR42 && ~VAR20; output wire VAR12; assign VAR12 = VAR24; input [VAR44-1:0] VAR32; output wire [VAR44-1:0] VAR69; generate if (VAR22 == "VAR31") begin : VAR70 assign VAR69 = {VAR44{1'b0}}; end else begin : VAR1 wire [VAR44-1:0] VAR60; VAR77 # (.VAR15 (VAR44)) VAR57 (.VAR68 (VAR60), .VAR16 (), .VAR9 (VAR24), .VAR58 (VAR59[VAR44-1:0]), .clk (clk), .rst (rst), .req (VAR32), .VAR56 (1'b0)); reg [VAR44-1:0] VAR74; wire [VAR44-1:0] VAR14 = VAR30 ? VAR60 : VAR74; assign VAR69 = VAR14; end endgenerate output reg VAR76 = 1'b0; output reg VAR45 = 1'b0; output reg VAR21 = 1'b0; output reg VAR33 = 1'b0; reg VAR7; output wire VAR65; assign VAR65 = VAR7; wire VAR55 = VAR51 || VAR7; generate case ({(VAR38 == 2), (VAR2 == "2T")}) 2'b00 : begin : VAR67 end 2'b01 : begin : VAR53 end 2'b10 : begin : VAR50 always @(VAR55) VAR21 = VAR55; always @(VAR24) VAR33 = VAR24; end 2'b11 : begin : VAR41 always @(VAR24 or VAR55) VAR33 = VAR55 || VAR24; always @(VAR24) VAR76 = VAR24; always @(VAR55) VAR45 = VAR55; end endcase endgenerate endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/decap/sky130_fd_sc_hdll__decap_12.v
1,892
module MODULE2 ( VAR3, VAR2, VAR5 , VAR4 ); input VAR3; input VAR2; input VAR5 ; input VAR4 ; VAR6 VAR1 ( .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5), .VAR4(VAR4) ); endmodule module MODULE2 (); supply1 VAR3; supply0 VAR2; supply1 VAR5 ; supply0 VAR4 ; VAR6 VAR1 (); endmodule
apache-2.0
bgelb/digilite_zl
rtl/zl_fifo_2.v
1,700
module MODULE1 # ( parameter VAR7 = 0 ) ( input clk, input VAR10, input VAR4, output VAR1, input [VAR7-1:0] VAR8, output VAR3, input VAR2, output [VAR7-1:0] VAR6 ); reg [VAR7-1:0] VAR11 [0:1]; reg [1:0] VAR5; wire VAR12; wire VAR9; assign VAR12 = (VAR5 == 2'b00); assign VAR9 = (VAR5 == 2'b10); assign VAR3 = (!VAR12); assign VAR1 = (!VAR9 && VAR4); assign VAR6 = (VAR9 ? VAR11[1] : VAR11[0]); always @(posedge clk or negedge VAR10) begin if(!VAR10) begin VAR5 <= 2'b00; end else if(VAR1 && VAR2) begin VAR5 <= VAR5; end else if(VAR1) begin VAR5 <= VAR5 + 1'b1; end else if(VAR2) begin VAR5 <= VAR5 - 1'b1; end end always @(posedge clk or negedge VAR10) begin if(!VAR10) begin VAR11[0] <= {VAR7{1'b0}}; VAR11[1] <= {VAR7{1'b0}}; end else if(VAR1) begin VAR11[0] <= VAR8; VAR11[1] <= VAR11[0]; end end endmodule
bsd-2-clause
mosass/HexapodRobot
VIVADO/hexapod/hexapod.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/synth/design_1_xbar_0.v
14,589
module MODULE1 ( VAR71, VAR112, VAR40, VAR96, VAR9, VAR64, VAR82, VAR107, VAR49, VAR30, VAR118, VAR15, VAR46, VAR5, VAR67, VAR111, VAR76, VAR6, VAR10, VAR90, VAR33, VAR110, VAR126, VAR117, VAR70, VAR56, VAR92, VAR106, VAR120, VAR63, VAR66, VAR45, VAR72, VAR18, VAR81, VAR132, VAR127, VAR77, VAR61, VAR69 ); input wire VAR71; input wire VAR112; input wire [31 : 0] VAR40; input wire [2 : 0] VAR96; input wire [0 : 0] VAR9; output wire [0 : 0] VAR64; input wire [31 : 0] VAR82; input wire [3 : 0] VAR107; input wire [0 : 0] VAR49; output wire [0 : 0] VAR30; output wire [1 : 0] VAR118; output wire [0 : 0] VAR15; input wire [0 : 0] VAR46; input wire [31 : 0] VAR5; input wire [2 : 0] VAR67; input wire [0 : 0] VAR111; output wire [0 : 0] VAR76; output wire [31 : 0] VAR6; output wire [1 : 0] VAR10; output wire [0 : 0] VAR90; input wire [0 : 0] VAR33; output wire [95 : 0] VAR110; output wire [8 : 0] VAR126; output wire [2 : 0] VAR117; input wire [2 : 0] VAR70; output wire [95 : 0] VAR56; output wire [11 : 0] VAR92; output wire [2 : 0] VAR106; input wire [2 : 0] VAR120; input wire [5 : 0] VAR63; input wire [2 : 0] VAR66; output wire [2 : 0] VAR45; output wire [95 : 0] VAR72; output wire [8 : 0] VAR18; output wire [2 : 0] VAR81; input wire [2 : 0] VAR132; input wire [95 : 0] VAR127; input wire [5 : 0] VAR77; input wire [2 : 0] VAR61; output wire [2 : 0] VAR69; VAR116 #( .VAR20("VAR22"), .VAR124(1), .VAR35(3), .VAR65(1), .VAR31(32), .VAR7(32), .VAR108(2), .VAR84(1), .VAR48(192'VAR34), .VAR79(96'VAR13), .VAR105(32'VAR53), .VAR68(32'VAR53), .VAR51(0), .VAR115(1), .VAR38(1), .VAR83(1), .VAR8(1), .VAR21(1), .VAR36(96'VAR24), .VAR102(96'VAR24), .VAR25(1), .VAR75(32'VAR42), .VAR19(32'VAR42), .VAR27(32'VAR42), .VAR122(96'VAR24), .VAR4(96'VAR24), .VAR94(32'VAR53), .VAR28(96'VAR3), .VAR98(0) ) VAR101 ( .VAR71(VAR71), .VAR112(VAR112), .VAR80(1'VAR17), .VAR40(VAR40), .VAR109(8'VAR86), .VAR104(3'VAR17), .VAR43(2'VAR17), .VAR23(1'VAR17), .VAR55(4'VAR17), .VAR96(VAR96), .VAR16(4'VAR17), .VAR121(1'VAR17), .VAR9(VAR9), .VAR64(VAR64), .VAR59(1'VAR17), .VAR82(VAR82), .VAR107(VAR107), .VAR1(1'VAR39), .VAR12(1'VAR17), .VAR49(VAR49), .VAR30(VAR30), .VAR2(), .VAR118(VAR118), .VAR125(), .VAR15(VAR15), .VAR46(VAR46), .VAR47(1'VAR17), .VAR5(VAR5), .VAR119(8'VAR86), .VAR32(3'VAR17), .VAR93(2'VAR17), .VAR41(1'VAR17), .VAR85(4'VAR17), .VAR67(VAR67), .VAR54(4'VAR17), .VAR50(1'VAR17), .VAR111(VAR111), .VAR76(VAR76), .VAR95(), .VAR6(VAR6), .VAR10(VAR10), .VAR62(), .VAR60(), .VAR90(VAR90), .VAR33(VAR33), .VAR14(), .VAR110(VAR110), .VAR114(), .VAR99(), .VAR97(), .VAR89(), .VAR128(), .VAR126(VAR126), .VAR74(), .VAR58(), .VAR73(), .VAR117(VAR117), .VAR70(VAR70), .VAR11(), .VAR56(VAR56), .VAR92(VAR92), .VAR44(), .VAR100(), .VAR106(VAR106), .VAR120(VAR120), .VAR52(3'VAR17), .VAR63(VAR63), .VAR91(3'VAR17), .VAR66(VAR66), .VAR45(VAR45), .VAR123(), .VAR72(VAR72), .VAR26(), .VAR78(), .VAR129(), .VAR88(), .VAR131(), .VAR18(VAR18), .VAR29(), .VAR113(), .VAR130(), .VAR81(VAR81), .VAR132(VAR132), .VAR87(3'VAR17), .VAR127(VAR127), .VAR77(VAR77), .VAR103(3'VAR37), .VAR57(3'VAR17), .VAR61(VAR61), .VAR69(VAR69) ); endmodule
mit
hpeng2/ECE492_Group4_Project
Ryans_stuff/tracking_camera/db/ip/tracking_camera_system/submodules/tracking_camera_system_nios2_qsys_0_jtag_debug_module_wrapper.v
11,172
module MODULE1 ( VAR25, VAR15, clk, VAR54, VAR58, VAR39, VAR45, VAR52, VAR21, VAR11, VAR13, VAR2, VAR37, VAR4, VAR9, VAR29, VAR41, VAR44, VAR19, VAR36, VAR18, VAR35, VAR14, VAR49, VAR7, VAR40, VAR10, VAR23, VAR55, VAR57, VAR38, VAR8, VAR46, VAR56, VAR27, VAR48 ) ; output [ 37: 0] VAR18; output VAR35; output VAR14; output VAR49; output VAR7; output VAR40; output VAR10; output VAR23; output VAR55; output VAR57; output VAR38; output VAR8; output VAR46; output VAR56; output VAR27; output VAR48; input [ 31: 0] VAR25; input [ 31: 0] VAR15; input clk; input VAR54; input VAR58; input VAR39; input VAR45; input VAR52; input VAR21; input VAR11; input VAR13; input VAR2; input VAR37; input [ 35: 0] VAR4; input VAR9; input [ 6: 0] VAR29; input VAR41; input VAR44; input VAR19; input VAR36; wire [ 37: 0] VAR18; wire VAR35; wire [ 37: 0] VAR5; wire VAR14; wire VAR49; wire VAR7; wire VAR40; wire VAR10; wire VAR23; wire VAR55; wire VAR57; wire VAR38; wire VAR8; wire VAR46; wire VAR56; wire VAR27; wire VAR48; wire VAR3; wire [ 1: 0] VAR12; wire [ 1: 0] VAR51; wire VAR30; wire VAR16; wire VAR33; wire VAR31; wire VAR1; wire VAR22; wire VAR17; VAR20 VAR26 ( .VAR25 (VAR25), .VAR15 (VAR15), .VAR54 (VAR54), .VAR58 (VAR58), .VAR39 (VAR39), .VAR45 (VAR45), .VAR52 (VAR52), .VAR28 (VAR12), .VAR32 (VAR51), .VAR35 (VAR35), .VAR34 (VAR30), .VAR21 (VAR21), .VAR11 (VAR11), .VAR13 (VAR13), .VAR2 (VAR2), .VAR5 (VAR5), .VAR14 (VAR14), .VAR59 (VAR33), .VAR42 (VAR31), .VAR6 (VAR1), .VAR37 (VAR37), .VAR4 (VAR4), .VAR9 (VAR9), .VAR29 (VAR29), .VAR41 (VAR41), .VAR44 (VAR44), .VAR19 (VAR19), .VAR36 (VAR36), .VAR47 (VAR3), .VAR24 (VAR16), .VAR43 (VAR17) ); VAR60 VAR50 ( .clk (clk), .VAR28 (VAR12), .VAR18 (VAR18), .VAR5 (VAR5), .VAR49 (VAR49), .VAR7 (VAR7), .VAR40 (VAR40), .VAR10 (VAR10), .VAR23 (VAR23), .VAR55 (VAR55), .VAR57 (VAR57), .VAR38 (VAR38), .VAR8 (VAR8), .VAR46 (VAR46), .VAR56 (VAR56), .VAR27 (VAR27), .VAR48 (VAR48), .VAR53 (VAR22), .VAR43 (VAR17) ); assign VAR33 = 1'b0; assign VAR31 = 1'b0; assign VAR16 = 1'b0; assign VAR3 = 1'b0; assign VAR30 = 1'b0; assign VAR17 = 1'b0; assign VAR22 = 1'b0; assign VAR12 = 2'b0; endmodule
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ddr3_source/ddr3_driver.v
16,144
module MODULE1 #( parameter VAR59 = 3, parameter VAR88 = 2, parameter VAR45 = 2, parameter VAR60 = 1, parameter VAR32 = 2, parameter VAR61 = 8, parameter VAR3 = 64, parameter VAR18 = 8, parameter VAR34 = 2, parameter VAR93 = 15, parameter VAR14 = 29, parameter VAR24 = 64, parameter VAR17 = 2, parameter VAR63 = 2 parameter VAR59 = 3, parameter VAR88 = 1, parameter VAR45 = 1, parameter VAR60 = 1, parameter VAR32 = 1, parameter VAR61 = 8, parameter VAR3 = 64, parameter VAR18 = 8, parameter VAR34 = 1, parameter VAR93 = 15, parameter VAR14 = 29, parameter VAR24 = 64, parameter VAR17 = 2, parameter VAR63 = 1 ) ( inout [VAR3-1:0] VAR71, inout [VAR18-1:0] VAR58, inout [VAR18-1:0] VAR79, output [VAR93-1:0] VAR2, output [VAR59-1:0] VAR7, output VAR42, output VAR48, output VAR27, output VAR89, output [VAR88-1:0] VAR41, output [VAR88-1:0] VAR85, output [VAR32-1:0] VAR82, output [VAR45*VAR60-1:0] VAR90, output [VAR61-1:0] VAR70, output [VAR34-1:0] VAR95, input VAR33, input VAR83, input VAR54, input VAR78, output [3:0] VAR96 ); wire VAR6; wire VAR72; wire rst = ~VAR72 & VAR75; wire VAR75; wire VAR50; reg VAR53; reg [2:0] VAR9; wire [VAR14-1:0] VAR55; wire VAR40; reg VAR92; reg VAR73; wire [(VAR17*2*VAR24)-1:0] VAR23; wire [(VAR17*2*VAR24)/8-1:0] VAR74=32'd0; wire VAR66; wire [(VAR17*2*VAR24)-1:0] VAR16; VAR65 #( .VAR59 (VAR59 ), .VAR88 (VAR88 ), .VAR45 (VAR45 ), .VAR60 (VAR60 ), .VAR32 (VAR32 ), .VAR61 (VAR61 ), .VAR3 (VAR3 ), .VAR18 (VAR18 ), .VAR34 (VAR34 ), .VAR93 (VAR93 ), .VAR14 (VAR14 ), .VAR24 (VAR24 ), .VAR17 (VAR17 ), .VAR63 (VAR63 ) ) VAR67 ( .VAR2 (VAR2), .VAR7 (VAR7), .VAR48 (VAR48), .VAR85 (VAR85), .VAR41 (VAR41), .VAR82 (VAR82), .VAR42 (VAR42), .VAR89 (VAR89), .VAR27 (VAR27), .VAR71 (VAR71), .VAR58 (VAR58), .VAR79 (VAR79), .VAR75 (VAR75), .VAR90 (VAR90), .VAR70 (VAR70), .VAR95 (VAR95), .VAR55 (VAR55), .VAR9 (VAR9), .VAR53 (VAR53), .VAR23 (VAR23), .VAR73 (VAR73), .VAR92 (VAR92), .VAR16 (VAR16), .VAR91 (VAR91), .VAR66 (VAR66), .VAR50 (VAR50), .VAR40 (VAR40), .VAR25 (1'b0), .VAR46 (VAR46), .VAR22 (1'b0), .VAR1 (VAR1), .VAR81 (1'b0), .VAR77 (VAR77), .VAR6 (VAR6), .VAR72 (VAR72), .VAR74 (VAR74), .VAR33 (VAR33), .VAR83 (VAR83), .VAR54 (VAR54), .VAR69 (VAR78), .VAR86 (VAR86), .VAR51 (VAR51), .VAR84 (VAR84) ); parameter VAR12 = 32; parameter VAR68= VAR12<<1; reg [25:0] VAR35,VAR39; reg [4:0] state; reg [25:0] VAR36,VAR19; reg VAR21,VAR62; assign VAR55 = (state < 5'd5) ? {VAR35,3'b0} : {VAR39,3'b0}; always @ (posedge VAR6 or posedge VAR72)begin if(VAR72) begin VAR9<=3'd0; VAR53<=1'b0; VAR35<=29'd0; VAR39<=29'd0; state<=5'd0; VAR36<=26'd0; VAR19<=26'd0; VAR62<=1'b0; end else begin case(state) 5'd0: begin if(VAR75) begin state<=5'd1; end else begin end end 5'd1: begin if(VAR50 && (VAR76 >= VAR68)) begin VAR62 <= 1'b1; state <= 5'd2; end else begin end end 5'd2: begin if(VAR50) begin VAR9<=3'd0; VAR53<=1'b1; state<=5'd3;end else begin state<=5'd2;VAR53<=1'b0;end end 5'd3: begin if(VAR50) begin VAR35<=VAR35+29'd1; if(VAR36 != VAR12-1) begin VAR36<=VAR36+1'b1; state<=5'd3; VAR53<=1'b1;end else begin VAR62<=1'b0; VAR36<=26'd0; state<=5'd4; VAR53<=1'b0; end end else begin VAR53<=1'b1; state<=5'd3;end end 5'd4: begin if(VAR21) begin state<=5'd5;end else begin state<=5'd4;end end 5'd5: begin if(VAR50) begin VAR9<=3'd1; VAR53<=1'b1; state<=5'd6;end else begin VAR53<=1'b0; state<=5'd5;end end 5'd6: begin if(VAR50)begin VAR39<=VAR39+29'd1; if(VAR19 != VAR12-1) begin VAR19<=VAR19+1'b1; VAR53<=1'b1; state<=5'd6; end else begin VAR19<=26'd0; VAR53<=1'b0; state<=5'd1; end end else begin VAR53<=1'b1;state<=5'd6;end end endcase end end reg [25:0] VAR28; reg [4:0] VAR13; always @ (posedge VAR6 or posedge VAR72)begin if(VAR72)begin VAR92<=1'b0; VAR73<=1'b0; VAR28<=26'd0; VAR13<=5'd0; VAR21<=1'b0; end else begin case(VAR13) 5'd0: begin if(VAR75) begin VAR13<=5'd1;end end 5'd1: begin if(VAR62) begin VAR21<=1'b0;VAR13<=5'd2; end else begin VAR13<=5'd1;end end 5'd2: begin if(VAR40) begin VAR92<=1'b1;VAR13<=5'd3;end else begin VAR92<=1'b0;VAR13<=5'd2;end end 5'd3: begin if(VAR40) begin VAR73<=~VAR73; if(VAR28 != VAR68-1)begin VAR92<=1'b1; VAR28<=VAR28+1'b1; VAR13<=5'd3; end else begin VAR28<=26'd0; VAR92<=1'b0; VAR13<=5'd4; VAR21<=1'b1; end end else begin VAR92<=1'b1; VAR13<=5'd3; end end 5'd4: begin if(!VAR62) begin VAR13<=5'd1;end else begin VAR13<=5'd4;end end endcase end end reg [31:0] VAR57; reg VAR49,VAR10; always @ (posedge VAR6 or negedge rst)begin if(!rst) begin VAR57<=32'd0; VAR49<=1'b0; VAR10<=1'b0; end else begin if(~VAR80) begin VAR57<=VAR57+1'b1; end else begin end if(VAR44 != VAR57 -9)begin VAR10<=1'b1; VAR49<=1'b0; end else begin VAR49<=1'b1; VAR10<=1'b0; end end end reg [31:0] VAR20; always @ (posedge VAR86 or negedge rst)begin if(!rst) begin VAR20 <= 32'b0; end else if(~VAR56)begin VAR20 <= VAR20 + 1'b1; end else begin VAR20 <= VAR20; end end wire [6:0] VAR76; wire [9:0] VAR38; VAR15 VAR26 ( .rst(!rst), .VAR94(VAR86), .VAR29(VAR6), .din(VAR20), .VAR5(~VAR56), .VAR4(VAR92 & VAR40), .dout(VAR23), .VAR43(VAR56), .VAR8(VAR47), .VAR76(VAR76), .VAR38(VAR38) ); wire [11:0] VAR87; wire [9:0] VAR52; wire [31:0] VAR44; VAR64 VAR30 ( .rst(!rst), .VAR94(VAR6), .VAR29(VAR6), .din(VAR16), .VAR5(VAR66), .VAR4(~VAR80), .dout(VAR44), .VAR43(VAR31), .VAR8(VAR80), .VAR76(VAR87), .VAR38(VAR52), .VAR11(VAR37) ); assign VAR96[0] = VAR49; assign VAR96[1] = VAR10; assign VAR96[2] = VAR75; assign VAR96[3] = VAR72; endmodule
gpl-2.0
chriswynnyk/american-put-verilog
american_put_cyclone/src/Flash_Controller.v
7,914
module MODULE1( input VAR28, input [21:0] VAR15, input [15:0] VAR41, input [3:0] VAR23, input VAR29, input VAR25, input VAR35, output reg[15:0]VAR6, output VAR5, output reg VAR31, output reg VAR50, output reg[21:0] VAR62, inout [15:0] VAR9, output VAR8, output VAR36, output VAR56, output VAR34 ); reg [21:0] VAR32,VAR18; reg [15:0] VAR60; reg [10:0] VAR49,VAR26,VAR7; reg [3:0] VAR10; reg VAR44,VAR45,VAR38,VAR12,VAR58; reg VAR21; reg [3:0] VAR37; reg [21:0] VAR54; reg [15:0] VAR40; parameter VAR46 = 1; parameter VAR4 = 100; parameter VAR42 = 6; parameter VAR47 = 6; parameter VAR27 = 5; parameter VAR48 = 3; parameter VAR33 = 6; parameter VAR19 = 0; parameter VAR17 = 1; parameter VAR2 = 2; parameter VAR20 = 3; parameter VAR30 = 4; parameter VAR11 = 5; parameter VAR51 = 6; parameter VAR13 = 7; parameter VAR1 = 8; parameter VAR16= 9; parameter VAR14= 10; parameter VAR52= 11; parameter VAR22 = 12; parameter VAR57 = 13; parameter VAR64 = 8; assign VAR9 = VAR8 ? VAR60 : 8'VAR55 ; assign VAR8 = (VAR10 == VAR22)? 1'b0 : 1'b1 ; assign VAR56 = ((VAR10 == VAR19) ||(VAR10 == VAR57)||(VAR10 == VAR22))? 1'b1 : VAR31; assign VAR36 = VAR56 & VAR8; assign VAR34 = (VAR10 == VAR57)? 1'b0 : 1'b1 ; assign VAR5 = (VAR45)? 1'b0 : VAR21; always@(posedge VAR25 or negedge VAR35) begin if(!VAR35) begin VAR49 <=0; VAR44 <=0; end else begin VAR49 <=VAR49+1; VAR44 <=VAR49[VAR64>>2]; end end always@(posedge VAR25 or negedge VAR35) begin if(!VAR35) VAR26<=0; end else VAR26<={VAR26[9:0],VAR49[VAR64>>2]}; VAR31 = (VAR64 == 4) ? ~VAR26[3] : (VAR64 == 8) ? ~VAR26[4] : ~VAR26[10] ; end always@(posedge VAR25 or negedge VAR35) begin if(!VAR35) begin VAR45 <=0; VAR7 <=0; VAR38 <=0; VAR12 <=0; VAR58 <=0; end else begin if({VAR12,VAR44}==2'b01) VAR58<=1; end else VAR58<=0; VAR12<=VAR44; if(VAR29) begin VAR45 <=1'b1; VAR7 <=8'h00; VAR37<=VAR23; VAR54<=VAR15; VAR40<=VAR41; end else begin if(VAR7<VAR64) VAR7<=VAR7+1; end else VAR45<=1'b0; end VAR38<=VAR29; end end always@(posedge VAR25 or negedge VAR35) begin if(!VAR35) VAR6<=0; end else if( VAR58 && (VAR10==VAR22)) VAR6<=VAR9; end always@(posedge VAR25 or negedge VAR35) begin if(!VAR35) VAR10<=VAR19; end else begin if(VAR58) begin if(VAR45) begin case(VAR37) VAR43 : VAR10<=VAR22; VAR3 : VAR10<=VAR17; VAR39 : VAR10<=VAR17; VAR59 : VAR10<=VAR17; VAR61 : VAR10<=VAR17; VAR53: VAR10<=VAR17; VAR24 : VAR10<=VAR57; endcase end else begin case(VAR10) VAR19: VAR10 <= VAR19; VAR17: VAR10 <= VAR2; VAR2: begin if (VAR28) begin case(VAR37) VAR3 : begin VAR10 <= VAR51; VAR50 =1;end VAR53: VAR10 <= VAR13; default : VAR10 <= VAR20; endcase end end VAR20: VAR10 <= VAR30; VAR30: VAR10 <= VAR11; VAR11: begin case(VAR37) VAR39 : VAR10 <= VAR16; VAR59 : VAR10 <= VAR14; VAR61 : VAR10 <= VAR52; endcase end VAR51: begin VAR50 = 0; VAR10 <= VAR1;end VAR13: VAR10 <= VAR19; VAR1: if (!VAR28 ) begin VAR10 <= VAR19; end VAR16: VAR10 <= VAR19; VAR14: VAR10 <= VAR19; VAR52: VAR10 <= VAR19; VAR22: VAR10 <= VAR19; VAR57: VAR10 <= VAR19; endcase end end end end always@(posedge VAR25 or negedge VAR35) begin if(!VAR35) begin VAR21<=0; VAR32<=0; end else begin if(VAR58) begin if(VAR45) begin VAR21 <=1'b0; VAR32 <=0; end else begin if(VAR32 < VAR18) VAR32 <= VAR32+1; end else VAR21 <= 1'b1; end end end end always@(posedge VAR25) begin case(VAR37) VAR43 : VAR18 <= VAR46-1; VAR3 : VAR18 <= VAR4-1; VAR39 : VAR18 <= VAR42-1; VAR59 : VAR18 <= VAR47-1; VAR61 : VAR18 <= VAR27; VAR53: VAR18 <= VAR48-1; VAR24 : VAR18 <= VAR33-1; endcase end always begin case(VAR10) VAR19: begin VAR62 <= 22'h00000; VAR60 <= 16'VAR63; end VAR17: begin VAR62 <= 22'h00555; VAR60 <= 8'hAA; end VAR2: begin VAR62 <= 22'h002AA; VAR60 <= 8'h55; end VAR20: begin VAR62 <= 22'h00555; VAR60 <= 8'h80; end VAR30: begin VAR62 <= 22'h00555; VAR60 <= 8'hAA; end VAR11: begin VAR62 <= 22'h002AA; VAR60 <= 8'h55; end VAR51: begin VAR62 <= 22'h00555; VAR60 <= 8'hA0; end VAR13: begin VAR62 <= 22'h00555; VAR60 <= 8'h90; end VAR1: begin VAR62 <= VAR54; VAR60 <= VAR40; end VAR16: begin VAR62 <= VAR54<<12; VAR60 <= 8'h30; end VAR14: begin VAR62 <= VAR54<<16; VAR60 <= 8'h50; end VAR52: begin VAR62 <= 22'h00555; VAR60 <= 8'h10; end VAR22: begin VAR62 <= VAR54; VAR60 <= 16'VAR63; end VAR57: begin VAR62 <= 22'h00000; VAR60 <= 16'VAR63; end endcase end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a222oi/sky130_fd_sc_ms__a222oi.symbol.v
1,418
module MODULE1 ( input VAR3, input VAR4, input VAR6, input VAR10, input VAR8, input VAR11, output VAR1 ); supply1 VAR9; supply0 VAR2; supply1 VAR7 ; supply0 VAR5 ; endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/output_queues/sram_rr_output_queues/src/store_pkt.v
11,074
module MODULE1 parameter VAR41 = 64, parameter VAR62=VAR41/8, parameter VAR47 = 5, parameter VAR31 = 13, parameter VAR34 = 11, parameter VAR36 = VAR34-VAR2(VAR62), parameter VAR53 = 6, parameter VAR10 = VAR2(VAR47) ) ( VAR45, VAR42, VAR19, VAR32, VAR48, VAR35, VAR12, VAR3, VAR20, VAR23, VAR17, VAR30, VAR6, VAR58, VAR28, VAR51, VAR4, VAR13, VAR50, VAR27, VAR39, VAR1, VAR15, VAR49, VAR26, clk, reset ); input VAR45; input [VAR10-1:0] VAR42; input [VAR34-1:0] VAR19; input [VAR36-1:0] VAR32; output reg VAR48; output reg [VAR31-1:0] VAR35; output reg VAR12; output reg VAR3; output reg [VAR34-1:0] VAR20; output reg [VAR62-1:0] VAR23; output reg [VAR36-1:0] VAR17; output reg [VAR10-1:0] VAR30; output reg VAR6; input [VAR31-1:0] VAR58; input [VAR31-1:0] VAR28; input [VAR31-1:0] VAR51; input [VAR47-1:0] VAR4; output reg [VAR31-1:0] VAR13; output reg VAR50; input VAR27; output reg [VAR41+VAR62-1:0] VAR39; output reg VAR1; input VAR15; input [VAR41-1:0] VAR49; input [VAR62-1:0] VAR26; input clk; input reset; function integer VAR2; input integer VAR21; begin VAR2=0; while(2**VAR2<VAR21) begin VAR2=VAR2+1; end end endfunction parameter VAR40 = 7; parameter VAR60 = 1; parameter VAR61 = 2; parameter VAR5 = 4; parameter VAR54 = 8; parameter VAR7 = 16; parameter VAR43 = 32; parameter VAR22 = 64; parameter VAR56 = 1; parameter VAR59 = 2; parameter VAR44 = 4; reg [VAR40-1:0] VAR37; reg [VAR40-1:0] VAR24; wire [VAR31-1:0] VAR52; reg [VAR31-1:0] VAR8; reg [VAR41+VAR62-1:0] VAR33; reg VAR16; wire VAR9; reg VAR57; reg [VAR10-1:0] VAR14; reg [VAR34-1:0] VAR29; reg [VAR34-1:0] VAR25; reg [VAR36-1:0] VAR55; reg [VAR36-1:0] VAR63; reg [VAR31-1:0] VAR38; reg [VAR31-1:0] VAR46; reg [VAR31-1:0] VAR18; reg [VAR31-1:0] VAR11; assign VAR52 = (VAR13 >= VAR18) ? VAR38 : VAR13 + 1; assign VAR9 = (VAR57 && VAR26!=0); always @(*) begin VAR48 = 0; VAR6 = 0; VAR24 = VAR37; VAR16 = VAR50; VAR1 = 0; VAR3 = 0; VAR33 = VAR39; VAR8 = VAR13; VAR35 = VAR52; VAR12 = 0; VAR14 = VAR30; VAR25 = VAR29; VAR63 = VAR55; VAR46 = VAR38; VAR11 = VAR18; case(VAR37) VAR60: begin if(VAR45) begin VAR24 = VAR61; VAR14 = VAR42; VAR25 = VAR19; VAR63 = VAR32; VAR48 = 1; end end VAR61: begin VAR24 = VAR5; VAR6 = 1; end VAR5: begin if(!VAR4[VAR30]) begin VAR24 = VAR54; VAR16 = 1; VAR8 = VAR51; VAR46 = VAR28; VAR11 = VAR58; VAR33 = {VAR26, VAR49}; VAR1 = 1; end else begin VAR24 = VAR22; VAR1 = !VAR15; end end VAR54: begin if(VAR27 & !VAR15) begin VAR16 = 1; VAR8 = VAR52; VAR33 = {VAR26, VAR49}; VAR1 = 1; if(VAR9) begin VAR24 = VAR43; end end else if (VAR27 & VAR15) begin VAR24 = VAR7; VAR16 = 0; end end VAR7: begin if(!VAR15) begin VAR16 = 1; VAR8 = VAR52; VAR33 = {VAR26, VAR49}; VAR1 = 1; if(VAR9) begin VAR24 = VAR43; end else begin VAR24 = VAR54; end end end VAR43: begin if(VAR27) begin VAR16 = 0; VAR12 = 1; VAR24 = VAR60; end end VAR22: begin if(VAR9) begin VAR24 = VAR60; VAR3 = 1; end VAR1 = !VAR15; end default: begin end endcase end always @(posedge clk) begin if(reset) begin VAR37 <= VAR60; VAR57 <= 0; VAR50 <= 0; VAR13 <= 0; VAR39 <= 0; VAR30 <= 0; VAR29 <= 0; VAR55 <= 0; VAR38 <= 0; VAR18 <= 0; end else begin VAR37 <= VAR24; VAR50 <= VAR16; VAR13 <= VAR8; VAR39 <= VAR33; VAR30 <= VAR14; VAR29 <= VAR25; VAR55 <= VAR63; VAR38 <= VAR46; VAR18 <= VAR11; if(VAR1) begin VAR57 <= (VAR26==0); end end if(VAR24 == VAR22) begin end end always @(posedge clk) begin VAR20 <= VAR29; VAR23 <= VAR62; VAR17 <= VAR55 + 1; end endmodule
mit
trivoldus28/pulsarch-verilog
design/sys/iop/sparc/exu/rtl/sparc_exu_byp.v
26,853
module MODULE1 ( VAR145, VAR173, VAR11, VAR24, VAR166, VAR108, VAR191, VAR43, VAR192, VAR194, VAR140, VAR141, VAR59, VAR101, VAR38, VAR99, VAR89, VAR125, VAR112, VAR188, VAR42, VAR71, VAR149, VAR119, VAR35, VAR171, VAR132, VAR137, VAR5, VAR182, VAR25, VAR131, VAR57, VAR19, VAR189, VAR67, VAR73, VAR113, VAR88, VAR68, VAR50, VAR77, VAR114, VAR84, VAR175, VAR158, VAR94, VAR169, VAR106, VAR49, VAR64, VAR195, VAR129, VAR2, VAR170, VAR63, VAR201, VAR3, VAR85, VAR138, VAR103, VAR48, VAR80, VAR181, VAR29, VAR143, VAR33, VAR8, VAR161, VAR104, VAR56, VAR55, VAR164, VAR150, VAR176, VAR14, VAR155, VAR37, VAR53, VAR87, VAR100, VAR128, VAR127, VAR40, VAR1, VAR30, VAR86, VAR152, VAR199, VAR78, VAR162, VAR36, VAR39, VAR18, VAR139, VAR110, VAR198, VAR6, VAR197, VAR142, VAR157, VAR130, VAR178, VAR95, VAR10, VAR34, VAR109, VAR66, VAR58, VAR81, VAR124, VAR32 ); input VAR71; input VAR149; input VAR119; input VAR35; input VAR171; input VAR132; input VAR137; input VAR5; input VAR182; input VAR25; input VAR131; input VAR57; input VAR19; input VAR189; input VAR67; input VAR73; input VAR113; input VAR88; input VAR68; input VAR50; input VAR77; input VAR114; input VAR84; input VAR175; input VAR158; input VAR94; input VAR169; input VAR106; input VAR49; input VAR64; input VAR195; input VAR129; input VAR2; input VAR170; input VAR63; input VAR201; input VAR3; input VAR85; input VAR138; input VAR103; input VAR48; input VAR80; input VAR181; input VAR29; input VAR143; input VAR33; input VAR8; input VAR161; input VAR104; input VAR56; input VAR55; input VAR164; input VAR150; input VAR176; input VAR14; input VAR155; input VAR37; input VAR53; input VAR87; input VAR100; input VAR128; input VAR127; input VAR40; input VAR1; input [63:0] VAR30; input [31:0] VAR86; input [71:0] VAR152; input [71:0] VAR199; input [71:0] VAR78; input [31:0] VAR162; input [63:0] VAR36; input [63:0] VAR39; input [63:0] VAR18; input [63:0] VAR139; input [7:0] VAR110; input [47:0] VAR198; input [2:0] VAR6; input VAR197; input VAR142; input [7:0] VAR157; input [31:0] VAR130; input [63:0] VAR178; input [63:0] VAR95; input [63:0] VAR10; input VAR34; input VAR109; input VAR66; input VAR58; input VAR81; input VAR124; input VAR32; output VAR145; output [63:0] VAR173; output [63:0] VAR11; output [63:0] VAR24; output [63:0] VAR166; output [63:0] VAR108; output [63:0] VAR191; output [63:0] VAR43; output [71:0] VAR192; output [63:0] VAR194; output [71:0] VAR140; output [63:0] VAR141; output [63:0] VAR59; output VAR101; output VAR38; output VAR99; output [2:0] VAR89; output [3:0] VAR125; output [7:0] VAR112; output [7:0] VAR188; output [7:0] VAR42; wire clk; wire VAR17; wire [63:0] VAR144; wire [63:0] VAR60; wire [63:0] VAR126; wire [31:0] VAR174; wire [63:0] VAR61; wire [63:0] VAR180; wire [63:0] VAR146; wire [63:0] VAR62; wire [63:0] VAR184; wire [63:0] VAR165; wire [63:0] VAR160; wire [63:0] VAR51; wire [63:0] VAR147; wire [63:0] VAR168; wire [63:0] VAR75; wire [63:0] VAR92; wire [31:0] VAR91; wire [63:0] VAR76; wire [63:0] VAR136; wire [31:0] VAR203; wire [31:0] VAR54; wire [63:0] VAR70; wire [63:0] VAR31; wire [63:0] VAR83; wire [63:0] VAR202; wire VAR159; wire [7:0] VAR185; wire [7:0] VAR46; assign clk = VAR71; VAR187 VAR72 ( .VAR71 (clk), .VAR179 (VAR35), .VAR193 (~VAR149), .clk (VAR17) ) ; assign VAR112[7:0] = ~VAR152[71:64]; assign VAR188[7:0] = ~VAR199[71:64]; assign VAR42[7:0] = ~VAR78[71:64]; VAR28 #(64) VAR26 (.dout(VAR83[63:0]), .VAR21(VAR36[63:0]), .VAR96(VAR39[63:0]), .sel(VAR1)); VAR133 #(64) VAR22 (.din(VAR83[63:0]), .clk(clk), .VAR98(VAR202[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR196 #(64) VAR41(.dout(VAR62[63:0]), .VAR21({32'b0, VAR130[31:0]}), .VAR96({56'b0, VAR157[7:0]}), .VAR154(VAR178[63:0]), .VAR52(VAR30[63:0]), .VAR45(VAR34), .VAR47(VAR109), .VAR116(VAR66), .VAR15(VAR58)); VAR177 #(64) VAR105(.dout(VAR165[63:0]), .VAR21({VAR184[63:3], VAR6[2:0]}), .VAR96(VAR10[63:0]), .VAR154(VAR95[63:0]), .VAR45(VAR81), .VAR47(VAR124), .VAR116(VAR32)); VAR133 #(64) VAR121(.din(VAR62[63:0]), .clk(clk), .VAR98(VAR184[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR65 #(64) VAR153(.dout(VAR194[63:0]), .in(VAR184[63:0])); VAR28 #(64) VAR107(.dout(VAR31[63:0]), .VAR21(VAR70[63:0]), .VAR96(VAR184[63:0]), .sel(VAR197)); VAR133 #(64) VAR151(.din(VAR31[63:0]), .VAR98(VAR70[63:0]), .clk(clk), .VAR149(VAR149), .VAR119(), .VAR145()); VAR196 #(64) VAR12(.dout(VAR51[63:0]), .VAR21(VAR165[63:0]), .VAR96(VAR202[63:0]), .VAR154(VAR139[63:0]), .VAR52(VAR70[63:0]), .VAR45(VAR53), .VAR47(VAR37), .VAR116(VAR87), .VAR15(VAR142)); VAR200 #(64) VAR111(.din(VAR51[63:0]), .en (~(VAR35)), .clk(clk), .VAR98(VAR192[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR133 #(64) VAR111(.din(VAR51[63:0]), .clk(VAR17), .VAR98(VAR192[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR200 #(64) VAR97(.din(VAR160[63:0]), .en (~(VAR35)), .clk(clk), .VAR98(VAR140[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR133 #(64) VAR97(.din(VAR160[63:0]), .clk(VAR17), .VAR98(VAR140[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR133 #(64) VAR134(.din(VAR61[63:0]), .clk(clk), .VAR98(VAR173[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR133 #(64) VAR69(.din(VAR146[63:0]), .clk(clk), .VAR98(VAR24[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); assign VAR11[63:0] = ~VAR24[63:0]; assign VAR101 = VAR24[31]; assign VAR99 = VAR173[63]; assign VAR38 = VAR173[31]; assign VAR89[2:0] = VAR173[2:0]; assign VAR125[3:0] = VAR24[3:0]; VAR133 #(64) VAR163(.din(VAR76[63:0]), .clk(clk), .VAR98(VAR136[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR133 #(32) VAR186(.din(VAR203[31:0]), .clk(clk), .VAR98(VAR54[31:0]), .VAR149(VAR149), .VAR119(), .VAR145()); VAR133 #(64) VAR93(.din(VAR180[63:0]), .clk(clk), .VAR98(VAR43[63:0]), .VAR149(VAR149), .VAR119(), .VAR145()); assign VAR159 = ~VAR40; VAR28 #(64) VAR74(.dout(VAR191[63:0]), .VAR21(VAR24[63:0]), .VAR96(VAR136[63:0]), .sel(VAR159)); VAR28 #(64) VAR44(.dout(VAR166[63:0]), .VAR21(VAR136[63:0]), .VAR96({32'b0,VAR54[31:0]}), .sel(VAR159)); assign VAR108[63:0] = VAR136[63:0]; assign VAR141[63:0] = VAR136[63:0]; assign VAR59[63:0] = VAR43[63:0]; assign VAR144[63:0] = ~VAR152[63:0]; assign VAR60[63:0] = ~VAR199[63:0]; assign VAR126[63:0] = ~VAR78[63:0]; assign VAR174[31:0] = ~VAR162[31:0]; wire [63:0] VAR27; wire [63:0] VAR123; wire [63:0] VAR102; wire [31:0] VAR4; VAR177 #(64) VAR135(.dout(VAR27[63:0]), .VAR21(VAR140[63:0]), .VAR96(VAR202[63:0]), .VAR154(VAR39[63:0]), .VAR45(VAR33), .VAR47(VAR143), .VAR116(VAR8)); VAR177 #(64) VAR118(.dout(VAR123[63:0]), .VAR21(VAR140[63:0]), .VAR96(VAR202[63:0]), .VAR154(VAR39[63:0]), .VAR45(VAR104), .VAR47(VAR161), .VAR116(VAR56)); VAR177 #(64) VAR190(.dout(VAR102[63:0]), .VAR21(VAR140[63:0]), .VAR96(VAR202[63:0]), .VAR154(VAR39[63:0]), .VAR45(VAR164), .VAR47(VAR55), .VAR116(VAR150)); VAR177 #(32) VAR120(.dout(VAR4[31:0]), .VAR21(VAR140[31:0]), .VAR96(VAR202[31:0]), .VAR154(VAR39[31:0]), .VAR45(VAR14), .VAR47(VAR176), .VAR116(VAR155)); VAR196 #(64) VAR148(.dout(VAR147[63:0]), .VAR21(VAR184[63:0]), .VAR96(VAR192[63:0]), .VAR154(VAR27[63:0]), .VAR52({{16{VAR198[47]}}, VAR198[47:0]}), .VAR45(VAR182), .VAR47(VAR25), .VAR116(VAR131), .VAR15(VAR57)); VAR196 #(64) VAR167(.dout(VAR61[63:0]), .VAR21(VAR147[63:0]), .VAR96(VAR144[63:0]), .VAR154(VAR30[63:0]), .VAR52(VAR36[63:0]), .VAR45(VAR5), .VAR47(VAR132), .VAR116(VAR171), .VAR15(VAR137)); VAR196 #(64) VAR122(.dout(VAR168[63:0]), .VAR21(VAR184[63:0]), .VAR96(VAR192[63:0]), .VAR154(VAR27[63:0]), .VAR52({64{1'b0}}), .VAR45(VAR113), .VAR47(VAR88), .VAR116(VAR68), .VAR15(VAR50)); VAR196 #(64) VAR156(.dout(VAR180[63:0]), .VAR21(VAR168[63:0]), .VAR96(VAR144[63:0]), .VAR154(VAR30[63:0]), .VAR52(VAR36[63:0]), .VAR45(VAR73), .VAR47(VAR189), .VAR116(VAR19), .VAR15(VAR67)); VAR196 #(64) VAR13(.dout(VAR75[63:0]), .VAR21(VAR184[63:0]), .VAR96(VAR192[63:0]), .VAR154(VAR123[63:0]), .VAR52({{32{VAR86[31]}}, VAR86[31:0]}), .VAR45(VAR158), .VAR47(VAR94), .VAR116(VAR169), .VAR15(VAR106)); VAR196 #(64) VAR20(.dout(VAR146[63:0]), .VAR21(VAR75[63:0]), .VAR96(VAR60[63:0]), .VAR154(VAR30[63:0]), .VAR52(VAR36[63:0]), .VAR45(VAR175), .VAR47(VAR114), .VAR116(VAR77), .VAR15(VAR84)); VAR196 #(64) VAR82(.dout(VAR92[63:0]), .VAR21(VAR184[63:0]), .VAR96(VAR192[63:0]), .VAR154(VAR102[63:0]), .VAR52({64{1'b0}}), .VAR45(VAR2), .VAR47(VAR170), .VAR116(VAR63), .VAR15(VAR201)); VAR196 #(64) VAR90(.dout(VAR76[63:0]), .VAR21(VAR92[63:0]), .VAR96(VAR126[63:0]), .VAR154(VAR30[63:0]), .VAR52(VAR36[63:0]), .VAR45(VAR129), .VAR47(VAR64), .VAR116(VAR49), .VAR15(VAR195)); VAR196 #(32) VAR115(.dout(VAR91[31:0]), .VAR21(VAR184[31:0]), .VAR96(VAR192[31:0]), .VAR154(VAR4[31:0]), .VAR52({32{1'b0}}), .VAR45(VAR48), .VAR47(VAR80), .VAR116(VAR181), .VAR15(VAR29)); VAR196 #(32) VAR117(.dout(VAR203[31:0]), .VAR21(VAR91[31:0]), .VAR96(VAR174[31:0]), .VAR154(VAR30[31:0]), .VAR52(VAR36[31:0]), .VAR45(VAR103), .VAR47(VAR85), .VAR116(VAR3), .VAR15(VAR138)); VAR16 VAR183(.VAR7(VAR51[63:0]), .VAR23(VAR110[7:0]), .VAR9(VAR185[7:0]), .clk(clk), .VAR149(VAR149)); VAR16 VAR183(.VAR7(VAR51[63:0]), .VAR23(VAR110[7:0]), .VAR9(VAR185[7:0]), .clk(VAR17), .VAR149(VAR149)); assign VAR192[71:64] = ~VAR185[7:0]; VAR177 #(64) VAR79(.dout(VAR160[63:0]), .VAR21(VAR18[63:0]), .VAR96(VAR202[63:0]), .VAR154(VAR70[63:0]), .VAR45(VAR100), .VAR47(VAR128), .VAR116(VAR127)); VAR16 VAR172(.VAR7(VAR160[63:0]), .VAR23(VAR110[7:0]), .VAR9(VAR46[7:0]), .clk(clk), .VAR149(VAR149)); VAR16 VAR172(.VAR7(VAR160[63:0]), .VAR23(VAR110[7:0]), .VAR9(VAR46[7:0]), .clk(VAR17), .VAR149(VAR149)); assign VAR140[71:64] = ~VAR46[7:0]; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o31a/sky130_fd_sc_lp__o31a_m.v
2,319
module MODULE2 ( VAR5 , VAR6 , VAR2 , VAR1 , VAR11 , VAR7, VAR10, VAR8 , VAR4 ); output VAR5 ; input VAR6 ; input VAR2 ; input VAR1 ; input VAR11 ; input VAR7; input VAR10; input VAR8 ; input VAR4 ; VAR9 VAR3 ( .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR1(VAR1), .VAR11(VAR11), .VAR7(VAR7), .VAR10(VAR10), .VAR8(VAR8), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR5 , VAR6, VAR2, VAR1, VAR11 ); output VAR5 ; input VAR6; input VAR2; input VAR1; input VAR11; supply1 VAR7; supply0 VAR10; supply1 VAR8 ; supply0 VAR4 ; VAR9 VAR3 ( .VAR5(VAR5), .VAR6(VAR6), .VAR2(VAR2), .VAR1(VAR1), .VAR11(VAR11) ); endmodule
apache-2.0
OpticalMeasurementsSystems/2DImageProcessing
2d_image_processing.srcs/sources_1/bd/image_processing_2d_design/ip/image_processing_2d_design_frequency_analyzer_synch_0_0/synth/image_processing_2d_design_frequency_analyzer_synch_0_0.v
3,674
module MODULE1 ( VAR1, reset, enable, VAR5, VAR7, VAR6, VAR8 ); input wire VAR1; input wire reset; input wire enable; output wire VAR5; output wire VAR7; output wire VAR6; output wire VAR8; VAR9 #( .VAR2(100000000), .VAR3(2000) ) VAR4 ( .VAR1(VAR1), .reset(reset), .enable(enable), .VAR5(VAR5), .VAR7(VAR7), .VAR6(VAR6), .VAR8(VAR8) ); endmodule
gpl-2.0
unihd-cag/openhmc
rtl/hmc_controller/register_file/openhmc_rf.v
21,650
module MODULE1 #( parameter VAR23 = 8, parameter VAR54 = 1, parameter VAR59 = 8, parameter VAR58= 8, parameter VAR77 = 64, parameter VAR10 = 0, parameter VAR38 = 0, parameter VAR55 = 0 ) ( input wire clk, input wire VAR16, input wire [VAR38-1:0] address, output reg VAR48, output reg VAR22, input wire VAR21, output reg[VAR10-1:0] VAR78, input wire VAR33, input wire[VAR55-1:0] VAR49, input wire VAR86, input wire VAR89, input wire VAR56, input wire VAR85, input wire VAR17, input wire VAR72, input wire VAR43, input wire[VAR58-1:0] VAR27, input wire[VAR59-1:0] VAR28, input wire[VAR23-1:0] VAR71, input wire[VAR23-1:0] VAR3, input wire[VAR23-1:0] VAR84, input wire[VAR23-1:0] VAR87, input wire VAR2, input wire[2:0] VAR35, input wire[1:0] VAR12, output reg VAR80, output reg VAR81, output reg VAR19, output reg VAR24, output reg VAR18, output reg VAR44, output reg[VAR59-1:0] VAR31, output reg[4:0] VAR83, output reg[4:0] VAR52, input wire[VAR77-1:0] VAR4, input wire[VAR77-1:0] VAR79, input wire[VAR77-1:0] VAR32, input wire[VAR77-1:0] VAR70, input wire[VAR77-1:0] VAR36, input wire VAR68, input wire VAR51, input wire VAR61, input wire VAR20 ); reg VAR76; reg VAR29; reg VAR41; reg VAR30; reg VAR62; reg VAR26; reg VAR6; reg[VAR58-1:0] VAR5; reg[VAR59-1:0] VAR14; reg[VAR23-1:0] VAR37; reg[VAR23-1:0] VAR73; reg[VAR23-1:0] VAR40; reg[VAR23-1:0] VAR67; reg VAR63; reg[2:0] VAR64; reg[1:0] VAR57; reg[VAR77-1:0] VAR25; reg[VAR77-1:0] VAR15; reg[VAR77-1:0] VAR42; reg[VAR77-1:0] VAR8; reg[VAR77-1:0] VAR9; reg VAR1; wire[47:0] VAR60; wire[47:0] VAR88; wire[47:0] VAR7; wire[47:0] VAR75; VAR53 #( .VAR65(1), .VAR11(VAR54) ) VAR45 ( .clk(clk), .VAR16(VAR16), .VAR69(VAR68), .VAR47(VAR60) ); VAR53 #( .VAR65(1), .VAR11(VAR54) ) VAR50 ( .clk(clk), .VAR16(VAR16), .VAR69(VAR51), .VAR47(VAR88) ); VAR53 #( .VAR65(1), .VAR11(VAR54) ) VAR66 ( .clk(clk), .VAR16(VAR16), .VAR69(VAR61), .VAR47(VAR7) ); VAR53 #( .VAR65(1), .VAR11(VAR54) ) VAR13 ( .clk(clk), .VAR16(VAR16), .VAR69(VAR20), .VAR47(VAR75) ); VAR74 #( .VAR46(48) ) VAR45 ( .clk(clk), .VAR16(VAR16), .VAR82(VAR68), .VAR34(VAR1), .VAR47(VAR60) ); VAR74 #( .VAR46(48) ) VAR50 ( .clk(clk), .VAR16(VAR16), .VAR82(VAR51), .VAR34(VAR1), .VAR47(VAR88) ); VAR74 #( .VAR46(48) ) VAR66 ( .clk(clk), .VAR16(VAR16), .VAR82(VAR61), .VAR34(VAR1), .VAR47(VAR7) ); VAR74 #( .VAR46(48) ) VAR13 ( .clk(clk), .VAR16(VAR16), .VAR82(VAR20), .VAR34(VAR1), .VAR47(VAR75) ); always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR76 <= 1'h0; VAR29 <= 1'h0; VAR41 <= 1'h0; VAR30 <= 1'h0; VAR62 <= 1'h0; VAR26 <= 1'h0; VAR6 <= 1'h0; VAR5 <= {VAR58{1'b0}}; VAR14 <= {VAR59{1'b0}}; VAR37 <= {VAR23{1'b0}}; end else begin VAR76 <= VAR86; VAR29<= VAR89; VAR41 <= VAR56; VAR30 <= VAR85; VAR62 <= VAR17; VAR26 <= VAR72; VAR6 <= VAR43; VAR5 <= VAR27; VAR14 <= VAR28; VAR37 <= VAR71; end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR73<= {VAR23{1'b0}}; VAR40<= {VAR23{1'b0}}; VAR67 <= {VAR23{1'b0}}; VAR63<= 1'h0; VAR64 <= 3'h0; VAR57 <= 2'h0; end else begin VAR73<= VAR3; VAR40<= VAR84; VAR67 <= VAR87; VAR63<= VAR2; VAR64 <= VAR35; VAR57 <= VAR12; end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR80 <= 1'h0; VAR81 <= 1'b0; VAR19 <= 1'h0; VAR24 <= 1'h0; VAR18 <= 1'h0; VAR44 <= 1'h0; VAR31 <= {VAR59{1'b1}}; VAR83 <= 5'h10; VAR52 <= 5'h18; end else begin if((address == 2) && VAR33) begin VAR80 <= VAR49[0:0]; end if((address == 2) && VAR33) begin VAR81 <= VAR49[1:1]; end if((address == 2) && VAR33) begin VAR19 <= VAR49[2:2]; end if((address == 2) && VAR33) begin VAR24 <= VAR49[3:3]; end if((address == 2) && VAR33) begin VAR18 <= VAR49[4:4]; end if((address == 2) && VAR33) begin VAR44 <= VAR49[5:5]; end if((address == 2) && VAR33) begin VAR31 <= VAR49[16+VAR59-1:16]; end if((address == 2) && VAR33) begin VAR83 <= VAR49[36:32]; end if((address == 2) && VAR33) begin VAR52 <= VAR49[44:40]; end end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR25 <= {VAR77{1'b0}}; end else begin VAR25 <= VAR4; end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR15 <= {VAR77{1'b0}}; end else begin VAR15 <= VAR79; end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR42 <= {VAR77{1'b0}}; end else begin VAR42 <= VAR32; end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR8 <= {VAR77{1'b0}}; end else begin VAR8 <= VAR70; end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR9 <= {VAR77{1'b0}}; end else begin VAR9 <= VAR36; end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR1 <= 1'b0; end else begin if((address == 8) && VAR33) begin VAR1 <= 1'b1; end else begin VAR1 <= 1'b0; end end end always @(posedge clk or negedge VAR16) else always @(posedge clk) VAR39 begin if(!VAR16) begin VAR48 <= 1'b0; VAR22 <= 1'b0; VAR78 <= {VAR10{1'b0}}; end else begin casex(address) 4'h0: begin VAR78 <= {VAR10{1'b0}}; VAR78[0:0] <= VAR76; VAR78[1:1] <= VAR29; VAR78[2:2] <= VAR41; VAR78[3:3] <= VAR30; VAR78[4:4] <= VAR62; VAR78[8:8] <= VAR26; VAR78[9:9] <= VAR6; VAR78[16+VAR58-1:16] <= VAR5; VAR78[32+VAR59-1:32] <= VAR14; VAR78[63:48] <= VAR37; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'h1: begin VAR78 <= {VAR10{1'b0}}; VAR78[VAR23-1:0] <= VAR73; VAR78[16+VAR23-1:16] <= VAR40; VAR78[32+VAR23-1:32] <= VAR67; VAR78[48:48] <= VAR63; VAR78[51:49] <= VAR64; VAR78[53:52] <= VAR57; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'h2: begin VAR78 <= {VAR10{1'b0}}; VAR78[0:0] <= VAR80; VAR78[1:1] <= VAR81; VAR78[2:2] <= VAR19; VAR78[3:3] <= VAR24; VAR78[4:4] <= VAR18; VAR78[5:5] <= VAR44; VAR78[16+VAR59-1:16] <= VAR31; VAR78[36:32] <= VAR83; VAR78[44:40] <= VAR52; VAR48 <= 1'b0; VAR22 <= VAR21 || VAR33; end 4'h3: begin VAR78 <= {VAR10{1'b0}}; VAR78[VAR77-1:0] <= VAR25; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'h4: begin VAR78 <= {VAR10{1'b0}}; VAR78[VAR77-1:0] <= VAR15; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'h5: begin VAR78 <= {VAR10{1'b0}}; VAR78[VAR77-1:0] <= VAR42; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'h6: begin VAR78 <= {VAR10{1'b0}}; VAR78[VAR77-1:0] <= VAR8; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'h7: begin VAR78 <= {VAR10{1'b0}}; VAR78[VAR77-1:0] <= VAR9; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'h8: begin VAR78 <= {VAR10{1'b0}}; VAR48 <= VAR21; VAR22 <= VAR21 || VAR33; end 4'h9: begin VAR78 <= {VAR10{1'b0}}; VAR78[47:0] <= VAR60; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'ha: begin VAR78 <= {VAR10{1'b0}}; VAR78[47:0] <= VAR88; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'hb: begin VAR78 <= {VAR10{1'b0}}; VAR78[47:0] <= VAR7; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end 4'hc: begin VAR78 <= {VAR10{1'b0}}; VAR78[47:0] <= VAR75; VAR48 <= VAR33; VAR22 <= VAR21 || VAR33; end default: begin VAR78 <= {VAR10{1'b0}}; VAR48 <= VAR21 || VAR33; VAR22 <= VAR21 || VAR33; end endcase end end endmodule
lgpl-3.0
manu3193/GatoTDD
Registro_Juego.v
2,435
module MODULE1( clk, VAR7, VAR41, VAR4, VAR13, VAR36, VAR10, VAR1, VAR8, VAR40, VAR21, VAR5, VAR25, VAR37, VAR48, VAR20, VAR38, VAR16, VAR27, VAR23, VAR15, VAR35, VAR6, VAR12, VAR44, VAR33, VAR14, VAR42, VAR17 ); input clk,VAR7; input VAR41, VAR4, VAR13, VAR36, VAR10, VAR1, VAR8, VAR40, VAR21; input [1:0] VAR5, VAR25, VAR37, VAR48, VAR20, VAR38, VAR16, VAR27, VAR23; output [1:0] VAR15, VAR35, VAR6, VAR12, VAR44, VAR33, VAR14, VAR42, VAR17; reg VAR50, VAR45, VAR9, VAR22, VAR28, VAR31, VAR34, VAR39, VAR43; VAR32 VAR24 ( .clk(clk), .VAR18 (VAR5), .VAR26(VAR41), .VAR49(VAR15) ); VAR32 VAR30 ( .clk(clk), .VAR18 (VAR25), .VAR26(VAR4), .VAR49(VAR35) ); VAR32 VAR46 ( .clk(clk), .VAR18 (VAR37), .VAR26(VAR13), .VAR49(VAR6) ); VAR32 VAR29 ( .clk(clk), .VAR18 (VAR48), .VAR26(VAR36), .VAR49(VAR12) ); VAR32 VAR2 ( .clk(clk), .VAR18 (VAR20), .VAR26(VAR10), .VAR49(VAR44) ); VAR32 VAR3 ( .clk(clk), .VAR18 (VAR38), .VAR26(VAR1), .VAR49(VAR33) ); VAR32 VAR19 ( .clk(clk), .VAR18 (VAR16), .VAR26(VAR8), .VAR49(VAR14) ); VAR32 VAR11 ( .clk(clk), .VAR18 (VAR27), .VAR26(VAR40), .VAR49(VAR42) ); VAR32 VAR47 ( .clk(clk), .VAR18 (VAR23), .VAR26(VAR21), .VAR49(VAR17) ); always @( posedge clk or posedge VAR7) begin if(VAR7) begin VAR50 <= 1; VAR45 <= 1; VAR9 <= 1; VAR22 <= 1; VAR28 <= 1; VAR31 <= 1; VAR34 <= 1; VAR39 <= 1; VAR43 <= 1; end else begin VAR50 <= VAR41; VAR45 <= VAR4; VAR9 <= VAR13; VAR22 <= VAR36; VAR28 <= VAR10; VAR31 <= VAR1; VAR34 <= VAR8; VAR39 <= VAR40; VAR43 <= VAR21; end end endmodule
mit
rossmacarthur/verilog-utilities
sevensegment/SS_Control.v
1,425
module MODULE1 #(VAR2 = 4) ( input clk, input rst, input [VAR2*4-1:0] VAR6, output [VAR2-1:0] VAR5, output [6:0] VAR4 ); reg [6:0] VAR8 [VAR2-1:0]; reg [VAR3(VAR2)+1:0] VAR1; assign VAR5 = ~reset ? ~(1'b1 << VAR1) : ~1'b0; assign VAR4 = VAR8[VAR1]; always @(posedge clk) VAR1 <= (VAR1 == VAR2-1) ? 1'b0 : VAR1 + 1'b1; generate for (genvar VAR7 = 0; VAR7 < VAR2; VAR7 = VAR7+1) begin : decoder always @(*) begin case(VAR6[VAR7*4+3:VAR7*4]) 4'h0 : VAR8[VAR7] <= 7'h01; 4'h1 : VAR8[VAR7] <= 7'h4F; 4'h2 : VAR8[VAR7] <= 7'h12; 4'h3 : VAR8[VAR7] <= 7'h06; 4'h4 : VAR8[VAR7] <= 7'h4C; 4'h5 : VAR8[VAR7] <= 7'h24; 4'h6 : VAR8[VAR7] <= 7'h20; 4'h7 : VAR8[VAR7] <= 7'h0F; 4'h8 : VAR8[VAR7] <= 7'h00; 4'h9 : VAR8[VAR7] <= 7'h04; 4'hA : VAR8[VAR7] <= 7'h08; 4'hB : VAR8[VAR7] <= 7'h60; 4'hC : VAR8[VAR7] <= 7'h31; 4'hD : VAR8[VAR7] <= 7'h42; 4'hE : VAR8[VAR7] <= 7'h30; 4'hF : VAR8[VAR7] <= 7'h38; endcase end end endgenerate endmodule
mit
mrehkopf/sd2snes
verilog/sd2snes_cx4/dcm.v
2,984
module MODULE1 ( input VAR37, output VAR2, output VAR21, input VAR35, output[7:0] VAR24 ); VAR18 #( .VAR40("VAR31"), .VAR30(2.0), .VAR1(3), .VAR36(10), .VAR12("VAR13"), .VAR16(41.667), .VAR9("VAR20"), .VAR6("VAR20"), .VAR32("VAR17"), .VAR3("VAR38"), .VAR15("VAR38"), .VAR39("VAR11"), .VAR41(16'hFFFF), .VAR10(0), .VAR5("VAR11") ) VAR14 ( .VAR8(VAR8), .VAR19(VAR19), .VAR23(VAR23), .VAR4(VAR4), .VAR34(VAR34), .VAR29(VAR29), .VAR25(VAR25), .VAR2(VAR2), .VAR7(VAR7), .VAR21(VAR21), .VAR22(VAR22), .VAR24(VAR24), .VAR27(VAR27), .VAR37(VAR37), .VAR33(VAR33), .VAR26(VAR26), .VAR28(VAR28), .VAR35(VAR35) ); endmodule
gpl-2.0
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/phy_dq_iob.v
23,027
module MODULE1 # ( parameter VAR142 = 100, parameter VAR122 = 5, parameter VAR154 = "VAR47", parameter VAR135 = "VAR102", parameter VAR100 = 300.0, parameter VAR69 = "VAR23", parameter VAR15 = "VAR102", parameter VAR83 = "VAR162" ) ( input VAR99, input clk, input rst, input VAR125, input VAR173, input VAR71, input [4:0] VAR53, input VAR196, input [1:0] VAR30, input [3:0] VAR115, input VAR108, input VAR9, input VAR107, input VAR54, input [1:0] VAR98, input [1:0] VAR63, input VAR93, output VAR177, output VAR95, output VAR147, output VAR136, inout VAR151, output [4:0] VAR74 ); localparam VAR33 = (VAR15 == "VAR23") ? "VAR164" : ((VAR15 == "VAR102") ? "VAR105" : "VAR62"); localparam VAR131 = (VAR69 == "VAR23") ? "VAR164" : ((VAR69 == "VAR102") ? "VAR105" : "VAR62"); wire VAR160; wire VAR3; wire VAR171; wire VAR49; wire VAR113; wire VAR11; wire VAR35; wire [5:0] VAR57; wire [5:0] VAR144; reg [5:0] VAR44; reg [5:0] VAR137; reg VAR146; reg VAR10; reg VAR13; reg VAR76; wire VAR186; wire [3:0] VAR150; reg VAR24; reg VAR59; reg VAR14; reg VAR169; reg VAR194; reg VAR172; reg VAR133; reg VAR104; reg VAR192; reg VAR179; reg VAR43; reg VAR80; reg VAR64; reg VAR120; reg VAR75; reg VAR31; reg VAR184; reg VAR112; reg VAR28; reg VAR132; VAR18 # ( .VAR131 (VAR131) ) VAR37 ( .VAR92 (VAR3), .VAR152 (VAR171), .VAR109 (VAR151), .VAR84 (VAR160) ); VAR86 # ( .VAR128 ("VAR164"), .VAR25 ("VAR109"), .VAR33 (VAR33), .VAR168 ("VAR39"), .VAR81 (0), .VAR165 ("VAR39"), .VAR153 (0), .VAR156 (VAR100), .VAR140 ("VAR79") ) VAR4 ( .VAR155 (VAR3), .VAR189 (VAR173), .VAR149 (1'b0), .VAR6 (1'b0), .VAR117 (VAR160), .VAR17 (1'b0), .VAR29 (VAR49), .VAR73 (1'b1), .VAR152 (VAR186), .VAR77 (VAR53), .VAR143 (VAR74), .VAR166 (), .VAR180 (1'b0) ); always @(posedge clk) begin end generate if (VAR154 == "VAR47")begin: VAR21 if ((VAR122 == 5) | (VAR122 == 7) | (VAR122 == 9)) begin: VAR32 always @(posedge clk) begin if (VAR135 == "VAR23") begin end else begin case ({VAR30[1:0], VAR196}) 3'b000: begin end 3'b001: begin end 3'b010: begin end 3'b011: begin end 3'b100: begin end 3'b101: begin end 3'b110: begin end 3'b111: begin end default: begin end endcase end end end else if ((VAR122 == 6) | (VAR122 == 8)) begin: VAR181 always @(posedge clk) begin if (VAR135 == "VAR23") begin end else begin case ({VAR30[1:0], VAR196}) 3'b000: begin end 3'b001: begin end 3'b010: begin end 3'b011: begin end 3'b100: begin end 3'b101: begin end 3'b110: begin end 3'b111: begin end default: begin end endcase end end end end else begin: VAR130 if (VAR122 == 2) begin: VAR134 always @(VAR184 or VAR192 or VAR108 or VAR9) begin VAR146 = VAR184; VAR10 = VAR192; VAR13 = VAR108; VAR76 = VAR9; end end else if (VAR122 == 3) begin: VAR12 always @(posedge clk) begin end end else if (VAR122 == 4) begin: VAR124 always @(posedge clk) begin VAR146 <= VAR184; VAR10 <= VAR192; VAR13 <= VAR108; VAR76 <= VAR9; end end else if (VAR122 == 5) begin: VAR70 always @(posedge clk) begin end end else if (VAR122 == 6) begin: VAR174 always @(posedge clk) begin VAR146 <= VAR112; VAR10 <= VAR179; VAR13 <= VAR64; VAR76 <= VAR194; end end end endgenerate VAR40 # ( .VAR176 ("VAR8"), .VAR185 ("VAR8"), .VAR159 (4), .VAR106 (0), .VAR90 (1'b0), .VAR188 (1'b1), .VAR1 ("VAR193"), .VAR103 (0), .VAR16 ("VAR96"), .VAR45 (1'b0), .VAR19 (1'b0), .VAR123 (4) ) VAR163 ( .VAR61 (), .VAR42 (), .VAR51 (VAR49), .VAR52 (), .VAR66 (), .VAR121 (VAR171), .VAR85 (VAR99), .VAR41 (clk), .VAR7 (), .VAR110 (), .VAR94 (VAR146), .VAR91 (VAR10), .VAR191 (VAR13), .VAR114 (VAR76), .VAR27 (), .VAR182 (), .VAR56 (1'b1), .VAR101 (1'b0), .VAR148 (), .VAR161 (), .VAR73 (rst), .VAR36 (VAR115[0]), .VAR127 (VAR115[1]), .VAR89 (VAR115[2]), .VAR183 (VAR115[3]), .VAR190 (VAR186), .VAR88 (1'b1), .VAR2 (1'b0) ); assign VAR11 = VAR125; assign VAR35 = ~VAR125; VAR141 # ( .VAR178 ("VAR8"), .VAR159 (4), .VAR22 ("VAR105"), .VAR111 ("VAR164"), .VAR138 (1'b0), .VAR167 (1'b0), .VAR145 (1'b0), .VAR78 (1'b0), .VAR1 ("VAR139"), .VAR48 (2), .VAR67 ("VAR126"), .VAR68 ("VAR164"), .VAR16 ("VAR96"), .VAR38 (1'b0), .VAR195 (1'b0), .VAR50 (1'b0), .VAR118 (1'b0) ) VAR26 ( .VAR84 (), .VAR170 (VAR57[0]), .VAR187 (VAR57[1]), .VAR158 (VAR57[2]), .VAR20 (VAR57[3]), .VAR65 (VAR57[4]), .VAR55 (VAR57[5]), .VAR52 (), .VAR66 (), .VAR46 (1'b0), .VAR82 (1'b1), .VAR60 (1'b1), .VAR85 (VAR11), .VAR72 (VAR35), .VAR41 (VAR173), .VAR116 (), .VAR97 (VAR3), .VAR175 (VAR93), .VAR129 (1'b0), .VAR58 (VAR99), .VAR42 (1'b0), .VAR73 (VAR71), .VAR148 (1'b0), .VAR161 (1'b0) ); always @(negedge VAR173) always @(posedge VAR173) assign VAR144 = (VAR93) ? VAR137 : VAR57; VAR157 # ( .VAR142(VAR142) ) VAR34 ( .clk (VAR173), .VAR119 (VAR98), .VAR87 (VAR63), .din (VAR144), .VAR5 (VAR150) ); assign VAR177 = VAR150[3]; assign VAR95 = VAR150[2]; assign VAR147 = VAR150[1]; assign VAR136 = VAR150[0]; endmodule
mit
TheMadSocrates/vercpu-project
rtl/core/controller_unit.v
3,613
module MODULE1( input wire [ 7 : 0] VAR29, input wire [VAR30 - 1 : 0] VAR7, input wire clk, input wire VAR51, output wire [1 : 0] VAR41, output wire VAR52, output wire VAR22, output wire VAR20, output wire [1 : 0] VAR39, output wire VAR48, output wire VAR8, output wire [ 1 : 0] VAR12, output wire VAR43, output wire VAR2, output wire VAR24, output wire [ 2 : 0] VAR44, output wire [VAR21 - 1: 0] VAR37 ); wire [VAR14 - 1 : 0] VAR31; wire [VAR49 - 1 : 0] VAR6, VAR23, VAR16; wire [ 1 : 0] VAR34; VAR35 VAR9 ( .VAR29(VAR29), .VAR7(VAR7), .VAR16(VAR16) ); VAR38 VAR5 ( .clk(clk), .VAR6(VAR6), .VAR31(VAR31) ); VAR15 #(.VAR32(VAR49)) VAR18( .VAR46(VAR16), .VAR26(VAR23), .VAR25(VAR49'b0), .VAR33(VAR49'b0), .sel(VAR34), .VAR28(VAR6) ); assign VAR34 = {VAR51, VAR51 ? 1'b1 : VAR31[VAR19]}; assign VAR23 = VAR31[VAR47]; assign VAR41 = VAR31[VAR45]; assign VAR52 = VAR31[VAR36]; assign VAR22 = VAR31[VAR4]; assign VAR20 = VAR31[VAR3]; assign VAR39 = VAR31[VAR42]; assign VAR48 = VAR31[VAR50]; assign VAR8 = VAR31[VAR40]; assign VAR12 = VAR31[VAR27]; assign VAR43 = VAR31[VAR11]; assign VAR2 = VAR31[VAR13]; assign VAR24 = VAR31[VAR17]; assign VAR44 = VAR31[VAR10]; assign VAR37 = VAR31[VAR1]; endmodule
gpl-3.0
brandonpelfrey/ice40-nes
src/mod_clock_master.v
1,232
module MODULE1( input VAR3, output VAR12, output VAR13 ); VAR10 #( .VAR7("VAR9"), .VAR18("VAR5"), .VAR1(7'b1000010), .VAR14(3'b101), .VAR11(4'b0000), .VAR16(3'b001) ) VAR17 ( .VAR8(VAR3), .VAR2(VAR12), .VAR15(1'b1), .VAR4(1'b0) ); VAR10 #( .VAR7("VAR9"), .VAR11(4'b0000), .VAR1(7'b0110110), .VAR14(3'b010), .VAR16(3'b001) ) VAR6 ( .VAR15(1'b1), .VAR4(1'b0), .VAR8(VAR3), .VAR2(VAR13) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a211oi/sky130_fd_sc_hs__a211oi.blackbox.v
1,332
module MODULE1 ( VAR3 , VAR4, VAR7, VAR5, VAR6 ); output VAR3 ; input VAR4; input VAR7; input VAR5; input VAR6; supply1 VAR2; supply0 VAR1; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nand4bb/sky130_fd_sc_hdll__nand4bb_2.v
2,350
module MODULE1 ( VAR8 , VAR1 , VAR11 , VAR5 , VAR7 , VAR6, VAR3, VAR9 , VAR2 ); output VAR8 ; input VAR1 ; input VAR11 ; input VAR5 ; input VAR7 ; input VAR6; input VAR3; input VAR9 ; input VAR2 ; VAR4 VAR10 ( .VAR8(VAR8), .VAR1(VAR1), .VAR11(VAR11), .VAR5(VAR5), .VAR7(VAR7), .VAR6(VAR6), .VAR3(VAR3), .VAR9(VAR9), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR8 , VAR1, VAR11, VAR5 , VAR7 ); output VAR8 ; input VAR1; input VAR11; input VAR5 ; input VAR7 ; supply1 VAR6; supply0 VAR3; supply1 VAR9 ; supply0 VAR2 ; VAR4 VAR10 ( .VAR8(VAR8), .VAR1(VAR1), .VAR11(VAR11), .VAR5(VAR5), .VAR7(VAR7) ); endmodule
apache-2.0
kyzhai/NUNY
src/hardware/ninjasymbol_bb.v
5,046
module MODULE1 ( address, VAR1, VAR2); input [11:0] address; input VAR1; output [11:0] VAR2; tri1 VAR1; endmodule
gpl-2.0
Alejandro88/unal_digital01
rom_ram_logic_array/223473--223480/ram_memory.v
1,276
module MODULE1 # (parameter VAR1=4, VAR2=4) (input clk, input VAR5, input [VAR1-1:0] VAR4, input [VAR2-1:0] din, output [VAR1-1:0]dout); reg [VAR2-1:0] VAR3 [VAR1-1:0]; always @ (posedge clk) if (VAR5) VAR3 [VAR4] <= din; assign dout = VAR3[VAR4]; endmodule
gpl-2.0
anderson1008/NOCulator
hring/hw/buffered/src/vcr_vc_alloc_sep_if.v
21,884
module MODULE1 (clk, reset, VAR80, VAR89, VAR69, VAR91, VAR60, VAR25, VAR16, VAR74, VAR77); parameter VAR40 = 2; parameter VAR84 = 2; localparam VAR54 = VAR40 * VAR84; parameter VAR42 = 1; localparam VAR41 = VAR54 * VAR42; parameter VAR58 = 5; localparam VAR49 = VAR87(VAR58); parameter VAR30 = VAR21; parameter VAR94 = VAR27; input clk; input reset; input [0:VAR58*VAR41*VAR49-1] VAR80; input [0:VAR58*VAR41-1] VAR89; input [0:VAR58*VAR41-1] VAR69; input [0:VAR58*VAR41-1] VAR91; output [0:VAR58*VAR41-1] VAR60; wire [0:VAR58*VAR41-1] VAR60; output [0:VAR58*VAR41*VAR41-1] VAR25; wire [0:VAR58*VAR41*VAR41-1] VAR25; output [0:VAR58*VAR41-1] VAR16; wire [0:VAR58*VAR41-1] VAR16; output [0:VAR58*VAR41*VAR58-1] VAR74; wire [0:VAR58*VAR41*VAR58-1] VAR74; output [0:VAR58*VAR41*VAR41-1] VAR77; wire [0:VAR58*VAR41*VAR41-1] VAR77; generate genvar VAR43; for(VAR43 = 0; VAR43 < VAR40; VAR43 = VAR43 + 1) begin:VAR36 wire [0:VAR58*VAR84*VAR42* VAR58*VAR84*VAR42-1] VAR67; wire [0:VAR58*VAR84*VAR42* VAR58*VAR84*VAR42-1] VAR15; genvar VAR64; for(VAR64 = 0; VAR64 < VAR58; VAR64 = VAR64 + 1) begin:VAR6 genvar VAR88; for(VAR88 = 0; VAR88 < VAR84; VAR88 = VAR88 + 1) begin:VAR65 genvar VAR72; for(VAR72 = 0; VAR72 < VAR42; VAR72 = VAR72 + 1) begin:VAR33 wire [0:VAR49-1] VAR31; assign VAR31 = VAR80[(((VAR64*VAR40+VAR43)* VAR84+VAR88)* VAR42+VAR72)* VAR49: (((VAR64*VAR40+VAR43)* VAR84+VAR88)* VAR42+VAR72+1)* VAR49-1]; wire VAR55; assign VAR55 = VAR89[((VAR64*VAR40+VAR43)* VAR84+VAR88)* VAR42+VAR72]; wire [0:VAR41-1] VAR52; assign VAR52 = VAR69[VAR31*VAR41 +: VAR41]; wire [0:VAR84*VAR42-1] VAR99; assign VAR99 = VAR52[VAR43* VAR84* VAR42: (VAR43+1)* VAR84* VAR42-1]; wire [0:VAR58*VAR84* VAR42-1] VAR57; assign VAR57 = VAR15 [((VAR64*VAR84+VAR88)* VAR42+VAR72)* VAR58*VAR84* VAR42: ((VAR64*VAR84+VAR88)* VAR42+VAR72+1)* VAR58*VAR84* VAR42-1]; wire [0:VAR84*VAR42-1] VAR70; VAR5 .VAR10(VAR84*VAR42)) VAR95 (.VAR62(VAR57), .VAR4(VAR70)); wire [0:VAR84*VAR42-1] VAR85; genvar VAR73; for(VAR73 = 0; VAR73 < VAR84; VAR73 = VAR73 + 1) begin:VAR8 wire [0:VAR42-1] VAR96; if((VAR73 == VAR88) || (VAR73 == (VAR88 + 1))) begin wire [0:VAR42-1] VAR14; assign VAR14 = VAR99[VAR73* VAR42: (VAR73+1)* VAR42-1]; wire [0:VAR42-1] VAR19; if(VAR73 == VAR88) begin if(VAR88 == (VAR84 - 1)) assign VAR19 = VAR14; end else assign VAR19 = VAR14 & {VAR42{~VAR55}}; end else if (VAR73 == (VAR88 + 1)) assign VAR19 = VAR14 & {VAR42{VAR55}}; if(VAR42 > 1) begin wire [0:VAR42-1] VAR37; assign VAR37 = VAR70 [VAR73*VAR42: (VAR73+1)*VAR42-1]; wire VAR1; assign VAR1 = |VAR37; VAR78 .VAR30(VAR30), .VAR94(VAR94)) VAR79 (.clk(clk), .reset(reset), .VAR51(VAR1), .req(VAR19), .VAR18(VAR96)); end else assign VAR96 = VAR19; end else assign VAR96 = {VAR42{1'b0}}; assign VAR85[VAR73* VAR42: (VAR73+1)* VAR42-1] = VAR96; end wire req; assign req = VAR91[((VAR64*VAR40+VAR43)* VAR84+VAR88)* VAR42+VAR72]; wire [0:VAR58*VAR84* VAR42-1] VAR45; genvar VAR90; for(VAR90 = 0; VAR90 < VAR58; VAR90 = VAR90 + 1) begin:VAR24 wire VAR17; assign VAR17 = (VAR31 == VAR90); assign VAR45[VAR90* VAR84* VAR42: (VAR90+1)* VAR84* VAR42-1] = {(VAR84* VAR42){req & VAR17}} & VAR85; end assign VAR67 [((VAR64*VAR84+VAR88)* VAR42+VAR72)* VAR58*VAR84* VAR42: ((VAR64*VAR84+VAR88)* VAR42+VAR72+1)* VAR58*VAR84* VAR42-1] = VAR45; wire [0:VAR84*VAR42-1] VAR3; assign VAR3 = VAR70; wire [0:VAR41-1] VAR2; VAR39 VAR42), .VAR48(VAR41), .VAR59(VAR43*VAR84* VAR42)) VAR98 (.VAR62(VAR3), .VAR23({VAR41{1'b0}}), .VAR4(VAR2)); assign VAR25[(((VAR64*VAR40+VAR43)* VAR84+VAR88)* VAR42+VAR72)* VAR41: (((VAR64*VAR40+VAR43)* VAR84+VAR88)* VAR42+VAR72+1)* VAR41-1] = VAR2; wire VAR18; if(VAR88 == (VAR84 - 1)) assign VAR18 = |VAR3[VAR88*VAR42: (VAR88+1)*VAR42-1]; end else assign VAR18 = |VAR3[VAR88*VAR42: (VAR88+2)*VAR42-1]; assign VAR60[((VAR64*VAR40+VAR43)* VAR84+VAR88)* VAR42+VAR72] = VAR18; end end end wire [0:VAR58*VAR84*VAR42* VAR58*VAR84*VAR42-1] VAR7; VAR71 VAR58*VAR84*VAR42), .VAR100(VAR58*VAR84*VAR42)) VAR81 (.VAR62(VAR67), .VAR4(VAR7)); wire [0:VAR58*VAR84*VAR42* VAR58*VAR84*VAR42-1] VAR46; VAR71 VAR58*VAR84*VAR42), .VAR100(VAR58*VAR84*VAR42)) VAR66 (.VAR62(VAR46), .VAR4(VAR15)); genvar VAR90; for (VAR90 = 0; VAR90 < VAR58; VAR90 = VAR90 + 1) begin:VAR24 wire [0:VAR41-1] VAR2; wire [0:VAR41*VAR58-1] VAR47; wire [0:VAR41*VAR41-1] VAR11; genvar VAR73; for(VAR73 = 0; VAR73 < VAR84; VAR73 = VAR73 + 1) begin:VAR8 genvar VAR82; for(VAR82 = 0; VAR82 < VAR42; VAR82 = VAR82 + 1) begin:VAR97 wire [0:VAR58*VAR84* VAR42-1] VAR92; assign VAR92 = VAR7 [((VAR90*VAR84+VAR73)* VAR42+VAR82)* VAR58*VAR84* VAR42: ((VAR90*VAR84+VAR73)* VAR42+VAR82+1)* VAR58*VAR84* VAR42-1]; wire [0:VAR84*VAR42* VAR58-1] VAR68; VAR71 VAR42), .VAR100(VAR58)) VAR50 (.VAR62(VAR92), .VAR4(VAR68)); wire [0:VAR58-1] VAR26; VAR5 .VAR58(VAR84* VAR42)) VAR34 (.VAR62(VAR68), .VAR4(VAR26)); wire [0:VAR58-1] VAR83; wire [0:VAR58*VAR84* VAR42-1] VAR12; wire [0:VAR58*VAR41-1] VAR60; genvar VAR64; for(VAR64 = 0; VAR64 < VAR58; VAR64 = VAR64 + 1) begin:VAR6 wire [0:VAR84*VAR42-1] VAR86; assign VAR86 = VAR92[VAR64* VAR84* VAR42: (VAR64+1)* VAR84* VAR42-1]; wire [0:VAR84*VAR42-1] VAR20; if(VAR73 == 0) begin wire [0:VAR42-1] VAR56; assign VAR56 = VAR86[0:VAR42-1]; wire [0:VAR42-1] VAR61; if(VAR42 > 1) begin wire VAR1; assign VAR1 = VAR83[VAR64]; VAR78 .VAR30(VAR30), .VAR94(VAR94)) VAR29 (.clk(clk), .reset(reset), .VAR51(VAR1), .req(VAR56), .VAR18(VAR61)); end else assign VAR61 = VAR56; VAR39 .VAR48(VAR84* VAR42), .VAR59(0)) VAR38 (.VAR62(VAR61), .VAR23({(VAR84* VAR42){1'b0}}), .VAR4(VAR20)); end else begin wire [0:VAR42-1] VAR32; assign VAR32 = VAR86[(VAR73-1)* VAR42: VAR73* VAR42-1]; wire [0:VAR42-1] VAR28; assign VAR28 = VAR86[VAR73* VAR42: (VAR73+1)* VAR42-1]; wire [0:VAR42-1] VAR76; wire [0:VAR42-1] VAR93; wire VAR1; assign VAR1 = VAR83[VAR64]; VAR78 .VAR30(VAR30), .VAR94(VAR94)) VAR29 (.clk(clk), .reset(reset), .VAR51(VAR1), .req({VAR32, VAR28}), .VAR18({VAR76, VAR93})); VAR39 .VAR48(VAR84* VAR42), .VAR59((VAR73-1)*VAR42)) VAR9 (.VAR62({VAR76, VAR93}), .VAR23({(VAR84* VAR42){1'b0}}), .VAR4(VAR20)); end assign VAR12[VAR64* VAR84* VAR42: (VAR64+1)* VAR84* VAR42-1] = VAR20 & {(VAR84* VAR42){VAR83[VAR64]}}; wire [0:VAR41-1] VAR63; VAR39 VAR42), .VAR48(VAR41), .VAR59(VAR43*VAR84* VAR42)) VAR13 (.VAR62(VAR20), .VAR23({VAR41{1'b0}}), .VAR4(VAR63)); assign VAR60[VAR64*VAR41:(VAR64+1)*VAR41-1] = VAR63; end wire [0:VAR41-1] VAR22; VAR53 .VAR10(VAR41)) VAR75 (.select(VAR83), .VAR62(VAR60), .VAR4(VAR22)); wire VAR44; assign VAR44 = |VAR26; wire VAR1; assign VAR1 = VAR44; VAR78 .VAR30(VAR30), .VAR94(VAR94)) VAR35 (.clk(clk), .reset(reset), .VAR51(VAR1), .req(VAR26), .VAR18(VAR83)); assign VAR46 [((VAR90*VAR84+VAR73)* VAR42+VAR82)* VAR58*VAR84* VAR42: ((VAR90*VAR84+VAR73)* VAR42+VAR82+1)* VAR58*VAR84* VAR42-1] = VAR12; assign VAR16[((VAR90*VAR40+VAR43)* VAR84+VAR73)* VAR42+VAR82] = VAR44; assign VAR74[(((VAR90*VAR40+VAR43)* VAR84+VAR73)* VAR42+VAR82)* VAR58: (((VAR90*VAR40+VAR43)* VAR84+VAR73)* VAR42+VAR82+1)* VAR58-1] = VAR83; assign VAR77[(((VAR90*VAR40+VAR43)* VAR84+VAR73)* VAR42+VAR82)* VAR41: (((VAR90*VAR40+VAR43)* VAR84+VAR73)* VAR42+VAR82+1)* VAR41-1] = VAR22; end end end end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/or2/sky130_fd_sc_hd__or2.functional.v
1,244
module MODULE1 ( VAR1, VAR6, VAR4 ); output VAR1; input VAR6; input VAR4; wire VAR5; or VAR3 (VAR5, VAR4, VAR6 ); buf VAR2 (VAR1 , VAR5 ); endmodule
apache-2.0
Jafet95/proy_3_grupo_2_sem_1_2016
generador_caracteres_v2.v
9,040
module MODULE1 ( input wire clk, input wire [3:0] VAR11, VAR12, VAR24, VAR25, VAR48, VAR15,VAR18, VAR16, VAR19, VAR51, VAR13, VAR26,VAR35, VAR34, VAR23, VAR32, VAR44, VAR27,input wire VAR45,input wire VAR6,input wire [1:0] VAR17,input wire [1:0] VAR28,input wire [9:0] VAR8, VAR33,output wire VAR10, output wire VAR37, output reg [7:0] VAR22 ); wire [11:0] VAR29; reg [6:0] VAR20; reg [4:0] VAR2; reg [3:0] VAR9; wire [15:0] VAR38;wire VAR43; reg [6:0] VAR36, VAR41, VAR5, VAR40; wire [4:0] VAR42, VAR47, VAR31, VAR21; wire [3:0] VAR50, VAR7, VAR14, VAR46; wire VAR39, VAR49, VAR1; VAR4 VAR30 (.clk(clk), .addr(VAR29), .VAR3(VAR38)); assign VAR39 = (VAR33[9:5]==4)&&(VAR8[9:4]>=16)&&(VAR8[9:4]<=23); assign VAR42 = VAR33[4:0]; assign VAR50 = VAR8[3:0]; always@* begin case(VAR8[6:4]) 3'b000: VAR36 = {3'b011, VAR12}; 3'b001: VAR36 = {3'b011, VAR11}; 3'b010: VAR36 = 7'h3a; 3'b011: VAR36 = {3'b011, VAR25}; 3'b100: VAR36 = {3'b011, VAR24}; 3'b101: VAR36 = 7'h3a; 3'b110: VAR36 = {3'b011, VAR15}; 3'b111: VAR36 = {3'b011, VAR48}; endcase end assign VAR49 = (VAR33[9:5]==12)&&(VAR8[9:4]>=7)&&(VAR8[9:4]<=14); assign VAR47 = VAR33[4:0]; assign VAR7 = VAR8[3:0]; always@* begin case(VAR8[6:4]) 3'b111: VAR41 = {3'b011, VAR16}; 3'b000: VAR41 = {3'b011, VAR18}; 3'b001: VAR41 = 7'h2f; 3'b010: VAR41 = {3'b011, VAR51}; 3'b011: VAR41 = {3'b011, VAR19}; 3'b100: VAR41 = 7'h2f; 3'b101: VAR41 = {3'b011, VAR26}; 3'b110: VAR41 = {3'b011, VAR13}; endcase end assign VAR1 = (VAR33[9:5]==12)&&(VAR8[9:4]>=25)&&(VAR8[9:4]<=32); assign VAR31 = VAR33[4:0]; assign VAR14 = VAR8[3:0]; always@* begin case(VAR8[6:4]) 3'b001: VAR5 = {3'b011, VAR34}; 3'b010: VAR5 = {3'b011, VAR35}; 3'b011: VAR5 = 7'h3a; 3'b100: VAR5 = {3'b011, VAR32}; 3'b101: VAR5 = {3'b011, VAR23}; 3'b110: VAR5 = 7'h3a; 3'b111: VAR5 = {3'b011, VAR27}; 3'b000: VAR5 = {3'b011, VAR44}; endcase end assign VAR10 = (VAR33[9:5]==1)&&(VAR8[9:4]>=26)&&(VAR8[9:4]<=27); assign VAR21 = VAR33[4:0]; assign VAR46 = VAR8[3:0]; always@* begin case(VAR8[4]) 1'b0: begin case(VAR45) 1'b0: VAR40 = 7'h61; 1'b1: VAR40 = 7'h64; endcase end 1'b1: VAR40 = 7'h63; endcase end always @* begin VAR22 = 8'b0; if(VAR39) begin VAR20 = VAR36; VAR2 = VAR42; VAR9 = VAR50; end if(VAR43) VAR22 = 8'h00; else if ((VAR6)&&(~VAR43)&&(VAR17 == 1)&&(VAR33[9:5]==4)&&(VAR8[9:4]>=16)&&(VAR8[9:4]<=17)&&(VAR28==2)) end VAR22 =8'hFF; else if ((VAR6)&&(~VAR43)&&(VAR17 == 1)&&(VAR33[9:5]==4)&&(VAR8[9:4]>=19)&&(VAR8[9:4]<=20)&&(VAR28==1)) VAR22 = 8'hFF; else if ((VAR6)&&(~VAR43)&&(VAR17 == 1)&&(VAR33[9:5]==4)&&(VAR8[9:4]>=22)&&(VAR8[9:4]<=23)&&(VAR28==0)) VAR22 = 8'hFF; else if(~VAR43) VAR22 = 8'h1E; end else if(VAR49) begin VAR20 = VAR41; VAR2 = VAR47; VAR9 = VAR7; end if(VAR43) VAR22 =8'h00; else if ((VAR6)&&(~VAR43)&&(VAR17 == 2)&&(VAR33[9:5]==12)&&(VAR8[9:4]>=7)&&(VAR8[9:4]<=8)&&(VAR28==2)) VAR22 = 8'hFF; else if ((VAR6)&&(~VAR43)&&(VAR17 == 2)&&(VAR33[9:5]==12)&&(VAR8[9:4]>=10)&&(VAR8[9:4]<=11)&&(VAR28==1)) VAR22 = 8'hFF; else if ((VAR6)&&(~VAR43)&&(VAR17 == 2)&&(VAR33[9:5]==12)&&(VAR8[9:4]>=13)&&(VAR8[9:4]<=14)&&(VAR28==0)) VAR22 = 8'hFF; else if(~VAR43) VAR22 = 8'h1E; end else if ((VAR1)) begin VAR20 = VAR5; VAR2 = VAR31; VAR9 = VAR14; end if(VAR43) VAR22 = 8'h00; else if ((VAR6)&&(~VAR43)&&(VAR17 == 3)&&(VAR33[9:5]==12)&&(VAR8[9:4]>=25)&&(VAR8[9:4]<=26)&&(VAR28==2)) VAR22 = 8'hFF; else if ((VAR6)&&(~VAR43)&&(VAR17 == 3)&&(VAR33[9:5]==12)&&(VAR8[9:4]>=28)&&(VAR8[9:4]<=29)&&(VAR28==1)) VAR22 = 8'hFF; else if ((VAR6)&&(~VAR43)&&(VAR17 == 3)&&(VAR33[9:5]==12)&&(VAR8[9:4]>=31)&&(VAR8[9:4]<=32)&&(VAR28==0)) VAR22 = 8'hFF; else if(~VAR43) VAR22 = 8'h1E; end else begin VAR20 = VAR40; VAR2 = VAR21; VAR9 = VAR46; if(VAR43) VAR22 = 8'hFF; end end assign VAR37 = VAR39|VAR49|VAR1; assign VAR29 = {VAR20, VAR2}; assign VAR43 = VAR38[~VAR9]; endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_ad9739a/axi_ad9739a.v
8,888
module MODULE1 ( VAR59, VAR40, VAR117, VAR98, VAR50, VAR15, VAR27, VAR68, VAR112, VAR11, VAR70, VAR24, VAR28, VAR61, VAR81, VAR113, VAR105, VAR66, VAR74, VAR55, VAR58, VAR6, VAR10, VAR44, VAR110, VAR34, VAR118, VAR103, VAR63, VAR99, VAR45, VAR41, VAR56); parameter VAR2 = 0; parameter VAR16 = 0; parameter VAR5 = 1; parameter VAR47 = 1; parameter VAR86 = 0; parameter VAR91 = "VAR46"; input VAR59; input VAR40; output VAR117; output VAR98; output [ 13:0] VAR50; output [ 13:0] VAR15; output [ 13:0] VAR27; output [ 13:0] VAR68; output VAR112; output VAR11; output VAR70; input [255:0] VAR24; input VAR28; input VAR61; input VAR81; input VAR113; input VAR105; input [ 31:0] VAR66; output VAR74; input VAR55; input [ 31:0] VAR58; input [ 3:0] VAR6; output VAR10; output VAR44; output [ 1:0] VAR110; input VAR34; input VAR118; input [ 31:0] VAR103; output VAR63; output VAR99; output [ 31:0] VAR45; output [ 1:0] VAR41; input VAR56; wire VAR71; wire VAR17; wire VAR88; wire [ 15:0] VAR37; wire [ 15:0] VAR54; wire [ 15:0] VAR65; wire [ 15:0] VAR107; wire [ 15:0] VAR109; wire [ 15:0] VAR1; wire [ 15:0] VAR21; wire [ 15:0] VAR57; wire [ 15:0] VAR31; wire [ 15:0] VAR92; wire [ 15:0] VAR13; wire [ 15:0] VAR95; wire [ 15:0] VAR43; wire [ 15:0] VAR89; wire [ 15:0] VAR87; wire [ 15:0] VAR60; wire VAR83; wire VAR64; wire [ 13:0] VAR33; wire [ 31:0] VAR36; wire VAR104; wire VAR84; wire [ 13:0] VAR29; wire [ 31:0] VAR9; wire VAR85; assign VAR17 = VAR81; assign VAR88 = VAR113; VAR80 #(.VAR16 (VAR16)) VAR77 ( .VAR59 (VAR59), .VAR40 (VAR40), .VAR117 (VAR117), .VAR98 (VAR98), .VAR50 (VAR50), .VAR15 (VAR15), .VAR27 (VAR27), .VAR68 (VAR68), .VAR71 (VAR71), .VAR93 (), .VAR112 (VAR112), .VAR23 (VAR83), .VAR96 (VAR37), .VAR42 (VAR54), .VAR4 (VAR65), .VAR79 (VAR107), .VAR72 (VAR109), .VAR102 (VAR1), .VAR69 (VAR21), .VAR19 (VAR57), .VAR115 (VAR31), .VAR97 (VAR92), .VAR39 (VAR13), .VAR67 (VAR95), .VAR108 (VAR43), .VAR78 (VAR89), .VAR76 (VAR87), .VAR75 (VAR60)); VAR116 #(.VAR2(VAR2), .VAR32(VAR86)) VAR22 ( .VAR112 (VAR112), .VAR71 (VAR71), .VAR96 (VAR37), .VAR42 (VAR54), .VAR4 (VAR65), .VAR79 (VAR107), .VAR72 (VAR109), .VAR102 (VAR1), .VAR69 (VAR21), .VAR19 (VAR57), .VAR115 (VAR31), .VAR97 (VAR92), .VAR39 (VAR13), .VAR67 (VAR95), .VAR108 (VAR43), .VAR78 (VAR89), .VAR76 (VAR87), .VAR75 (VAR60), .VAR23 (VAR83), .VAR11 (VAR11), .VAR70 (VAR70), .VAR24 (VAR24), .VAR28 (VAR28), .VAR61 (VAR61), .VAR88 (VAR88), .VAR17 (VAR17), .VAR51 (VAR64), .VAR114 (VAR33), .VAR62 (VAR36), .VAR52 (VAR104), .VAR30 (VAR84), .VAR3 (VAR29), .VAR82 (VAR9), .VAR48 (VAR85)); VAR25 VAR53 ( .VAR88 (VAR88), .VAR17 (VAR17), .VAR14 (VAR105), .VAR100 (VAR66), .VAR101 (VAR74), .VAR111 (VAR55), .VAR106 (VAR58), .VAR94 (VAR6), .VAR35 (VAR10), .VAR38 (VAR44), .VAR26 (VAR110), .VAR8 (VAR34), .VAR49 (VAR118), .VAR73 (VAR103), .VAR20 (VAR63), .VAR18 (VAR99), .VAR7 (VAR41), .VAR90 (VAR45), .VAR12 (VAR56), .VAR51 (VAR64), .VAR114 (VAR33), .VAR62 (VAR36), .VAR52 (VAR104), .VAR30 (VAR84), .VAR3 (VAR29), .VAR82 (VAR9), .VAR48 (VAR85)); endmodule
gpl-3.0
jmahler/EECE344-Digital_System_Design
lab01/lattice-grey_code_counter/main.v
1,203
module MODULE1(VAR2, VAR6, VAR4, clk ); input VAR2 ; output VAR6 ; output wire [7:0] VAR4 ; output clk ; reg [22:0]VAR7 ; VAR1 VAR9 (.VAR1(VAR2)); VAR10 VAR5 (.VAR11(VAR6)) ; VAR3 VAR8(clk, VAR4); always @(posedge VAR6 or negedge VAR2) begin if (~VAR2) VAR7 <= 32'h0000 ; end else VAR7 <= VAR7 + 1 ; end assign clk = VAR7[22] ; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a32o/sky130_fd_sc_hd__a32o.blackbox.v
1,425
module MODULE1 ( VAR10 , VAR7, VAR1, VAR6, VAR5, VAR4 ); output VAR10 ; input VAR7; input VAR1; input VAR6; input VAR5; input VAR4; supply1 VAR3; supply0 VAR2; supply1 VAR8 ; supply0 VAR9 ; endmodule
apache-2.0
ankitshah009/High-Radix-Adaptive-CORDIC
HCORDIC_Verilog/alu_x_pipelined.v
8,164
module MODULE1( input [31:0] VAR92, input [31:0] VAR30, input [31:0] VAR78, input [1:0] VAR33, input VAR14, input VAR31, input [7:0] VAR85, input reset, input VAR23, output [31:0] VAR61, output [1:0] VAR63, output VAR55, output VAR37, output [7:0] VAR34 ); wire [1:0] VAR98,VAR6,VAR29,VAR19,VAR35,VAR8,VAR5; wire [32:0] VAR88,VAR95; wire [31:0] VAR27,VAR94,VAR99,VAR68,VAR25,VAR66,VAR41; wire [35:0] VAR57,VAR60,VAR46,VAR22,VAR48; wire [35:0] VAR26,VAR11,VAR90,VAR43,VAR96; wire [1:0] VAR38,VAR79, VAR28,VAR77,VAR1,VAR54,VAR50,VAR58; wire VAR15,VAR44, VAR69,VAR24,VAR70,VAR52,VAR56,VAR93; wire [49:0] VAR91, VAR65; wire [7:0] VAR2; wire [27:0] VAR80,VAR20; wire [7:0] VAR81,VAR39,VAR89,VAR82,VAR73,VAR18,VAR84; wire VAR10,VAR87,VAR9,VAR51,VAR17,VAR3,VAR83,VAR53; VAR4 VAR42 ( .VAR72(VAR92), .VAR32(VAR30), .VAR59(VAR78), .VAR64(VAR33), .VAR13(VAR14), .VAR10(VAR10), .VAR85(VAR85), .reset(reset), .VAR23(VAR23), .VAR98(VAR98), .VAR88(VAR88), .VAR95(VAR95), .VAR57(VAR57), .VAR26(VAR26), .VAR27(VAR27), .VAR38(VAR38), .VAR15(VAR15), .VAR87(VAR87), .VAR81(VAR81) ); VAR16 VAR76 ( .VAR88(VAR88), .VAR95(VAR95), .VAR57(VAR57), .VAR26(VAR26), .VAR27(VAR27), .VAR38(VAR38), .VAR15(VAR15), .VAR87(VAR87), .VAR81(VAR81), .VAR23(VAR23), .VAR98(VAR98), .VAR6(VAR6), .VAR60(VAR60), .VAR11(VAR11), .VAR94(VAR94), .VAR79(VAR79), .VAR44(VAR44), .VAR9(VAR9), .VAR91(VAR91), .VAR39(VAR39) ); VAR45 VAR49 ( .VAR60(VAR60), .VAR11(VAR11), .VAR94(VAR94), .VAR91(VAR91), .VAR79(VAR79), .VAR44(VAR44), .VAR9(VAR9), .VAR39(VAR39), .VAR23(VAR23), .VAR6(VAR6), .VAR29(VAR29), .VAR46(VAR46), .VAR90(VAR90), .VAR99(VAR99), .VAR28(VAR28), .VAR69(VAR69), .VAR51(VAR51), .VAR65(VAR65), .VAR89(VAR89) ); VAR71 VAR21 ( .VAR29(VAR29), .VAR46(VAR46), .VAR90(VAR90), .VAR99(VAR99), .VAR28(VAR28), .VAR69(VAR69), .VAR51(VAR51), .VAR65(VAR65), .VAR89(VAR89), .VAR23(VAR23), .VAR19(VAR19), .VAR22(VAR22), .VAR43(VAR43), .VAR68(VAR68), .VAR77(VAR77), .VAR24(VAR24), .VAR17(VAR17), .VAR2(VAR2), .VAR82(VAR82) ); VAR47 VAR75 ( .VAR19(VAR19), .VAR22(VAR22), .VAR43(VAR43), .VAR68(VAR68), .VAR77(VAR77), .VAR24(VAR24), .VAR17(VAR17), .VAR2(VAR2), .VAR82(VAR82), .VAR23(VAR23), .VAR35(VAR35), .VAR48(VAR48), .VAR96(VAR96), .VAR25(VAR25), .VAR1(VAR1), .VAR70(VAR70), .VAR3(VAR3), .VAR73(VAR73) ); VAR67 VAR74 ( .VAR35(VAR35), .VAR48(VAR48), .VAR96(VAR96), .VAR25(VAR25), .VAR1(VAR1), .VAR70(VAR70), .VAR3(VAR3), .VAR73(VAR73), .VAR23(VAR23), .VAR8(VAR8), .VAR66(VAR66), .VAR54(VAR54), .VAR52(VAR52), .VAR83(VAR83), .VAR80(VAR80), .VAR18(VAR18) ); VAR7 VAR36 ( .VAR8(VAR8), .VAR66(VAR66), .VAR54(VAR54), .VAR52(VAR52), .VAR83(VAR83), .VAR80(VAR80), .VAR18(VAR18), .VAR23(VAR23), .VAR5(VAR5), .VAR41(VAR41), .VAR50(VAR50), .VAR56(VAR56), .VAR53(VAR53), .VAR20(VAR20), .VAR84(VAR84) ); VAR40 VAR86 ( .VAR5(VAR5), .VAR41(VAR41), .VAR50(VAR50), .VAR56(VAR56), .VAR53(VAR53), .VAR20(VAR20), .VAR84(VAR84), .VAR23(VAR23), .VAR62(VAR61), .VAR58(VAR63), .VAR93(VAR55), .VAR12(VAR37), .VAR97(VAR34) ); endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/dma_queue/cpu_dma_queue_main.v
13,176
module MODULE1 parameter VAR64 = 64, parameter VAR47=VAR64/8, parameter VAR16 = VAR3, parameter VAR12 = VAR16/8, parameter VAR56 = 125000 ) ( output [VAR64-1:0] VAR58, output [VAR47-1:0] VAR85, output VAR41, input VAR5, input [VAR64-1:0] VAR52, input [VAR47-1:0] VAR27, input VAR70, output VAR31, output reg VAR84, input VAR86, output [VAR16-1:0] VAR65, output [VAR12-1:0] VAR73, output reg VAR53, input VAR54, input [VAR16-1:0] VAR71, input [VAR12-1:0] VAR55, output reg VAR44, input reset, input clk ); function integer VAR30; input integer VAR43; begin VAR30=0; while(2**VAR30<VAR43) begin VAR30=VAR30+1; end end endfunction parameter VAR68 = VAR30(VAR56); reg [5:0] VAR32; reg [5:0] VAR78; reg VAR62; reg VAR67; wire VAR63; wire VAR25; reg VAR83; wire VAR37; wire VAR61; wire VAR19; reg VAR45; wire [VAR3*9/8-1:0] VAR6; wire [VAR47+VAR64-1:0] VAR26; wire [VAR47-1:0] VAR79; wire [VAR64-1:0] VAR28; wire [VAR47-1:0] VAR36; wire [VAR64-1:0] VAR4; wire [VAR3*9/8-1:0] VAR80; wire [VAR3/8-1:0] VAR14; wire [VAR3-1:0] VAR11; wire [8:0] VAR39; wire VAR59; wire VAR23; wire [VAR47+VAR64-1:0] VAR21; wire [8:0] VAR50; wire VAR10, VAR48; wire VAR8; reg VAR33; reg [VAR68-1:0] VAR15; reg VAR40; generate genvar VAR46; if(VAR64 == 32) begin: VAR66 for(VAR46=0; VAR46<VAR47; VAR46=VAR46+1) begin: VAR42 assign VAR26[VAR46] = VAR27[VAR46]; assign VAR26[8*VAR46+7:8*VAR46] = VAR52[8*VAR46+7:8*VAR46]; assign VAR85[VAR46] = VAR21[VAR46]; assign VAR58[8*VAR46+7:8*VAR46] = VAR21[8*VAR46+7:8*VAR46]; end VAR34 VAR72 (.din(VAR26), .dout(VAR80), .clk(clk), .rst(reset), .VAR82(VAR39), .VAR74( ), .VAR35(VAR70), .VAR29(VAR63), .VAR9( ), .VAR57(VAR59), .VAR69(VAR23) ); VAR34 VAR76 (.din(VAR6), .dout(VAR21), .clk(clk), .VAR35(VAR61), .VAR29(VAR37), .rst(reset || VAR44), .VAR82( ), .VAR74(VAR50), .VAR9(VAR10), .VAR57(VAR48), .VAR69(VAR8) ); end else if(VAR64 == 64) begin: VAR1 for(VAR46=0; VAR46<VAR47; VAR46=VAR46+1) begin: VAR42 assign VAR79[VAR46] = VAR27[VAR46]; assign VAR28[8*VAR46+7:8*VAR46] = VAR52[8*VAR46+7:8*VAR46]; assign VAR85[VAR46] = VAR36[VAR46]; assign VAR58[8*VAR46+7:8*VAR46] = VAR4[8*VAR46+7:8*VAR46]; end assign VAR26 = {VAR79[7:4], VAR28[31:0],VAR79[3:0], VAR28[63:32]}; assign VAR36 = {VAR21[71:68], VAR21[35:32]}; assign VAR4 = {VAR21[31:0], VAR21[67:36]}; VAR49 VAR72 ( .VAR18 (reset), .VAR7 (VAR26), .VAR77 (clk), .VAR17 (VAR63), .VAR20 (clk), .VAR75 (VAR70), .VAR81 (VAR80), .VAR24 (VAR23), .VAR51 (VAR39), .VAR2 () ); assign VAR59 = (VAR39 >= 254); VAR60 VAR76 ( .VAR18 (reset || VAR44), .VAR7 (VAR6), .VAR77 (clk), .VAR17 (VAR37), .VAR20 (clk), .VAR75 (VAR61), .VAR81 (VAR21), .VAR24 (VAR8), .VAR2 (VAR10), .VAR13 (VAR50) ); assign VAR48 = (VAR50 >= 500); end endgenerate assign VAR6 = {VAR55, VAR71}; assign {VAR73, VAR65} = VAR80; always @(posedge clk) begin if(reset) begin VAR45 <= 1'VAR22 0; VAR83 <= 1'VAR22 0; end else begin VAR83 <= (VAR70 && (|VAR27) && VAR67); VAR45 <= VAR61 && (| VAR55); end end assign VAR19 = (VAR37 && (|VAR85) && VAR62); assign VAR25 = (| VAR73) && VAR63; assign VAR37 = (| VAR78) & VAR5 ; assign VAR41 = VAR37; assign VAR31 = !VAR59; assign VAR61 = VAR54 && (!VAR10); assign VAR63 = VAR86 && (!VAR23); always @(posedge clk) begin if(reset) begin VAR62 <= 1'VAR22 0; VAR67 <= 1'VAR22 0; VAR32 <= 'VAR38 0; VAR78 <= 'VAR38 0; VAR84 <= 1'VAR22 0; VAR53 <= 1'VAR22 0; end else begin VAR62 <= VAR37 ? (VAR85==0) : VAR62; VAR67 <= VAR70 ? (VAR27==0) : VAR67; case ({VAR25, VAR83}) 2'VAR22 10: VAR32 <= VAR32 - 'VAR38 1; 2'VAR22 01: VAR32 <= VAR32 + 'VAR38 1; endcase if (VAR44) VAR78 <= 'h0; end else begin case ({VAR19, VAR45}) 2'VAR22 10: VAR78 <= VAR78 - 'VAR38 1; 2'VAR22 01: VAR78 <= VAR78 + 'VAR38 1; endcase end VAR84 <= (| VAR32); VAR53 <= VAR48; end end always @(posedge clk) begin if (reset || VAR61 || VAR37) begin VAR15 <= VAR56; VAR44 <= 1'b0; end else begin if (!VAR8) begin if (VAR15 > 0) begin VAR15 <= VAR15 - 'h1; end end VAR44 <= (VAR15 == 'h0) && !VAR8 && (VAR78 == 'h0) && !VAR44; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dfbbn/sky130_fd_sc_ms__dfbbn.symbol.v
1,485
module MODULE1 ( input VAR3 , output VAR10 , output VAR1 , input VAR7, input VAR6 , input VAR5 ); supply1 VAR8; supply0 VAR2; supply1 VAR9 ; supply0 VAR4 ; endmodule
apache-2.0
P3Stor/P3Stor
pcie/app/pcie_2_0_ep.v
17,610
module MODULE1 #( parameter VAR87 = "VAR15" ) ( output [7:0] VAR36, output [7:0] VAR42, input [7:0] VAR123, input [7:0] VAR41, output VAR136, input VAR79, input VAR69, output VAR92, output [127:0] VAR128, input VAR116, input VAR81, output VAR30, input [127:0] VAR51, input VAR29, input VAR119, output VAR145, output [127:0] VAR74, input VAR34, input VAR53, input VAR104, output VAR1, input [127:0] VAR101, output VAR2, output VAR75, output VAR89, input VAR130, input VAR110, input VAR38 ); wire VAR115; wire VAR126; wire VAR135; wire [5:0] VAR86; wire VAR80; wire VAR83; wire VAR90; wire [127:0] VAR17; wire [1:0] VAR46; wire VAR76; wire VAR133; wire VAR148; wire VAR20; wire VAR137; wire VAR129; wire VAR124; wire [127:0] VAR24; wire [1:0] VAR127; wire VAR98; wire VAR35; wire VAR26; wire VAR23; wire VAR91; wire [6:0] VAR50; wire VAR95; wire VAR96; wire [11:0] VAR85; wire [7:0] VAR84; wire [11:0] VAR43; wire [7:0] VAR112; wire [11:0] VAR105; wire [7:0] VAR63; wire [2:0] VAR9; wire [31:0] VAR100; wire VAR99; wire [31:0] VAR25; wire [3:0] VAR131; wire [9:0] VAR4; wire VAR22; wire VAR14; wire VAR18; wire VAR141; wire VAR71; wire VAR94; wire VAR40; wire VAR56; wire VAR108; wire VAR61; wire [47:0] VAR147; wire VAR65; wire VAR117; wire VAR146; wire VAR12; wire [7:0] VAR10; wire [7:0] VAR64; wire [2:0] VAR7; wire VAR82; wire VAR60; wire VAR66; wire VAR16; wire VAR93; wire VAR97; wire VAR68; wire [7:0] VAR59; wire [4:0] VAR77; wire [2:0] VAR121; wire [15:0] VAR111; wire [15:0] VAR125; wire [15:0] VAR62; wire [15:0] VAR73; wire [15:0] VAR5; wire [15:0] VAR118; wire [15:0] VAR114; wire [2:0] VAR32; wire [63:0] VAR28; wire [2:0] VAR33; wire [1:0] VAR102; wire VAR149; wire VAR109; wire VAR107; wire [5:0] VAR103; wire VAR52; wire VAR142; wire [1:0] VAR39; wire VAR47; wire [1:0] VAR57; wire VAR6; wire [1:0] VAR67; wire VAR54; wire VAR144; wire VAR132; assign VAR136 = VAR115; VAR49 VAR140 (.VAR37(VAR144), .VAR58(), .VAR113(VAR130), .VAR45(VAR110), .VAR8(1'b0)); VAR13 VAR88 (.VAR37(VAR132), .VAR113(VAR38)); VAR106 VAR139 (.VAR37(VAR2), .VAR113(VAR132)); VAR106 VAR120 (.VAR37(VAR75), .VAR113(VAR126)); VAR106 VAR72 (.VAR37(VAR89), .VAR113(VAR135)); VAR48 #( .VAR19(1'b1) ) VAR44 ( .VAR55 (VAR135), .VAR134 (VAR122), .VAR143 (VAR115), .VAR21 (1'b0), .VAR70 (1'b0) ); VAR48 #( .VAR19(1'b1) ) VAR11 ( .VAR55 (VAR126), .VAR134 (VAR138), .VAR143 (VAR115), .VAR21 (1'b0), .VAR70 (1'b0) ); VAR150 #( .VAR87 ( VAR87 ) ) VAR3 ( .VAR36( VAR36 ), .VAR42( VAR42 ), .VAR123( VAR123 ), .VAR41( VAR41 ), .VAR115( VAR115 ), .VAR126( VAR138 ), .VAR135( VAR122 ), .VAR86( VAR86 ), .VAR83( VAR83 ), .VAR90( VAR90 ), .VAR17( VAR17 ), .VAR46( VAR46 ), .VAR76( VAR76 ), .VAR133( VAR133 ), .VAR148( VAR148 ), .VAR20( VAR20 ), .VAR137( VAR137 ), .VAR124( VAR124 ), .VAR24( VAR24 ), .VAR127( VAR127 ), .VAR98( VAR98 ), .VAR35( VAR35 ), .VAR26( VAR26 ), .VAR23( VAR23 ), .VAR91( VAR91 ), .VAR50( VAR50 ), .VAR95( VAR95 ), .VAR96( VAR96 ), .VAR85( VAR85 ), .VAR84( VAR84 ), .VAR43( VAR43 ), .VAR112( VAR112 ), .VAR105( VAR105 ), .VAR63( VAR63 ), .VAR9( VAR9 ), .VAR100( VAR100 ), .VAR99( VAR99), .VAR25( VAR25 ), .VAR131( VAR131 ), .VAR4( VAR4 ), .VAR22( VAR22 ), .VAR14( VAR14 ), .VAR18( VAR18 ), .VAR141( VAR141 ), .VAR71( VAR71 ), .VAR94( VAR94 ), .VAR40( VAR40 ), .VAR56( VAR56 ), .VAR108( VAR108 ), .VAR61( VAR61 ), .VAR147( VAR147 ), .VAR65( VAR65 ), .VAR117( VAR117 ), .VAR146( VAR146 ), .VAR12( VAR12 ), .VAR10( VAR10 ), .VAR64( VAR64 ), .VAR7( VAR7 ), .VAR82( VAR82 ), .VAR60( VAR60 ), .VAR66( VAR66 ), .VAR16( VAR16 ), .VAR93( VAR93 ), .VAR97( VAR97 ), .VAR68( VAR68 ), .VAR59( VAR59 ), .VAR77( VAR77 ), .VAR121( VAR121 ), .VAR111( VAR111 ), .VAR125( VAR125 ), .VAR62( VAR62 ), .VAR73( VAR73 ), .VAR5( VAR5 ), .VAR118( VAR118 ), .VAR114( VAR114 ), .VAR32( VAR32 ), .VAR28( VAR28 ), .VAR33( VAR33 ), .VAR102( VAR102 ), .VAR149( VAR149 ), .VAR109( VAR109 ), .VAR107( VAR107 ), .VAR103( VAR103 ), .VAR52( VAR52 ), .VAR142( VAR142 ), .VAR39( VAR39 ), .VAR47( VAR47 ), .VAR57( VAR57 ), .VAR6( VAR6 ), .VAR67( VAR67 ), .VAR54( VAR54 ), .VAR27( VAR144 ), .VAR38( VAR132 ) ); VAR31 VAR78 ( .VAR115( VAR115 ), .VAR126( VAR138 ), .VAR135( VAR122 ), .VAR86( VAR86 ), .VAR80( VAR80 ), .VAR83( VAR83 ), .VAR90( VAR90 ), .VAR17( VAR17 ), .VAR46( VAR46 ), .VAR76( VAR76 ), .VAR133( VAR133 ), .VAR148( VAR148 ), .VAR20( VAR20 ), .VAR137( VAR137 ), .VAR129( VAR129 ), .VAR124( VAR124 ), .VAR24( VAR24 ), .VAR127( VAR127 ), .VAR98( VAR98 ), .VAR35( VAR35 ), .VAR26( VAR26 ), .VAR23( VAR23 ), .VAR91( VAR91 ), .VAR50( VAR50 ), .VAR95( VAR95 ), .VAR96( VAR96 ), .VAR85( VAR85 ), .VAR84( VAR84 ), .VAR43( VAR43 ), .VAR112( VAR112 ), .VAR105( VAR105 ), .VAR63( VAR63 ), .VAR9( VAR9 ), .VAR79(VAR79), .VAR69(VAR69), .VAR92(VAR92), .VAR128(VAR128), .VAR116(VAR116), .VAR81(VAR81), .VAR30(VAR30), .VAR51(VAR51), .VAR29(VAR29), .VAR119(VAR119), .VAR145(VAR145), .VAR74(VAR74), .VAR34(VAR34), .VAR53(VAR53), .VAR104(VAR104), .VAR1(VAR1), .VAR101(VAR101), .VAR100( VAR100 ), .VAR99( VAR99), .VAR25( VAR25 ), .VAR131( VAR131 ), .VAR4( VAR4 ), .VAR22( VAR22 ), .VAR14( VAR14 ), .VAR18( VAR18 ), .VAR141( VAR141 ), .VAR71( VAR71 ), .VAR94( VAR94 ), .VAR40( VAR40 ), .VAR56( VAR56 ), .VAR108( VAR108 ), .VAR61( VAR61 ), .VAR147( VAR147 ), .VAR65( VAR65 ), .VAR117( VAR117 ), .VAR146( VAR146 ), .VAR12( VAR12 ), .VAR10( VAR10 ), .VAR64( VAR64 ), .VAR7( VAR7 ), .VAR82( VAR82 ), .VAR60( VAR60 ), .VAR66( VAR66 ), .VAR16( VAR16 ), .VAR93( VAR93 ), .VAR97( VAR97 ), .VAR68( VAR68 ), .VAR59( VAR59 ), .VAR77( VAR77 ), .VAR121( VAR121 ), .VAR111( VAR111 ), .VAR125( VAR125 ), .VAR62( VAR62 ), .VAR73( VAR73 ), .VAR5( VAR5 ), .VAR118( VAR118 ), .VAR114( VAR114 ), .VAR32( VAR32 ), .VAR28( VAR28 ), .VAR33( VAR33 ), .VAR102( VAR102 ), .VAR149( VAR149 ), .VAR109( VAR109 ), .VAR107( VAR107 ), .VAR103( VAR103 ), .VAR52( VAR52 ), .VAR142( VAR142 ), .VAR39( VAR39 ), .VAR47( VAR47 ), .VAR57( VAR57 ), .VAR6( VAR6 ), .VAR67( VAR67 ), .VAR54( VAR54 ) ); endmodule
gpl-2.0
Marcoslz22/Tercer_Proyecto
list_ch09_03_kb_code.v
1,249
module MODULE1 ( input wire clk, reset,VAR7, input wire [7:0] VAR3, output reg VAR6 ); localparam VAR4 = 8'hf0; localparam VAR5 = 1'b0, VAR1 = 1'b1; reg VAR8, VAR2; always @(posedge clk, posedge reset) if (reset) VAR8 <= VAR5; else VAR8 <= VAR2; always @* begin VAR6 = 1'b0; VAR2 = VAR8; case (VAR8) VAR5: if (VAR7==1'b1 && VAR3==VAR4) VAR2 = VAR1; VAR1: if (VAR7) begin VAR6 =1'b1; VAR2 = VAR5; end endcase end endmodule
mit
GSejas/Dise-o-ASIC-FPGA-FPU
my_sourcefiles/Source_Files/FPU_Interface/fpmult_arch2/Deco_Round_Mult.v
1,344
module MODULE1( input wire [1:0] VAR1, input wire VAR5, input wire VAR2, output reg VAR3 ); wire VAR4; always @* case ({VAR2,VAR5,VAR1}) 4'b0101: VAR3 <= 1'b0; 4'b1101: VAR3 <= 1'b1; 4'b0110: VAR3 <= 1'b1; 4'b1110: VAR3 <= 1'b0; default: VAR3 <= 1'b0; endcase endmodule
gpl-3.0
mamijaz/RISC-V
src/riscv_instruction_cache/INSTRUCTION_CACHE.v
19,913
module MODULE1 #( parameter VAR61 = 32 , parameter VAR10 = 32 , parameter VAR62 = 64*1024 , parameter VAR13 = 4 , parameter VAR32 = 16 , parameter VAR39 = 1'b1 , parameter VAR24 = 1'b0 , localparam VAR27 = VAR32 * VAR13 , localparam VAR69 = VAR27 * 8 , localparam VAR18 = VAR62 / (2 * VAR27) , localparam VAR4 = VAR14(VAR13-1) , localparam VAR44 = VAR14(VAR32-1) , localparam VAR52 = VAR14(VAR18-1) , localparam VAR59 = VAR61 - ( VAR52 + VAR44 + VAR4 ) ) ( input VAR68 , input VAR17 , input [VAR61 - 1 : 0] VAR15 , input VAR49 , output [VAR61 - 1 : 0] VAR64 , output VAR2 , input VAR21 , output VAR29 , output [VAR59 + VAR52 - 1 : 0] VAR42 , output VAR67 , input VAR60 , input [VAR69 - 1 : 0] VAR19 ); reg [VAR61 - 1 : 0] VAR3 ; reg VAR20 ; reg [VAR61 - 1 : 0] VAR56 ; reg VAR43 ; reg VAR11 ; reg VAR55 ; reg [VAR59 - 1 : 0] VAR26 ; reg [VAR59 - 1 : 0] VAR12 ; reg VAR63 ; reg VAR5 ; reg [VAR10 - 1 : 0] VAR65 ; wire [VAR52 - 1 : 0] VAR53 ; wire [VAR59 + VAR52 - 1 : 0] VAR9 ; wire VAR8 ; wire [VAR59 - 1 : 0] VAR38 ; wire [VAR52 - 1 : 0] VAR28 ; wire [VAR59 + VAR52 - 1 : 0] VAR71 ; wire [VAR59 - 1 : 0] VAR46 ; wire [VAR52 - 1 : 0] VAR50 ; wire [VAR59 - 1 : 0] VAR45 ; wire [VAR59 - 1 : 0] VAR54 ; wire VAR72 ; wire VAR31 ; wire VAR51 ; wire VAR36 ; wire [VAR69 - 1 : 0] VAR41 ; wire [VAR69 - 1 : 0] VAR47 ; wire [VAR69 - 1 : 0] VAR6 ; wire VAR35 ; wire VAR66 ; wire VAR7 ; wire [VAR69 - 1 : 0] VAR23 ; wire [VAR69 - 1 : 0] VAR40 ; wire [VAR69 - 1 : 0] VAR30 ; wire [VAR44 - 1 : 0] VAR37 ; wire [VAR10 - 1 : 0] VAR34 ; wire [VAR59 + VAR52 - 1 : 0] VAR57 ; wire [VAR69 - 1 : 0] VAR1 ; wire VAR58 ; wire [VAR69 - 1 : 0] VAR22 ; wire [VAR69 - 1 : 0] VAR70 ; wire VAR48 ; wire VAR25 ; wire VAR16 ; wire VAR33 ; assign VAR9 = VAR15[VAR61 - 1 : VAR61 - VAR59 - VAR52 ] ; assign VAR53 = VAR15[VAR61 - VAR59 - 1 : VAR61 - VAR59 - VAR52 ] ; assign VAR8 = VAR49 & VAR2 & !VAR17 ; assign VAR38 = VAR3[VAR61 - 1 : VAR61 - VAR59 ] ; assign VAR28 = VAR3[VAR61 - VAR59 - 1 : VAR61 - VAR59 - VAR52 ] ; assign VAR51 = (VAR45 == VAR38) & VAR72 ; assign VAR36 = (VAR54 == VAR38) & VAR31 ; assign VAR66 = VAR63 | VAR5 ; assign VAR71 = VAR56[VAR61 - 1 : VAR61 - VAR59 - VAR52 ] ; assign VAR46 = VAR56[VAR61 - 1 : VAR61 - VAR59 ] ; assign VAR50 = VAR56[VAR61 - VAR59 - 1 : VAR61 - VAR59 - VAR52 ] ; assign VAR37 = VAR56[VAR61 - VAR59 - VAR52 - 1 : VAR61 - VAR59 - VAR52 - VAR44 ] ; begin begin begin
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/xnor2/sky130_fd_sc_hs__xnor2.pp.blackbox.v
1,248
module MODULE1 ( VAR2 , VAR1 , VAR5 , VAR4, VAR3 ); output VAR2 ; input VAR1 ; input VAR5 ; input VAR4; input VAR3; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand3/sky130_fd_sc_ls__nand3.functional.v
1,291
module MODULE1 ( VAR6, VAR3, VAR4, VAR7 ); output VAR6; input VAR3; input VAR4; input VAR7; wire VAR1; nand VAR2 (VAR1, VAR4, VAR3, VAR7 ); buf VAR5 (VAR6 , VAR1 ); endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/riffa/tx_hdr_fifo.v
7,751
module MODULE1( parameter VAR36 = 128, parameter VAR26 = 1, parameter VAR35 = 1, parameter VAR45 = "VAR30" ) ( input VAR9, input VAR38, input VAR33, input [(VAR36)-1:0] VAR43, input [VAR54-1:0] VAR32, input [VAR10-1:0] VAR55, input [VAR24-1:0] VAR1, input VAR42, output VAR11, output VAR48, output [(VAR36)-1:0] VAR18, output [VAR54-1:0] VAR34, output [VAR10-1:0] VAR7, output [VAR24-1:0] VAR17, output VAR29, input VAR56 ); localparam VAR8 = (VAR36) + VAR10 + VAR24 + 1 + VAR54; wire VAR14; wire VAR21; wire VAR58; wire [(VAR36)-1:0] VAR6; wire [VAR10-1:0] VAR37; wire [VAR24-1:0] VAR57; wire [VAR54-1:0] VAR19; wire VAR27; wire VAR12; wire VAR39; wire [VAR36-1:0] VAR16; wire [VAR10-1:0] VAR51; wire [VAR24-1:0] VAR28; wire [VAR54-1:0] VAR3; wire VAR40; assign VAR14 = VAR38; VAR20 .VAR52 (VAR35?1:0), .VAR22 (0), .VAR8 (VAR8)) VAR47 ( .VAR25 (VAR11), .VAR46 ({VAR6,VAR37,VAR57,VAR19,VAR27}), .VAR44 (VAR58), .VAR50 ({VAR43,VAR55,VAR1,VAR32,VAR42}), .VAR23 (VAR33), .VAR5 (VAR21), .VAR9 (VAR9), .VAR38 (VAR38)); VAR13 .VAR49 (0), .VAR8 (VAR8), .VAR52 (VAR59)) VAR31 ( .VAR46 ({VAR16,VAR51,VAR28,VAR3,VAR40}), .VAR53 (VAR21), .VAR15 (VAR39), .VAR50 ({VAR6,VAR37,VAR57,VAR19,VAR27}), .VAR41 (VAR58), .VAR2 (VAR12), .VAR9 (VAR9), .VAR14 (VAR14)); VAR20 .VAR52 (VAR26?1:0), .VAR22 (0), .VAR8 (VAR8)) VAR4 ( .VAR25 (VAR12), .VAR46 ({VAR18,VAR7,VAR17,VAR34,VAR29}), .VAR44 (VAR48), .VAR50 ({VAR16,VAR51,VAR28,VAR3,VAR40}), .VAR23 (VAR39), .VAR5 (VAR56), .VAR9 (VAR9), .VAR38 (VAR38)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/inv/sky130_fd_sc_ms__inv_8.v
1,995
module MODULE1 ( VAR2 , VAR8 , VAR5, VAR1, VAR7 , VAR3 ); output VAR2 ; input VAR8 ; input VAR5; input VAR1; input VAR7 ; input VAR3 ; VAR4 VAR6 ( .VAR2(VAR2), .VAR8(VAR8), .VAR5(VAR5), .VAR1(VAR1), .VAR7(VAR7), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR2, VAR8 ); output VAR2; input VAR8; supply1 VAR5; supply0 VAR1; supply1 VAR7 ; supply0 VAR3 ; VAR4 VAR6 ( .VAR2(VAR2), .VAR8(VAR8) ); endmodule
apache-2.0
tinkercnc/spi-fpga-driver
pluto_spi_servo_firmware/spi_servo_rspi-opendrain.v
8,633
module MODULE1(in, out); input in; output out; assign out = in ? 1'VAR19 : 1'b0; endmodule module MODULE2(clk, VAR45, VAR36, VAR39, VAR29, VAR57, VAR3, VAR4, VAR63, VAR62, VAR60, VAR50, VAR15, VAR17, dout, din); parameter VAR51=14; input clk; input VAR45, VAR29, VAR36, VAR4; output VAR39, VAR3, VAR63; output VAR57; output [3:0] VAR17 = 4'VAR49; output [3:0] VAR15 = 4'VAR49; input [7:0] din; input [3:0] VAR62; input [3:0] VAR60; input [3:0] VAR50; assign VAR3 = VAR4; assign VAR63 = 1'b1; reg[9:0] VAR6; output [9:0] dout = 10'VAR44; MODULE1 MODULE2[9:0](VAR6, dout); wire[3:0] VAR66; MODULE1 MODULE3[3:0](VAR66, VAR17); wire[3:0] VAR5; MODULE1 MODULE1[3:0](VAR5, VAR15); reg VAR41; wire VAR33; reg [10:0] VAR38; wire [10:0] VAR56 = 11'd2047; assign VAR33 = (VAR38 == VAR56); reg [15:0] VAR22, VAR52, VAR20, VAR28; always @(posedge clk) begin if(VAR33) VAR38 <= 0; end else VAR38 <= VAR38 + 11'd1; end wire [10:0] VAR26 = { VAR38[4], VAR38[5], VAR38[6], VAR38[7], VAR38[8], VAR38[9], VAR38[10], VAR38[3:0]}; wire [10:0] VAR7 = VAR22[14] ? VAR26 : VAR38; wire VAR59 = VAR22[10:0] > VAR7; wire VAR9 = VAR52[10:0] > VAR7; wire VAR10 = VAR20[10:0] > VAR7; wire VAR30 = VAR28[10:0] > VAR7; assign VAR5[0] = VAR22[12] ^ (VAR22[15] ? 1'd0 : VAR59); assign VAR5[1] = VAR52[12] ^ (VAR52[15] ? 1'd0 : VAR9); assign VAR5[2] = VAR20[12] ^ (VAR20[15] ? 1'd0 : VAR10); assign VAR5[3] = VAR28[12] ^ (VAR28[15] ? 1'd0 : VAR30); assign VAR66[0] = VAR22[13] ^ (~VAR22[15] ? 1'd0 : VAR59); assign VAR66[1] = VAR52[13] ^ (~VAR52[15] ? 1'd0 : VAR9); assign VAR66[2] = VAR20[13] ^ (~VAR20[15] ? 1'd0 : VAR10); assign VAR66[3] = VAR28[13] ^ (~VAR28[15] ? 1'd0 : VAR30); reg VAR47; wire [2*VAR51:0] VAR53, VAR43, VAR40, VAR1; wire VAR21, VAR34, VAR67, VAR48; VAR25 VAR65(clk, VAR62[0], VAR60[0], VAR50[0]^VAR41, VAR21, VAR53); VAR25 VAR64(clk, VAR62[1], VAR60[1], VAR50[1]^VAR41, VAR34, VAR43); VAR25 VAR23(clk, VAR62[2], VAR60[2], VAR50[2]^VAR41, VAR67, VAR40); VAR25 VAR18(clk, VAR62[3], VAR60[3], VAR50[3]^VAR41, VAR48, VAR1); reg [2:0] VAR54; always @(posedge clk) VAR54 <= {VAR54[1:0], VAR45}; wire VAR55 = (VAR54[2:1]==2'b01); wire VAR2 = (VAR54[2:1]==2'b10); wire VAR58 = VAR54[1]; reg [2:0] VAR27; always @(posedge clk) VAR27 <= {VAR27[1:0], VAR29}; wire VAR14 = ~VAR27[1]; wire VAR46 = (VAR27[2:1]==2'b10); wire VAR37 = (VAR27[2:1]==2'b01); wire VAR31 = VAR36; reg [2:0] VAR24; reg VAR42; reg [4:0] VAR13; reg [7:0] VAR11; reg [7:0] VAR16; reg [7:0] VAR8; always @(posedge clk) begin if(VAR46) begin VAR24 <= 3'b000; VAR13 <= 5'b00000; end if(VAR14) begin if(VAR55) begin VAR11 <= {VAR11[6:0], VAR31}; VAR24 <= VAR24 + 3'b001; if(VAR24==3'b000) VAR16 <= VAR8; end else if(VAR2) begin VAR16 <= {VAR16[6:0], 1'b0}; if(VAR24==3'b000) begin VAR13 <= VAR13 + 5'b00001; end end VAR42 <= VAR55 && (VAR24==3'b111); end end assign VAR39 = VAR16[7]; reg [7:0] VAR35; always @(posedge clk) begin if(VAR14) begin if(VAR13 == 5'b00000) begin VAR8 <= VAR53[7:0]; if(VAR42) VAR35 <= VAR11; end else if(VAR13 == 5'b00001) begin VAR8 <= VAR53[15:8]; if(VAR42) VAR22 <= {VAR11,VAR35}; end else if(VAR13 == 5'b00010) begin VAR8 <= VAR53[23:16]; if(VAR42) VAR35 <= VAR11; end else if(VAR13 == 5'b00011) begin VAR8 <= {4'b0, VAR53[27:24]}; if(VAR42) VAR52 <= {VAR11,VAR35}; end else if(VAR13 == 5'b00100) begin VAR8 <= VAR43[7:0]; if(VAR42) VAR35 <= VAR11; end else if(VAR13 == 5'b00101) begin VAR8 <= VAR43[15:8]; if(VAR42) VAR20 <= {VAR11,VAR35}; end else if(VAR13 == 5'b00110) begin VAR8 <= VAR43[23:16]; if(VAR42) VAR35 <= VAR11; end else if(VAR13 == 5'b00111) begin VAR8 <= {4'b0, VAR43[27:24]}; if(VAR42) VAR28 <= {VAR11,VAR35}; end else if(VAR13 == 5'b01000) begin VAR8 <= VAR40[7:0]; if(VAR42) VAR35 <= VAR11; end else if(VAR13 == 5'b01001) begin VAR8 <= VAR40[15:8]; if(VAR42) begin VAR6 <= {VAR11[1:0],VAR35}; VAR41 <= VAR11[7]; VAR47 <= VAR11[5]; end end else if(VAR13 == 5'b01010) VAR8 <= VAR40[23:16]; else if(VAR13 == 5'b01011) VAR8 <= {4'b0, VAR40[27:24]}; else if(VAR13 == 5'b01100) VAR8 <= VAR1[7:0]; end else if(VAR13 == 5'b01101) VAR8 <= VAR1[15:8]; end else if(VAR13 == 5'b01110) VAR8 <= VAR1[23:16]; else if(VAR13 == 5'b01111) VAR8 <= {4'b0, VAR1[27:24]}; else if(VAR13 == 5'b10000) VAR8 <= din; else if(VAR13 == 5'b10001) VAR8 <= {VAR60, VAR50}; else if(VAR13 == 5'b10010) VAR8 <= {4'b0, VAR62}; else if(VAR13 == 5'b10011) VAR8 <= 8'b0; else VAR8 <= VAR13; end end assign VAR57 = (VAR5[0] ^ VAR66[0]); endmodule
gpl-2.0
ankitshah009/High-Radix-Adaptive-CORDIC
HCORDIC/FSM.v
8,734
module MODULE1( input [31:0] VAR1, input [31:0] VAR27, input [31:0] VAR11, input [31:0] VAR20, input [31:0] VAR22, input [31:0] VAR26, input [31:0] VAR8, input [31:0] VAR25, input [31:0] VAR14, input [31:0] VAR2, input [31:0] VAR13, input [31:0] VAR7, input [31:0] VAR23, input [1:0] VAR31, input VAR24, input VAR28, input VAR3, input VAR19, input VAR10, output reg VAR15, output reg [7:0] address, output reg [31:0] VAR5, output reg [31:0] VAR30, output reg [31:0] VAR9, output reg VAR12, output reg [31:0] VAR18, output reg [31:0] VAR16, output reg [31:0] VAR4, output reg [31:0] VAR29, input VAR21 ); reg [7:0] VAR6; reg VAR17; always @ (*) begin if (VAR24 == 1'b1) begin VAR6 <= 8'b01111111 - VAR11[30:23]; end else if (VAR24 == 1'b0) begin VAR6 <= VAR1[30:23] - VAR27[30:23]; end end always @ (posedge VAR28) begin if ((VAR10 == 1'b1 || VAR3 == 1'b1 || VAR19 == 1'b1) && VAR15 == 1'b1) begin if ((VAR24 == 1'b0) && (VAR31 == 2'b00 || (VAR6 <= 8'b11110011 && VAR6 > 8'b10000000))) begin VAR9 <= VAR23; VAR9[30:23] <= VAR6 + 8'b01111111; VAR5 <= VAR13; VAR5[30:23] <= VAR6 + 8'b01111111; VAR15 <= 1'b0; VAR12 <= 1'b1; end else if (VAR24 == 1'b1) begin VAR5[31] <= ~VAR11[31]; VAR9[31] <= ~VAR11[31]; VAR5[30:0] <= VAR26[30:0]; VAR9[30:0] <= VAR8[30:0]; VAR30 <= VAR22; VAR15 <= 1'b0; VAR12 <= 1'b1; end else begin VAR5 <= VAR14; VAR9 <= VAR2; VAR30 <= VAR25; VAR15 <= 1'b0; VAR12 <= 1'b1; end end if (VAR21 == 1'b1) begin VAR12 <= 1'b0; end if (VAR24 == 1'b1) begin if (VAR11[30:23] <= 8'b01110000) begin VAR17 <= 1'b1; VAR18 <= VAR1; VAR16 <= VAR27; VAR4 <= VAR11; VAR29 <= VAR20; end else if (VAR31 == 2'b00) begin VAR5[30:0] <= VAR11[30:0]; VAR9[30:0] <= VAR11[30:0]; VAR5[31] <= ~VAR11[31]; VAR9[31] <= ~VAR11[31]; VAR30 <= 32'h3F800000; VAR12 <= 1'b1; end else if (VAR31 == 2'b11 && VAR11[30:23] >= 8'b01111111) begin VAR5 <= 32'hBF800000; VAR9 <= 32'hBF42F7D6; VAR30 <= 32'h3FC583AB; VAR12 <= 1'b1; end else if (VAR31 == 2'b01 && VAR11[30:23] >= 8'b01111111) begin VAR5 <= 32'hBF800000; VAR9 <= 32'hBFC75923; VAR30 <= 32'h3FECE788; VAR12 <= 1'b1; end else if (VAR31 != 2'b00 && VAR11[30:23] < 8'b01111111 && VAR11[30:23] > 8'b01110011) begin address[7:4] <= VAR6[3:0]; address[3:0] <= VAR11[22:19]; VAR15 <= 1'b1; end else if (VAR31 != 2'b00 && VAR11[30:23] <= 8'b01110011) begin VAR5[30:0] <= VAR11[30:0]; VAR9[30:0] <= VAR11[30:0]; VAR5[31] <= ~VAR11[31]; VAR9[31] <= ~VAR11[31]; VAR30 <= 32'h3F800000; VAR12 <= 1'b1; end end else if (VAR24 == 1'b0) begin if (VAR11[30:23] <= 8'b01110000) begin VAR17 <= 1'b1; end else if (VAR31 == 2'b00) begin address[7:4] <= VAR1[22:19]; address[3:0] <= VAR27[22:19]; VAR30 <= 32'h3F8; VAR15 <= 1'b1; end else if (VAR31 != 2'b00 && VAR6 > 8'b11110011 && VAR6 <= 8'b11111111) begin address[7:4] <= VAR6[3:0]; address[3:2] <= VAR1[22:21]; address[1:0] <= VAR27[22:21]; VAR15 <= 1'b1; end else if (VAR31 != 2'b00 && VAR6 <= 8'b11110011 && VAR6 > 8'b10000000) begin address[7:4] <= VAR1[22:19]; address[3:0] <= VAR27[22:19]; VAR30 <= 32'h3F8; VAR15 <= 1'b1; end else if (VAR31 == 2'b11 && VAR6 <= 8'b10000000) begin VAR9 <= 32'h3F733333; VAR5 <= 32'h3FEA77CB; VAR30 <= 32'h3E9FDF38; VAR12 <= 1'b1; end else if (VAR31 == 2'b01 && VAR6 <= 8'b10000000) begin VAR9 <= 32'h3F8; VAR5 <= 32'h3F490FDB; VAR30 <= 32'h3FB504F4; VAR12 <= 1'b1; end end end endmodule
apache-2.0
dvanmali/Superscalar_Pipeline_Processor
execute.v
2,081
module MODULE1(clk, VAR66,VAR39, VAR34,VAR45, VAR22,VAR23, VAR50,VAR35,VAR2,VAR13,VAR4,VAR62,VAR65,VAR60,VAR30,VAR57,VAR20,VAR41, VAR67,VAR63,VAR29,VAR19,VAR52,VAR24,VAR25,VAR17,VAR58,VAR46,VAR42,VAR49,VAR38,VAR61, VAR59,VAR32,VAR36,VAR15,VAR7,VAR9); input clk, VAR29,VAR19, VAR25,VAR17, VAR66,VAR39, VAR52,VAR24; input [4:0] VAR34,VAR45, VAR22, VAR23,VAR50,VAR35; input [31:0] VAR2,VAR13, VAR4,VAR62, VAR65,VAR60, VAR30,VAR57, VAR20,VAR41; input [2:0] VAR67,VAR63; input [2:0] VAR58,VAR46, VAR42,VAR49; output [4:0] VAR38,VAR61; output [31:0] VAR59,VAR32, VAR36,VAR15; output VAR7,VAR9; wire [31:0] VAR27,VAR5,VAR40,VAR56, VAR12,VAR11, VAR64,VAR43; wire VAR48,VAR47; wire [63:0] VAR10,VAR14; assign VAR64 = VAR10[31:0]; assign VAR43 = VAR14[31:0]; VAR26 #(5) VAR1(VAR22,VAR50,VAR25,VAR38); VAR31 #(32) VAR54(VAR4,VAR30,VAR20,VAR41,VAR57,VAR58,VAR27); VAR31 #(32) VAR16(VAR65,VAR30,VAR20,VAR41,VAR57,VAR42,VAR36); VAR26 #(32) VAR55(VAR36,VAR2,VAR29,VAR40); alu alu(VAR27, VAR40, VAR66, VAR67, VAR12, VAR48); VAR3 VAR44(clk, VAR66, VAR52, VAR27, VAR40, VAR10, VAR7); VAR26 #(32) VAR18(VAR12, VAR64, VAR52, VAR59); VAR26 #(5) VAR28(VAR23,VAR35,VAR17,VAR61); VAR37 #(32) VAR21(VAR62,VAR57,VAR41,VAR59,VAR20,VAR30,VAR46,VAR5); VAR37 #(32) VAR33(VAR60,VAR57,VAR41,VAR59,VAR20,VAR30,VAR49,VAR15); VAR26 #(32) VAR6(VAR15,VAR13,VAR19,VAR56); alu VAR51(VAR5, VAR56, VAR39, VAR63, VAR11, VAR47); VAR3 VAR8(clk, VAR39, VAR24, VAR5, VAR56, VAR14, VAR9); VAR26 #(32) VAR53(VAR11, VAR43, VAR24, VAR32); endmodule
apache-2.0
FAST-Switch/fast
projects/SDTS/example/hw-src/top_mdio/mdio_mdc.v
6,072
module MODULE1( input reset, input clk, output VAR25, inout VAR10, input VAR29, input [1:0] VAR28, input [4:0] VAR30, input [4:0] VAR17, input [15:0] VAR20, output VAR3, output reg [15:0] VAR6, output VAR27 ); wire VAR19;assign VAR19 = ((state==VAR15)&&(VAR16 == 1'b0)); wire VAR23;assign VAR23 = ( (!VAR3) || VAR19 || VAR26 ) ? 1'b1 : 1'b0; assign VAR25 = clk; assign VAR10 = (VAR23) ? 1'VAR5 : VAR21; wire VAR22; assign VAR22 = VAR10; reg [2:0] state; reg [4:0] VAR9; parameter VAR2=3'd0, VAR13=3'd1, VAR11=3'd2, VAR1=3'd3, VAR12=3'd4, VAR4=3'd5, VAR15=3'd6, VAR24=3'd7; wire VAR18; wire VAR7;wire VAR8; assign VAR7 = (state == VAR2) && (VAR29 == 1); assign VAR8 = (state != VAR2) && (VAR9==0); assign VAR18 = VAR7 || VAR8; always @(posedge clk or negedge reset) begin if(!reset) begin VAR9<=0; state<=VAR2; end else begin if(VAR9!= 5'd0) begin VAR9 <= VAR9-5'd1; end else begin VAR9 <= VAR9; end if(VAR18 == 1'b1) begin case(state) VAR2: begin VAR9<=5'd7; state<=VAR13; end VAR13: begin VAR9<=5'd1; state<=VAR11; end VAR11: begin VAR9<=5'd1; state<=VAR1; end VAR1: begin VAR9<=5'd4; state<=VAR12; end VAR12: begin VAR9<=5'd4; state<=VAR4; end VAR4: begin VAR9<=5'd1; state<=VAR15; end VAR15: begin VAR9<=5'd15; state<=VAR24; end VAR24: begin VAR9<=5'd0; state<=VAR2; end default: begin VAR9<=5'd0; state<=VAR2; end endcase end else begin state <= state; end end end reg [39:0] VAR31; reg VAR16;wire VAR21;assign VAR21 = VAR31[39]; assign VAR3 = (state != VAR2); always @(posedge clk or negedge reset) begin if(!reset) begin VAR16 <= 1'b0; VAR31 <= 40'b0; end else begin if(VAR7 == 1'b1) begin VAR16 <= VAR28[0]; VAR31 <= {8'hff,2'b01,VAR28,VAR30,VAR17,2'b10,VAR20}; end else if(VAR3) begin VAR16 <= VAR16; VAR31 <= {VAR31[38:0],1'b0}; end else begin VAR16 <= 1'b0; VAR31 <= 40'b0; end end end wire VAR26;reg VAR14; assign VAR26 = (state==VAR24) && (VAR16== 1'b0); always @(posedge clk or negedge reset) begin if(!reset) begin VAR14 <= 1'b0; end else begin VAR14 <= VAR26; end end assign VAR27 = (~VAR26) & VAR14; always @(posedge clk or negedge reset) begin if(!reset) begin VAR6<=16'd0; end else begin if(VAR26 == 1'b1) begin VAR6<={VAR6[14:0],VAR22}; end else begin VAR6<=VAR6; end end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a22o/sky130_fd_sc_lp__a22o.behavioral.v
1,607
module MODULE1 ( VAR14 , VAR13, VAR6, VAR15, VAR1 ); output VAR14 ; input VAR13; input VAR6; input VAR15; input VAR1; supply1 VAR11; supply0 VAR4; supply1 VAR2 ; supply0 VAR9 ; wire VAR3 ; wire VAR12 ; wire VAR5; and VAR7 (VAR3 , VAR15, VAR1 ); and VAR16 (VAR12 , VAR13, VAR6 ); or VAR10 (VAR5, VAR12, VAR3); buf VAR8 (VAR14 , VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/and3/sky130_fd_sc_ls__and3_1.v
2,164
module MODULE1 ( VAR5 , VAR2 , VAR6 , VAR10 , VAR7, VAR1, VAR3 , VAR8 ); output VAR5 ; input VAR2 ; input VAR6 ; input VAR10 ; input VAR7; input VAR1; input VAR3 ; input VAR8 ; VAR9 VAR4 ( .VAR5(VAR5), .VAR2(VAR2), .VAR6(VAR6), .VAR10(VAR10), .VAR7(VAR7), .VAR1(VAR1), .VAR3(VAR3), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR5, VAR2, VAR6, VAR10 ); output VAR5; input VAR2; input VAR6; input VAR10; supply1 VAR7; supply0 VAR1; supply1 VAR3 ; supply0 VAR8 ; VAR9 VAR4 ( .VAR5(VAR5), .VAR2(VAR2), .VAR6(VAR6), .VAR10(VAR10) ); endmodule
apache-2.0
asicguy/gplgpu
hdl/de/ded_funshf.v
6,646
module MODULE1 ( input VAR18, output reg [(VAR20<<3)-1:0] VAR17, output [VAR20-1:0] VAR9 ); reg [(VAR20<<4)-1:0] VAR21; reg [31:0] VAR5; reg [4:0] VAR13; wire [1:0] VAR11; wire [1:0] VAR25; wire [3:0] VAR26; wire [127:0] VAR22; wire [135:0] VAR15; wire [151:0] VAR1; wire [183:0] VAR3; wire [VAR20-1:0] VAR16; wire [VAR20:0] VAR4; wire [VAR20+2:0] VAR7; wire [VAR20+6:0] VAR23; wire [VAR20+14:0] VAR12; always @(posedge VAR18) begin VAR6 <= VAR14; VAR19 <= VAR6; VAR21 <= {VAR24,VAR8}; end assign VAR11 = {2{~VAR10}} & VAR19[4:3]; assign VAR26 = {VAR19[6:5],VAR11}; always @* VAR17 = VAR22; assign VAR22 = VAR26[0] ? VAR15[135:8] : VAR15[127:0]; assign VAR15 = VAR26[1] ? VAR1[151:16] : VAR1[135:0]; assign VAR1 = VAR26[2] ? VAR3[183:32] : VAR3[151:0]; assign VAR3 = VAR26[3] ? VAR21[247:64] : VAR21[183:0]; always @* case ({VAR19[5],VAR11}) 3'h0: VAR17 = VAR21[63:0]; 3'h1: VAR17 = VAR21[71:8]; 3'h2: VAR17 = VAR21[79:16]; 3'h3: VAR17 = VAR21[87:24]; 3'h4: VAR17 = VAR21[95:32]; 3'h5: VAR17 = VAR21[103:40]; 3'h6: VAR17 = VAR21[111:48]; 3'h7: VAR17 = VAR21[119:56]; endcase always @* case (VAR11) 2'h0: VAR17 = VAR21[31:0]; 2'h1: VAR17 = VAR21[39:8]; 2'h2: VAR17 = VAR21[47:16]; 2'h3: VAR17 = VAR21[55:24]; endcase assign VAR25 = {2{VAR10}} & VAR19[4:3]; always @(posedge VAR18) begin VAR5 <= (VAR2) ? {4{VAR17[7:0]}} : VAR17[31:0]; VAR13 <= {VAR25, VAR19[2:0]}; end assign VAR9 = VAR16; assign VAR16 = VAR13[0] ? VAR4[16:1] : VAR4[15:0]; assign VAR4 = VAR13[1] ? VAR7[18:2] : VAR7[16:0]; assign VAR7 = VAR13[2] ? VAR23[22:4] : VAR23[18:0]; assign VAR23 = VAR13[3] ? VAR12[30:8] : VAR12[22:0]; assign VAR12 = VAR13[4] ? {VAR5[14:0],VAR5[31:16]} : VAR5[30:0]; assign VAR9 = VAR16; assign VAR16 = VAR13[0] ? VAR4[8:1] : VAR4[7:0]; assign VAR4 = VAR13[1] ? VAR7[10:2] : VAR7[8:0]; assign VAR7 = VAR13[2] ? VAR23[14:4] : VAR23[10:0]; assign VAR23 = VAR13[3] ? VAR12[22:8] : VAR12[14:0]; assign VAR12 = VAR13[4] ? {VAR5[6:0],VAR5[31:16]} : VAR5[22:0]; assign VAR9 = VAR16; assign VAR16 = VAR13[0] ? VAR4[4:1] : VAR4[3:0]; assign VAR4 = VAR13[1] ? VAR7[6:2] : VAR7[4:0]; assign VAR7 = VAR13[2] ? VAR23[10:4] : VAR23[6:0]; assign VAR23 = VAR13[3] ? VAR12[18:8] : VAR12[10:0]; assign VAR12 = VAR13[4] ? {VAR5[3:0],VAR5[31:16]} : VAR5[18:0]; endmodule
gpl-3.0
eda-globetrotter/MarcheProcessor
wwp/alu.v
173,729
module MODULE1(VAR5,VAR8,VAR3,VAR6,VAR4,VAR1); output [0:127] VAR1; input [0:127] VAR5; input [0:127] VAR8; input [0:2] VAR3; input [0:1] VAR6; input [0:4] VAR4; parameter VAR7 = 1'b0; parameter VAR2 = 1'b1; reg [0:127] VAR1; always @(VAR5 or VAR8 or VAR3 or VAR6 or VAR4) begin case(VAR4) begin case(VAR3) case(VAR6) begin case(VAR8[2:4]) 3'd0: begin VAR1[0:127]<=VAR5[0:127]; end 3'd1: begin VAR1[0:7]<={VAR5[1:7],{1'b0}}; VAR1[8:15]<={VAR5[9:15],{1'b0}}; VAR1[16:23]<={VAR5[17:23],{1'b0}}; VAR1[24:31]<={VAR5[25:31],{1'b0}}; VAR1[32:39]<={VAR5[33:39],{1'b0}}; VAR1[40:47]<={VAR5[41:47],{1'b0}}; VAR1[48:55]<={VAR5[49:55],{1'b0}}; VAR1[56:63]<={VAR5[57:63],{1'b0}}; VAR1[64:71]<={VAR5[65:71],{1'b0}}; VAR1[72:79]<={VAR5[73:79],{1'b0}}; VAR1[80:87]<={VAR5[81:87],{1'b0}}; VAR1[88:95]<={VAR5[89:95],{1'b0}}; VAR1[96:103]<={VAR5[97:103],{1'b0}}; VAR1[104:111]<={VAR5[105:111],{1'b0}}; VAR1[112:119]<={VAR5[113:119],{1'b0}}; VAR1[120:127]<={VAR5[121:127],{1'b0}}; end 3'd2: begin VAR1[0:7]<={VAR5[2:7],{2{1'b0}}}; VAR1[8:15]<={VAR5[10:15],{2{1'b0}}}; VAR1[16:23]<={VAR5[18:23],{2{1'b0}}}; VAR1[24:31]<={VAR5[26:31],{2{1'b0}}}; VAR1[32:39]<={VAR5[34:39],{2{1'b0}}}; VAR1[40:47]<={VAR5[42:47],{2{1'b0}}}; VAR1[48:55]<={VAR5[50:55],{2{1'b0}}}; VAR1[56:63]<={VAR5[58:63],{2{1'b0}}}; VAR1[64:71]<={VAR5[66:71],{2{1'b0}}}; VAR1[72:79]<={VAR5[74:79],{2{1'b0}}}; VAR1[80:87]<={VAR5[82:87],{2{1'b0}}}; VAR1[88:95]<={VAR5[90:95],{2{1'b0}}}; VAR1[96:103]<={VAR5[98:103],{2{1'b0}}}; VAR1[104:111]<={VAR5[106:111],{2{1'b0}}}; VAR1[112:119]<={VAR5[114:119],{2{1'b0}}}; VAR1[120:127]<={VAR5[122:127],{2{1'b0}}}; end 3'd3: begin VAR1[0:7]<={VAR5[3:7],{3{1'b0}}}; VAR1[8:15]<={VAR5[11:15],{3{1'b0}}}; VAR1[16:23]<={VAR5[19:23],{3{1'b0}}}; VAR1[24:31]<={VAR5[27:31],{3{1'b0}}}; VAR1[32:39]<={VAR5[35:39],{3{1'b0}}}; VAR1[40:47]<={VAR5[43:47],{3{1'b0}}}; VAR1[48:55]<={VAR5[51:55],{3{1'b0}}}; VAR1[56:63]<={VAR5[59:63],{3{1'b0}}}; VAR1[64:71]<={VAR5[67:71],{3{1'b0}}}; VAR1[72:79]<={VAR5[75:79],{3{1'b0}}}; VAR1[80:87]<={VAR5[83:87],{3{1'b0}}}; VAR1[88:95]<={VAR5[91:95],{3{1'b0}}}; VAR1[96:103]<={VAR5[99:103],{3{1'b0}}}; VAR1[104:111]<={VAR5[107:111],{3{1'b0}}}; VAR1[112:119]<={VAR5[115:119],{3{1'b0}}}; VAR1[120:127]<={VAR5[123:127],{3{1'b0}}}; end 3'd4: begin VAR1[0:7]<={VAR5[4:7],{4{1'b0}}}; VAR1[8:15]<={VAR5[12:15],{4{1'b0}}}; VAR1[16:23]<={VAR5[20:23],{4{1'b0}}}; VAR1[24:31]<={VAR5[28:31],{4{1'b0}}}; VAR1[32:39]<={VAR5[36:39],{4{1'b0}}}; VAR1[40:47]<={VAR5[44:47],{4{1'b0}}}; VAR1[48:55]<={VAR5[52:55],{4{1'b0}}}; VAR1[56:63]<={VAR5[60:63],{4{1'b0}}}; VAR1[64:71]<={VAR5[68:71],{4{1'b0}}}; VAR1[72:79]<={VAR5[76:79],{4{1'b0}}}; VAR1[80:87]<={VAR5[84:87],{4{1'b0}}}; VAR1[88:95]<={VAR5[92:95],{4{1'b0}}}; VAR1[96:103]<={VAR5[100:103],{4{1'b0}}}; VAR1[104:111]<={VAR5[108:111],{4{1'b0}}}; VAR1[112:119]<={VAR5[116:119],{4{1'b0}}}; VAR1[120:127]<={VAR5[124:127],{4{1'b0}}}; end 3'd5: begin VAR1[0:7]<={VAR5[5:7],{5{1'b0}}}; VAR1[8:15]<={VAR5[13:15],{5{1'b0}}}; VAR1[16:23]<={VAR5[21:23],{5{1'b0}}}; VAR1[24:31]<={VAR5[29:31],{5{1'b0}}}; VAR1[32:39]<={VAR5[37:39],{5{1'b0}}}; VAR1[40:47]<={VAR5[45:47],{5{1'b0}}}; VAR1[48:55]<={VAR5[53:55],{5{1'b0}}}; VAR1[56:63]<={VAR5[61:63],{5{1'b0}}}; VAR1[64:71]<={VAR5[69:71],{5{1'b0}}}; VAR1[72:79]<={VAR5[77:79],{5{1'b0}}}; VAR1[80:87]<={VAR5[85:87],{5{1'b0}}}; VAR1[88:95]<={VAR5[93:95],{5{1'b0}}}; VAR1[96:103]<={VAR5[101:103],{5{1'b0}}}; VAR1[104:111]<={VAR5[109:111],{5{1'b0}}}; VAR1[112:119]<={VAR5[117:119],{5{1'b0}}}; VAR1[120:127]<={VAR5[125:127],{5{1'b0}}}; end 3'd6: begin VAR1[0:7]<={VAR5[6:7],{6{1'b0}}}; VAR1[8:15]<={VAR5[14:15],{6{1'b0}}}; VAR1[16:23]<={VAR5[22:23],{6{1'b0}}}; VAR1[24:31]<={VAR5[30:31],{6{1'b0}}}; VAR1[32:39]<={VAR5[38:39],{6{1'b0}}}; VAR1[40:47]<={VAR5[46:47],{6{1'b0}}}; VAR1[48:55]<={VAR5[54:55],{6{1'b0}}}; VAR1[56:63]<={VAR5[62:63],{6{1'b0}}}; VAR1[64:71]<={VAR5[70:71],{6{1'b0}}}; VAR1[72:79]<={VAR5[78:79],{6{1'b0}}}; VAR1[80:87]<={VAR5[86:87],{6{1'b0}}}; VAR1[88:95]<={VAR5[94:95],{6{1'b0}}}; VAR1[96:103]<={VAR5[102:103],{6{1'b0}}}; VAR1[104:111]<={VAR5[110:111],{6{1'b0}}}; VAR1[112:119]<={VAR5[118:119],{6{1'b0}}}; VAR1[120:127]<={VAR5[126:127],{6{1'b0}}}; end 3'd7: begin VAR1[0:7]<={VAR5[7],{7{1'b0}}}; VAR1[8:15]<={VAR5[15],{7{1'b0}}}; VAR1[16:23]<={VAR5[23],{7{1'b0}}}; VAR1[24:31]<={VAR5[31],{7{1'b0}}}; VAR1[32:39]<={VAR5[39],{7{1'b0}}}; VAR1[40:47]<={VAR5[47],{7{1'b0}}}; VAR1[48:55]<={VAR5[55],{7{1'b0}}}; VAR1[56:63]<={VAR5[63],{7{1'b0}}}; VAR1[64:71]<={VAR5[71],{7{1'b0}}}; VAR1[72:79]<={VAR5[79],{7{1'b0}}}; VAR1[80:87]<={VAR5[87],{7{1'b0}}}; VAR1[88:95]<={VAR5[95],{7{1'b0}}}; VAR1[96:103]<={VAR5[103],{7{1'b0}}}; VAR1[104:111]<={VAR5[111],{7{1'b0}}}; VAR1[112:119]<={VAR5[119],{7{1'b0}}}; VAR1[120:127]<={VAR5[127],{7{1'b0}}}; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR1[0:127]<=VAR5[0:127]; end 4'd1: begin VAR1[0:15]<={VAR5[1:15],{1'b0}}; VAR1[16:31]<={VAR5[17:31],{1'b0}}; VAR1[32:47]<={VAR5[33:47],{1'b0}}; VAR1[48:63]<={VAR5[49:63],{1'b0}}; VAR1[64:79]<={VAR5[65:79],{1'b0}}; VAR1[80:95]<={VAR5[81:95],{1'b0}}; VAR1[96:111]<={VAR5[97:111],{1'b0}}; VAR1[112:127]<={VAR5[113:127],{1'b0}}; end 4'd2: begin VAR1[0:15]<={VAR5[2:15],{2{1'b0}}}; VAR1[16:31]<={VAR5[18:31],{2{1'b0}}}; VAR1[32:47]<={VAR5[34:47],{2{1'b0}}}; VAR1[48:63]<={VAR5[50:63],{2{1'b0}}}; VAR1[64:79]<={VAR5[66:79],{2{1'b0}}}; VAR1[80:95]<={VAR5[82:95],{2{1'b0}}}; VAR1[96:111]<={VAR5[98:111],{2{1'b0}}}; VAR1[112:127]<={VAR5[114:127],{2{1'b0}}}; end 4'd3: begin VAR1[0:15]<={VAR5[3:15],{3{1'b0}}}; VAR1[16:31]<={VAR5[19:31],{3{1'b0}}}; VAR1[32:47]<={VAR5[35:47],{3{1'b0}}}; VAR1[48:63]<={VAR5[51:63],{3{1'b0}}}; VAR1[64:79]<={VAR5[67:79],{3{1'b0}}}; VAR1[80:95]<={VAR5[83:95],{3{1'b0}}}; VAR1[96:111]<={VAR5[99:111],{3{1'b0}}}; VAR1[112:127]<={VAR5[115:127],{3{1'b0}}}; end 4'd4: begin VAR1[0:15]<={VAR5[4:15],{4{1'b0}}}; VAR1[16:31]<={VAR5[20:31],{4{1'b0}}}; VAR1[32:47]<={VAR5[36:47],{4{1'b0}}}; VAR1[48:63]<={VAR5[52:63],{4{1'b0}}}; VAR1[64:79]<={VAR5[68:79],{4{1'b0}}}; VAR1[80:95]<={VAR5[84:95],{4{1'b0}}}; VAR1[96:111]<={VAR5[100:111],{4{1'b0}}}; VAR1[112:127]<={VAR5[116:127],{4{1'b0}}}; end 4'd5: begin VAR1[0:15]<={VAR5[5:15],{5{1'b0}}}; VAR1[16:31]<={VAR5[21:31],{5{1'b0}}}; VAR1[32:47]<={VAR5[37:47],{5{1'b0}}}; VAR1[48:63]<={VAR5[52:63],{5{1'b0}}}; VAR1[64:79]<={VAR5[69:79],{5{1'b0}}}; VAR1[80:95]<={VAR5[85:95],{5{1'b0}}}; VAR1[96:111]<={VAR5[101:111],{5{1'b0}}}; VAR1[112:127]<={VAR5[117:127],{5{1'b0}}}; end 4'd6: begin VAR1[0:15]<={VAR5[6:15],{6{1'b0}}}; VAR1[16:31]<={VAR5[22:31],{6{1'b0}}}; VAR1[32:47]<={VAR5[38:47],{6{1'b0}}}; VAR1[48:63]<={VAR5[53:63],{6{1'b0}}}; VAR1[64:79]<={VAR5[70:79],{6{1'b0}}}; VAR1[80:95]<={VAR5[86:95],{6{1'b0}}}; VAR1[96:111]<={VAR5[102:111],{6{1'b0}}}; VAR1[112:127]<={VAR5[118:127],{6{1'b0}}}; end 4'd7: begin VAR1[0:15]<={VAR5[7:15],{7{1'b0}}}; VAR1[16:31]<={VAR5[23:31],{7{1'b0}}}; VAR1[32:47]<={VAR5[39:47],{7{1'b0}}}; VAR1[48:63]<={VAR5[54:63],{7{1'b0}}}; VAR1[64:79]<={VAR5[71:79],{7{1'b0}}}; VAR1[80:95]<={VAR5[87:95],{7{1'b0}}}; VAR1[96:111]<={VAR5[103:111],{7{1'b0}}}; VAR1[112:127]<={VAR5[119:127],{7{1'b0}}}; end 4'd8: begin VAR1[0:15]<={VAR5[8:15],{8{1'b0}}}; VAR1[16:31]<={VAR5[24:31],{8{1'b0}}}; VAR1[32:47]<={VAR5[40:47],{8{1'b0}}}; VAR1[48:63]<={VAR5[55:63],{8{1'b0}}}; VAR1[64:79]<={VAR5[72:79],{8{1'b0}}}; VAR1[80:95]<={VAR5[88:95],{8{1'b0}}}; VAR1[96:111]<={VAR5[104:111],{8{1'b0}}}; VAR1[112:127]<={VAR5[120:127],{8{1'b0}}}; end 4'd9: begin VAR1[0:15]<={VAR5[9:15],{9{1'b0}}}; VAR1[16:31]<={VAR5[25:31],{9{1'b0}}}; VAR1[32:47]<={VAR5[41:47],{9{1'b0}}}; VAR1[48:63]<={VAR5[56:63],{9{1'b0}}}; VAR1[64:79]<={VAR5[73:79],{9{1'b0}}}; VAR1[80:95]<={VAR5[89:95],{9{1'b0}}}; VAR1[96:111]<={VAR5[105:111],{9{1'b0}}}; VAR1[112:127]<={VAR5[121:127],{9{1'b0}}}; end 4'd10: begin VAR1[0:15]<={VAR5[10:15],{10{1'b0}}}; VAR1[16:31]<={VAR5[26:31],{10{1'b0}}}; VAR1[32:47]<={VAR5[42:47],{10{1'b0}}}; VAR1[48:63]<={VAR5[58:63],{10{1'b0}}}; VAR1[64:79]<={VAR5[74:79],{10{1'b0}}}; VAR1[80:95]<={VAR5[90:95],{10{1'b0}}}; VAR1[96:111]<={VAR5[106:111],{10{1'b0}}}; VAR1[112:127]<={VAR5[122:127],{10{1'b0}}}; end 4'd11: begin VAR1[0:15]<={VAR5[11:15],{11{1'b0}}}; VAR1[16:31]<={VAR5[27:31],{11{1'b0}}}; VAR1[32:47]<={VAR5[43:47],{11{1'b0}}}; VAR1[48:63]<={VAR5[59:63],{11{1'b0}}}; VAR1[64:79]<={VAR5[75:79],{11{1'b0}}}; VAR1[80:95]<={VAR5[91:95],{11{1'b0}}}; VAR1[96:111]<={VAR5[107:111],{11{1'b0}}}; VAR1[112:127]<={VAR5[123:127],{11{1'b0}}}; end 4'd12: begin VAR1[0:15]<={VAR5[12:15],{12{1'b0}}}; VAR1[16:31]<={VAR5[28:31],{12{1'b0}}}; VAR1[32:47]<={VAR5[44:47],{12{1'b0}}}; VAR1[48:63]<={VAR5[60:63],{12{1'b0}}}; VAR1[64:79]<={VAR5[76:79],{12{1'b0}}}; VAR1[80:95]<={VAR5[92:95],{12{1'b0}}}; VAR1[96:111]<={VAR5[108:111],{12{1'b0}}}; VAR1[112:127]<={VAR5[124:127],{12{1'b0}}}; end 4'd13: begin VAR1[0:15]<={VAR5[13:15],{13{1'b0}}}; VAR1[16:31]<={VAR5[29:31],{13{1'b0}}}; VAR1[32:47]<={VAR5[45:47],{13{1'b0}}}; VAR1[48:63]<={VAR5[61:63],{13{1'b0}}}; VAR1[64:79]<={VAR5[77:79],{13{1'b0}}}; VAR1[80:95]<={VAR5[93:95],{13{1'b0}}}; VAR1[96:111]<={VAR5[109:111],{13{1'b0}}}; VAR1[112:127]<={VAR5[125:127],{13{1'b0}}}; end 4'd14: begin VAR1[0:15]<={VAR5[14:15],{14{1'b0}}}; VAR1[16:31]<={VAR5[30:31],{14{1'b0}}}; VAR1[32:47]<={VAR5[46:47],{14{1'b0}}}; VAR1[48:63]<={VAR5[62:63],{14{1'b0}}}; VAR1[64:79]<={VAR5[78:79],{14{1'b0}}}; VAR1[80:95]<={VAR5[94:95],{14{1'b0}}}; VAR1[96:111]<={VAR5[110:111],{14{1'b0}}}; VAR1[112:127]<={VAR5[126:127],{14{1'b0}}}; end 4'd15: begin VAR1[0:15]<={VAR5[15],{15{1'b0}}}; VAR1[16:31]<={VAR5[31],{15{1'b0}}}; VAR1[32:47]<={VAR5[47],{15{1'b0}}}; VAR1[48:63]<={VAR5[63],{15{1'b0}}}; VAR1[64:79]<={VAR5[79],{15{1'b0}}}; VAR1[80:95]<={VAR5[95],{15{1'b0}}}; VAR1[96:111]<={VAR5[111],{15{1'b0}}}; VAR1[112:127]<={VAR5[127],{15{1'b0}}}; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR1[0:127]<=VAR5[0:127]; end 5'd1: begin VAR1[0:31]<={VAR5[1:31],{1'b0}}; VAR1[32:63]<={VAR5[33:63],{1'b0}}; VAR1[64:95]<={VAR5[65:95],{1'b0}}; VAR1[96:127]<={VAR5[97:127],{1'b0}}; end 5'd2: begin VAR1[0:31]<={VAR5[2:31],{2{1'b0}}}; VAR1[32:63]<={VAR5[34:63],{2{1'b0}}}; VAR1[64:95]<={VAR5[66:95],{2{1'b0}}}; VAR1[96:127]<={VAR5[98:127],{2{1'b0}}}; end 5'd3: begin VAR1[0:31]<={VAR5[3:31],{3{1'b0}}}; VAR1[32:63]<={VAR5[35:63],{3{1'b0}}}; VAR1[64:95]<={VAR5[67:95],{3{1'b0}}}; VAR1[96:127]<={VAR5[99:127],{3{1'b0}}}; end 5'd4: begin VAR1[0:31]<={VAR5[4:31],{4{1'b0}}}; VAR1[32:63]<={VAR5[36:63],{4{1'b0}}}; VAR1[64:95]<={VAR5[68:95],{4{1'b0}}}; VAR1[96:127]<={VAR5[100:127],{4{1'b0}}}; end 5'd5: begin VAR1[0:31]<={VAR5[5:31],{5{1'b0}}}; VAR1[32:63]<={VAR5[37:63],{5{1'b0}}}; VAR1[64:95]<={VAR5[69:95],{5{1'b0}}}; VAR1[96:127]<={VAR5[101:127],{5{1'b0}}}; end 5'd6: begin VAR1[0:31]<={VAR5[6:31],{6{1'b0}}}; VAR1[32:63]<={VAR5[38:63],{6{1'b0}}}; VAR1[64:95]<={VAR5[70:95],{6{1'b0}}}; VAR1[96:127]<={VAR5[102:127],{6{1'b0}}}; end 5'd7: begin VAR1[0:31]<={VAR5[7:31],{7{1'b0}}}; VAR1[32:63]<={VAR5[39:63],{7{1'b0}}}; VAR1[64:95]<={VAR5[71:95],{7{1'b0}}}; VAR1[96:127]<={VAR5[103:127],{7{1'b0}}}; end 5'd8: begin VAR1[0:31]<={VAR5[8:31],{8{1'b0}}}; VAR1[32:63]<={VAR5[40:63],{8{1'b0}}}; VAR1[64:95]<={VAR5[72:95],{8{1'b0}}}; VAR1[96:127]<={VAR5[104:127],{8{1'b0}}}; end 5'd9: begin VAR1[0:31]<={VAR5[9:31],{9{1'b0}}}; VAR1[32:63]<={VAR5[41:63],{9{1'b0}}}; VAR1[64:95]<={VAR5[73:95],{9{1'b0}}}; VAR1[96:127]<={VAR5[105:127],{9{1'b0}}}; end 5'd10: begin VAR1[0:31]<={VAR5[10:31],{10{1'b0}}}; VAR1[32:63]<={VAR5[42:63],{10{1'b0}}}; VAR1[64:95]<={VAR5[74:95],{10{1'b0}}}; VAR1[96:127]<={VAR5[106:127],{10{1'b0}}}; end 5'd11: begin VAR1[0:31]<={VAR5[11:31],{11{1'b0}}}; VAR1[32:63]<={VAR5[43:63],{11{1'b0}}}; VAR1[64:95]<={VAR5[75:95],{11{1'b0}}}; VAR1[96:127]<={VAR5[107:127],{11{1'b0}}}; end 5'd12: begin VAR1[0:31]<={VAR5[12:31],{12{1'b0}}}; VAR1[32:63]<={VAR5[44:63],{12{1'b0}}}; VAR1[64:95]<={VAR5[76:95],{12{1'b0}}}; VAR1[96:127]<={VAR5[108:127],{12{1'b0}}}; end 5'd13: begin VAR1[0:31]<={VAR5[13:31],{13{1'b0}}}; VAR1[32:63]<={VAR5[45:63],{13{1'b0}}}; VAR1[64:95]<={VAR5[77:95],{13{1'b0}}}; VAR1[96:127]<={VAR5[109:127],{13{1'b0}}}; end 5'd14: begin VAR1[0:31]<={VAR5[14:31],{14{1'b0}}}; VAR1[32:63]<={VAR5[46:63],{14{1'b0}}}; VAR1[64:95]<={VAR5[78:95],{14{1'b0}}}; VAR1[96:127]<={VAR5[110:127],{14{1'b0}}}; end 5'd15: begin VAR1[0:31]<={VAR5[15:31],{15{1'b0}}}; VAR1[32:63]<={VAR5[47:63],{15{1'b0}}}; VAR1[64:95]<={VAR5[79:95],{15{1'b0}}}; VAR1[96:127]<={VAR5[111:127],{15{1'b0}}}; end 5'd16: begin VAR1[0:31]<={VAR5[16:31],{16{1'b0}}}; VAR1[32:63]<={VAR5[48:63],{16{1'b0}}}; VAR1[64:95]<={VAR5[80:95],{16{1'b0}}}; VAR1[96:127]<={VAR5[112:127],{16{1'b0}}}; end 5'd17: begin VAR1[0:31]<={VAR5[17:31],{17{1'b0}}}; VAR1[32:63]<={VAR5[49:63],{17{1'b0}}}; VAR1[64:95]<={VAR5[81:95],{17{1'b0}}}; VAR1[96:127]<={VAR5[113:127],{17{1'b0}}}; end 5'd18: begin VAR1[0:31]<={VAR5[18:31],{18{1'b0}}}; VAR1[32:63]<={VAR5[50:63],{18{1'b0}}}; VAR1[64:95]<={VAR5[82:95],{18{1'b0}}}; VAR1[96:127]<={VAR5[114:127],{18{1'b0}}}; end 5'd19: begin VAR1[0:31]<={VAR5[19:31],{19{1'b0}}}; VAR1[32:63]<={VAR5[51:63],{19{1'b0}}}; VAR1[64:95]<={VAR5[83:95],{19{1'b0}}}; VAR1[96:127]<={VAR5[115:127],{19{1'b0}}}; end 5'd20: begin VAR1[0:31]<={VAR5[20:31],{20{1'b0}}}; VAR1[32:63]<={VAR5[52:63],{20{1'b0}}}; VAR1[64:95]<={VAR5[84:95],{20{1'b0}}}; VAR1[96:127]<={VAR5[116:127],{20{1'b0}}}; end 5'd21: begin VAR1[0:31]<={VAR5[21:31],{21{1'b0}}}; VAR1[32:63]<={VAR5[53:63],{21{1'b0}}}; VAR1[64:95]<={VAR5[85:95],{21{1'b0}}}; VAR1[96:127]<={VAR5[117:127],{21{1'b0}}}; end 5'd22: begin VAR1[0:31]<={VAR5[22:31],{22{1'b0}}}; VAR1[32:63]<={VAR5[54:63],{22{1'b0}}}; VAR1[64:95]<={VAR5[86:95],{22{1'b0}}}; VAR1[96:127]<={VAR5[118:127],{22{1'b0}}}; end 5'd23: begin VAR1[0:31]<={VAR5[23:31],{23{1'b0}}}; VAR1[32:63]<={VAR5[55:63],{23{1'b0}}}; VAR1[64:95]<={VAR5[87:95],{23{1'b0}}}; VAR1[96:127]<={VAR5[119:127],{23{1'b0}}}; end 5'd24: begin VAR1[0:31]<={VAR5[24:31],{24{1'b0}}}; VAR1[32:63]<={VAR5[56:63],{24{1'b0}}}; VAR1[64:95]<={VAR5[88:95],{24{1'b0}}}; VAR1[96:127]<={VAR5[120:127],{24{1'b0}}}; end 5'd25: begin VAR1[0:31]<={VAR5[25:31],{25{1'b0}}}; VAR1[32:63]<={VAR5[57:63],{25{1'b0}}}; VAR1[64:95]<={VAR5[89:95],{25{1'b0}}}; VAR1[96:127]<={VAR5[121:127],{25{1'b0}}}; end 5'd26: begin VAR1[0:31]<={VAR5[26:31],{26{1'b0}}}; VAR1[32:63]<={VAR5[58:63],{26{1'b0}}}; VAR1[64:95]<={VAR5[90:95],{26{1'b0}}}; VAR1[96:127]<={VAR5[122:127],{26{1'b0}}}; end 5'd27: begin VAR1[0:31]<={VAR5[27:31],{27{1'b0}}}; VAR1[32:63]<={VAR5[59:63],{27{1'b0}}}; VAR1[64:95]<={VAR5[91:95],{27{1'b0}}}; VAR1[96:127]<={VAR5[123:127],{27{1'b0}}}; end 5'd28: begin VAR1[0:31]<={VAR5[28:31],{28{1'b0}}}; VAR1[32:63]<={VAR5[60:63],{28{1'b0}}}; VAR1[64:95]<={VAR5[92:95],{28{1'b0}}}; VAR1[96:127]<={VAR5[124:127],{28{1'b0}}}; end 5'd29: begin VAR1[0:31]<={VAR5[29:31],{29{1'b0}}}; VAR1[32:63]<={VAR5[61:63],{29{1'b0}}}; VAR1[64:95]<={VAR5[93:95],{29{1'b0}}}; VAR1[96:127]<={VAR5[125:127],{29{1'b0}}}; end 5'd30: begin VAR1[0:31]<={VAR5[30:31],{30{1'b0}}}; VAR1[32:63]<={VAR5[62:63],{30{1'b0}}}; VAR1[64:95]<={VAR5[94:95],{30{1'b0}}}; VAR1[96:127]<={VAR5[126:127],{30{1'b0}}}; end 5'd31: begin VAR1[0:31]<={VAR5[31],{31{1'b0}}}; VAR1[32:63]<={VAR5[63],{31{1'b0}}}; VAR1[64:95]<={VAR5[95],{31{1'b0}}}; VAR1[96:127]<={VAR5[127],{31{1'b0}}}; end endcase end endcase end case(VAR6) begin case(VAR8[2:4]) 3'd0: begin VAR1[0:63]<=VAR5[0:63]; VAR1[64:127]<=64'd0; end 3'd1: begin VAR1[0:7]<={VAR5[1:7],{1'b0}}; VAR1[8:15]<={VAR5[9:15],{1'b0}}; VAR1[16:23]<={VAR5[17:23],{1'b0}}; VAR1[24:31]<={VAR5[25:31],{1'b0}}; VAR1[32:39]<={VAR5[33:39],{1'b0}}; VAR1[40:47]<={VAR5[41:47],{1'b0}}; VAR1[48:55]<={VAR5[49:55],{1'b0}}; VAR1[56:63]<={VAR5[57:63],{1'b0}}; VAR1[64:127]<=64'd0; end 3'd2: begin VAR1[0:7]<={VAR5[2:7],{2{1'b0}}}; VAR1[8:15]<={VAR5[10:15],{2{1'b0}}}; VAR1[16:23]<={VAR5[18:23],{2{1'b0}}}; VAR1[24:31]<={VAR5[26:31],{2{1'b0}}}; VAR1[32:39]<={VAR5[34:39],{2{1'b0}}}; VAR1[40:47]<={VAR5[42:47],{2{1'b0}}}; VAR1[48:55]<={VAR5[50:55],{2{1'b0}}}; VAR1[56:63]<={VAR5[58:63],{2{1'b0}}}; VAR1[64:127]<=64'd0; end 3'd3: begin VAR1[0:7]<={VAR5[3:7],{3{1'b0}}}; VAR1[8:15]<={VAR5[11:15],{3{1'b0}}}; VAR1[16:23]<={VAR5[19:23],{3{1'b0}}}; VAR1[24:31]<={VAR5[27:31],{3{1'b0}}}; VAR1[32:39]<={VAR5[35:39],{3{1'b0}}}; VAR1[40:47]<={VAR5[43:47],{3{1'b0}}}; VAR1[48:55]<={VAR5[51:55],{3{1'b0}}}; VAR1[56:63]<={VAR5[59:63],{3{1'b0}}}; VAR1[64:127]<=64'd0; end 3'd4: begin VAR1[0:7]<={VAR5[4:7],{4{1'b0}}}; VAR1[8:15]<={VAR5[12:15],{4{1'b0}}}; VAR1[16:23]<={VAR5[20:23],{4{1'b0}}}; VAR1[24:31]<={VAR5[28:31],{4{1'b0}}}; VAR1[32:39]<={VAR5[36:39],{4{1'b0}}}; VAR1[40:47]<={VAR5[44:47],{4{1'b0}}}; VAR1[48:55]<={VAR5[52:55],{4{1'b0}}}; VAR1[56:63]<={VAR5[60:63],{4{1'b0}}}; VAR1[64:127]<=64'd0; end 3'd5: begin VAR1[0:7]<={VAR5[5:7],{5{1'b0}}}; VAR1[8:15]<={VAR5[13:15],{5{1'b0}}}; VAR1[16:23]<={VAR5[21:23],{5{1'b0}}}; VAR1[24:31]<={VAR5[29:31],{5{1'b0}}}; VAR1[32:39]<={VAR5[37:39],{5{1'b0}}}; VAR1[40:47]<={VAR5[45:47],{5{1'b0}}}; VAR1[48:55]<={VAR5[53:55],{5{1'b0}}}; VAR1[56:63]<={VAR5[61:63],{5{1'b0}}}; VAR1[64:127]<=64'd0; end 3'd6: begin VAR1[0:7]<={VAR5[6:7],{6{1'b0}}}; VAR1[8:15]<={VAR5[14:15],{6{1'b0}}}; VAR1[16:23]<={VAR5[22:23],{6{1'b0}}}; VAR1[24:31]<={VAR5[30:31],{6{1'b0}}}; VAR1[32:39]<={VAR5[38:39],{6{1'b0}}}; VAR1[40:47]<={VAR5[46:47],{6{1'b0}}}; VAR1[48:55]<={VAR5[54:55],{6{1'b0}}}; VAR1[56:63]<={VAR5[62:63],{6{1'b0}}}; VAR1[64:127]<=64'd0; end 3'd7: begin VAR1[0:7]<={VAR5[7],{7{1'b0}}}; VAR1[8:15]<={VAR5[15],{7{1'b0}}}; VAR1[16:23]<={VAR5[23],{7{1'b0}}}; VAR1[24:31]<={VAR5[31],{7{1'b0}}}; VAR1[32:39]<={VAR5[39],{7{1'b0}}}; VAR1[40:47]<={VAR5[47],{7{1'b0}}}; VAR1[48:55]<={VAR5[55],{7{1'b0}}}; VAR1[56:63]<={VAR5[63],{7{1'b0}}}; VAR1[64:127]<=64'd0; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR1[0:63]<=VAR5[0:63]; VAR1[64:127]<=64'd0; end 4'd1: begin VAR1[0:15]<={VAR5[1:15],{1'b0}}; VAR1[16:31]<={VAR5[17:31],{1'b0}}; VAR1[32:47]<={VAR5[33:47],{1'b0}}; VAR1[48:63]<={VAR5[49:63],{1'b0}}; VAR1[64:127]<=64'd0; end 4'd2: begin VAR1[0:15]<={VAR5[2:15],{2{1'b0}}}; VAR1[16:31]<={VAR5[18:31],{2{1'b0}}}; VAR1[32:47]<={VAR5[34:47],{2{1'b0}}}; VAR1[48:63]<={VAR5[50:63],{2{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd3: begin VAR1[0:15]<={VAR5[3:15],{3{1'b0}}}; VAR1[16:31]<={VAR5[19:31],{3{1'b0}}}; VAR1[32:47]<={VAR5[35:47],{3{1'b0}}}; VAR1[48:63]<={VAR5[51:63],{3{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd4: begin VAR1[0:15]<={VAR5[4:15],{4{1'b0}}}; VAR1[16:31]<={VAR5[20:31],{4{1'b0}}}; VAR1[32:47]<={VAR5[36:47],{4{1'b0}}}; VAR1[48:63]<={VAR5[52:63],{4{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd5: begin VAR1[0:15]<={VAR5[5:15],{5{1'b0}}}; VAR1[16:31]<={VAR5[21:31],{5{1'b0}}}; VAR1[32:47]<={VAR5[37:47],{5{1'b0}}}; VAR1[48:63]<={VAR5[52:63],{5{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd6: begin VAR1[0:15]<={VAR5[6:15],{6{1'b0}}}; VAR1[16:31]<={VAR5[22:31],{6{1'b0}}}; VAR1[32:47]<={VAR5[38:47],{6{1'b0}}}; VAR1[48:63]<={VAR5[53:63],{6{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd7: begin VAR1[0:15]<={VAR5[7:15],{7{1'b0}}}; VAR1[16:31]<={VAR5[23:31],{7{1'b0}}}; VAR1[32:47]<={VAR5[39:47],{7{1'b0}}}; VAR1[48:63]<={VAR5[54:63],{7{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd8: begin VAR1[0:15]<={VAR5[8:15],{8{1'b0}}}; VAR1[16:31]<={VAR5[24:31],{8{1'b0}}}; VAR1[32:47]<={VAR5[40:47],{8{1'b0}}}; VAR1[48:63]<={VAR5[55:63],{8{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd9: begin VAR1[0:15]<={VAR5[9:15],{9{1'b0}}}; VAR1[16:31]<={VAR5[25:31],{9{1'b0}}}; VAR1[32:47]<={VAR5[41:47],{9{1'b0}}}; VAR1[48:63]<={VAR5[56:63],{9{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd10: begin VAR1[0:15]<={VAR5[10:15],{10{1'b0}}}; VAR1[16:31]<={VAR5[26:31],{10{1'b0}}}; VAR1[32:47]<={VAR5[42:47],{10{1'b0}}}; VAR1[48:63]<={VAR5[58:63],{10{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd11: begin VAR1[0:15]<={VAR5[11:15],{11{1'b0}}}; VAR1[16:31]<={VAR5[27:31],{11{1'b0}}}; VAR1[32:47]<={VAR5[43:47],{11{1'b0}}}; VAR1[48:63]<={VAR5[59:63],{11{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd12: begin VAR1[0:15]<={VAR5[12:15],{12{1'b0}}}; VAR1[16:31]<={VAR5[28:31],{12{1'b0}}}; VAR1[32:47]<={VAR5[44:47],{12{1'b0}}}; VAR1[48:63]<={VAR5[60:63],{12{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd13: begin VAR1[0:15]<={VAR5[13:15],{13{1'b0}}}; VAR1[16:31]<={VAR5[29:31],{13{1'b0}}}; VAR1[32:47]<={VAR5[45:47],{13{1'b0}}}; VAR1[48:63]<={VAR5[61:63],{13{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd14: begin VAR1[0:15]<={VAR5[14:15],{14{1'b0}}}; VAR1[16:31]<={VAR5[30:31],{14{1'b0}}}; VAR1[32:47]<={VAR5[46:47],{14{1'b0}}}; VAR1[48:63]<={VAR5[62:63],{14{1'b0}}}; VAR1[64:127]<=64'd0; end 4'd15: begin VAR1[0:15]<={VAR5[15],{15{1'b0}}}; VAR1[16:31]<={VAR5[31],{15{1'b0}}}; VAR1[32:47]<={VAR5[47],{15{1'b0}}}; VAR1[48:63]<={VAR5[63],{15{1'b0}}}; VAR1[64:127]<=64'd0; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR1[0:63]<=VAR5[0:63]; VAR1[64:127]<=64'd0; end 5'd1: begin VAR1[0:31]<={VAR5[1:31],{1'b0}}; VAR1[32:63]<={VAR5[33:63],{1'b0}}; VAR1[64:127]<=64'd0; end 5'd2: begin VAR1[0:31]<={VAR5[2:31],{2{1'b0}}}; VAR1[32:63]<={VAR5[34:63],{2{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd3: begin VAR1[0:31]<={VAR5[3:31],{3{1'b0}}}; VAR1[32:63]<={VAR5[35:63],{3{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd4: begin VAR1[0:31]<={VAR5[4:31],{4{1'b0}}}; VAR1[32:63]<={VAR5[36:63],{4{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd5: begin VAR1[0:31]<={VAR5[5:31],{5{1'b0}}}; VAR1[32:63]<={VAR5[37:63],{5{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd6: begin VAR1[0:31]<={VAR5[6:31],{6{1'b0}}}; VAR1[32:63]<={VAR5[38:63],{6{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd7: begin VAR1[0:31]<={VAR5[7:31],{7{1'b0}}}; VAR1[32:63]<={VAR5[39:63],{7{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd8: begin VAR1[0:31]<={VAR5[8:31],{8{1'b0}}}; VAR1[32:63]<={VAR5[40:63],{8{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd9: begin VAR1[0:31]<={VAR5[9:31],{9{1'b0}}}; VAR1[32:63]<={VAR5[41:63],{9{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd10: begin VAR1[0:31]<={VAR5[10:31],{10{1'b0}}}; VAR1[32:63]<={VAR5[42:63],{10{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd11: begin VAR1[0:31]<={VAR5[11:31],{11{1'b0}}}; VAR1[32:63]<={VAR5[43:63],{11{1'b0}}}; VAR1[64:95]<={VAR5[75:95],{11{1'b0}}}; VAR1[96:127]<={VAR5[107:127],{11{1'b0}}}; end 5'd12: begin VAR1[0:31]<={VAR5[12:31],{12{1'b0}}}; VAR1[32:63]<={VAR5[44:63],{12{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd13: begin VAR1[0:31]<={VAR5[13:31],{13{1'b0}}}; VAR1[32:63]<={VAR5[45:63],{13{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd14: begin VAR1[0:31]<={VAR5[14:31],{14{1'b0}}}; VAR1[32:63]<={VAR5[46:63],{14{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd15: begin VAR1[0:31]<={VAR5[15:31],{15{1'b0}}}; VAR1[32:63]<={VAR5[47:63],{15{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd16: begin VAR1[0:31]<={VAR5[16:31],{16{1'b0}}}; VAR1[32:63]<={VAR5[48:63],{16{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd17: begin VAR1[0:31]<={VAR5[17:31],{17{1'b0}}}; VAR1[32:63]<={VAR5[49:63],{17{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd18: begin VAR1[0:31]<={VAR5[18:31],{18{1'b0}}}; VAR1[32:63]<={VAR5[50:63],{18{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd19: begin VAR1[0:31]<={VAR5[19:31],{19{1'b0}}}; VAR1[32:63]<={VAR5[51:63],{19{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd20: begin VAR1[0:31]<={VAR5[20:31],{20{1'b0}}}; VAR1[32:63]<={VAR5[52:63],{20{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd21: begin VAR1[0:31]<={VAR5[21:31],{21{1'b0}}}; VAR1[32:63]<={VAR5[53:63],{21{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd22: begin VAR1[0:31]<={VAR5[22:31],{22{1'b0}}}; VAR1[32:63]<={VAR5[54:63],{22{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd23: begin VAR1[0:31]<={VAR5[23:31],{23{1'b0}}}; VAR1[32:63]<={VAR5[55:63],{23{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd24: begin VAR1[0:31]<={VAR5[24:31],{24{1'b0}}}; VAR1[32:63]<={VAR5[56:63],{24{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd25: begin VAR1[0:31]<={VAR5[25:31],{25{1'b0}}}; VAR1[32:63]<={VAR5[57:63],{25{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd26: begin VAR1[0:31]<={VAR5[26:31],{26{1'b0}}}; VAR1[32:63]<={VAR5[58:63],{26{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd27: begin VAR1[0:31]<={VAR5[27:31],{27{1'b0}}}; VAR1[32:63]<={VAR5[59:63],{27{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd28: begin VAR1[0:31]<={VAR5[28:31],{28{1'b0}}}; VAR1[32:63]<={VAR5[60:63],{28{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd29: begin VAR1[0:31]<={VAR5[29:31],{29{1'b0}}}; VAR1[32:63]<={VAR5[61:63],{29{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd30: begin VAR1[0:31]<={VAR5[30:31],{30{1'b0}}}; VAR1[32:63]<={VAR5[62:63],{30{1'b0}}}; VAR1[64:127]<=64'd0; end 5'd31: begin VAR1[0:31]<={VAR5[31],{31{1'b0}}}; VAR1[32:63]<={VAR5[63],{31{1'b0}}}; VAR1[64:127]<=64'd0; end endcase end endcase end case(VAR6) begin case(VAR8[2:4]) 3'd0: begin VAR1[0:63]<=64'd0; VAR1[64:127]<=VAR5[64:127]; end 3'd1: begin VAR1[0:63]<=64'd0; VAR1[64:71]<={VAR5[65:71],{1'b0}}; VAR1[72:79]<={VAR5[73:79],{1'b0}}; VAR1[80:87]<={VAR5[81:87],{1'b0}}; VAR1[88:95]<={VAR5[89:95],{1'b0}}; VAR1[96:103]<={VAR5[97:103],{1'b0}}; VAR1[104:111]<={VAR5[105:111],{1'b0}}; VAR1[112:119]<={VAR5[113:119],{1'b0}}; VAR1[120:127]<={VAR5[121:127],{1'b0}}; end 3'd2: begin VAR1[0:63]<=64'd0; VAR1[64:71]<={VAR5[66:71],{2{1'b0}}}; VAR1[72:79]<={VAR5[74:79],{2{1'b0}}}; VAR1[80:87]<={VAR5[82:87],{2{1'b0}}}; VAR1[88:95]<={VAR5[90:95],{2{1'b0}}}; VAR1[96:103]<={VAR5[98:103],{2{1'b0}}}; VAR1[104:111]<={VAR5[106:111],{2{1'b0}}}; VAR1[112:119]<={VAR5[114:119],{2{1'b0}}}; VAR1[120:127]<={VAR5[122:127],{2{1'b0}}}; end 3'd3: begin VAR1[0:63]<=64'd0; VAR1[64:71]<={VAR5[67:71],{3{1'b0}}}; VAR1[72:79]<={VAR5[75:79],{3{1'b0}}}; VAR1[80:87]<={VAR5[83:87],{3{1'b0}}}; VAR1[88:95]<={VAR5[91:95],{3{1'b0}}}; VAR1[96:103]<={VAR5[99:103],{3{1'b0}}}; VAR1[104:111]<={VAR5[107:111],{3{1'b0}}}; VAR1[112:119]<={VAR5[115:119],{3{1'b0}}}; VAR1[120:127]<={VAR5[123:127],{3{1'b0}}}; end 3'd4: begin VAR1[0:63]<=64'd0; VAR1[64:71]<={VAR5[68:71],{4{1'b0}}}; VAR1[72:79]<={VAR5[76:79],{4{1'b0}}}; VAR1[80:87]<={VAR5[84:87],{4{1'b0}}}; VAR1[88:95]<={VAR5[92:95],{4{1'b0}}}; VAR1[96:103]<={VAR5[100:103],{4{1'b0}}}; VAR1[104:111]<={VAR5[108:111],{4{1'b0}}}; VAR1[112:119]<={VAR5[116:119],{4{1'b0}}}; VAR1[120:127]<={VAR5[124:127],{4{1'b0}}}; end 3'd5: begin VAR1[0:63]<=64'd0; VAR1[64:71]<={VAR5[69:71],{5{1'b0}}}; VAR1[72:79]<={VAR5[77:79],{5{1'b0}}}; VAR1[80:87]<={VAR5[85:87],{5{1'b0}}}; VAR1[88:95]<={VAR5[93:95],{5{1'b0}}}; VAR1[96:103]<={VAR5[101:103],{5{1'b0}}}; VAR1[104:111]<={VAR5[109:111],{5{1'b0}}}; VAR1[112:119]<={VAR5[117:119],{5{1'b0}}}; VAR1[120:127]<={VAR5[125:127],{5{1'b0}}}; end 3'd6: begin VAR1[0:63]<=64'd0; VAR1[64:71]<={VAR5[70:71],{6{1'b0}}}; VAR1[72:79]<={VAR5[78:79],{6{1'b0}}}; VAR1[80:87]<={VAR5[86:87],{6{1'b0}}}; VAR1[88:95]<={VAR5[94:95],{6{1'b0}}}; VAR1[96:103]<={VAR5[102:103],{6{1'b0}}}; VAR1[104:111]<={VAR5[110:111],{6{1'b0}}}; VAR1[112:119]<={VAR5[118:119],{6{1'b0}}}; VAR1[120:127]<={VAR5[126:127],{6{1'b0}}}; end 3'd7: begin VAR1[0:63]<=64'd0; VAR1[64:71]<={VAR5[71],{7{1'b0}}}; VAR1[72:79]<={VAR5[79],{7{1'b0}}}; VAR1[80:87]<={VAR5[87],{7{1'b0}}}; VAR1[88:95]<={VAR5[95],{7{1'b0}}}; VAR1[96:103]<={VAR5[103],{7{1'b0}}}; VAR1[104:111]<={VAR5[111],{7{1'b0}}}; VAR1[112:119]<={VAR5[119],{7{1'b0}}}; VAR1[120:127]<={VAR5[127],{7{1'b0}}}; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR1[0:63]<=64'd0; VAR1[64:127]<=VAR5[64:127]; end 4'd1: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[65:79],{1'b0}}; VAR1[80:95]<={VAR5[81:95],{1'b0}}; VAR1[96:111]<={VAR5[97:111],{1'b0}}; VAR1[112:127]<={VAR5[113:127],{1'b0}}; end 4'd2: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[66:79],{2{1'b0}}}; VAR1[80:95]<={VAR5[82:95],{2{1'b0}}}; VAR1[96:111]<={VAR5[98:111],{2{1'b0}}}; VAR1[112:127]<={VAR5[114:127],{2{1'b0}}}; end 4'd3: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[67:79],{3{1'b0}}}; VAR1[80:95]<={VAR5[83:95],{3{1'b0}}}; VAR1[96:111]<={VAR5[99:111],{3{1'b0}}}; VAR1[112:127]<={VAR5[115:127],{3{1'b0}}}; end 4'd4: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[68:79],{4{1'b0}}}; VAR1[80:95]<={VAR5[84:95],{4{1'b0}}}; VAR1[96:111]<={VAR5[100:111],{4{1'b0}}}; VAR1[112:127]<={VAR5[116:127],{4{1'b0}}}; end 4'd5: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[69:79],{5{1'b0}}}; VAR1[80:95]<={VAR5[85:95],{5{1'b0}}}; VAR1[96:111]<={VAR5[101:111],{5{1'b0}}}; VAR1[112:127]<={VAR5[117:127],{5{1'b0}}}; end 4'd6: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[70:79],{6{1'b0}}}; VAR1[80:95]<={VAR5[86:95],{6{1'b0}}}; VAR1[96:111]<={VAR5[102:111],{6{1'b0}}}; VAR1[112:127]<={VAR5[118:127],{6{1'b0}}}; end 4'd7: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[71:79],{7{1'b0}}}; VAR1[80:95]<={VAR5[87:95],{7{1'b0}}}; VAR1[96:111]<={VAR5[103:111],{7{1'b0}}}; VAR1[112:127]<={VAR5[119:127],{7{1'b0}}}; end 4'd8: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[72:79],{8{1'b0}}}; VAR1[80:95]<={VAR5[88:95],{8{1'b0}}}; VAR1[96:111]<={VAR5[104:111],{8{1'b0}}}; VAR1[112:127]<={VAR5[120:127],{8{1'b0}}}; end 4'd9: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[73:79],{9{1'b0}}}; VAR1[80:95]<={VAR5[89:95],{9{1'b0}}}; VAR1[96:111]<={VAR5[105:111],{9{1'b0}}}; VAR1[112:127]<={VAR5[121:127],{9{1'b0}}}; end 4'd10: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[74:79],{10{1'b0}}}; VAR1[80:95]<={VAR5[90:95],{10{1'b0}}}; VAR1[96:111]<={VAR5[106:111],{10{1'b0}}}; VAR1[112:127]<={VAR5[122:127],{10{1'b0}}}; end 4'd11: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[75:79],{11{1'b0}}}; VAR1[80:95]<={VAR5[91:95],{11{1'b0}}}; VAR1[96:111]<={VAR5[107:111],{11{1'b0}}}; VAR1[112:127]<={VAR5[123:127],{11{1'b0}}}; end 4'd12: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[76:79],{12{1'b0}}}; VAR1[80:95]<={VAR5[92:95],{12{1'b0}}}; VAR1[96:111]<={VAR5[108:111],{12{1'b0}}}; VAR1[112:127]<={VAR5[124:127],{12{1'b0}}}; end 4'd13: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[77:79],{13{1'b0}}}; VAR1[80:95]<={VAR5[93:95],{13{1'b0}}}; VAR1[96:111]<={VAR5[109:111],{13{1'b0}}}; VAR1[112:127]<={VAR5[125:127],{13{1'b0}}}; end 4'd14: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[78:79],{14{1'b0}}}; VAR1[80:95]<={VAR5[94:95],{14{1'b0}}}; VAR1[96:111]<={VAR5[110:111],{14{1'b0}}}; VAR1[112:127]<={VAR5[126:127],{14{1'b0}}}; end 4'd15: begin VAR1[0:63]<=64'd0; VAR1[64:79]<={VAR5[79],{15{1'b0}}}; VAR1[80:95]<={VAR5[95],{15{1'b0}}}; VAR1[96:111]<={VAR5[111],{15{1'b0}}}; VAR1[112:127]<={VAR5[127],{15{1'b0}}}; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR1[0:63]<=64'd0; VAR1[64:127]<=VAR5[64:127]; end 5'd1: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[65:95],{1'b0}}; VAR1[96:127]<={VAR5[97:127],{1'b0}}; end 5'd2: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[66:95],{2{1'b0}}}; VAR1[96:127]<={VAR5[98:127],{2{1'b0}}}; end 5'd3: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[67:95],{3{1'b0}}}; VAR1[96:127]<={VAR5[99:127],{3{1'b0}}}; end 5'd4: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[68:95],{4{1'b0}}}; VAR1[96:127]<={VAR5[100:127],{4{1'b0}}}; end 5'd5: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[69:95],{5{1'b0}}}; VAR1[96:127]<={VAR5[101:127],{5{1'b0}}}; end 5'd6: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[70:95],{6{1'b0}}}; VAR1[96:127]<={VAR5[102:127],{6{1'b0}}}; end 5'd7: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[71:95],{7{1'b0}}}; VAR1[96:127]<={VAR5[103:127],{7{1'b0}}}; end 5'd8: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[72:95],{8{1'b0}}}; VAR1[96:127]<={VAR5[104:127],{8{1'b0}}}; end 5'd9: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[73:95],{9{1'b0}}}; VAR1[96:127]<={VAR5[105:127],{9{1'b0}}}; end 5'd10: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[74:95],{10{1'b0}}}; VAR1[96:127]<={VAR5[106:127],{10{1'b0}}}; end 5'd11: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[75:95],{11{1'b0}}}; VAR1[96:127]<={VAR5[107:127],{11{1'b0}}}; end 5'd12: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[76:95],{12{1'b0}}}; VAR1[96:127]<={VAR5[108:127],{12{1'b0}}}; end 5'd13: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[77:95],{13{1'b0}}}; VAR1[96:127]<={VAR5[109:127],{13{1'b0}}}; end 5'd14: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[78:95],{14{1'b0}}}; VAR1[96:127]<={VAR5[110:127],{14{1'b0}}}; end 5'd15: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[79:95],{15{1'b0}}}; VAR1[96:127]<={VAR5[111:127],{15{1'b0}}}; end 5'd16: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[80:95],{16{1'b0}}}; VAR1[96:127]<={VAR5[112:127],{16{1'b0}}}; end 5'd17: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[81:95],{17{1'b0}}}; VAR1[96:127]<={VAR5[113:127],{17{1'b0}}}; end 5'd18: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[82:95],{18{1'b0}}}; VAR1[96:127]<={VAR5[114:127],{18{1'b0}}}; end 5'd19: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[83:95],{19{1'b0}}}; VAR1[96:127]<={VAR5[115:127],{19{1'b0}}}; end 5'd20: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[84:95],{20{1'b0}}}; VAR1[96:127]<={VAR5[116:127],{20{1'b0}}}; end 5'd21: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[85:95],{21{1'b0}}}; VAR1[96:127]<={VAR5[117:127],{21{1'b0}}}; end 5'd22: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[86:95],{22{1'b0}}}; VAR1[96:127]<={VAR5[118:127],{22{1'b0}}}; end 5'd23: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[87:95],{23{1'b0}}}; VAR1[96:127]<={VAR5[119:127],{23{1'b0}}}; end 5'd24: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[88:95],{24{1'b0}}}; VAR1[96:127]<={VAR5[120:127],{24{1'b0}}}; end 5'd25: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[89:95],{25{1'b0}}}; VAR1[96:127]<={VAR5[121:127],{25{1'b0}}}; end 5'd26: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[90:95],{26{1'b0}}}; VAR1[96:127]<={VAR5[122:127],{26{1'b0}}}; end 5'd27: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[91:95],{27{1'b0}}}; VAR1[96:127]<={VAR5[123:127],{27{1'b0}}}; end 5'd28: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[92:95],{28{1'b0}}}; VAR1[96:127]<={VAR5[124:127],{28{1'b0}}}; end 5'd29: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[93:95],{29{1'b0}}}; VAR1[96:127]<={VAR5[125:127],{29{1'b0}}}; end 5'd30: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[94:95],{30{1'b0}}}; VAR1[96:127]<={VAR5[126:127],{30{1'b0}}}; end 5'd31: begin VAR1[0:63]<=64'd0; VAR1[64:95]<={VAR5[95],{31{1'b0}}}; VAR1[96:127]<={VAR5[127],{31{1'b0}}}; end endcase end endcase end case(VAR6) begin case(VAR8[2:4]) 3'd0: begin VAR1[0:7]<=VAR5[0:7]; VAR1[8:15]<=8'b0; VAR1[16:23]<=VAR5[16:23]; VAR1[24:31]<=8'b0; VAR1[32:39]<=VAR5[33:39]; VAR1[40:47]<=8'b0; VAR1[48:55]<=VAR5[48:55]; VAR1[56:63]<=8'b0; VAR1[64:71]<=VAR5[64:71]; VAR1[72:79]<=8'b0; VAR1[80:87]<=VAR5[80:87]; VAR1[88:95]<=8'b0; VAR1[96:103]<=VAR5[96:103]; VAR1[104:111]<=8'b0; VAR1[112:119]<=VAR5[112:119]; VAR1[120:127]<=8'b0; end 3'd1: begin VAR1[0:7]<={VAR5[1:7],{1'b0}}; VAR1[8:15]<=8'b0; VAR1[16:23]<={VAR5[17:23],{1'b0}}; VAR1[24:31]<=8'b0; VAR1[32:39]<={VAR5[33:39],{1'b0}}; VAR1[40:47]<=8'b0; VAR1[48:55]<={VAR5[49:55],{1'b0}}; VAR1[56:63]<=8'b0; VAR1[64:71]<={VAR5[65:71],{1'b0}}; VAR1[72:79]<=8'b0; VAR1[80:87]<={VAR5[81:87],{1'b0}}; VAR1[88:95]<=8'b0; VAR1[96:103]<={VAR5[97:103],{1'b0}}; VAR1[104:111]<=8'b0; VAR1[112:119]<={VAR5[113:119],{1'b0}}; VAR1[120:127]<=8'b0; end 3'd2: begin VAR1[0:7]<={VAR5[2:7],{2{1'b0}}}; VAR1[8:15]<=8'b0; VAR1[16:23]<={VAR5[18:23],{2{1'b0}}}; VAR1[24:31]<=8'b0; VAR1[32:39]<={VAR5[34:39],{2{1'b0}}}; VAR1[40:47]<=8'b0; VAR1[48:55]<={VAR5[50:55],{2{1'b0}}}; VAR1[56:63]<=8'b0; VAR1[64:71]<={VAR5[66:71],{2{1'b0}}}; VAR1[72:79]<=8'b0; VAR1[80:87]<={VAR5[82:87],{2{1'b0}}}; VAR1[88:95]<=8'b0; VAR1[96:103]<={VAR5[98:103],{2{1'b0}}}; VAR1[104:111]<=8'b0; VAR1[112:119]<={VAR5[114:119],{2{1'b0}}}; VAR1[120:127]<=8'b0; end 3'd3: begin VAR1[0:7]<={VAR5[3:7],{3{1'b0}}}; VAR1[8:15]<=8'b0; VAR1[16:23]<={VAR5[19:23],{3{1'b0}}}; VAR1[24:31]<=8'b0; VAR1[32:39]<={VAR5[35:39],{3{1'b0}}}; VAR1[40:47]<=8'b0; VAR1[48:55]<={VAR5[51:55],{3{1'b0}}}; VAR1[56:63]<=8'b0; VAR1[64:71]<={VAR5[67:71],{3{1'b0}}}; VAR1[72:79]<=8'b0; VAR1[80:87]<={VAR5[83:87],{3{1'b0}}}; VAR1[88:95]<=8'b0; VAR1[96:103]<={VAR5[99:103],{3{1'b0}}}; VAR1[104:111]<=8'b0; VAR1[112:119]<={VAR5[115:119],{3{1'b0}}}; VAR1[120:127]<=8'b0; end 3'd4: begin VAR1[0:7]<={VAR5[4:7],{4{1'b0}}}; VAR1[8:15]<=8'b0; VAR1[16:23]<={VAR5[20:23],{4{1'b0}}}; VAR1[24:31]<=8'b0; VAR1[32:39]<={VAR5[36:39],{4{1'b0}}}; VAR1[40:47]<=8'b0; VAR1[48:55]<={VAR5[52:55],{4{1'b0}}}; VAR1[56:63]<=8'b0; VAR1[64:71]<={VAR5[68:71],{4{1'b0}}}; VAR1[72:79]<=8'b0; VAR1[80:87]<={VAR5[84:87],{4{1'b0}}}; VAR1[88:95]<=8'b0; VAR1[96:103]<={VAR5[100:103],{4{1'b0}}}; VAR1[104:111]<=8'b0; VAR1[112:119]<={VAR5[116:119],{4{1'b0}}}; VAR1[120:127]<=8'b0; end 3'd5: begin VAR1[0:7]<={VAR5[5:7],{5{1'b0}}}; VAR1[8:15]<=8'b0; VAR1[16:23]<={VAR5[21:23],{5{1'b0}}}; VAR1[24:31]<=8'b0; VAR1[32:39]<={VAR5[37:39],{5{1'b0}}}; VAR1[40:47]<=8'b0; VAR1[48:55]<={VAR5[53:55],{5{1'b0}}}; VAR1[56:63]<=8'b0; VAR1[64:71]<={VAR5[69:71],{5{1'b0}}}; VAR1[72:79]<=8'b0; VAR1[80:87]<={VAR5[85:87],{5{1'b0}}}; VAR1[88:95]<=8'b0; VAR1[96:103]<={VAR5[101:103],{5{1'b0}}}; VAR1[104:111]<=8'b0; VAR1[112:119]<={VAR5[117:119],{5{1'b0}}}; VAR1[120:127]<=8'b0; end 3'd6: begin VAR1[0:7]<={VAR5[6:7],{6{1'b0}}}; VAR1[8:15]<=8'b0; VAR1[16:23]<={VAR5[22:23],{6{1'b0}}}; VAR1[24:31]<=8'b0; VAR1[32:39]<={VAR5[38:39],{6{1'b0}}}; VAR1[40:47]<=8'b0; VAR1[48:55]<={VAR5[54:55],{6{1'b0}}}; VAR1[56:63]<=8'b0; VAR1[64:71]<={VAR5[70:71],{6{1'b0}}}; VAR1[72:79]<=8'b0; VAR1[80:87]<={VAR5[86:87],{6{1'b0}}}; VAR1[88:95]<=8'b0; VAR1[96:103]<={VAR5[102:103],{6{1'b0}}}; VAR1[104:111]<=8'b0; VAR1[112:119]<={VAR5[118:119],{6{1'b0}}}; VAR1[120:127]<=8'b0; end 3'd7: begin VAR1[0:7]<={VAR5[7],{7{1'b0}}}; VAR1[8:15]<=8'b0; VAR1[16:23]<={VAR5[23],{7{1'b0}}}; VAR1[24:31]<=8'b0; VAR1[32:39]<={VAR5[39],{7{1'b0}}}; VAR1[40:47]<=8'b0; VAR1[48:55]<={VAR5[55],{7{1'b0}}}; VAR1[56:63]<=8'b0; VAR1[64:71]<={VAR5[71],{7{1'b0}}}; VAR1[72:79]<=8'b0; VAR1[80:87]<={VAR5[87],{7{1'b0}}}; VAR1[88:95]<=8'b0; VAR1[96:103]<={VAR5[103],{7{1'b0}}}; VAR1[104:111]<=8'b0; VAR1[112:119]<={VAR5[119],{7{1'b0}}}; VAR1[120:127]<=8'b0; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR1[0:127]<=VAR5[0:127]; end 4'd1: begin VAR1[0:15]<={VAR5[1:15],{1'b0}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[33:47],{1'b0}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[65:79],{1'b0}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[97:111],{1'b0}}; VAR1[112:127]<=16'b0; end 4'd2: begin VAR1[0:15]<={VAR5[2:15],{2{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[34:47],{2{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[66:79],{2{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[98:111],{2{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd3: begin VAR1[0:15]<={VAR5[3:15],{3{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[35:47],{3{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[67:79],{3{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[99:111],{3{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd4: begin VAR1[0:15]<={VAR5[4:15],{4{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[36:47],{4{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[68:79],{4{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[100:111],{4{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd5: begin VAR1[0:15]<={VAR5[5:15],{5{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[37:47],{5{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[69:79],{5{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[101:111],{5{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd6: begin VAR1[0:15]<={VAR5[6:15],{6{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[38:47],{6{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[70:79],{6{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[102:111],{6{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd7: begin VAR1[0:15]<={VAR5[7:15],{7{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[39:47],{7{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[71:79],{7{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[103:111],{7{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd8: begin VAR1[0:15]<={VAR5[8:15],{8{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[40:47],{8{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[72:79],{8{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[104:111],{8{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd9: begin VAR1[0:15]<={VAR5[9:15],{9{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[41:47],{9{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[73:79],{9{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[105:111],{9{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd10: begin VAR1[0:15]<={VAR5[10:15],{10{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[42:47],{10{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[74:79],{10{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[106:111],{10{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd11: begin VAR1[0:15]<={VAR5[11:15],{11{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[43:47],{11{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[75:79],{11{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[107:111],{11{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd12: begin VAR1[0:15]<={VAR5[12:15],{12{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[44:47],{12{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[76:79],{12{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[108:111],{12{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd13: begin VAR1[0:15]<={VAR5[13:15],{13{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[45:47],{13{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[77:79],{13{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[109:111],{13{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd14: begin VAR1[0:15]<={VAR5[14:15],{14{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[46:47],{14{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[78:79],{14{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[110:111],{14{1'b0}}}; VAR1[112:127]<=16'b0; end 4'd15: begin VAR1[0:15]<={VAR5[15],{15{1'b0}}}; VAR1[16:31]<=16'b0; VAR1[32:47]<={VAR5[47],{15{1'b0}}}; VAR1[48:63]<=16'b0; VAR1[64:79]<={VAR5[79],{15{1'b0}}}; VAR1[80:95]<=16'b0; VAR1[96:111]<={VAR5[111],{15{1'b0}}}; VAR1[112:127]<=16'b0; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR1[0:127]<=VAR5[0:127]; end 5'd1: begin VAR1[0:31]<={VAR5[1:31],{1'b0}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[65:95],{1'b0}}; VAR1[96:127]<=32'b0; end 5'd2: begin VAR1[0:31]<={VAR5[2:31],{2{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[66:95],{2{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd3: begin VAR1[0:31]<={VAR5[3:31],{3{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[67:95],{3{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd4: begin VAR1[0:31]<={VAR5[4:31],{4{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[68:95],{4{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd5: begin VAR1[0:31]<={VAR5[5:31],{5{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[69:95],{5{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd6: begin VAR1[0:31]<={VAR5[6:31],{6{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[70:95],{6{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd7: begin VAR1[0:31]<={VAR5[7:31],{7{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[71:95],{7{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd8: begin VAR1[0:31]<={VAR5[8:31],{8{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[72:95],{8{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd9: begin VAR1[0:31]<={VAR5[9:31],{9{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[73:95],{9{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd10: begin VAR1[0:31]<={VAR5[10:31],{10{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[74:95],{10{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd11: begin VAR1[0:31]<={VAR5[11:31],{11{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[75:95],{11{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd12: begin VAR1[0:31]<={VAR5[12:31],{12{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[76:95],{12{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd13: begin VAR1[0:31]<={VAR5[13:31],{13{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[77:95],{13{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd14: begin VAR1[0:31]<={VAR5[14:31],{14{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[78:95],{14{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd15: begin VAR1[0:31]<={VAR5[15:31],{15{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[79:95],{15{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd16: begin VAR1[0:31]<={VAR5[16:31],{16{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[80:95],{16{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd17: begin VAR1[0:31]<={VAR5[17:31],{17{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[81:95],{17{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd18: begin VAR1[0:31]<={VAR5[18:31],{18{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[82:95],{18{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd19: begin VAR1[0:31]<={VAR5[19:31],{19{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[83:95],{19{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd20: begin VAR1[0:31]<={VAR5[20:31],{20{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[84:95],{20{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd21: begin VAR1[0:31]<={VAR5[21:31],{21{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[85:95],{21{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd22: begin VAR1[0:31]<={VAR5[22:31],{22{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[86:95],{22{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd23: begin VAR1[0:31]<={VAR5[23:31],{23{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[87:95],{23{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd24: begin VAR1[0:31]<={VAR5[24:31],{24{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[88:95],{24{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd25: begin VAR1[0:31]<={VAR5[25:31],{25{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[89:95],{25{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd26: begin VAR1[0:31]<={VAR5[26:31],{26{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[90:95],{26{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd27: begin VAR1[0:31]<={VAR5[27:31],{27{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[91:95],{27{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd28: begin VAR1[0:31]<={VAR5[28:31],{28{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[92:95],{28{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd29: begin VAR1[0:31]<={VAR5[29:31],{29{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[93:95],{29{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd30: begin VAR1[0:31]<={VAR5[30:31],{30{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[94:95],{30{1'b0}}}; VAR1[96:127]<=32'b0; end 5'd31: begin VAR1[0:31]<={VAR5[31],{31{1'b0}}}; VAR1[32:63]<=32'b0; VAR1[64:95]<={VAR5[95],{31{1'b0}}}; VAR1[96:127]<=32'b0; end endcase end endcase end case(VAR6) begin case(VAR8[2:4]) 3'd0: begin VAR1[0:127]<=VAR5[0:127]; end 3'd1: begin VAR1[0:7]<=8'b0; VAR1[8:15]<={VAR5[9:15],{1'b0}}; VAR1[16:23]<=8'b0; VAR1[24:31]<={VAR5[25:31],{1'b0}}; VAR1[32:39]<=8'b0; VAR1[40:47]<={VAR5[41:47],{1'b0}}; VAR1[48:55]<=8'b0; VAR1[56:63]<={VAR5[57:63],{1'b0}}; VAR1[64:71]<=8'b0; VAR1[72:79]<={VAR5[73:79],{1'b0}}; VAR1[80:87]<=8'b0; VAR1[88:95]<={VAR5[89:95],{1'b0}}; VAR1[96:103]<=8'b0; VAR1[104:111]<={VAR5[105:111],{1'b0}}; VAR1[112:119]<=8'b0; VAR1[120:127]<={VAR5[121:127],{1'b0}}; end 3'd2: begin VAR1[0:7]<=8'b0; VAR1[8:15]<={VAR5[10:15],{2{1'b0}}}; VAR1[16:23]<=8'b0; VAR1[24:31]<={VAR5[26:31],{2{1'b0}}}; VAR1[32:39]<=8'b0; VAR1[40:47]<={VAR5[42:47],{2{1'b0}}}; VAR1[48:55]<=8'b0; VAR1[56:63]<={VAR5[58:63],{2{1'b0}}}; VAR1[64:71]<=8'b0; VAR1[72:79]<={VAR5[74:79],{2{1'b0}}}; VAR1[80:87]<=8'b0; VAR1[88:95]<={VAR5[90:95],{2{1'b0}}}; VAR1[96:103]<=8'b0; VAR1[104:111]<={VAR5[106:111],{2{1'b0}}}; VAR1[112:119]<=8'b0; VAR1[120:127]<={VAR5[122:127],{2{1'b0}}}; end 3'd3: begin VAR1[0:7]<=8'b0; VAR1[8:15]<={VAR5[11:15],{3{1'b0}}}; VAR1[16:23]<=8'b0; VAR1[24:31]<={VAR5[27:31],{3{1'b0}}}; VAR1[32:39]<=8'b0; VAR1[40:47]<={VAR5[43:47],{3{1'b0}}}; VAR1[48:55]<=8'b0; VAR1[56:63]<={VAR5[59:63],{3{1'b0}}}; VAR1[64:71]<=8'b0; VAR1[72:79]<={VAR5[75:79],{3{1'b0}}}; VAR1[80:87]<=8'b0; VAR1[88:95]<={VAR5[91:95],{3{1'b0}}}; VAR1[96:103]<=8'b0; VAR1[104:111]<={VAR5[107:111],{3{1'b0}}}; VAR1[112:119]<=8'b0; VAR1[120:127]<={VAR5[123:127],{3{1'b0}}}; end 3'd4: begin VAR1[0:7]<=8'b0; VAR1[8:15]<={VAR5[12:15],{4{1'b0}}}; VAR1[16:23]<=8'b0; VAR1[24:31]<={VAR5[28:31],{4{1'b0}}}; VAR1[32:39]<=8'b0; VAR1[40:47]<={VAR5[44:47],{4{1'b0}}}; VAR1[48:55]<=8'b0; VAR1[56:63]<={VAR5[60:63],{4{1'b0}}}; VAR1[64:71]<=8'b0; VAR1[72:79]<={VAR5[76:79],{4{1'b0}}}; VAR1[80:87]<=8'b0; VAR1[88:95]<={VAR5[92:95],{4{1'b0}}}; VAR1[96:103]<=8'b0; VAR1[104:111]<={VAR5[108:111],{4{1'b0}}}; VAR1[112:119]<=8'b0; VAR1[120:127]<={VAR5[124:127],{4{1'b0}}}; end 3'd5: begin VAR1[0:7]<=8'b0; VAR1[8:15]<={VAR5[13:15],{5{1'b0}}}; VAR1[16:23]<=8'b0; VAR1[24:31]<={VAR5[29:31],{5{1'b0}}}; VAR1[32:39]<=8'b0; VAR1[40:47]<={VAR5[45:47],{5{1'b0}}}; VAR1[48:55]<=8'b0; VAR1[56:63]<={VAR5[61:63],{5{1'b0}}}; VAR1[64:71]<=8'b0; VAR1[72:79]<={VAR5[77:79],{5{1'b0}}}; VAR1[80:87]<=8'b0; VAR1[88:95]<={VAR5[93:95],{5{1'b0}}}; VAR1[96:103]<=8'b0; VAR1[104:111]<={VAR5[109:111],{5{1'b0}}}; VAR1[112:119]<=8'b0; VAR1[120:127]<={VAR5[125:127],{5{1'b0}}}; end 3'd6: begin VAR1[0:7]<=8'b0; VAR1[8:15]<={VAR5[14:15],{6{1'b0}}}; VAR1[16:23]<=8'b0; VAR1[24:31]<={VAR5[30:31],{6{1'b0}}}; VAR1[32:39]<=8'b0; VAR1[40:47]<={VAR5[46:47],{6{1'b0}}}; VAR1[48:55]<=8'b0; VAR1[56:63]<={VAR5[62:63],{6{1'b0}}}; VAR1[64:71]<=8'b0; VAR1[72:79]<={VAR5[78:79],{6{1'b0}}}; VAR1[80:87]<=8'b0; VAR1[88:95]<={VAR5[94:95],{6{1'b0}}}; VAR1[96:103]<=8'b0; VAR1[104:111]<={VAR5[110:111],{6{1'b0}}}; VAR1[112:119]<=8'b0; VAR1[120:127]<={VAR5[126:127],{6{1'b0}}}; end 3'd7: begin VAR1[0:7]<=8'b0; VAR1[8:15]<={VAR5[15],{7{1'b0}}}; VAR1[16:23]<=8'b0; VAR1[24:31]<={VAR5[31],{7{1'b0}}}; VAR1[32:39]<=8'b0; VAR1[40:47]<={VAR5[47],{7{1'b0}}}; VAR1[48:55]<=8'b0; VAR1[56:63]<={VAR5[63],{7{1'b0}}}; VAR1[64:71]<=8'b0; VAR1[72:79]<={VAR5[79],{7{1'b0}}}; VAR1[80:87]<=8'b0; VAR1[88:95]<={VAR5[95],{7{1'b0}}}; VAR1[96:103]<=8'b0; VAR1[104:111]<={VAR5[111],{7{1'b0}}}; VAR1[112:119]<=8'b0; VAR1[120:127]<={VAR5[127],{7{1'b0}}}; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR1[0:127]<=VAR5[0:127]; end 4'd1: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[17:31],{1'b0}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[49:63],{1'b0}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[81:95],{1'b0}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[113:127],{1'b0}}; end 4'd2: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[18:31],{2{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[50:63],{2{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[82:95],{2{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[114:127],{2{1'b0}}}; end 4'd3: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[19:31],{3{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[51:63],{3{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[83:95],{3{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[115:127],{3{1'b0}}}; end 4'd4: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[20:31],{4{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[52:63],{4{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[84:95],{4{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[116:127],{4{1'b0}}}; end 4'd5: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[21:31],{5{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[52:63],{5{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[85:95],{5{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[117:127],{5{1'b0}}}; end 4'd6: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[22:31],{6{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[53:63],{6{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[86:95],{6{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[118:127],{6{1'b0}}}; end 4'd7: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[23:31],{7{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[54:63],{7{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[87:95],{7{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[119:127],{7{1'b0}}}; end 4'd8: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[24:31],{8{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[55:63],{8{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[88:95],{8{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[120:127],{8{1'b0}}}; end 4'd9: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[25:31],{9{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[56:63],{9{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[89:95],{9{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[121:127],{9{1'b0}}}; end 4'd10: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[26:31],{10{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[58:63],{10{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[90:95],{10{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[122:127],{10{1'b0}}}; end 4'd11: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[27:31],{11{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[59:63],{11{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[91:95],{11{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[123:127],{11{1'b0}}}; end 4'd12: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[28:31],{12{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[60:63],{12{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[92:95],{12{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[124:127],{12{1'b0}}}; end 4'd13: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[29:31],{13{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[61:63],{13{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[93:95],{13{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[125:127],{13{1'b0}}}; end 4'd14: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[30:31],{14{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[62:63],{14{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[94:95],{14{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[126:127],{14{1'b0}}}; end 4'd15: begin VAR1[0:15]<=16'b0; VAR1[16:31]<={VAR5[31],{15{1'b0}}}; VAR1[32:47]<=16'b0; VAR1[48:63]<={VAR5[63],{15{1'b0}}}; VAR1[64:79]<=16'b0; VAR1[80:95]<={VAR5[95],{15{1'b0}}}; VAR1[96:111]<=16'b0; VAR1[112:127]<={VAR5[127],{15{1'b0}}}; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR1[0:127]<=VAR5[0:127]; end 5'd1: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[33:63],{1'b0}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[97:127],{1'b0}}; end 5'd2: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[34:63],{2{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[98:127],{2{1'b0}}}; end 5'd3: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[35:63],{3{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[99:127],{3{1'b0}}}; end 5'd4: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[36:63],{4{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[100:127],{4{1'b0}}}; end 5'd5: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[37:63],{5{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[101:127],{5{1'b0}}}; end 5'd6: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[38:63],{6{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[102:127],{6{1'b0}}}; end 5'd7: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[39:63],{7{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[103:127],{7{1'b0}}}; end 5'd8: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[40:63],{8{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[104:127],{8{1'b0}}}; end 5'd9: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[41:63],{9{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[105:127],{9{1'b0}}}; end 5'd10: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[42:63],{10{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[106:127],{10{1'b0}}}; end 5'd11: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[43:63],{11{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[107:127],{11{1'b0}}}; end 5'd12: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[44:63],{12{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[108:127],{12{1'b0}}}; end 5'd13: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[45:63],{13{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[109:127],{13{1'b0}}}; end 5'd14: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[46:63],{14{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[110:127],{14{1'b0}}}; end 5'd15: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[47:63],{15{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[111:127],{15{1'b0}}}; end 5'd16: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[48:63],{16{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[112:127],{16{1'b0}}}; end 5'd17: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[49:63],{17{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[113:127],{17{1'b0}}}; end 5'd18: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[50:63],{18{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[114:127],{18{1'b0}}}; end 5'd19: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[51:63],{19{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[115:127],{19{1'b0}}}; end 5'd20: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[52:63],{20{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[116:127],{20{1'b0}}}; end 5'd21: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[53:63],{21{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[117:127],{21{1'b0}}}; end 5'd22: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[54:63],{22{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[118:127],{22{1'b0}}}; end 5'd23: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[55:63],{23{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[119:127],{23{1'b0}}}; end 5'd24: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[56:63],{24{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[120:127],{24{1'b0}}}; end 5'd25: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[57:63],{25{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[121:127],{25{1'b0}}}; end 5'd26: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[58:63],{26{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[122:127],{26{1'b0}}}; end 5'd27: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[59:63],{27{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[123:127],{27{1'b0}}}; end 5'd28: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[60:63],{28{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[124:127],{28{1'b0}}}; end 5'd29: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[61:63],{29{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[125:127],{29{1'b0}}}; end 5'd30: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[62:63],{30{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[126:127],{30{1'b0}}}; end 5'd31: begin VAR1[0:31]<=32'b0; VAR1[32:63]<={VAR5[63],{31{1'b0}}}; VAR1[64:95]<=32'b0; VAR1[96:127]<={VAR5[127],{31{1'b0}}}; end endcase end endcase end case(VAR6) begin case(VAR8[2:4]) 3'd0: begin VAR1[0:7]<=VAR5[0:7]; VAR1[8:127]<=119'b0; end 3'd1: begin VAR1[0:7]<={VAR5[1:7],{1'b0}}; VAR1[8:127]<=119'b0; end 3'd2: begin VAR1[0:7]<={VAR5[2:7],{2{1'b0}}}; VAR1[8:127]<=119'b0; end 3'd3: begin VAR1[0:7]<={VAR5[3:7],{3{1'b0}}}; VAR1[8:127]<=119'b0; end 3'd4: begin VAR1[0:7]<={VAR5[4:7],{4{1'b0}}}; VAR1[8:127]<=119'b0; end 3'd5: begin VAR1[0:7]<={VAR5[5:7],{5{1'b0}}}; VAR1[8:127]<=119'b0; end 3'd6: begin VAR1[0:7]<={VAR5[6:7],{6{1'b0}}}; VAR1[8:127]<=119'b0; end 3'd7: begin VAR1[0:7]<={VAR5[7],{7{1'b0}}}; VAR1[8:127]<=119'b0; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR1[0:15]<=VAR5[0:15]; VAR1[16:127]<=112'b0; end 4'd1: begin VAR1[0:15]<={VAR5[1:15],{1'b0}}; VAR1[16:127]<=112'b0; end 4'd2: begin VAR1[0:15]<={VAR5[2:15],{2{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd3: begin VAR1[0:15]<={VAR5[3:15],{3{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd4: begin VAR1[0:15]<={VAR5[4:15],{4{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd5: begin VAR1[0:15]<={VAR5[5:15],{5{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd6: begin VAR1[0:15]<={VAR5[6:15],{6{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd7: begin VAR1[0:15]<={VAR5[7:15],{7{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd8: begin VAR1[0:15]<={VAR5[8:15],{8{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd9: begin VAR1[0:15]<={VAR5[9:15],{9{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd10: begin VAR1[0:15]<={VAR5[10:15],{10{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd11: begin VAR1[0:15]<={VAR5[11:15],{11{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd12: begin VAR1[0:15]<={VAR5[12:15],{12{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd13: begin VAR1[0:15]<={VAR5[13:15],{13{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd14: begin VAR1[0:15]<={VAR5[14:15],{14{1'b0}}}; VAR1[16:127]<=112'b0; end 4'd15: begin VAR1[0:15]<={VAR5[15],{15{1'b0}}}; VAR1[16:127]<=112'b0; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR1[0:31]<=VAR5[0:31]; VAR1[32:127]<=96'b0; end 5'd1: begin VAR1[0:31]<={VAR5[1:31],{1'b0}}; VAR1[32:127]<=96'b0; end 5'd2: begin VAR1[0:31]<={VAR5[2:31],{2{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd3: begin VAR1[0:31]<={VAR5[3:31],{3{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd4: begin VAR1[0:31]<={VAR5[4:31],{4{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd5: begin VAR1[0:31]<={VAR5[5:31],{5{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd6: begin VAR1[0:31]<={VAR5[6:31],{6{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd7: begin VAR1[0:31]<={VAR5[7:31],{7{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd8: begin VAR1[0:31]<={VAR5[8:31],{8{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd9: begin VAR1[0:31]<={VAR5[9:31],{9{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd10: begin VAR1[0:31]<={VAR5[10:31],{10{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd11: begin VAR1[0:31]<={VAR5[11:31],{11{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd12: begin VAR1[0:31]<={VAR5[12:31],{12{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd13: begin VAR1[0:31]<={VAR5[13:31],{13{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd14: begin VAR1[0:31]<={VAR5[14:31],{14{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd15: begin VAR1[0:31]<={VAR5[15:31],{15{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd16: begin VAR1[0:31]<={VAR5[16:31],{16{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd17: begin VAR1[0:31]<={VAR5[17:31],{17{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd18: begin VAR1[0:31]<={VAR5[18:31],{18{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd19: begin VAR1[0:31]<={VAR5[19:31],{19{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd20: begin VAR1[0:31]<={VAR5[20:31],{20{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd21: begin VAR1[0:31]<={VAR5[21:31],{21{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd22: begin VAR1[0:31]<={VAR5[22:31],{22{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd23: begin VAR1[0:31]<={VAR5[23:31],{23{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd24: begin VAR1[0:31]<={VAR5[24:31],{24{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd25: begin VAR1[0:31]<={VAR5[25:31],{25{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd26: begin VAR1[0:31]<={VAR5[26:31],{26{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd27: begin VAR1[0:31]<={VAR5[27:31],{27{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd28: begin VAR1[0:31]<={VAR5[28:31],{28{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd29: begin VAR1[0:31]<={VAR5[29:31],{29{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd30: begin VAR1[0:31]<={VAR5[30:31],{30{1'b0}}}; VAR1[32:127]<=96'b0; end 5'd31: begin VAR1[0:31]<={VAR5[31],{31{1'b0}}}; VAR1[32:127]<=96'b0; end endcase end endcase end case(VAR6) begin case(VAR8[2:4]) 3'd0: begin VAR1[0:119]<=120'b0; VAR1[120:127]<=VAR5[120:127]; end 3'd1: begin VAR1[0:119]<=120'b0; VAR1[120:127]<={VAR5[121:127],{1'b0}}; end 3'd2: begin VAR1[0:119]<=120'b0; VAR1[120:127]<={VAR5[122:127],{2{1'b0}}}; end 3'd3: begin VAR1[0:119]<=120'b0; VAR1[120:127]<={VAR5[123:127],{3{1'b0}}}; end 3'd4: begin VAR1[0:119]<=120'b0; VAR1[120:127]<={VAR5[124:127],{4{1'b0}}}; end 3'd5: begin VAR1[0:119]<=120'b0; VAR1[120:127]<={VAR5[125:127],{5{1'b0}}}; end 3'd6: begin VAR1[0:119]<=120'b0; VAR1[120:127]<={VAR5[126:127],{6{1'b0}}}; end 3'd7: begin VAR1[0:119]<=120'b0; VAR1[120:127]<={VAR5[127],{7{1'b0}}}; end endcase end begin case(VAR8[1:4]) 4'd0: begin VAR1[0:111]<=112'b0; VAR1[112:127]<=VAR5[112:127]; end 4'd1: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[113:127],{1'b0}}; end 4'd2: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[114:127],{2{1'b0}}}; end 4'd3: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[115:127],{3{1'b0}}}; end 4'd4: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[116:127],{4{1'b0}}}; end 4'd5: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[117:127],{5{1'b0}}}; end 4'd6: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[118:127],{6{1'b0}}}; end 4'd7: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[119:127],{7{1'b0}}}; end 4'd8: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[120:127],{8{1'b0}}}; end 4'd9: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[121:127],{9{1'b0}}}; end 4'd10: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[122:127],{10{1'b0}}}; end 4'd11: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[123:127],{11{1'b0}}}; end 4'd12: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[124:127],{12{1'b0}}}; end 4'd13: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[125:127],{13{1'b0}}}; end 4'd14: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[126:127],{14{1'b0}}}; end 4'd15: begin VAR1[0:111]<=112'b0; VAR1[112:127]<={VAR5[127],{15{1'b0}}}; end endcase end begin case(VAR8[0:4]) 5'd0: begin VAR1[0:95]<=96'b0; VAR1[96:127]<=VAR5[96:127]; end 5'd1: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[97:127],{1'b0}}; end 5'd2: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[98:127],{2{1'b0}}}; end 5'd3: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[99:127],{3{1'b0}}}; end 5'd4: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[100:127],{4{1'b0}}}; end 5'd5: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[101:127],{5{1'b0}}}; end 5'd6: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[102:127],{6{1'b0}}}; end 5'd7: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[103:127],{7{1'b0}}}; end 5'd8: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[104:127],{8{1'b0}}}; end 5'd9: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[105:127],{9{1'b0}}}; end 5'd10: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[106:127],{10{1'b0}}}; end 5'd11: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[107:127],{11{1'b0}}}; end 5'd12: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[108:127],{12{1'b0}}}; end 5'd13: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[109:127],{13{1'b0}}}; end 5'd14: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[110:127],{14{1'b0}}}; end 5'd15: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[111:127],{15{1'b0}}}; end 5'd16: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[112:127],{16{1'b0}}}; end 5'd17: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[113:127],{17{1'b0}}}; end 5'd18: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[114:127],{18{1'b0}}}; end 5'd19: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[115:127],{19{1'b0}}}; end 5'd20: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[116:127],{20{1'b0}}}; end 5'd21: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[117:127],{21{1'b0}}}; end 5'd22: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[118:127],{22{1'b0}}}; end 5'd23: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[119:127],{23{1'b0}}}; end 5'd24: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[120:127],{24{1'b0}}}; end 5'd25: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[121:127],{25{1'b0}}}; end 5'd26: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[122:127],{26{1'b0}}}; end 5'd27: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[123:127],{27{1'b0}}}; end 5'd28: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[124:127],{28{1'b0}}}; end 5'd29: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[125:127],{29{1'b0}}}; end 5'd30: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[126:127],{30{1'b0}}}; end 5'd31: begin VAR1[0:95]<=96'b0; VAR1[96:127]<={VAR5[127],{31{1'b0}}}; end endcase end endcase end endcase end begin case(VAR3) case(VAR8[4:7]) 4'd0: VAR1[0:7]<=VAR5[0:7]; 4'd1: VAR1[0:7]<=VAR5[8:15]; 4'd2: VAR1[0:7]<=VAR5[16:23]; 4'd3: VAR1[0:7]<=VAR5[24:31]; 4'd4: VAR1[0:7]<=VAR5[32:39]; 4'd5: VAR1[0:7]<=VAR5[40:47]; 4'd6: VAR1[0:7]<=VAR5[48:55]; 4'd7: VAR1[0:7]<=VAR5[56:63]; 4'd8: VAR1[0:7]<=VAR5[64:71]; 4'd9: VAR1[0:7]<=VAR5[72:79]; 4'd10: VAR1[0:7]<=VAR5[80:87]; 4'd11: VAR1[0:7]<=VAR5[88:95]; 4'd12: VAR1[0:7]<=VAR5[96:103]; 4'd13: VAR1[0:7]<=VAR5[104:111]; 4'd14: VAR1[0:7]<=VAR5[112:119]; 4'd15: VAR1[0:7]<=VAR5[120:127]; endcase case(VAR8[12:15]) 4'd0: VAR1[8:15]<=VAR5[0:7]; 4'd1: VAR1[8:15]<=VAR5[8:15]; 4'd2: VAR1[8:15]<=VAR5[16:23]; 4'd3: VAR1[8:15]<=VAR5[24:31]; 4'd4: VAR1[8:15]<=VAR5[32:39]; 4'd5: VAR1[8:15]<=VAR5[40:47]; 4'd6: VAR1[8:15]<=VAR5[48:55]; 4'd7: VAR1[8:15]<=VAR5[56:63]; 4'd8: VAR1[8:15]<=VAR5[64:71]; 4'd9: VAR1[8:15]<=VAR5[72:79]; 4'd10: VAR1[8:15]<=VAR5[80:87]; 4'd11: VAR1[8:15]<=VAR5[88:95]; 4'd12: VAR1[8:15]<=VAR5[96:103]; 4'd13: VAR1[8:15]<=VAR5[104:111]; 4'd14: VAR1[8:15]<=VAR5[112:119]; 4'd15: VAR1[8:15]<=VAR5[120:127]; endcase case(VAR8[20:23]) 4'd0: VAR1[16:23]<=VAR5[0:7]; 4'd1: VAR1[16:23]<=VAR5[8:15]; 4'd2: VAR1[16:23]<=VAR5[16:23]; 4'd3: VAR1[16:23]<=VAR5[24:31]; 4'd4: VAR1[16:23]<=VAR5[32:39]; 4'd5: VAR1[16:23]<=VAR5[40:47]; 4'd6: VAR1[16:23]<=VAR5[48:55]; 4'd7: VAR1[16:23]<=VAR5[56:63]; 4'd8: VAR1[16:23]<=VAR5[64:71]; 4'd9: VAR1[16:23]<=VAR5[72:79]; 4'd10: VAR1[16:23]<=VAR5[80:87]; 4'd11: VAR1[16:23]<=VAR5[88:95]; 4'd12: VAR1[16:23]<=VAR5[96:103]; 4'd13: VAR1[16:23]<=VAR5[104:111]; 4'd14: VAR1[16:23]<=VAR5[112:119]; 4'd15: VAR1[16:23]<=VAR5[120:127]; endcase case(VAR8[28:31]) 4'd0: VAR1[24:31]<=VAR5[0:7]; 4'd1: VAR1[24:31]<=VAR5[8:15]; 4'd2: VAR1[24:31]<=VAR5[16:23]; 4'd3: VAR1[24:31]<=VAR5[24:31]; 4'd4: VAR1[24:31]<=VAR5[32:39]; 4'd5: VAR1[24:31]<=VAR5[40:47]; 4'd6: VAR1[24:31]<=VAR5[48:55]; 4'd7: VAR1[24:31]<=VAR5[56:63]; 4'd8: VAR1[24:31]<=VAR5[64:71]; 4'd9: VAR1[24:31]<=VAR5[72:79]; 4'd10: VAR1[24:31]<=VAR5[80:87]; 4'd11: VAR1[24:31]<=VAR5[88:95]; 4'd12: VAR1[24:31]<=VAR5[96:103]; 4'd13: VAR1[24:31]<=VAR5[104:111]; 4'd14: VAR1[24:31]<=VAR5[112:119]; 4'd15: VAR1[24:31]<=VAR5[120:127]; endcase case(VAR8[36:39]) 4'd0: VAR1[32:39]<=VAR5[0:7]; 4'd1: VAR1[32:39]<=VAR5[8:15]; 4'd2: VAR1[32:39]<=VAR5[16:23]; 4'd3: VAR1[32:39]<=VAR5[24:31]; 4'd4: VAR1[32:39]<=VAR5[32:39]; 4'd5: VAR1[32:39]<=VAR5[40:47]; 4'd6: VAR1[32:39]<=VAR5[48:55]; 4'd7: VAR1[32:39]<=VAR5[56:63]; 4'd8: VAR1[32:39]<=VAR5[64:71]; 4'd9: VAR1[32:39]<=VAR5[72:79]; 4'd10: VAR1[32:39]<=VAR5[80:87]; 4'd11: VAR1[32:39]<=VAR5[88:95]; 4'd12: VAR1[32:39]<=VAR5[96:103]; 4'd13: VAR1[32:39]<=VAR5[104:111]; 4'd14: VAR1[32:39]<=VAR5[112:119]; 4'd15: VAR1[32:39]<=VAR5[120:127]; endcase case(VAR8[44:47]) 4'd0: VAR1[40:47]<=VAR5[0:7]; 4'd1: VAR1[40:47]<=VAR5[8:15]; 4'd2: VAR1[40:47]<=VAR5[16:23]; 4'd3: VAR1[40:47]<=VAR5[24:31]; 4'd4: VAR1[40:47]<=VAR5[32:39]; 4'd5: VAR1[40:47]<=VAR5[40:47]; 4'd6: VAR1[40:47]<=VAR5[48:55]; 4'd7: VAR1[40:47]<=VAR5[56:63]; 4'd8: VAR1[40:47]<=VAR5[64:71]; 4'd9: VAR1[40:47]<=VAR5[72:79]; 4'd10: VAR1[40:47]<=VAR5[80:87]; 4'd11: VAR1[40:47]<=VAR5[88:95]; 4'd12: VAR1[40:47]<=VAR5[96:103]; 4'd13: VAR1[40:47]<=VAR5[104:111]; 4'd14: VAR1[40:47]<=VAR5[112:119]; 4'd15: VAR1[40:47]<=VAR5[120:127]; endcase case(VAR8[52:55]) 4'd0: VAR1[48:55]<=VAR5[0:7]; 4'd1: VAR1[48:55]<=VAR5[8:15]; 4'd2: VAR1[48:55]<=VAR5[16:23]; 4'd3: VAR1[48:55]<=VAR5[24:31]; 4'd4: VAR1[48:55]<=VAR5[32:39]; 4'd5: VAR1[48:55]<=VAR5[40:47]; 4'd6: VAR1[48:55]<=VAR5[48:55]; 4'd7: VAR1[48:55]<=VAR5[56:63]; 4'd8: VAR1[48:55]<=VAR5[64:71]; 4'd9: VAR1[48:55]<=VAR5[72:79]; 4'd10: VAR1[48:55]<=VAR5[80:87]; 4'd11: VAR1[48:55]<=VAR5[88:95]; 4'd12: VAR1[48:55]<=VAR5[96:103]; 4'd13: VAR1[48:55]<=VAR5[104:111]; 4'd14: VAR1[48:55]<=VAR5[112:119]; 4'd15: VAR1[48:55]<=VAR5[120:127]; endcase case(VAR8[60:63]) 4'd0: VAR1[56:63]<=VAR5[0:7]; 4'd1: VAR1[56:63]<=VAR5[8:15]; 4'd2: VAR1[56:63]<=VAR5[16:23]; 4'd3: VAR1[56:63]<=VAR5[24:31]; 4'd4: VAR1[56:63]<=VAR5[32:39]; 4'd5: VAR1[56:63]<=VAR5[40:47]; 4'd6: VAR1[56:63]<=VAR5[48:55]; 4'd7: VAR1[56:63]<=VAR5[56:63]; 4'd8: VAR1[56:63]<=VAR5[64:71]; 4'd9: VAR1[56:63]<=VAR5[72:79]; 4'd10: VAR1[56:63]<=VAR5[80:87]; 4'd11: VAR1[56:63]<=VAR5[88:95]; 4'd12: VAR1[56:63]<=VAR5[96:103]; 4'd13: VAR1[56:63]<=VAR5[104:111]; 4'd14: VAR1[56:63]<=VAR5[112:119]; 4'd15: VAR1[56:63]<=VAR5[120:127]; endcase case(VAR8[68:71]) 4'd0: VAR1[64:71]<=VAR5[0:7]; 4'd1: VAR1[64:71]<=VAR5[8:15]; 4'd2: VAR1[64:71]<=VAR5[16:23]; 4'd3: VAR1[64:71]<=VAR5[24:31]; 4'd4: VAR1[64:71]<=VAR5[32:39]; 4'd5: VAR1[64:71]<=VAR5[40:47]; 4'd6: VAR1[64:71]<=VAR5[48:55]; 4'd7: VAR1[64:71]<=VAR5[56:63]; 4'd8: VAR1[64:71]<=VAR5[64:71]; 4'd9: VAR1[64:71]<=VAR5[72:79]; 4'd10: VAR1[64:71]<=VAR5[80:87]; 4'd11: VAR1[64:71]<=VAR5[88:95]; 4'd12: VAR1[64:71]<=VAR5[96:103]; 4'd13: VAR1[64:71]<=VAR5[104:111]; 4'd14: VAR1[64:71]<=VAR5[112:119]; 4'd15: VAR1[64:71]<=VAR5[120:127]; endcase case(VAR8[76:79]) 4'd0: VAR1[72:79]<=VAR5[0:7]; 4'd1: VAR1[72:79]<=VAR5[8:15]; 4'd2: VAR1[72:79]<=VAR5[16:23]; 4'd3: VAR1[72:79]<=VAR5[24:31]; 4'd4: VAR1[72:79]<=VAR5[32:39]; 4'd5: VAR1[72:79]<=VAR5[40:47]; 4'd6: VAR1[72:79]<=VAR5[48:55]; 4'd7: VAR1[72:79]<=VAR5[56:63]; 4'd8: VAR1[72:79]<=VAR5[64:71]; 4'd9: VAR1[72:79]<=VAR5[72:79]; 4'd10: VAR1[72:79]<=VAR5[80:87]; 4'd11: VAR1[72:79]<=VAR5[88:95]; 4'd12: VAR1[72:79]<=VAR5[96:103]; 4'd13: VAR1[72:79]<=VAR5[104:111]; 4'd14: VAR1[72:79]<=VAR5[112:119]; 4'd15: VAR1[72:79]<=VAR5[120:127]; endcase case(VAR8[84:87]) 4'd0: VAR1[80:87]<=VAR5[0:7]; 4'd1: VAR1[80:87]<=VAR5[8:15]; 4'd2: VAR1[80:87]<=VAR5[16:23]; 4'd3: VAR1[80:87]<=VAR5[24:31]; 4'd4: VAR1[80:87]<=VAR5[32:39]; 4'd5: VAR1[80:87]<=VAR5[40:47]; 4'd6: VAR1[80:87]<=VAR5[48:55]; 4'd7: VAR1[80:87]<=VAR5[56:63]; 4'd8: VAR1[80:87]<=VAR5[64:71]; 4'd9: VAR1[80:87]<=VAR5[72:79]; 4'd10: VAR1[80:87]<=VAR5[80:87]; 4'd11: VAR1[80:87]<=VAR5[88:95]; 4'd12: VAR1[80:87]<=VAR5[96:103]; 4'd13: VAR1[80:87]<=VAR5[104:111]; 4'd14: VAR1[80:87]<=VAR5[112:119]; 4'd15: VAR1[80:87]<=VAR5[120:127]; endcase case(VAR8[92:95]) 4'd0: VAR1[88:95]<=VAR5[0:7]; 4'd1: VAR1[88:95]<=VAR5[8:15]; 4'd2: VAR1[88:95]<=VAR5[16:23]; 4'd3: VAR1[88:95]<=VAR5[24:31]; 4'd4: VAR1[88:95]<=VAR5[32:39]; 4'd5: VAR1[88:95]<=VAR5[40:47]; 4'd6: VAR1[88:95]<=VAR5[48:55]; 4'd7: VAR1[88:95]<=VAR5[56:63]; 4'd8: VAR1[88:95]<=VAR5[64:71]; 4'd9: VAR1[88:95]<=VAR5[72:79]; 4'd10: VAR1[88:95]<=VAR5[80:87]; 4'd11: VAR1[88:95]<=VAR5[88:95]; 4'd12: VAR1[88:95]<=VAR5[96:103]; 4'd13: VAR1[88:95]<=VAR5[104:111]; 4'd14: VAR1[88:95]<=VAR5[112:119]; 4'd15: VAR1[88:95]<=VAR5[120:127]; endcase case(VAR8[100:103]) 4'd0: VAR1[96:103]<=VAR5[0:7]; 4'd1: VAR1[96:103]<=VAR5[8:15]; 4'd2: VAR1[96:103]<=VAR5[16:23]; 4'd3: VAR1[96:103]<=VAR5[24:31]; 4'd4: VAR1[96:103]<=VAR5[32:39]; 4'd5: VAR1[96:103]<=VAR5[40:47]; 4'd6: VAR1[96:103]<=VAR5[48:55]; 4'd7: VAR1[96:103]<=VAR5[56:63]; 4'd8: VAR1[96:103]<=VAR5[64:71]; 4'd9: VAR1[96:103]<=VAR5[72:79]; 4'd10: VAR1[96:103]<=VAR5[80:87]; 4'd11: VAR1[96:103]<=VAR5[88:95]; 4'd12: VAR1[96:103]<=VAR5[96:103]; 4'd13: VAR1[96:103]<=VAR5[104:111]; 4'd14: VAR1[96:103]<=VAR5[112:119]; 4'd15: VAR1[96:103]<=VAR5[120:127]; endcase case(VAR8[108:111]) 4'd0: VAR1[104:111]<=VAR5[0:7]; 4'd1: VAR1[104:111]<=VAR5[8:15]; 4'd2: VAR1[104:111]<=VAR5[16:23]; 4'd3: VAR1[104:111]<=VAR5[24:31]; 4'd4: VAR1[104:111]<=VAR5[32:39]; 4'd5: VAR1[104:111]<=VAR5[40:47]; 4'd6: VAR1[104:111]<=VAR5[48:55]; 4'd7: VAR1[104:111]<=VAR5[56:63]; 4'd8: VAR1[104:111]<=VAR5[64:71]; 4'd9: VAR1[104:111]<=VAR5[72:79]; 4'd10: VAR1[104:111]<=VAR5[80:87]; 4'd11: VAR1[104:111]<=VAR5[88:95]; 4'd12: VAR1[104:111]<=VAR5[96:103]; 4'd13: VAR1[104:111]<=VAR5[104:111]; 4'd14: VAR1[104:111]<=VAR5[112:119]; 4'd15: VAR1[104:111]<=VAR5[120:127]; endcase case(VAR8[116:119]) 4'd0: VAR1[112:119]<=VAR5[112:119]; 4'd1: VAR1[112:119]<=VAR5[8:15]; 4'd2: VAR1[112:119]<=VAR5[16:23]; 4'd3: VAR1[112:119]<=VAR5[24:31]; 4'd4: VAR1[112:119]<=VAR5[32:39]; 4'd5: VAR1[112:119]<=VAR5[40:47]; 4'd6: VAR1[112:119]<=VAR5[48:55]; 4'd7: VAR1[112:119]<=VAR5[56:63]; 4'd8: VAR1[112:119]<=VAR5[64:71]; 4'd9: VAR1[112:119]<=VAR5[72:79]; 4'd10: VAR1[112:119]<=VAR5[80:87]; 4'd11: VAR1[112:119]<=VAR5[88:95]; 4'd12: VAR1[112:119]<=VAR5[96:103]; 4'd13: VAR1[112:119]<=VAR5[104:111]; 4'd14: VAR1[112:119]<=VAR5[112:119]; 4'd15: VAR1[112:119]<=VAR5[120:127]; endcase case(VAR8[124:127]) 4'd0: VAR1[120:127]<=VAR5[0:7]; 4'd1: VAR1[120:127]<=VAR5[8:15]; 4'd2: VAR1[120:127]<=VAR5[16:23]; 4'd3: VAR1[120:127]<=VAR5[24:31]; 4'd4: VAR1[120:127]<=VAR5[32:39]; 4'd5: VAR1[120:127]<=VAR5[40:47]; 4'd6: VAR1[120:127]<=VAR5[48:55]; 4'd7: VAR1[120:127]<=VAR5[56:63]; 4'd8: VAR1[120:127]<=VAR5[64:71]; 4'd9: VAR1[120:127]<=VAR5[72:79]; 4'd10: VAR1[120:127]<=VAR5[80:87]; 4'd11: VAR1[120:127]<=VAR5[88:95]; 4'd12: VAR1[120:127]<=VAR5[96:103]; 4'd13: VAR1[120:127]<=VAR5[104:111]; 4'd14: VAR1[120:127]<=VAR5[112:119]; 4'd15: VAR1[120:127]<=VAR5[120:127]; endcase end case(VAR8[4:7]) 4'd0: VAR1[0:7]<=VAR5[0:7]; 4'd1: VAR1[0:7]<=VAR5[8:15]; 4'd2: VAR1[0:7]<=VAR5[16:23]; 4'd3: VAR1[0:7]<=VAR5[24:31]; 4'd4: VAR1[0:7]<=VAR5[32:39]; 4'd5: VAR1[0:7]<=VAR5[40:47]; 4'd6: VAR1[0:7]<=VAR5[48:55]; 4'd7: VAR1[0:7]<=VAR5[56:63]; 4'd8: VAR1[0:7]<=VAR5[64:71]; 4'd9: VAR1[0:7]<=VAR5[72:79]; 4'd10: VAR1[0:7]<=VAR5[80:87]; 4'd11: VAR1[0:7]<=VAR5[88:95]; 4'd12: VAR1[0:7]<=VAR5[96:103]; 4'd13: VAR1[0:7]<=VAR5[104:111]; 4'd14: VAR1[0:7]<=VAR5[112:119]; 4'd15: VAR1[0:7]<=VAR5[120:127]; endcase case(VAR8[12:15]) 4'd0: VAR1[8:15]<=VAR5[0:7]; 4'd1: VAR1[8:15]<=VAR5[8:15]; 4'd2: VAR1[8:15]<=VAR5[16:23]; 4'd3: VAR1[8:15]<=VAR5[24:31]; 4'd4: VAR1[8:15]<=VAR5[32:39]; 4'd5: VAR1[8:15]<=VAR5[40:47]; 4'd6: VAR1[8:15]<=VAR5[48:55]; 4'd7: VAR1[8:15]<=VAR5[56:63]; 4'd8: VAR1[8:15]<=VAR5[64:71]; 4'd9: VAR1[8:15]<=VAR5[72:79]; 4'd10: VAR1[8:15]<=VAR5[80:87]; 4'd11: VAR1[8:15]<=VAR5[88:95]; 4'd12: VAR1[8:15]<=VAR5[96:103]; 4'd13: VAR1[8:15]<=VAR5[104:111]; 4'd14: VAR1[8:15]<=VAR5[112:119]; 4'd15: VAR1[8:15]<=VAR5[120:127]; endcase case(VAR8[20:23]) 4'd0: VAR1[16:23]<=VAR5[0:7]; 4'd1: VAR1[16:23]<=VAR5[8:15]; 4'd2: VAR1[16:23]<=VAR5[16:23]; 4'd3: VAR1[16:23]<=VAR5[24:31]; 4'd4: VAR1[16:23]<=VAR5[32:39]; 4'd5: VAR1[16:23]<=VAR5[40:47]; 4'd6: VAR1[16:23]<=VAR5[48:55]; 4'd7: VAR1[16:23]<=VAR5[56:63]; 4'd8: VAR1[16:23]<=VAR5[64:71]; 4'd9: VAR1[16:23]<=VAR5[72:79]; 4'd10: VAR1[16:23]<=VAR5[80:87]; 4'd11: VAR1[16:23]<=VAR5[88:95]; 4'd12: VAR1[16:23]<=VAR5[96:103]; 4'd13: VAR1[16:23]<=VAR5[104:111]; 4'd14: VAR1[16:23]<=VAR5[112:119]; 4'd15: VAR1[16:23]<=VAR5[120:127]; endcase case(VAR8[28:31]) 4'd0: VAR1[24:31]<=VAR5[0:7]; 4'd1: VAR1[24:31]<=VAR5[8:15]; 4'd2: VAR1[24:31]<=VAR5[16:23]; 4'd3: VAR1[24:31]<=VAR5[24:31]; 4'd4: VAR1[24:31]<=VAR5[32:39]; 4'd5: VAR1[24:31]<=VAR5[40:47]; 4'd6: VAR1[24:31]<=VAR5[48:55]; 4'd7: VAR1[24:31]<=VAR5[56:63]; 4'd8: VAR1[24:31]<=VAR5[64:71]; 4'd9: VAR1[24:31]<=VAR5[72:79]; 4'd10: VAR1[24:31]<=VAR5[80:87]; 4'd11: VAR1[24:31]<=VAR5[88:95]; 4'd12: VAR1[24:31]<=VAR5[96:103]; 4'd13: VAR1[24:31]<=VAR5[104:111]; 4'd14: VAR1[24:31]<=VAR5[112:119]; 4'd15: VAR1[24:31]<=VAR5[120:127]; endcase case(VAR8[36:39]) 4'd0: VAR1[32:39]<=VAR5[0:7]; 4'd1: VAR1[32:39]<=VAR5[8:15]; 4'd2: VAR1[32:39]<=VAR5[16:23]; 4'd3: VAR1[32:39]<=VAR5[24:31]; 4'd4: VAR1[32:39]<=VAR5[32:39]; 4'd5: VAR1[32:39]<=VAR5[40:47]; 4'd6: VAR1[32:39]<=VAR5[48:55]; 4'd7: VAR1[32:39]<=VAR5[56:63]; 4'd8: VAR1[32:39]<=VAR5[64:71]; 4'd9: VAR1[32:39]<=VAR5[72:79]; 4'd10: VAR1[32:39]<=VAR5[80:87]; 4'd11: VAR1[32:39]<=VAR5[88:95]; 4'd12: VAR1[32:39]<=VAR5[96:103]; 4'd13: VAR1[32:39]<=VAR5[104:111]; 4'd14: VAR1[32:39]<=VAR5[112:119]; 4'd15: VAR1[32:39]<=VAR5[120:127]; endcase case(VAR8[44:47]) 4'd0: VAR1[40:47]<=VAR5[0:7]; 4'd1: VAR1[40:47]<=VAR5[8:15]; 4'd2: VAR1[40:47]<=VAR5[16:23]; 4'd3: VAR1[40:47]<=VAR5[24:31]; 4'd4: VAR1[40:47]<=VAR5[32:39]; 4'd5: VAR1[40:47]<=VAR5[40:47]; 4'd6: VAR1[40:47]<=VAR5[48:55]; 4'd7: VAR1[40:47]<=VAR5[56:63]; 4'd8: VAR1[40:47]<=VAR5[64:71]; 4'd9: VAR1[40:47]<=VAR5[72:79]; 4'd10: VAR1[40:47]<=VAR5[80:87]; 4'd11: VAR1[40:47]<=VAR5[88:95]; 4'd12: VAR1[40:47]<=VAR5[96:103]; 4'd13: VAR1[40:47]<=VAR5[104:111]; 4'd14: VAR1[40:47]<=VAR5[112:119]; 4'd15: VAR1[40:47]<=VAR5[120:127]; endcase case(VAR8[52:55]) 4'd0: VAR1[48:55]<=VAR5[0:7]; 4'd1: VAR1[48:55]<=VAR5[8:15]; 4'd2: VAR1[48:55]<=VAR5[16:23]; 4'd3: VAR1[48:55]<=VAR5[24:31]; 4'd4: VAR1[48:55]<=VAR5[32:39]; 4'd5: VAR1[48:55]<=VAR5[40:47]; 4'd6: VAR1[48:55]<=VAR5[48:55]; 4'd7: VAR1[48:55]<=VAR5[56:63]; 4'd8: VAR1[48:55]<=VAR5[64:71]; 4'd9: VAR1[48:55]<=VAR5[72:79]; 4'd10: VAR1[48:55]<=VAR5[80:87]; 4'd11: VAR1[48:55]<=VAR5[88:95]; 4'd12: VAR1[48:55]<=VAR5[96:103]; 4'd13: VAR1[48:55]<=VAR5[104:111]; 4'd14: VAR1[48:55]<=VAR5[112:119]; 4'd15: VAR1[48:55]<=VAR5[120:127]; endcase case(VAR8[60:63]) 4'd0: VAR1[56:63]<=VAR5[0:7]; 4'd1: VAR1[56:63]<=VAR5[8:15]; 4'd2: VAR1[56:63]<=VAR5[16:23]; 4'd3: VAR1[56:63]<=VAR5[24:31]; 4'd4: VAR1[56:63]<=VAR5[32:39]; 4'd5: VAR1[56:63]<=VAR5[40:47]; 4'd6: VAR1[56:63]<=VAR5[48:55]; 4'd7: VAR1[56:63]<=VAR5[56:63]; 4'd8: VAR1[56:63]<=VAR5[64:71]; 4'd9: VAR1[56:63]<=VAR5[72:79]; 4'd10: VAR1[56:63]<=VAR5[80:87]; 4'd11: VAR1[56:63]<=VAR5[88:95]; 4'd12: VAR1[56:63]<=VAR5[96:103]; 4'd13: VAR1[56:63]<=VAR5[104:111]; 4'd14: VAR1[56:63]<=VAR5[112:119]; 4'd15: VAR1[56:63]<=VAR5[120:127]; endcase VAR1[64:127]<=64'd0; end VAR1[0:63]<=64'd0; case(VAR8[68:71]) 4'd0: VAR1[64:71]<=VAR5[0:7]; 4'd1: VAR1[64:71]<=VAR5[8:15]; 4'd2: VAR1[64:71]<=VAR5[16:23]; 4'd3: VAR1[64:71]<=VAR5[24:31]; 4'd4: VAR1[64:71]<=VAR5[32:39]; 4'd5: VAR1[64:71]<=VAR5[40:47]; 4'd6: VAR1[64:71]<=VAR5[48:55]; 4'd7: VAR1[64:71]<=VAR5[56:63]; 4'd8: VAR1[64:71]<=VAR5[64:71]; 4'd9: VAR1[64:71]<=VAR5[72:79]; 4'd10: VAR1[64:71]<=VAR5[80:87]; 4'd11: VAR1[64:71]<=VAR5[88:95]; 4'd12: VAR1[64:71]<=VAR5[96:103]; 4'd13: VAR1[64:71]<=VAR5[104:111]; 4'd14: VAR1[64:71]<=VAR5[112:119]; 4'd15: VAR1[64:71]<=VAR5[120:127]; endcase case(VAR8[76:79]) 4'd0: VAR1[72:79]<=VAR5[0:7]; 4'd1: VAR1[72:79]<=VAR5[8:15]; 4'd2: VAR1[72:79]<=VAR5[16:23]; 4'd3: VAR1[72:79]<=VAR5[24:31]; 4'd4: VAR1[72:79]<=VAR5[32:39]; 4'd5: VAR1[72:79]<=VAR5[40:47]; 4'd6: VAR1[72:79]<=VAR5[48:55]; 4'd7: VAR1[72:79]<=VAR5[56:63]; 4'd8: VAR1[72:79]<=VAR5[64:71]; 4'd9: VAR1[72:79]<=VAR5[72:79]; 4'd10: VAR1[72:79]<=VAR5[80:87]; 4'd11: VAR1[72:79]<=VAR5[88:95]; 4'd12: VAR1[72:79]<=VAR5[96:103]; 4'd13: VAR1[72:79]<=VAR5[104:111]; 4'd14: VAR1[72:79]<=VAR5[112:119]; 4'd15: VAR1[72:79]<=VAR5[120:127]; endcase case(VAR8[84:87]) 4'd0: VAR1[80:87]<=VAR5[0:7]; 4'd1: VAR1[80:87]<=VAR5[8:15]; 4'd2: VAR1[80:87]<=VAR5[16:23]; 4'd3: VAR1[80:87]<=VAR5[24:31]; 4'd4: VAR1[80:87]<=VAR5[32:39]; 4'd5: VAR1[80:87]<=VAR5[40:47]; 4'd6: VAR1[80:87]<=VAR5[48:55]; 4'd7: VAR1[80:87]<=VAR5[56:63]; 4'd8: VAR1[80:87]<=VAR5[64:71]; 4'd9: VAR1[80:87]<=VAR5[72:79]; 4'd10: VAR1[80:87]<=VAR5[80:87]; 4'd11: VAR1[80:87]<=VAR5[88:95]; 4'd12: VAR1[80:87]<=VAR5[96:103]; 4'd13: VAR1[80:87]<=VAR5[104:111]; 4'd14: VAR1[80:87]<=VAR5[112:119]; 4'd15: VAR1[80:87]<=VAR5[120:127]; endcase case(VAR8[92:95]) 4'd0: VAR1[88:95]<=VAR5[0:7]; 4'd1: VAR1[88:95]<=VAR5[8:15]; 4'd2: VAR1[88:95]<=VAR5[16:23]; 4'd3: VAR1[88:95]<=VAR5[24:31]; 4'd4: VAR1[88:95]<=VAR5[32:39]; 4'd5: VAR1[88:95]<=VAR5[40:47]; 4'd6: VAR1[88:95]<=VAR5[48:55]; 4'd7: VAR1[88:95]<=VAR5[56:63]; 4'd8: VAR1[88:95]<=VAR5[64:71]; 4'd9: VAR1[88:95]<=VAR5[72:79]; 4'd10: VAR1[88:95]<=VAR5[80:87]; 4'd11: VAR1[88:95]<=VAR5[88:95]; 4'd12: VAR1[88:95]<=VAR5[96:103]; 4'd13: VAR1[88:95]<=VAR5[104:111]; 4'd14: VAR1[88:95]<=VAR5[112:119]; 4'd15: VAR1[88:95]<=VAR5[120:127]; endcase case(VAR8[100:103]) 4'd0: VAR1[96:103]<=VAR5[0:7]; 4'd1: VAR1[96:103]<=VAR5[8:15]; 4'd2: VAR1[96:103]<=VAR5[16:23]; 4'd3: VAR1[96:103]<=VAR5[24:31]; 4'd4: VAR1[96:103]<=VAR5[32:39]; 4'd5: VAR1[96:103]<=VAR5[40:47]; 4'd6: VAR1[96:103]<=VAR5[48:55]; 4'd7: VAR1[96:103]<=VAR5[56:63]; 4'd8: VAR1[96:103]<=VAR5[64:71]; 4'd9: VAR1[96:103]<=VAR5[72:79]; 4'd10: VAR1[96:103]<=VAR5[80:87]; 4'd11: VAR1[96:103]<=VAR5[88:95]; 4'd12: VAR1[96:103]<=VAR5[96:103]; 4'd13: VAR1[96:103]<=VAR5[104:111]; 4'd14: VAR1[96:103]<=VAR5[112:119]; 4'd15: VAR1[96:103]<=VAR5[120:127]; endcase case(VAR8[108:111]) 4'd0: VAR1[104:111]<=VAR5[0:7]; 4'd1: VAR1[104:111]<=VAR5[8:15]; 4'd2: VAR1[104:111]<=VAR5[16:23]; 4'd3: VAR1[104:111]<=VAR5[24:31]; 4'd4: VAR1[104:111]<=VAR5[32:39]; 4'd5: VAR1[104:111]<=VAR5[40:47]; 4'd6: VAR1[104:111]<=VAR5[48:55]; 4'd7: VAR1[104:111]<=VAR5[56:63]; 4'd8: VAR1[104:111]<=VAR5[64:71]; 4'd9: VAR1[104:111]<=VAR5[72:79]; 4'd10: VAR1[104:111]<=VAR5[80:87]; 4'd11: VAR1[104:111]<=VAR5[88:95]; 4'd12: VAR1[104:111]<=VAR5[96:103]; 4'd13: VAR1[104:111]<=VAR5[104:111]; 4'd14: VAR1[104:111]<=VAR5[112:119]; 4'd15: VAR1[104:111]<=VAR5[120:127]; endcase case(VAR8[116:119]) 4'd0: VAR1[112:119]<=VAR5[0:7]; 4'd1: VAR1[112:119]<=VAR5[8:15]; 4'd2: VAR1[112:119]<=VAR5[16:23]; 4'd3: VAR1[112:119]<=VAR5[24:31]; 4'd4: VAR1[112:119]<=VAR5[32:39]; 4'd5: VAR1[112:119]<=VAR5[40:47]; 4'd6: VAR1[112:119]<=VAR5[48:55]; 4'd7: VAR1[112:119]<=VAR5[56:63]; 4'd8: VAR1[112:119]<=VAR5[64:71]; 4'd9: VAR1[112:119]<=VAR5[72:79]; 4'd10: VAR1[112:119]<=VAR5[80:87]; 4'd11: VAR1[112:119]<=VAR5[88:95]; 4'd12: VAR1[112:119]<=VAR5[96:103]; 4'd13: VAR1[112:119]<=VAR5[104:111]; 4'd14: VAR1[112:119]<=VAR5[112:119]; 4'd15: VAR1[112:119]<=VAR5[120:127]; endcase case(VAR8[124:127]) 4'd0: VAR1[120:127]<=VAR5[0:7]; 4'd1: VAR1[120:127]<=VAR5[8:15]; 4'd2: VAR1[120:127]<=VAR5[16:23]; 4'd3: VAR1[120:127]<=VAR5[24:31]; 4'd4: VAR1[120:127]<=VAR5[32:39]; 4'd5: VAR1[120:127]<=VAR5[40:47]; 4'd6: VAR1[120:127]<=VAR5[48:55]; 4'd7: VAR1[120:127]<=VAR5[56:63]; 4'd8: VAR1[120:127]<=VAR5[64:71]; 4'd9: VAR1[120:127]<=VAR5[72:79]; 4'd10: VAR1[120:127]<=VAR5[80:87]; 4'd11: VAR1[120:127]<=VAR5[88:95]; 4'd12: VAR1[120:127]<=VAR5[96:103]; 4'd13: VAR1[120:127]<=VAR5[104:111]; 4'd14: VAR1[120:127]<=VAR5[112:119]; 4'd15: VAR1[120:127]<=VAR5[120:127]; endcase end case(VAR8[4:7]) 4'd0: VAR1[0:7]<=VAR5[0:7]; 4'd1: VAR1[0:7]<=VAR5[8:15]; 4'd2: VAR1[0:7]<=VAR5[16:23]; 4'd3: VAR1[0:7]<=VAR5[24:31]; 4'd4: VAR1[0:7]<=VAR5[32:39]; 4'd5: VAR1[0:7]<=VAR5[40:47]; 4'd6: VAR1[0:7]<=VAR5[48:55]; 4'd7: VAR1[0:7]<=VAR5[56:63]; 4'd8: VAR1[0:7]<=VAR5[64:71]; 4'd9: VAR1[0:7]<=VAR5[72:79]; 4'd10: VAR1[0:7]<=VAR5[80:87]; 4'd11: VAR1[0:7]<=VAR5[88:95]; 4'd12: VAR1[0:7]<=VAR5[96:103]; 4'd13: VAR1[0:7]<=VAR5[104:111]; 4'd14: VAR1[0:7]<=VAR5[112:119]; 4'd15: VAR1[0:7]<=VAR5[120:127]; endcase VAR1[8:15]<=8'd0; case(VAR8[20:23]) 4'd0: VAR1[16:23]<=VAR5[0:7]; 4'd1: VAR1[16:23]<=VAR5[8:15]; 4'd2: VAR1[16:23]<=VAR5[16:23]; 4'd3: VAR1[16:23]<=VAR5[24:31]; 4'd4: VAR1[16:23]<=VAR5[32:39]; 4'd5: VAR1[16:23]<=VAR5[40:47]; 4'd6: VAR1[16:23]<=VAR5[48:55]; 4'd7: VAR1[16:23]<=VAR5[56:63]; 4'd8: VAR1[16:23]<=VAR5[64:71]; 4'd9: VAR1[16:23]<=VAR5[72:79]; 4'd10: VAR1[16:23]<=VAR5[80:87]; 4'd11: VAR1[16:23]<=VAR5[88:95]; 4'd12: VAR1[16:23]<=VAR5[96:103]; 4'd13: VAR1[16:23]<=VAR5[104:111]; 4'd14: VAR1[16:23]<=VAR5[112:119]; 4'd15: VAR1[16:23]<=VAR5[120:127]; endcase VAR1[24:31]<=8'd0; case(VAR8[36:39]) 4'd0: VAR1[32:39]<=VAR5[0:7]; 4'd1: VAR1[32:39]<=VAR5[8:15]; 4'd2: VAR1[32:39]<=VAR5[16:23]; 4'd3: VAR1[32:39]<=VAR5[24:31]; 4'd4: VAR1[32:39]<=VAR5[32:39]; 4'd5: VAR1[32:39]<=VAR5[40:47]; 4'd6: VAR1[32:39]<=VAR5[48:55]; 4'd7: VAR1[32:39]<=VAR5[56:63]; 4'd8: VAR1[32:39]<=VAR5[64:71]; 4'd9: VAR1[32:39]<=VAR5[72:79]; 4'd10: VAR1[32:39]<=VAR5[80:87]; 4'd11: VAR1[32:39]<=VAR5[88:95]; 4'd12: VAR1[32:39]<=VAR5[96:103]; 4'd13: VAR1[32:39]<=VAR5[104:111]; 4'd14: VAR1[32:39]<=VAR5[112:119]; 4'd15: VAR1[32:39]<=VAR5[120:127]; endcase VAR1[40:47]<=8'd0; case(VAR8[52:55]) 4'd0: VAR1[48:55]<=VAR5[0:7]; 4'd1: VAR1[48:55]<=VAR5[8:15]; 4'd2: VAR1[48:55]<=VAR5[16:23]; 4'd3: VAR1[48:55]<=VAR5[24:31]; 4'd4: VAR1[48:55]<=VAR5[32:39]; 4'd5: VAR1[48:55]<=VAR5[40:47]; 4'd6: VAR1[48:55]<=VAR5[48:55]; 4'd7: VAR1[48:55]<=VAR5[56:63]; 4'd8: VAR1[48:55]<=VAR5[64:71]; 4'd9: VAR1[48:55]<=VAR5[72:79]; 4'd10: VAR1[48:55]<=VAR5[80:87]; 4'd11: VAR1[48:55]<=VAR5[88:95]; 4'd12: VAR1[48:55]<=VAR5[96:103]; 4'd13: VAR1[48:55]<=VAR5[104:111]; 4'd14: VAR1[48:55]<=VAR5[112:119]; 4'd15: VAR1[48:55]<=VAR5[120:127]; endcase VAR1[56:63]<=8'd0; case(VAR8[68:71]) 4'd0: VAR1[64:71]<=VAR5[0:7]; 4'd1: VAR1[64:71]<=VAR5[8:15]; 4'd2: VAR1[64:71]<=VAR5[16:23]; 4'd3: VAR1[64:71]<=VAR5[24:31]; 4'd4: VAR1[64:71]<=VAR5[32:39]; 4'd5: VAR1[64:71]<=VAR5[40:47]; 4'd6: VAR1[64:71]<=VAR5[48:55]; 4'd7: VAR1[64:71]<=VAR5[56:63]; 4'd8: VAR1[64:71]<=VAR5[64:71]; 4'd9: VAR1[64:71]<=VAR5[72:79]; 4'd10: VAR1[64:71]<=VAR5[80:87]; 4'd11: VAR1[64:71]<=VAR5[88:95]; 4'd12: VAR1[64:71]<=VAR5[96:103]; 4'd13: VAR1[64:71]<=VAR5[104:111]; 4'd14: VAR1[64:71]<=VAR5[112:119]; 4'd15: VAR1[64:71]<=VAR5[120:127]; endcase VAR1[72:79]<=8'd0; case(VAR8[84:87]) 4'd0: VAR1[80:87]<=VAR5[0:7]; 4'd1: VAR1[80:87]<=VAR5[8:15]; 4'd2: VAR1[80:87]<=VAR5[16:23]; 4'd3: VAR1[80:87]<=VAR5[24:31]; 4'd4: VAR1[80:87]<=VAR5[32:39]; 4'd5: VAR1[80:87]<=VAR5[40:47]; 4'd6: VAR1[80:87]<=VAR5[48:55]; 4'd7: VAR1[80:87]<=VAR5[56:63]; 4'd8: VAR1[80:87]<=VAR5[64:71]; 4'd9: VAR1[80:87]<=VAR5[72:79]; 4'd10: VAR1[80:87]<=VAR5[80:87]; 4'd11: VAR1[80:87]<=VAR5[88:95]; 4'd12: VAR1[80:87]<=VAR5[96:103]; 4'd13: VAR1[80:87]<=VAR5[104:111]; 4'd14: VAR1[80:87]<=VAR5[112:119]; 4'd15: VAR1[80:87]<=VAR5[120:127]; endcase VAR1[88:95]<=8'd0; case(VAR8[100:103]) 4'd0: VAR1[96:103]<=VAR5[0:7]; 4'd1: VAR1[96:103]<=VAR5[8:15]; 4'd2: VAR1[96:103]<=VAR5[16:23]; 4'd3: VAR1[96:103]<=VAR5[24:31]; 4'd4: VAR1[96:103]<=VAR5[32:39]; 4'd5: VAR1[96:103]<=VAR5[40:47]; 4'd6: VAR1[96:103]<=VAR5[48:55]; 4'd7: VAR1[96:103]<=VAR5[56:63]; 4'd8: VAR1[96:103]<=VAR5[64:71]; 4'd9: VAR1[96:103]<=VAR5[72:79]; 4'd10: VAR1[96:103]<=VAR5[80:87]; 4'd11: VAR1[96:103]<=VAR5[88:95]; 4'd12: VAR1[96:103]<=VAR5[96:103]; 4'd13: VAR1[96:103]<=VAR5[104:111]; 4'd14: VAR1[96:103]<=VAR5[112:119]; 4'd15: VAR1[96:103]<=VAR5[120:127]; endcase VAR1[104:111]<=8'd0; case(VAR8[116:119]) 4'd0: VAR1[112:119]<=VAR5[112:119]; 4'd1: VAR1[112:119]<=VAR5[8:15]; 4'd2: VAR1[112:119]<=VAR5[16:23]; 4'd3: VAR1[112:119]<=VAR5[24:31]; 4'd4: VAR1[112:119]<=VAR5[32:39]; 4'd5: VAR1[112:119]<=VAR5[40:47]; 4'd6: VAR1[112:119]<=VAR5[48:55]; 4'd7: VAR1[112:119]<=VAR5[56:63]; 4'd8: VAR1[112:119]<=VAR5[64:71]; 4'd9: VAR1[112:119]<=VAR5[72:79]; 4'd10: VAR1[112:119]<=VAR5[80:87]; 4'd11: VAR1[112:119]<=VAR5[88:95]; 4'd12: VAR1[112:119]<=VAR5[96:103]; 4'd13: VAR1[112:119]<=VAR5[104:111]; 4'd14: VAR1[112:119]<=VAR5[112:119]; 4'd15: VAR1[112:119]<=VAR5[120:127]; endcase VAR1[120:127]<=8'd0; end VAR1[0:7]<=8'd0; case(VAR8[12:15]) 4'd0: VAR1[8:15]<=VAR5[0:7]; 4'd1: VAR1[8:15]<=VAR5[8:15]; 4'd2: VAR1[8:15]<=VAR5[16:23]; 4'd3: VAR1[8:15]<=VAR5[24:31]; 4'd4: VAR1[8:15]<=VAR5[32:39]; 4'd5: VAR1[8:15]<=VAR5[40:47]; 4'd6: VAR1[8:15]<=VAR5[48:55]; 4'd7: VAR1[8:15]<=VAR5[56:63]; 4'd8: VAR1[8:15]<=VAR5[64:71]; 4'd9: VAR1[8:15]<=VAR5[72:79]; 4'd10: VAR1[8:15]<=VAR5[80:87]; 4'd11: VAR1[8:15]<=VAR5[88:95]; 4'd12: VAR1[8:15]<=VAR5[96:103]; 4'd13: VAR1[8:15]<=VAR5[104:111]; 4'd14: VAR1[8:15]<=VAR5[112:119]; 4'd15: VAR1[8:15]<=VAR5[120:127]; endcase VAR1[16:23]<=8'd0; case(VAR8[28:31]) 4'd0: VAR1[24:31]<=VAR5[0:7]; 4'd1: VAR1[24:31]<=VAR5[8:15]; 4'd2: VAR1[24:31]<=VAR5[16:23]; 4'd3: VAR1[24:31]<=VAR5[24:31]; 4'd4: VAR1[24:31]<=VAR5[32:39]; 4'd5: VAR1[24:31]<=VAR5[40:47]; 4'd6: VAR1[24:31]<=VAR5[48:55]; 4'd7: VAR1[24:31]<=VAR5[56:63]; 4'd8: VAR1[24:31]<=VAR5[64:71]; 4'd9: VAR1[24:31]<=VAR5[72:79]; 4'd10: VAR1[24:31]<=VAR5[80:87]; 4'd11: VAR1[24:31]<=VAR5[88:95]; 4'd12: VAR1[24:31]<=VAR5[96:103]; 4'd13: VAR1[24:31]<=VAR5[104:111]; 4'd14: VAR1[24:31]<=VAR5[112:119]; 4'd15: VAR1[24:31]<=VAR5[120:127]; endcase VAR1[32:39]<=8'd0; case(VAR8[44:47]) 4'd0: VAR1[40:47]<=VAR5[0:7]; 4'd1: VAR1[40:47]<=VAR5[8:15]; 4'd2: VAR1[40:47]<=VAR5[16:23]; 4'd3: VAR1[40:47]<=VAR5[24:31]; 4'd4: VAR1[40:47]<=VAR5[32:39]; 4'd5: VAR1[40:47]<=VAR5[40:47]; 4'd6: VAR1[40:47]<=VAR5[48:55]; 4'd7: VAR1[40:47]<=VAR5[56:63]; 4'd8: VAR1[40:47]<=VAR5[64:71]; 4'd9: VAR1[40:47]<=VAR5[72:79]; 4'd10: VAR1[40:47]<=VAR5[80:87]; 4'd11: VAR1[40:47]<=VAR5[88:95]; 4'd12: VAR1[40:47]<=VAR5[96:103]; 4'd13: VAR1[40:47]<=VAR5[104:111]; 4'd14: VAR1[40:47]<=VAR5[112:119]; 4'd15: VAR1[40:47]<=VAR5[120:127]; endcase VAR1[48:55]<=8'd0; case(VAR8[60:63]) 4'd0: VAR1[56:63]<=VAR5[0:7]; 4'd1: VAR1[56:63]<=VAR5[8:15]; 4'd2: VAR1[56:63]<=VAR5[16:23]; 4'd3: VAR1[56:63]<=VAR5[24:31]; 4'd4: VAR1[56:63]<=VAR5[32:39]; 4'd5: VAR1[56:63]<=VAR5[40:47]; 4'd6: VAR1[56:63]<=VAR5[48:55]; 4'd7: VAR1[56:63]<=VAR5[56:63]; 4'd8: VAR1[56:63]<=VAR5[64:71]; 4'd9: VAR1[56:63]<=VAR5[72:79]; 4'd10: VAR1[56:63]<=VAR5[80:87]; 4'd11: VAR1[56:63]<=VAR5[88:95]; 4'd12: VAR1[56:63]<=VAR5[96:103]; 4'd13: VAR1[56:63]<=VAR5[104:111]; 4'd14: VAR1[56:63]<=VAR5[112:119]; 4'd15: VAR1[56:63]<=VAR5[120:127]; endcase VAR1[64:71]<=8'd0; case(VAR8[76:79]) 4'd0: VAR1[72:79]<=VAR5[0:7]; 4'd1: VAR1[72:79]<=VAR5[8:15]; 4'd2: VAR1[72:79]<=VAR5[16:23]; 4'd3: VAR1[72:79]<=VAR5[24:31]; 4'd4: VAR1[72:79]<=VAR5[32:39]; 4'd5: VAR1[72:79]<=VAR5[40:47]; 4'd6: VAR1[72:79]<=VAR5[48:55]; 4'd7: VAR1[72:79]<=VAR5[56:63]; 4'd8: VAR1[72:79]<=VAR5[64:71]; 4'd9: VAR1[72:79]<=VAR5[72:79]; 4'd10: VAR1[72:79]<=VAR5[80:87]; 4'd11: VAR1[72:79]<=VAR5[88:95]; 4'd12: VAR1[72:79]<=VAR5[96:103]; 4'd13: VAR1[72:79]<=VAR5[104:111]; 4'd14: VAR1[72:79]<=VAR5[112:119]; 4'd15: VAR1[72:79]<=VAR5[120:127]; endcase VAR1[80:87]<=8'd0; case(VAR8[92:95]) 4'd0: VAR1[88:95]<=VAR5[0:7]; 4'd1: VAR1[88:95]<=VAR5[8:15]; 4'd2: VAR1[88:95]<=VAR5[16:23]; 4'd3: VAR1[88:95]<=VAR5[24:31]; 4'd4: VAR1[88:95]<=VAR5[32:39]; 4'd5: VAR1[88:95]<=VAR5[40:47]; 4'd6: VAR1[88:95]<=VAR5[48:55]; 4'd7: VAR1[88:95]<=VAR5[56:63]; 4'd8: VAR1[88:95]<=VAR5[64:71]; 4'd9: VAR1[88:95]<=VAR5[72:79]; 4'd10: VAR1[88:95]<=VAR5[80:87]; 4'd11: VAR1[88:95]<=VAR5[88:95]; 4'd12: VAR1[88:95]<=VAR5[96:103]; 4'd13: VAR1[88:95]<=VAR5[104:111]; 4'd14: VAR1[88:95]<=VAR5[112:119]; 4'd15: VAR1[88:95]<=VAR5[120:127]; endcase VAR1[96:103]<=8'd0; case(VAR8[108:111]) 4'd0: VAR1[104:111]<=VAR5[0:7]; 4'd1: VAR1[104:111]<=VAR5[8:15]; 4'd2: VAR1[104:111]<=VAR5[16:23]; 4'd3: VAR1[104:111]<=VAR5[24:31]; 4'd4: VAR1[104:111]<=VAR5[32:39]; 4'd5: VAR1[104:111]<=VAR5[40:47]; 4'd6: VAR1[104:111]<=VAR5[48:55]; 4'd7: VAR1[104:111]<=VAR5[56:63]; 4'd8: VAR1[104:111]<=VAR5[64:71]; 4'd9: VAR1[104:111]<=VAR5[72:79]; 4'd10: VAR1[104:111]<=VAR5[80:87]; 4'd11: VAR1[104:111]<=VAR5[88:95]; 4'd12: VAR1[104:111]<=VAR5[96:103]; 4'd13: VAR1[104:111]<=VAR5[104:111]; 4'd14: VAR1[104:111]<=VAR5[112:119]; 4'd15: VAR1[104:111]<=VAR5[120:127]; endcase VAR1[112:119]<=8'd0; case(VAR8[124:127]) 4'd0: VAR1[120:127]<=VAR5[0:7]; 4'd1: VAR1[120:127]<=VAR5[8:15]; 4'd2: VAR1[120:127]<=VAR5[16:23]; 4'd3: VAR1[120:127]<=VAR5[24:31]; 4'd4: VAR1[120:127]<=VAR5[32:39]; 4'd5: VAR1[120:127]<=VAR5[40:47]; 4'd6: VAR1[120:127]<=VAR5[48:55]; 4'd7: VAR1[120:127]<=VAR5[56:63]; 4'd8: VAR1[120:127]<=VAR5[64:71]; 4'd9: VAR1[120:127]<=VAR5[72:79]; 4'd10: VAR1[120:127]<=VAR5[80:87]; 4'd11: VAR1[120:127]<=VAR5[88:95]; 4'd12: VAR1[120:127]<=VAR5[96:103]; 4'd13: VAR1[120:127]<=VAR5[104:111]; 4'd14: VAR1[120:127]<=VAR5[112:119]; 4'd15: VAR1[120:127]<=VAR5[120:127]; endcase end case(VAR8[4:7]) 4'd0: VAR1[0:7]<=VAR5[0:7]; 4'd1: VAR1[0:7]<=VAR5[8:15]; 4'd2: VAR1[0:7]<=VAR5[16:23]; 4'd3: VAR1[0:7]<=VAR5[24:31]; 4'd4: VAR1[0:7]<=VAR5[32:39]; 4'd5: VAR1[0:7]<=VAR5[40:47]; 4'd6: VAR1[0:7]<=VAR5[48:55]; 4'd7: VAR1[0:7]<=VAR5[56:63]; 4'd8: VAR1[0:7]<=VAR5[64:71]; 4'd9: VAR1[0:7]<=VAR5[72:79]; 4'd10: VAR1[0:7]<=VAR5[80:87]; 4'd11: VAR1[0:7]<=VAR5[88:95]; 4'd12: VAR1[0:7]<=VAR5[96:103]; 4'd13: VAR1[0:7]<=VAR5[104:111]; 4'd14: VAR1[0:7]<=VAR5[112:119]; 4'd15: VAR1[0:7]<=VAR5[120:127]; endcase VAR1[8:127]<=120'd0; end VAR1[0:119]<=120'd0; case(VAR8[124:127]) 4'd0: VAR1[120:127]<=VAR5[0:7]; 4'd1: VAR1[120:127]<=VAR5[8:15]; 4'd2: VAR1[120:127]<=VAR5[16:23]; 4'd3: VAR1[120:127]<=VAR5[24:31]; 4'd4: VAR1[120:127]<=VAR5[32:39]; 4'd5: VAR1[120:127]<=VAR5[40:47]; 4'd6: VAR1[120:127]<=VAR5[48:55]; 4'd7: VAR1[120:127]<=VAR5[56:63]; 4'd8: VAR1[120:127]<=VAR5[64:71]; 4'd9: VAR1[120:127]<=VAR5[72:79]; 4'd10: VAR1[120:127]<=VAR5[80:87]; 4'd11: VAR1[120:127]<=VAR5[88:95]; 4'd12: VAR1[120:127]<=VAR5[96:103]; 4'd13: VAR1[120:127]<=VAR5[104:111]; 4'd14: VAR1[120:127]<=VAR5[112:119]; 4'd15: VAR1[120:127]<=VAR5[120:127]; endcase end default: begin VAR1<=128'd0; end endcase end default: begin VAR1<=128'd0; end endcase end endmodule
mit
AnAtomInTheUniverse/578_project_col_panic
final_verilog/src/clib/c_fbmult.v
4,836
module MODULE1 (VAR6, VAR3, VAR26, VAR1); parameter VAR31 = 32; parameter VAR9 = 1; input [0:VAR31-1] VAR6; input VAR3; input [0:VAR31-1] VAR26; output [0:VAR31-1] VAR1; wire [0:VAR31-1] VAR1; wire [0:VAR31-1] VAR32; wire [0:VAR31*VAR31-1] VAR17; generate if(VAR31 == 1) begin assign VAR32 = VAR3 ^ VAR26; assign VAR17 = VAR6; end else begin assign VAR32[0:(VAR31-1)-1] = VAR26[0:(VAR31-1)-1]; assign VAR32[VAR31-1] = (~|VAR26[0:(VAR31-1)-1] & VAR3) ^ VAR26[VAR31-1]; assign VAR17 = {{((VAR31-1)*VAR31){1'b0}}, VAR6}; end endgenerate wire [0:VAR31*VAR31-1] VAR20; VAR10 .VAR2(VAR31)) VAR5 (.VAR26(VAR17), .VAR1(VAR20)); wire [0:VAR31*VAR31-1] VAR36; wire [0:(VAR9+1)*VAR31*VAR31-1] VAR34; assign VAR34[0:VAR31*VAR31-1] = VAR36; wire [0:VAR31*VAR31-1] VAR16; assign VAR16 = VAR34[VAR9*VAR31*VAR31:(VAR9+1)*VAR31*VAR31-1]; wire [0:VAR31*VAR31-1] VAR35; wire [0:VAR31*VAR31-1] VAR21; generate genvar VAR23; for(VAR23 = 0; VAR23 < VAR31; VAR23 = VAR23 + 1) begin:VAR14 genvar VAR22; for(VAR22 = 0; VAR22 < VAR31; VAR22 = VAR22 + 1) begin:VAR13 wire VAR30; assign VAR30 = (VAR23 == (VAR22 + 1)); assign VAR35[VAR23*VAR31+VAR22] = VAR20[VAR23*VAR31+VAR22] ^ VAR30; assign VAR21[VAR23*VAR31+VAR22] = VAR16[VAR23*VAR31+VAR22] ^ VAR30; wire VAR7; assign VAR7 = (VAR23 == VAR22); assign VAR36[VAR23*VAR31+VAR22] = VAR7; end end genvar VAR11; for(VAR11 = 0; VAR11 < VAR9; VAR11 = VAR11+1) begin:VAR15 wire [0:VAR31*VAR31-1] VAR24; assign VAR24 = VAR34[VAR11*VAR31*VAR31:(VAR11+1)*VAR31*VAR31-1]; wire [0:VAR31*VAR31-1] VAR25; VAR29 .VAR12(VAR31), .VAR33(VAR31)) VAR27 (.VAR28(VAR35), .VAR8(VAR24), .VAR4(VAR25)); assign VAR34[(VAR11+1)*VAR31*VAR31:(VAR11+2)*VAR31*VAR31-1] = VAR25; end endgenerate wire [0:VAR31-1] VAR19; VAR29 .VAR12(VAR31), .VAR33(1)) VAR18 (.VAR28(VAR21), .VAR8(VAR32), .VAR4(VAR19)); assign VAR1 = VAR19; endmodule
gpl-2.0
tmatsuya/milkymist-ml401
cores/tmu2/rtl/tmu2_qpram.v
1,510
module MODULE1 #( parameter VAR17 = 11, parameter VAR9 = 8 ) ( input VAR20, input [VAR17-1:0] VAR18, output [VAR9-1:0] VAR8, input [VAR17-1:0] VAR4, output [VAR9-1:0] VAR1, input [VAR17-1:0] VAR16, output [VAR9-1:0] VAR15, input [VAR17-1:0] VAR11, output [VAR9-1:0] VAR5, input VAR6, input [VAR17-1:0] VAR19, input [VAR9-1:0] VAR14 ); VAR7 #( .VAR17(VAR17), .VAR9(VAR9) ) VAR12 ( .VAR20(VAR20), .VAR10(VAR6 ? VAR19 : VAR18), .VAR6(VAR6), .VAR3(VAR14), .do(VAR8), .VAR4(VAR4), .VAR2(VAR1) ); VAR7 #( .VAR17(VAR17), .VAR9(VAR9) ) VAR13 ( .VAR20(VAR20), .VAR10(VAR6 ? VAR19 : VAR16), .VAR6(VAR6), .VAR3(VAR14), .do(VAR15), .VAR4(VAR11), .VAR2(VAR5) ); endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlygate4sd2/sky130_fd_sc_ms__dlygate4sd2_1.v
2,119
module MODULE1 ( VAR7 , VAR4 , VAR1, VAR2, VAR5 , VAR6 ); output VAR7 ; input VAR4 ; input VAR1; input VAR2; input VAR5 ; input VAR6 ; VAR8 VAR3 ( .VAR7(VAR7), .VAR4(VAR4), .VAR1(VAR1), .VAR2(VAR2), .VAR5(VAR5), .VAR6(VAR6) ); endmodule module MODULE1 ( VAR7, VAR4 ); output VAR7; input VAR4; supply1 VAR1; supply0 VAR2; supply1 VAR5 ; supply0 VAR6 ; VAR8 VAR3 ( .VAR7(VAR7), .VAR4(VAR4) ); endmodule
apache-2.0
bgamari/timetag-fpga
timetag.v
2,915
module MODULE1( VAR22, VAR11, VAR27, VAR44, VAR58, VAR9, VAR56, VAR29, VAR48, VAR12, clk, VAR54, VAR18 ); input VAR22; input clk; input VAR58; input [7:0] VAR9; output VAR56; output [7:0] VAR29; input VAR48; output VAR12; output VAR11; output [7:0] VAR27; input VAR44; input [3:0] VAR54; output [3:0] VAR18; wire [15:0] VAR13; wire [31:0] VAR30; wire VAR19; VAR57 VAR25( .clk(VAR22), .VAR58(VAR58), .VAR9(VAR9), .VAR49(VAR29), .VAR56(VAR56), .VAR48(VAR48), .VAR12(VAR12), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19) ); VAR16 #(.VAR41(16'h01)) VAR26( .VAR39(VAR22), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19), .VAR43(VAR23) ); VAR16 #(.VAR41(16'h02)) VAR37( .VAR39(VAR22), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19), .VAR43(VAR45) ); VAR2 VAR38( .clk(clk), .VAR52(VAR18), .VAR39(VAR22), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19) ); wire [47:0] VAR36; wire VAR1; VAR59 VAR7( .clk(clk), .VAR54(VAR54), .VAR32(VAR18), .VAR1(VAR1), .VAR36(VAR36[46:0]), .VAR39(VAR22), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19) ); wire VAR4; wire VAR35; wire VAR50; wire [47:0] VAR15; reg VAR53; VAR42 VAR53 = 0; assign VAR36[47] = VAR53; VAR55 #(.VAR41(16'h07)) VAR34( .VAR39(VAR22), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19), .VAR60(clk), .VAR28(VAR1 && VAR4) ); always @(posedge clk) begin if (VAR1 && VAR4) VAR53 <= 1; end else if (VAR1 && !VAR4) VAR53 <= 0; end VAR55 #(.VAR41(16'h06)) VAR21( .VAR39(VAR22), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19), .VAR60(clk), .VAR28(VAR1 && !VAR4) ); wire [31:0] VAR5; VAR31 VAR8( .VAR46(clk), .VAR47(VAR1 && !VAR4), .VAR14(VAR4), .VAR27(VAR36), .VAR61(VAR22), .VAR20(VAR35), .VAR24(VAR50), .VAR6(VAR15), .VAR3(VAR5[0]) ); VAR10 mux( .clk(VAR22), .VAR40(!VAR50), .VAR17(VAR15), .VAR33(VAR35), .VAR11(VAR11), .VAR27(VAR27), .VAR44(VAR44) ); register #(.VAR41(8'h08)) VAR51( .VAR39(VAR22), .VAR13(VAR13), .VAR30(VAR30), .VAR19(VAR19), .clk(clk), .VAR43(VAR5) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nand2b/sky130_fd_sc_hs__nand2b_4.v
2,020
module MODULE1 ( VAR4 , VAR1 , VAR7 , VAR5, VAR3 ); output VAR4 ; input VAR1 ; input VAR7 ; input VAR5; input VAR3; VAR2 VAR6 ( .VAR4(VAR4), .VAR1(VAR1), .VAR7(VAR7), .VAR5(VAR5), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR4 , VAR1, VAR7 ); output VAR4 ; input VAR1; input VAR7 ; supply1 VAR5; supply0 VAR3; VAR2 VAR6 ( .VAR4(VAR4), .VAR1(VAR1), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o22a/sky130_fd_sc_hdll__o22a.functional.pp.v
2,178
module MODULE1 ( VAR1 , VAR4 , VAR8 , VAR16 , VAR2 , VAR12, VAR14, VAR5 , VAR10 ); output VAR1 ; input VAR4 ; input VAR8 ; input VAR16 ; input VAR2 ; input VAR12; input VAR14; input VAR5 ; input VAR10 ; wire VAR15 ; wire VAR3 ; wire VAR18 ; wire VAR6; or VAR19 (VAR15 , VAR8, VAR4 ); or VAR17 (VAR3 , VAR2, VAR16 ); and VAR9 (VAR18 , VAR15, VAR3 ); VAR13 VAR11 (VAR6, VAR18, VAR12, VAR14); buf VAR7 (VAR1 , VAR6 ); endmodule
apache-2.0
liqimai/ZPC
PersonalComputer/Memory.v
1,954
module MODULE1( input clk, input[14:0] VAR4, input[14:0] VAR33, input VAR27, input[31:0] VAR12, input VAR13, input VAR16, output[31:0] VAR23, output[15:0] VAR25 ); wire VAR31,VAR24; wire[13:0] VAR32,VAR18,VAR9,VAR26; wire[15:0] VAR28,VAR15,VAR10,VAR14; wire[15:0] VAR6,VAR7; assign VAR31 = VAR27 & ( ~VAR16 | ~VAR4[0] ); assign VAR24 = VAR27 & ( ~VAR16 | VAR4[0] ); assign VAR32 = VAR4[0] ? (VAR4[14:1]+1) : VAR4[14:1]; assign VAR9 = VAR4[14:1]; assign VAR6 = VAR16 ? VAR12[15:0] : (VAR4[0]? VAR12[15:0] : VAR12[31:16]); assign VAR7 = VAR16 ? VAR12[15:0] : (VAR4[0]? VAR12[31:16] : VAR12[15:0]); assign VAR23 = VAR13 ? (VAR4[0]? { {16{VAR10[15]}} ,VAR10} : { {16{VAR28[15]}}, VAR28}) : (VAR4[0]? {VAR10,VAR28} : {VAR28,VAR10}); assign VAR18 = VAR33[14:1]; assign VAR26 = VAR33[14:1]; assign VAR25 = VAR33[0]? VAR14 : VAR15; VAR1 VAR22 ( .VAR3(clk), .VAR20(VAR31), .VAR34(VAR32), .VAR8(VAR6), .VAR5(VAR28), .VAR21(clk), .VAR29(1'b0), .VAR30(VAR18), .VAR19(16'b0), .VAR11(VAR15)); VAR2 VAR17 ( .VAR3(clk), .VAR20(VAR24), .VAR34(VAR9), .VAR8(VAR7), .VAR5(VAR10), .VAR21(clk), .VAR29(1'b0), .VAR30(VAR26), .VAR19(16'b0), .VAR11(VAR14)); endmodule
gpl-2.0
alexforencich/xfcp
lib/eth/lib/axis/rtl/axis_arb_mux.v
9,398
module MODULE1 # ( parameter VAR25 = 4, parameter VAR43 = 8, parameter VAR1 = (VAR43>8), parameter VAR33 = (VAR43/8), parameter VAR50 = 0, parameter VAR11 = 8, parameter VAR21 = 0, parameter VAR6 = 8, parameter VAR51 = 1, parameter VAR10 = 1, parameter VAR35 = 0, parameter VAR74 = 1 ) ( input wire clk, input wire rst, input wire [VAR25*VAR43-1:0] VAR60, input wire [VAR25*VAR33-1:0] VAR53, input wire [VAR25-1:0] VAR67, output wire [VAR25-1:0] VAR40, input wire [VAR25-1:0] VAR59, input wire [VAR25*VAR11-1:0] VAR12, input wire [VAR25*VAR6-1:0] VAR73, input wire [VAR25*VAR10-1:0] VAR17, output wire [VAR43-1:0] VAR72, output wire [VAR33-1:0] VAR45, output wire VAR65, input wire VAR68, output wire VAR39, output wire [VAR11-1:0] VAR28, output wire [VAR6-1:0] VAR56, output wire [VAR10-1:0] VAR19 ); parameter VAR63 = VAR49(VAR25); wire [VAR25-1:0] request; wire [VAR25-1:0] acknowledge; wire [VAR25-1:0] VAR13; wire VAR16; wire [VAR63-1:0] VAR29; reg [VAR43-1:0] VAR46; reg [VAR33-1:0] VAR37; reg VAR5; reg VAR42 = 1'b0; reg VAR41; reg [VAR11-1:0] VAR24; reg [VAR6-1:0] VAR9; reg [VAR10-1:0] VAR38; wire VAR3; assign VAR40 = (VAR42 && VAR16) << VAR29; wire [VAR43-1:0] VAR70 = VAR60[VAR29*VAR43 +: VAR43]; wire [VAR33-1:0] VAR47 = VAR53[VAR29*VAR33 +: VAR33]; wire VAR2 = VAR67[VAR29]; wire VAR61 = VAR40[VAR29]; wire VAR58 = VAR59[VAR29]; wire [VAR11-1:0] VAR22 = VAR12[VAR29*VAR11 +: VAR11]; wire [VAR6-1:0] VAR44 = VAR73[VAR29*VAR6 +: VAR6]; wire [VAR10-1:0] VAR66 = VAR17[VAR29*VAR10 +: VAR10]; VAR7 #( .VAR32(VAR25), .VAR35(VAR35), .VAR8(1), .VAR23(1), .VAR74(VAR74) ) VAR71 ( .clk(clk), .rst(rst), .request(request), .acknowledge(acknowledge), .VAR13(VAR13), .VAR16(VAR16), .VAR29(VAR29) ); assign request = VAR67 & ~VAR13; assign acknowledge = VAR13 & VAR67 & VAR40 & VAR59; always @* begin VAR46 = VAR70; VAR37 = VAR47; VAR5 = VAR2 && VAR42 && VAR16; VAR41 = VAR58; VAR24 = VAR22; VAR9 = VAR44; VAR38 = VAR66; end reg [VAR43-1:0] VAR4 = {VAR43{1'b0}}; reg [VAR33-1:0] VAR18 = {VAR33{1'b0}}; reg VAR36 = 1'b0, VAR62; reg VAR20 = 1'b0; reg [VAR11-1:0] VAR57 = {VAR11{1'b0}}; reg [VAR6-1:0] VAR26 = {VAR6{1'b0}}; reg [VAR10-1:0] VAR64 = {VAR10{1'b0}}; reg [VAR43-1:0] VAR52 = {VAR43{1'b0}}; reg [VAR33-1:0] VAR48 = {VAR33{1'b0}}; reg VAR34 = 1'b0, VAR54; reg VAR30 = 1'b0; reg [VAR11-1:0] VAR27 = {VAR11{1'b0}}; reg [VAR6-1:0] VAR55 = {VAR6{1'b0}}; reg [VAR10-1:0] VAR69 = {VAR10{1'b0}}; reg VAR14; reg VAR31; reg VAR15; assign VAR72 = VAR4; assign VAR45 = VAR1 ? VAR18 : {VAR33{1'b1}}; assign VAR65 = VAR36; assign VAR39 = VAR20; assign VAR28 = VAR50 ? VAR57 : {VAR11{1'b0}}; assign VAR56 = VAR21 ? VAR26 : {VAR6{1'b0}}; assign VAR19 = VAR51 ? VAR64 : {VAR10{1'b0}}; assign VAR3 = VAR68 || (!VAR34 && (!VAR36 || !VAR5)); always @* begin VAR62 = VAR36; VAR54 = VAR34; VAR14 = 1'b0; VAR31 = 1'b0; VAR15 = 1'b0; if (VAR42) begin if (VAR68 || !VAR36) begin VAR62 = VAR5; VAR14 = 1'b1; end else begin VAR54 = VAR5; VAR31 = 1'b1; end end else if (VAR68) begin VAR62 = VAR34; VAR54 = 1'b0; VAR15 = 1'b1; end end always @(posedge clk) begin if (rst) begin VAR36 <= 1'b0; VAR42 <= 1'b0; VAR34 <= 1'b0; end else begin VAR36 <= VAR62; VAR42 <= VAR3; VAR34 <= VAR54; end if (VAR14) begin VAR4 <= VAR46; VAR18 <= VAR37; VAR20 <= VAR41; VAR57 <= VAR24; VAR26 <= VAR9; VAR64 <= VAR38; end else if (VAR15) begin VAR4 <= VAR52; VAR18 <= VAR48; VAR20 <= VAR30; VAR57 <= VAR27; VAR26 <= VAR55; VAR64 <= VAR69; end if (VAR31) begin VAR52 <= VAR46; VAR48 <= VAR37; VAR30 <= VAR41; VAR27 <= VAR24; VAR55 <= VAR9; VAR69 <= VAR38; end end endmodule
mit
CatherineH/QubitekkCC
TDH/src/DE0Nano/verilog/counterselect.v
4,221
module MODULE1 ( VAR11, VAR12, VAR8, VAR1, sel, VAR10); input [20:0] VAR11; input [20:0] VAR12; input [20:0] VAR8; input [20:0] VAR1; input [1:0] sel; output [20:0] VAR10; wire [20:0] VAR3; wire [20:0] VAR4 = VAR1[20:0]; wire [20:0] VAR15 = VAR8[20:0]; wire [20:0] VAR9 = VAR12[20:0]; wire [20:0] VAR13 = VAR11[20:0]; wire [83:0] VAR17 = {VAR4, VAR15, VAR9, VAR13}; wire [20:0] VAR10 = VAR3[20:0]; VAR22 VAR21 ( .VAR5 (VAR17), .sel (sel), .VAR10 (VAR3) , .VAR18 (), .VAR2 (), .VAR19 () ); VAR21.VAR14 = 4, VAR21.VAR6 = "VAR7", VAR21.VAR16 = 21, VAR21.VAR20 = 2; endmodule
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_ad9361_v1_00_a/hdl/verilog/axi_ad9361_tx_dds.v
6,932
module MODULE1 ( VAR13, VAR28, VAR31, VAR24, VAR22, VAR29, VAR21, VAR35, VAR16, VAR11, VAR30, VAR3, VAR19, VAR15, VAR26); parameter VAR14 = 0; input VAR13; input VAR28; output [15:0] VAR31; input VAR24; input VAR22; input VAR29; input VAR21; input [15:0] VAR35; input [15:0] VAR16; input [15:0] VAR11; input [ 3:0] VAR30; input [15:0] VAR3; input [15:0] VAR19; input [15:0] VAR15; input [ 3:0] VAR26; reg [15:0] VAR17 = 'd0; reg [15:0] VAR27 = 'd0; reg [15:0] VAR32 = 'd0; reg [15:0] VAR2 = 'd0; reg [15:0] VAR33 = 'd0; reg VAR6 = 'd0; reg [15:0] VAR31 = 'd0; wire [15:0] VAR20; wire [15:0] VAR34; wire [15:0] VAR18; function [15:0] VAR25; input [15:0] VAR5; input [ 3:0] VAR12; reg [15:0] VAR7; begin case (VAR12) 4'b1111: VAR7 = {{15{VAR5[15]}}, VAR5[15:15]}; 4'b1110: VAR7 = {{14{VAR5[15]}}, VAR5[15:14]}; 4'b1101: VAR7 = {{13{VAR5[15]}}, VAR5[15:13]}; 4'b1100: VAR7 = {{12{VAR5[15]}}, VAR5[15:12]}; 4'b1011: VAR7 = {{11{VAR5[15]}}, VAR5[15:11]}; 4'b1010: VAR7 = {{10{VAR5[15]}}, VAR5[15:10]}; 4'b1001: VAR7 = {{ 9{VAR5[15]}}, VAR5[15: 9]}; 4'b1000: VAR7 = {{ 8{VAR5[15]}}, VAR5[15: 8]}; 4'b0111: VAR7 = {{ 7{VAR5[15]}}, VAR5[15: 7]}; 4'b0110: VAR7 = {{ 6{VAR5[15]}}, VAR5[15: 6]}; 4'b0101: VAR7 = {{ 5{VAR5[15]}}, VAR5[15: 5]}; 4'b0100: VAR7 = {{ 4{VAR5[15]}}, VAR5[15: 4]}; 4'b0011: VAR7 = {{ 3{VAR5[15]}}, VAR5[15: 3]}; 4'b0010: VAR7 = {{ 2{VAR5[15]}}, VAR5[15: 2]}; 4'b0001: VAR7 = {{ 1{VAR5[15]}}, VAR5[15: 1]}; default: VAR7 = VAR5; endcase VAR25 = VAR7; end endfunction always @(posedge VAR13) begin if (VAR24 == 1'b0) begin VAR17 <= VAR16; VAR27 <= VAR19; end else if (VAR22 == 1'b1) begin VAR17 <= VAR17 + VAR11; VAR27 <= VAR27 + VAR15; end end always @(posedge VAR13) begin VAR32 <= VAR25(VAR34, VAR30); VAR2 <= VAR25(VAR18, VAR26); VAR33 <= VAR32 + VAR2; end assign VAR20[15:15] = VAR29 ^ VAR33[15]; assign VAR20[14: 0] = VAR33[14:0]; always @(posedge VAR13) begin if (VAR22 == 1'b1) begin VAR6 <= ~VAR6; if (VAR21 == 1'b0) begin VAR31 <= VAR20; end else if (VAR6 == 1'b1) begin VAR31 <= VAR3; end else begin VAR31 <= VAR35; end end end generate if (VAR14 == 1) begin assign VAR34 = 16'd0; end else begin VAR23 VAR4 ( .clk (VAR13), .VAR10 (VAR28), .VAR1 (VAR17), .VAR8 (VAR34)); end endgenerate generate if (VAR14 == 1) begin assign VAR18 = 16'd0; end else begin VAR23 VAR9 ( .clk (VAR13), .VAR10 (VAR28), .VAR1 (VAR27), .VAR8 (VAR18)); end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfsbp/sky130_fd_sc_lp__dfsbp.symbol.v
1,413
module MODULE1 ( input VAR4 , output VAR9 , output VAR3 , input VAR1, input VAR6 ); supply1 VAR8; supply0 VAR7; supply1 VAR5 ; supply0 VAR2 ; endmodule
apache-2.0
fallen/milkymist-mmu
cores/softusb/rtl/softusb_rx.v
6,414
module MODULE1( input VAR24, input VAR22, input VAR36, input VAR37, input VAR18, output reg [7:0] VAR16, output reg VAR28, output reg VAR3, output reg VAR31, input VAR13 ); wire VAR29 = VAR36 ^ VAR13; wire VAR39 = ~VAR37 & ~VAR18; wire VAR14; reg [2:0] VAR17; reg [2:0] VAR21; always @(posedge VAR24) begin if(VAR22) VAR17 <= 3'd0; end else if(VAR14) VAR17 <= VAR21; end reg [2:0] VAR19; assign VAR14 = ~VAR13 | (VAR19 == 3'd0); VAR12 VAR19 <= 3'd0; always @(posedge VAR24) VAR19 <= VAR19 + 3'd1; reg VAR11; always @ begin VAR4 = VAR27; case(VAR27) 4'h5: VAR4 = 4'hd; 4'hd: VAR4 = 4'h7; end 4'h7: if( VAR29) VAR4 = 4'he; else VAR4 = 4'hb; 4'he: VAR4 = 4'h6; 4'h6: if( VAR29) VAR4 = 4'h4; else VAR4 = 4'h1; 4'h4: if( VAR29) VAR4 = 4'h5; else VAR4 = 4'h1; 4'h1: VAR4 = 4'h9; 4'h9: VAR4 = 4'h3; 4'h3: if(~VAR29) VAR4 = 4'ha; else VAR4 = 4'hf; 4'ha: VAR4 = 4'h2; 4'h2: if(~VAR29) VAR4 = 4'h0; else VAR4 = 4'h5; 4'h0: if(~VAR29) VAR4 = 4'h1; else VAR4 = 4'h5; 4'hb: VAR4 = 4'ha; 4'hf: VAR4 = 4'he; endcase end wire VAR38 = VAR7 & (VAR4[1] & ~VAR27[1]); reg [2:0] VAR5; reg [2:0] VAR9; reg VAR10; reg VAR30; always @(posedge VAR24) begin if(VAR22) begin VAR3 <= 1'b0; VAR28 <= 1'b0; VAR31 <= 1'b0; end else begin VAR28 <= 1'b0; VAR31 <= 1'b0; if(VAR11) VAR3 <= 1'b0; end else if(VAR38) begin if(VAR3 & ~VAR39) begin if(VAR9 == 3'd6) begin VAR9 <= 3'd0; if((VAR10 & VAR29)|(~VAR10 & ~VAR29)) begin VAR3 <= 1'b0; VAR31 <= 1'b1; end VAR10 <= ~VAR10; end else begin if(VAR29) begin VAR16 <= {VAR10, VAR16[7:1]}; if(VAR10) VAR9 <= VAR9 + 3'd1; end else VAR9 <= 3'd0; VAR10 <= 1'b1; end else begin VAR16 <= {~VAR10, VAR16[7:1]}; if(~VAR10) VAR9 <= VAR9 + 3'd1; end else VAR9 <= 3'd0; VAR10 <= 1'b0; end VAR28 <= VAR5 == 3'd7; VAR5 <= VAR5 + 3'd1; end end else if(VAR30) begin VAR3 <= 1'b1; VAR5 <= 3'd0; VAR9 <= 3'd1; VAR10 <= 1'b0; end end end end parameter VAR34 = 4'h0; parameter VAR23 = 4'h1; parameter VAR6 = 4'h2; parameter VAR26 = 4'h3; parameter VAR15 = 4'h4; parameter VAR20 = 4'h5; parameter VAR32 = 4'h6; parameter VAR1 = 4'h7; parameter VAR8 = 4'h8; reg [3:0] VAR33; reg [3:0] VAR25; reg [6:0] VAR2; reg VAR35; always @(posedge VAR24) begin if(VAR22|VAR11) begin VAR2 <= 7'd0; VAR35 <= 1'b0; end else begin if((VAR33 != VAR25) | (VAR33 == VAR34)) VAR2 <= 7'd0; end else VAR2 <= VAR2 + 7'd1; if(VAR13) VAR35 <= VAR2 == 7'd95; end else VAR35 <= VAR2 == 7'd11; end end always @(posedge VAR24) begin if(VAR22|VAR11|VAR35) VAR33 <= VAR34; end else VAR33 <= VAR25; end always @(*) begin VAR30 = 1'b0; VAR25 = VAR33; case(VAR33) VAR34: if(~VAR29 & ~VAR3) VAR25 = VAR23; VAR23: if(VAR29) VAR25 = VAR6; VAR6: if(~VAR29) VAR25 = VAR26; VAR26: if(VAR29) VAR25 = VAR15; VAR15: if(~VAR29) VAR25 = VAR20; VAR20: if(VAR29) VAR25 = VAR32; VAR32: if(~VAR29) VAR25 = VAR1; VAR1: if(VAR38) begin if(~VAR29) VAR25 = VAR8; end else VAR25 = VAR34; end VAR8: begin if(~VAR29) VAR30 = 1'b1; if(VAR38) VAR25 = VAR34; end endcase end endmodule
lgpl-3.0
cynngah/virtualsynthesizer
keyboard.v
1,792
module MODULE1(VAR6, VAR9, VAR4, reset, read, VAR3, VAR11); input VAR6; input VAR9; input VAR4; input reset; input read; output VAR3; output [7:0] VAR11; reg VAR8; reg [7:0] VAR11; reg VAR3; reg VAR12; reg VAR7; reg [3:0] VAR1; reg [8:0] VAR2; reg [7:0] VAR10; reg VAR5; always @ (posedge VAR8 or posedge read) if (read == 1) VAR3 <= 0; else VAR3 <= 1; always @(posedge VAR4) VAR7 <= ~VAR7; always @(posedge VAR7) begin VAR10 <= {VAR6, VAR10[7:1]}; if (VAR10==8'b11111111) VAR5 <= 1; end else if (VAR10==8'b00000000) VAR5 <= 0; end always @(posedge VAR5) begin if (reset==1) begin VAR1 <= 4'b0000; VAR12 <= 0; end else if (VAR9==0 && VAR12==0) begin VAR12 <= 1; VAR8 <= 0; end else begin if (VAR12 == 1) begin if (VAR1 < 9) begin VAR1 <= VAR1 + 1'b1; VAR2 = { VAR9, VAR2[8:1]}; VAR8 <= 0; end else begin VAR1 <= 0; VAR11 <= VAR2[7:0]; VAR12 <= 0; VAR8 <= 1; end end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inputiso0p/sky130_fd_sc_lp__inputiso0p.blackbox.v
1,352
module MODULE1 ( VAR3 , VAR7 , VAR5 ); output VAR3 ; input VAR7 ; input VAR5; supply1 VAR1; supply0 VAR4; supply1 VAR2 ; supply0 VAR6 ; endmodule
apache-2.0
linuxbest/lzs
pcores/comp_unit_v1_00_a/hdl/verilog/copy.v
2,305
module MODULE1( VAR23, VAR2, VAR12, VAR24, VAR7, VAR20, VAR18, VAR1, VAR16, VAR9, VAR3, VAR14, VAR15, VAR8, VAR17 ); input VAR20; input VAR18; input VAR1; input [23:0] VAR16; output VAR23; input [63:0] VAR9; input VAR3; input VAR14; input VAR15; output VAR2; output [63:0] VAR12; output VAR24; input VAR8; input VAR17; output VAR7; wire VAR13; wire VAR4; assign VAR23 = VAR16[4] ? (!VAR13) : 1'VAR6; assign VAR2 = VAR16[4] ? (!VAR13) : 1'VAR6; assign VAR12 = VAR16[4] ? VAR9 : 64'VAR10; assign VAR7 = VAR16[4] ? (!VAR4) : 1'VAR6; assign VAR24 = VAR16[4] ? VAR3 : 1'VAR6; parameter [1:0] VAR22 = 2'b00, VAR11 = 2'b01, VAR5 = 2'b10, VAR21 = 2'b11; reg [1:0] state, VAR19; always @(posedge VAR20 or posedge VAR18) begin if (VAR18) state <= VAR22; end else state <= VAR19; end always @(VAR16 or VAR8 or VAR17 or VAR1 or VAR14 or VAR15 or VAR3 or state) begin VAR19 = state; case (state) VAR22: begin if (VAR1 && VAR16[4] && (!VAR17) && (!VAR15)) begin VAR19 = VAR11; end end VAR11: begin if (VAR3) begin VAR19 = VAR21; end else if (VAR17 || VAR8) begin VAR19 = VAR5; end else if (VAR15 || VAR14) begin VAR19 = VAR5; end end VAR5: begin if ((!VAR17) && (!VAR15)) begin VAR19 = VAR11; end end VAR21: begin end endcase end assign VAR13 = state == VAR11; assign VAR4 = state == VAR21; endmodule
gpl-2.0
OpticalMeasurementsSystems/2DImageProcessing
src/frequency_analyzer_synch.v
2,912
module MODULE1 # ( parameter integer VAR9 = 100000000, parameter integer VAR4 = 2000 ) ( input wire VAR2, input wire reset, input wire enable, output reg VAR7, output reg VAR10, output reg VAR3, output reg VAR8 ); localparam integer VAR5 = VAR9 / VAR4; localparam integer VAR1 = 40; integer VAR6; always @(posedge VAR2) begin if(!reset) VAR6 <= 0; end else if(enable) begin VAR6 <= VAR6 + 1; if(VAR6 >= VAR5 + VAR5 + VAR1) VAR6 <= 0; end end always @(posedge VAR2) begin if(!reset) begin VAR7 <= 0; VAR10 <= 0; VAR3 <= 0; VAR8 <= 0; end else if(enable) begin if(VAR6 < VAR1) begin VAR7 <= 1; VAR10 <= 0; VAR3 <= 0; VAR8 <= 0; end else if(VAR6 >= VAR1 && VAR6 < VAR5) begin VAR7 <= 0; VAR10 <= 0; VAR3 <= 0; VAR8 <= 0; end else if(VAR6 >= VAR5 && VAR6 < VAR5 + VAR1) begin VAR7 <= 0; VAR10 <= 1; VAR3 <= 1; VAR8 <= 0; end else if(VAR6 >= VAR5 + VAR1 && VAR6 < VAR5 + VAR5) begin VAR7 <= 0; VAR10 <= 0; VAR3 <= 0; VAR8 <= 0; end else if(VAR6 >= VAR5 + VAR5) begin VAR7 <= 1; VAR10 <= 0; VAR3 <= 0; VAR8 <= 1; end end end endmodule
gpl-2.0
tinkercnc/spi-fpga-driver
pluto_spi_stepper_firmware/spi_main-opendrain.v
7,137
module MODULE2(in, out); input in; output out; assign out = in ? 1'VAR6 : 1'b0; endmodule module MODULE1(clk, VAR35, VAR44, VAR19, VAR28, VAR48, VAR23, VAR51, VAR29, dout, din, VAR54, VAR27); parameter VAR60=10; parameter VAR33=11; parameter VAR25=4; input clk; input VAR35, VAR28, VAR44, VAR48; output VAR19, VAR29 = 1'VAR52, VAR23; output VAR51; input [15:0] din; assign VAR29 = VAR48; assign VAR23 = 1'b1; reg VAR56; reg[13:0] VAR53; output [13:0] dout = 14'VAR52; MODULE2 MODULE2[13:0](VAR53, dout); wire[3:0] VAR5; output [3:0] VAR54 = 4'VAR52; MODULE2 MODULE3[3:0](VAR5 ^ {4{VAR56}}, VAR54); wire[3:0] VAR36; output [3:0] VAR27 = 4'VAR52; MODULE2 MODULE1[3:0](VAR36, VAR27); wire [VAR60+VAR33-1:0] VAR42, VAR3, VAR40, VAR1; reg [VAR33:0] VAR17, VAR30, VAR13, VAR12; reg [VAR25-1:0] VAR8, VAR16; reg [1:0] VAR9; reg [10:0] VAR55; wire VAR57 = ~|(VAR55[5:0]); always @(posedge clk) begin VAR55 <= VAR55 + 1'd1; end wire VAR18, VAR34; VAR4 VAR2(clk, VAR18, &VAR55, VAR34); VAR14 #(VAR60,VAR33,VAR25) VAR49(clk, VAR57, VAR42, VAR17, VAR8, VAR16, VAR5[0], VAR36[0], VAR9); VAR14 #(VAR60,VAR33,VAR25) VAR37(clk, VAR57, VAR3, VAR30, VAR8, VAR16, VAR5[1], VAR36[1], VAR9); VAR14 #(VAR60,VAR33,VAR25) VAR59(clk, VAR57, VAR40, VAR13, VAR8, VAR16, VAR5[2], VAR36[2], VAR9); VAR14 #(VAR60,VAR33,VAR25) VAR45(clk, VAR57, VAR1, VAR12, VAR8, VAR16, VAR5[3], VAR36[3], VAR9); reg [2:0] VAR7; always @(posedge clk) VAR7 <= {VAR7[1:0], VAR35}; wire VAR22 = (VAR7[2:1]==2'b01); wire VAR46 = (VAR7[2:1]==2'b10); wire VAR50 = VAR7[1]; reg [2:0] VAR38; always @(posedge clk) VAR38 <= {VAR38[1:0], VAR28}; wire VAR47 = ~VAR38[1]; wire VAR21 = (VAR38[2:1]==2'b10); wire VAR31 = (VAR38[2:1]==2'b01); wire VAR26 = VAR44; reg [2:0] VAR11; reg VAR24; reg [4:0] VAR15; reg [7:0] VAR32; reg [7:0] VAR10; reg [7:0] VAR39; always @(posedge clk) begin if(VAR21) begin VAR11 <= 3'b000; VAR15 <= 5'b00000; end if(VAR47) begin if(VAR22) begin VAR32 <= {VAR32[6:0], VAR26}; VAR11 <= VAR11 + 3'b001; if(VAR11==3'b000) VAR10 <= VAR39; end else if(VAR46) begin VAR10 <= {VAR10[6:0], 1'b0}; if(VAR11==3'b000) begin VAR15 <= VAR15 + 5'b00001; end end VAR24 <= VAR22 && (VAR11==3'b111); end end assign VAR19 = VAR10[7]; reg [7:0] VAR43; always @(posedge clk) begin if(VAR47) begin if(VAR15 == 5'b00000) begin VAR39 <= VAR42[7:0]; if(VAR24) VAR43 <= VAR32; end else if(VAR15 == 5'b00001) begin VAR39 <= VAR42[15:8]; if(VAR24) VAR17 <= {VAR32,VAR43}; end else if(VAR15 == 5'b00010) begin VAR39 <= VAR42[VAR60+VAR33-1:16]; if(VAR24) VAR43 <= VAR32; end else if(VAR15 == 5'b00011) begin VAR39 <= 8'b0; if(VAR24) VAR30 <= {VAR32,VAR43}; end else if(VAR15 == 5'b00100) begin VAR39 <= VAR3[7:0]; if(VAR24) VAR43 <= VAR32; end else if(VAR15 == 5'b00101) begin VAR39 <= VAR3[15:8]; if(VAR24) VAR13 <= {VAR32,VAR43}; end else if(VAR15 == 5'b00110) begin VAR39 <= VAR3[VAR60+VAR33-1:16]; if(VAR24) VAR43 <= VAR32; end else if(VAR15 == 5'b00111) begin VAR39 <= 8'b0; if(VAR24) VAR12 <= {VAR32,VAR43}; end else if(VAR15 == 5'b01000) begin VAR39 <= VAR40[7:0]; if(VAR24) VAR43 <= VAR32; end else if(VAR15 == 5'b01001) begin VAR39 <= VAR40[15:8]; if(VAR24) begin VAR53 <= {VAR32[5:0],VAR43}; end end else if(VAR15 == 5'b01010) begin VAR39 <= VAR40[VAR60+VAR33-1:16]; if(VAR24) VAR43 <= VAR32; end else if(VAR15 == 5'b01011) begin VAR39 <= 8'b0; if(VAR24) begin VAR9 <= VAR32[7:6]; VAR16 <= VAR32[VAR25-1:0]; VAR56 <= VAR43[7]; VAR8 <= VAR43[VAR25-1:0]; end end else if(VAR15 == 5'b01100) VAR39 <= VAR1[7:0]; end else if(VAR15 == 5'b01101) VAR39 <= VAR1[15:8]; end else if(VAR15 == 5'b01110) VAR39 <= VAR1[VAR60+VAR33-1:16]; else if(VAR15 == 5'b01111) VAR39 <= 8'b0; else if(VAR15 == 5'b10000) VAR39 <= din[7:0]; else if(VAR15 == 5'b10001) VAR39 <= din[15:8]; else if(VAR15 == 5'b10010) VAR39 <= 8'b0; else if(VAR15 == 5'b10011) VAR39 <= 8'b0; else VAR39 <= VAR15; end end assign VAR51 = VAR34 ? 1'VAR52 : (VAR5[0] ^ VAR36[0]); assign VAR18 = VAR32[6] & (VAR15 == 5'b01001) & VAR24; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a2111o/sky130_fd_sc_hs__a2111o.pp.symbol.v
1,367
module MODULE1 ( input VAR7 , input VAR8 , input VAR5 , input VAR3 , input VAR2 , output VAR6 , input VAR1, input VAR4 ); endmodule
apache-2.0
freecores/ca_prng
src/rtl/ca_prng.v
32,917
module MODULE1( input wire clk, input wire VAR1, input wire [31 : 0] VAR15, input wire VAR5, input wire VAR11, input wire [7 : 0] VAR4, input wire VAR6, output wire [31 : 0] VAR12 ); parameter [7 : 0] VAR9 = 8'b00011110; reg [31 : 0] VAR3; reg [31 : 0] VAR2; reg VAR7; reg [7 : 0] VAR14; reg [31 : 0] VAR8; assign VAR12 = VAR3; always @ (posedge clk) begin : VAR10 if (!VAR1) begin VAR14 <= VAR9; VAR3 <= 32'b00000000000000000000000000000000; end else begin if (VAR6) begin VAR14 <= VAR4; end if (VAR7) begin VAR3 <= VAR2; end end end always @* begin : VAR13 case({VAR3[31], VAR3[0], VAR3[1]}) 0: begin VAR8[0] = VAR14[0]; end 1: begin VAR8[0] = VAR14[1]; end 2: begin VAR8[0] = VAR14[2]; end 3: begin VAR8[0] = VAR14[3]; end 4: begin VAR8[0] = VAR14[4]; end 5: begin VAR8[0] = VAR14[5]; end 6: begin VAR8[0] = VAR14[6]; end 7: begin VAR8[0] = VAR14[7]; end endcase case({VAR3[0], VAR3[1], VAR3[2]}) 0: begin VAR8[1] = VAR14[0]; end 1: begin VAR8[1] = VAR14[1]; end 2: begin VAR8[1] = VAR14[2]; end 3: begin VAR8[1] = VAR14[3]; end 4: begin VAR8[1] = VAR14[4]; end 5: begin VAR8[1] = VAR14[5]; end 6: begin VAR8[1] = VAR14[6]; end 7: begin VAR8[1] = VAR14[7]; end endcase case({VAR3[1], VAR3[2], VAR3[3]}) 0: begin VAR8[2] = VAR14[0]; end 1: begin VAR8[2] = VAR14[1]; end 2: begin VAR8[2] = VAR14[2]; end 3: begin VAR8[2] = VAR14[3]; end 4: begin VAR8[2] = VAR14[4]; end 5: begin VAR8[2] = VAR14[5]; end 6: begin VAR8[2] = VAR14[6]; end 7: begin VAR8[2] = VAR14[7]; end endcase case({VAR3[2], VAR3[3], VAR3[4]}) 0: begin VAR8[3] = VAR14[0]; end 1: begin VAR8[3] = VAR14[1]; end 2: begin VAR8[3] = VAR14[2]; end 3: begin VAR8[3] = VAR14[3]; end 4: begin VAR8[3] = VAR14[4]; end 5: begin VAR8[3] = VAR14[5]; end 6: begin VAR8[3] = VAR14[6]; end 7: begin VAR8[3] = VAR14[7]; end endcase case({VAR3[3], VAR3[4], VAR3[5]}) 0: begin VAR8[4] = VAR14[0]; end 1: begin VAR8[4] = VAR14[1]; end 2: begin VAR8[4] = VAR14[2]; end 3: begin VAR8[4] = VAR14[3]; end 4: begin VAR8[4] = VAR14[4]; end 5: begin VAR8[4] = VAR14[5]; end 6: begin VAR8[4] = VAR14[6]; end 7: begin VAR8[4] = VAR14[7]; end endcase case({VAR3[4], VAR3[5], VAR3[6]}) 0: begin VAR8[5] = VAR14[0]; end 1: begin VAR8[5] = VAR14[1]; end 2: begin VAR8[5] = VAR14[2]; end 3: begin VAR8[5] = VAR14[3]; end 4: begin VAR8[5] = VAR14[4]; end 5: begin VAR8[5] = VAR14[5]; end 6: begin VAR8[5] = VAR14[6]; end 7: begin VAR8[5] = VAR14[7]; end endcase case({VAR3[5], VAR3[6], VAR3[7]}) 0: begin VAR8[6] = VAR14[0]; end 1: begin VAR8[6] = VAR14[1]; end 2: begin VAR8[6] = VAR14[2]; end 3: begin VAR8[6] = VAR14[3]; end 4: begin VAR8[6] = VAR14[4]; end 5: begin VAR8[6] = VAR14[5]; end 6: begin VAR8[6] = VAR14[6]; end 7: begin VAR8[6] = VAR14[7]; end endcase case({VAR3[6], VAR3[7], VAR3[8]}) 0: begin VAR8[7] = VAR14[0]; end 1: begin VAR8[7] = VAR14[1]; end 2: begin VAR8[7] = VAR14[2]; end 3: begin VAR8[7] = VAR14[3]; end 4: begin VAR8[7] = VAR14[4]; end 5: begin VAR8[7] = VAR14[5]; end 6: begin VAR8[7] = VAR14[6]; end 7: begin VAR8[7] = VAR14[7]; end endcase case({VAR3[7], VAR3[8], VAR3[9]}) 0: begin VAR8[8] = VAR14[0]; end 1: begin VAR8[8] = VAR14[1]; end 2: begin VAR8[8] = VAR14[2]; end 3: begin VAR8[8] = VAR14[3]; end 4: begin VAR8[8] = VAR14[4]; end 5: begin VAR8[8] = VAR14[5]; end 6: begin VAR8[8] = VAR14[6]; end 7: begin VAR8[8] = VAR14[7]; end endcase case({VAR3[8], VAR3[9], VAR3[10]}) 0: begin VAR8[9] = VAR14[0]; end 1: begin VAR8[9] = VAR14[1]; end 2: begin VAR8[9] = VAR14[2]; end 3: begin VAR8[9] = VAR14[3]; end 4: begin VAR8[9] = VAR14[4]; end 5: begin VAR8[9] = VAR14[5]; end 6: begin VAR8[9] = VAR14[6]; end 7: begin VAR8[9] = VAR14[7]; end endcase case({VAR3[9], VAR3[10], VAR3[11]}) 0: begin VAR8[10] = VAR14[0]; end 1: begin VAR8[10] = VAR14[1]; end 2: begin VAR8[10] = VAR14[2]; end 3: begin VAR8[10] = VAR14[3]; end 4: begin VAR8[10] = VAR14[4]; end 5: begin VAR8[10] = VAR14[5]; end 6: begin VAR8[10] = VAR14[6]; end 7: begin VAR8[10] = VAR14[7]; end endcase case({VAR3[10], VAR3[11], VAR3[12]}) 0: begin VAR8[11] = VAR14[0]; end 1: begin VAR8[11] = VAR14[1]; end 2: begin VAR8[11] = VAR14[2]; end 3: begin VAR8[11] = VAR14[3]; end 4: begin VAR8[11] = VAR14[4]; end 5: begin VAR8[11] = VAR14[5]; end 6: begin VAR8[11] = VAR14[6]; end 7: begin VAR8[11] = VAR14[7]; end endcase case({VAR3[11], VAR3[12], VAR3[13]}) 0: begin VAR8[12] = VAR14[0]; end 1: begin VAR8[12] = VAR14[1]; end 2: begin VAR8[12] = VAR14[2]; end 3: begin VAR8[12] = VAR14[3]; end 4: begin VAR8[12] = VAR14[4]; end 5: begin VAR8[12] = VAR14[5]; end 6: begin VAR8[12] = VAR14[6]; end 7: begin VAR8[12] = VAR14[7]; end endcase case({VAR3[12], VAR3[13], VAR3[14]}) 0: begin VAR8[13] = VAR14[0]; end 1: begin VAR8[13] = VAR14[1]; end 2: begin VAR8[13] = VAR14[2]; end 3: begin VAR8[13] = VAR14[3]; end 4: begin VAR8[13] = VAR14[4]; end 5: begin VAR8[13] = VAR14[5]; end 6: begin VAR8[13] = VAR14[6]; end 7: begin VAR8[13] = VAR14[7]; end endcase case({VAR3[13], VAR3[14], VAR3[15]}) 0: begin VAR8[14] = VAR14[0]; end 1: begin VAR8[14] = VAR14[1]; end 2: begin VAR8[14] = VAR14[2]; end 3: begin VAR8[14] = VAR14[3]; end 4: begin VAR8[14] = VAR14[4]; end 5: begin VAR8[14] = VAR14[5]; end 6: begin VAR8[14] = VAR14[6]; end 7: begin VAR8[14] = VAR14[7]; end endcase case({VAR3[14], VAR3[15], VAR3[16]}) 0: begin VAR8[15] = VAR14[0]; end 1: begin VAR8[15] = VAR14[1]; end 2: begin VAR8[15] = VAR14[2]; end 3: begin VAR8[15] = VAR14[3]; end 4: begin VAR8[15] = VAR14[4]; end 5: begin VAR8[15] = VAR14[5]; end 6: begin VAR8[15] = VAR14[6]; end 7: begin VAR8[15] = VAR14[7]; end endcase case({VAR3[15], VAR3[16], VAR3[17]}) 0: begin VAR8[16] = VAR14[0]; end 1: begin VAR8[16] = VAR14[1]; end 2: begin VAR8[16] = VAR14[2]; end 3: begin VAR8[16] = VAR14[3]; end 4: begin VAR8[16] = VAR14[4]; end 5: begin VAR8[16] = VAR14[5]; end 6: begin VAR8[16] = VAR14[6]; end 7: begin VAR8[16] = VAR14[7]; end endcase case({VAR3[16], VAR3[17], VAR3[18]}) 0: begin VAR8[17] = VAR14[0]; end 1: begin VAR8[17] = VAR14[1]; end 2: begin VAR8[17] = VAR14[2]; end 3: begin VAR8[17] = VAR14[3]; end 4: begin VAR8[17] = VAR14[4]; end 5: begin VAR8[17] = VAR14[5]; end 6: begin VAR8[17] = VAR14[6]; end 7: begin VAR8[17] = VAR14[7]; end endcase case({VAR3[17], VAR3[18], VAR3[19]}) 0: begin VAR8[18] = VAR14[0]; end 1: begin VAR8[18] = VAR14[1]; end 2: begin VAR8[18] = VAR14[2]; end 3: begin VAR8[18] = VAR14[3]; end 4: begin VAR8[18] = VAR14[4]; end 5: begin VAR8[18] = VAR14[5]; end 6: begin VAR8[18] = VAR14[6]; end 7: begin VAR8[18] = VAR14[7]; end endcase case({VAR3[18], VAR3[19], VAR3[20]}) 0: begin VAR8[19] = VAR14[0]; end 1: begin VAR8[19] = VAR14[1]; end 2: begin VAR8[19] = VAR14[2]; end 3: begin VAR8[19] = VAR14[3]; end 4: begin VAR8[19] = VAR14[4]; end 5: begin VAR8[19] = VAR14[5]; end 6: begin VAR8[19] = VAR14[6]; end 7: begin VAR8[19] = VAR14[7]; end endcase case({VAR3[19], VAR3[20], VAR3[21]}) 0: begin VAR8[20] = VAR14[0]; end 1: begin VAR8[20] = VAR14[1]; end 2: begin VAR8[20] = VAR14[2]; end 3: begin VAR8[20] = VAR14[3]; end 4: begin VAR8[20] = VAR14[4]; end 5: begin VAR8[20] = VAR14[5]; end 6: begin VAR8[20] = VAR14[6]; end 7: begin VAR8[20] = VAR14[7]; end endcase case({VAR3[20], VAR3[21], VAR3[22]}) 0: begin VAR8[21] = VAR14[0]; end 1: begin VAR8[21] = VAR14[1]; end 2: begin VAR8[21] = VAR14[2]; end 3: begin VAR8[21] = VAR14[3]; end 4: begin VAR8[21] = VAR14[4]; end 5: begin VAR8[21] = VAR14[5]; end 6: begin VAR8[21] = VAR14[6]; end 7: begin VAR8[21] = VAR14[7]; end endcase case({VAR3[21], VAR3[22], VAR3[23]}) 0: begin VAR8[22] = VAR14[0]; end 1: begin VAR8[22] = VAR14[1]; end 2: begin VAR8[22] = VAR14[2]; end 3: begin VAR8[22] = VAR14[3]; end 4: begin VAR8[22] = VAR14[4]; end 5: begin VAR8[22] = VAR14[5]; end 6: begin VAR8[22] = VAR14[6]; end 7: begin VAR8[22] = VAR14[7]; end endcase case({VAR3[22], VAR3[23], VAR3[24]}) 0: begin VAR8[23] = VAR14[0]; end 1: begin VAR8[23] = VAR14[1]; end 2: begin VAR8[23] = VAR14[2]; end 3: begin VAR8[23] = VAR14[3]; end 4: begin VAR8[23] = VAR14[4]; end 5: begin VAR8[23] = VAR14[5]; end 6: begin VAR8[23] = VAR14[6]; end 7: begin VAR8[23] = VAR14[7]; end endcase case({VAR3[23], VAR3[24], VAR3[25]}) 0: begin VAR8[24] = VAR14[0]; end 1: begin VAR8[24] = VAR14[1]; end 2: begin VAR8[24] = VAR14[2]; end 3: begin VAR8[24] = VAR14[3]; end 4: begin VAR8[24] = VAR14[4]; end 5: begin VAR8[24] = VAR14[5]; end 6: begin VAR8[24] = VAR14[6]; end 7: begin VAR8[24] = VAR14[7]; end endcase case({VAR3[24], VAR3[25], VAR3[26]}) 0: begin VAR8[25] = VAR14[0]; end 1: begin VAR8[25] = VAR14[1]; end 2: begin VAR8[25] = VAR14[2]; end 3: begin VAR8[25] = VAR14[3]; end 4: begin VAR8[25] = VAR14[4]; end 5: begin VAR8[25] = VAR14[5]; end 6: begin VAR8[25] = VAR14[6]; end 7: begin VAR8[25] = VAR14[7]; end endcase case({VAR3[25], VAR3[26], VAR3[27]}) 0: begin VAR8[26] = VAR14[0]; end 1: begin VAR8[26] = VAR14[1]; end 2: begin VAR8[26] = VAR14[2]; end 3: begin VAR8[26] = VAR14[3]; end 4: begin VAR8[26] = VAR14[4]; end 5: begin VAR8[26] = VAR14[5]; end 6: begin VAR8[26] = VAR14[6]; end 7: begin VAR8[26] = VAR14[7]; end endcase case({VAR3[26], VAR3[27], VAR3[28]}) 0: begin VAR8[27] = VAR14[0]; end 1: begin VAR8[27] = VAR14[1]; end 2: begin VAR8[27] = VAR14[2]; end 3: begin VAR8[27] = VAR14[3]; end 4: begin VAR8[27] = VAR14[4]; end 5: begin VAR8[27] = VAR14[5]; end 6: begin VAR8[27] = VAR14[6]; end 7: begin VAR8[27] = VAR14[7]; end endcase case({VAR3[27], VAR3[28], VAR3[29]}) 0: begin VAR8[28] = VAR14[0]; end 1: begin VAR8[28] = VAR14[1]; end 2: begin VAR8[28] = VAR14[2]; end 3: begin VAR8[28] = VAR14[3]; end 4: begin VAR8[28] = VAR14[4]; end 5: begin VAR8[28] = VAR14[5]; end 6: begin VAR8[28] = VAR14[6]; end 7: begin VAR8[28] = VAR14[7]; end endcase case({VAR3[28], VAR3[29], VAR3[30]}) 0: begin VAR8[29] = VAR14[0]; end 1: begin VAR8[29] = VAR14[1]; end 2: begin VAR8[29] = VAR14[2]; end 3: begin VAR8[29] = VAR14[3]; end 4: begin VAR8[29] = VAR14[4]; end 5: begin VAR8[29] = VAR14[5]; end 6: begin VAR8[29] = VAR14[6]; end 7: begin VAR8[29] = VAR14[7]; end endcase case({VAR3[29], VAR3[30], VAR3[31]}) 0: begin VAR8[30] = VAR14[0]; end 1: begin VAR8[30] = VAR14[1]; end 2: begin VAR8[30] = VAR14[2]; end 3: begin VAR8[30] = VAR14[3]; end 4: begin VAR8[30] = VAR14[4]; end 5: begin VAR8[30] = VAR14[5]; end 6: begin VAR8[30] = VAR14[6]; end 7: begin VAR8[30] = VAR14[7]; end endcase case({VAR3[30], VAR3[31], VAR3[0]}) 0: begin VAR8[31] = VAR14[0]; end 1: begin VAR8[31] = VAR14[1]; end 2: begin VAR8[31] = VAR14[2]; end 3: begin VAR8[31] = VAR14[3]; end 4: begin VAR8[31] = VAR14[4]; end 5: begin VAR8[31] = VAR14[5]; end 6: begin VAR8[31] = VAR14[6]; end 7: begin VAR8[31] = VAR14[7]; end endcase if (VAR5) begin VAR2 = VAR15; end else begin VAR2 = VAR8; end if (VAR5 || VAR11) begin VAR7 = 1; end else begin VAR7 = 0; end end endmodule
bsd-2-clause
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v
1,633
if (VAR2 && (VAR19==VAR11)) \ begin: VAR9 \ VAR21 VAR6 (.VAR15(VAR13),.VAR5(VAR3),.VAR10(VAR14),.VAR17); \ end module MODULE1 #(parameter VAR4(VAR19) , parameter VAR2=0 ) (input [VAR19-1:0] VAR13 , input [VAR19-1:0] VAR3 , input [VAR19-1:0] VAR14 , output [VAR19-1:0] VAR17 ); begin :VAR16 end VAR7 assert(VAR2==0) else ("## %VAR22 VAR20 VAR8 VAR1 VAR12 VAR18 VAR9"); assign VAR17 = ~(VAR13 | VAR3 | VAR14); end endmodule
bsd-3-clause
windelbouwman/ppci-mirror
tools/fatfs/spi.v
2,403
module MODULE1 #( parameter integer VAR14 = 0, parameter integer VAR22 = 32 ) ( input clk, input VAR18, input VAR5, input VAR13, input [ 7:0] VAR6, input [31:0] VAR15, output reg [31:0] VAR11, output reg VAR19, output [VAR22-1:0] VAR1, output VAR7, input VAR17, output VAR9 ); wire VAR10; reg VAR24, VAR21; reg [VAR22-1:0] VAR16; reg VAR12; reg VAR20; reg [7:0] VAR8; reg [7:0] VAR4; reg [7:0] VAR3; reg [4:0] VAR2; assign VAR9 = VAR21 ^ ~VAR12; assign VAR1 = VAR16; assign VAR10 = VAR17; assign VAR7 = VAR24; always @(posedge clk) begin VAR11 <= 'VAR23; VAR19 <= 0; if (!VAR18) begin VAR24 <= 0; VAR21 <= 1; VAR16 <= ~0; VAR12 <= 1; VAR20 <= 1; VAR8 <= 0; VAR4 <= 4; VAR2 <= 0; end else if (!VAR19) begin if (VAR5) begin VAR19 <= 1; if (VAR6 == 'h00) VAR4 <= VAR15; if (VAR6 == 'h04) begin VAR16 <= VAR15; VAR19 <= VAR8 == VAR4; VAR8 <= VAR8 == VAR4 ? 0 : VAR8 + 1; end if (VAR6 == 'h08) begin if (!VAR8) begin if (VAR2 == 0) begin VAR3 <= VAR15; VAR24 <= VAR15[7]; end else begin if (VAR2[0]) VAR3 <= {VAR3, VAR10}; end else if (VAR2 < 16) VAR24 <= VAR3[7]; end end VAR21 <= VAR2[0] ^ ~VAR20; VAR19 <= VAR2 == (VAR20 ? 15 : 16) && VAR8 == VAR4; VAR2 <= VAR8 == VAR4 ? (VAR2[4] ? 0 : VAR2 + 1) : VAR2; if (VAR20) VAR2[4] <= 0; VAR8 <= VAR8 == VAR4 ? 0 : VAR8 + 1; end if (VAR6 == 'h0c) begin {VAR12, VAR20} <= VAR15; VAR19 <= VAR8 == VAR4; VAR8 <= VAR8 == VAR4 ? 0 : VAR8 + 1; end end if (VAR13) begin VAR19 <= 1; if (VAR6 == 'h00) VAR11 <= VAR4; if (VAR6 == 'h04) VAR11 <= VAR16; if (VAR6 == 'h08) VAR11 <= VAR3; if (VAR6 == 'h0c) VAR11 <= {VAR12, VAR20}; end end end endmodule
bsd-2-clause
krmarien/Proxmark
fpga/relay_encode.v
3,887
module MODULE1( clk, reset, VAR6, VAR7, VAR9 ); input clk, reset, VAR6, VAR7; output VAR9; reg [0:0] VAR9 = 1'b0; reg [7:0] VAR4 = 8'b0; reg [7:0] VAR8 = 8'b0; reg [6:0] VAR2 = 7'b0; reg [2:0] VAR1 = 3'b0; reg [0:0] VAR3 = 1'b0; reg [0:0] VAR5 = 1'b0; reg [3:0] counter = 4'b0; always @(posedge clk) begin counter = counter + 1; if (counter[3:0] == 4'b0) begin VAR1 = VAR1 + 1; VAR4 = {VAR4[6:0], VAR7}; end if (|VAR8 == 1'b1) VAR8 = VAR8 - 1; if (|VAR2 == 1'b1) VAR2 = VAR2 - 1; if (VAR6 == 1'b0 && counter[3:0] == 4'b0) begin if (VAR4[7:6] == 2'b0 && VAR4[3:0] == 4'hf && VAR3 == 1'b0) begin VAR1 = 3'b0; VAR3 = 1'b1; VAR5 = 1'b0; end if (VAR3 == 1'b1 && VAR1 == 3'b0) begin if (VAR4 == 8'hff && VAR5 == 1'b1) begin VAR3 = 1'b0; VAR5 = 1'b0; end if (VAR4 == 8'hff) begin VAR9 = 1'b0; VAR5 = 1'b1; end else if (VAR4[3:0] == 4'hf) begin VAR8 = 8'b1000000; VAR9 = 1'b1; VAR5 = 1'b1; end else if (VAR4[7:4] == 4'hf) begin VAR2 = 7'b1000000; VAR8 = 8'b10000000; VAR9 = 1'b0; VAR5 = 1'b0; end end end else if (VAR6 == 1'b1 && counter[3:0] == 4'b0) begin if (VAR4[7:5] == 3'b111 && VAR4[3:1] == 3'b0 && VAR3 == 1'b0) begin VAR1 = 3'b0; VAR3 = 1'b1; VAR5 = 1'b0; end if (VAR3 == 1'b1 && VAR1 == 3'b0) begin if (VAR4 == 8'h00) begin if (VAR5 == 1'b1) VAR3 = 1'b0; VAR5 = 1'b1; end else if (VAR4[3:0] == 4'h0) begin VAR8 = 8'b1000000; VAR9 = 1'b1; VAR5 = 1'b0; end else if (VAR4[7:4] == 4'h0) begin VAR2 = 7'b1000000; VAR8 = 8'b10000000; VAR9 = 1'b0; VAR5 = 1'b0; end end end if (VAR8 == 8'b0) VAR9 = 1'b0; if (VAR2 == 7'b0 && |VAR8 == 1'b1) VAR9 = 1'b1; if (reset == 1'b1) begin VAR4 = 8'b0; VAR9 = 1'b0; end end endmodule
gpl-2.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/util_pmod_fmeter/util_pmod_fmeter_core.v
3,486
module MODULE1 ( VAR5, reset, VAR3, VAR1); input VAR5; input reset; input VAR3; output [31:0] VAR1; reg [31:0] VAR1 = 'h0; reg [31:0] VAR4 = 'h0; reg [ 2:0] VAR6 = 'h0; wire VAR2; assign VAR2 = ~VAR6[2] & VAR6[1]; always @(posedge VAR5) begin VAR6[0] <= VAR3; VAR6[2:1] <= VAR6[1:0]; end always @(posedge VAR5) begin if (reset == 1'b1) begin VAR1 <= 32'b0; VAR4 <= 32'b0; end else begin if(VAR2 == 1'b1) begin VAR1 <= VAR4; VAR4 <= 32'h0; end else begin VAR4 <= VAR4 + 32'h1; end end end endmodule
gpl-3.0
laanwj/yosys-ice-experiments
pmodoled2/pmodoled2.v
3,421
module MODULE1(input clk, output VAR1, input VAR29, input VAR3, output VAR32, output VAR30, output VAR19, output VAR27, output VAR7, output VAR9, output VAR38, output VAR23, output VAR14, output VAR8, output VAR39, ); localparam VAR33 = 12; reg VAR20, VAR28; wire [7:0] VAR37; wire [7:0] VAR17 = 0; wire VAR4 = 0; wire VAR2 = 1; wire [31:0] VAR26 = 1000000; VAR40 #(.VAR5(VAR33 * 1000000)) VAR15 ( .clk(clk), .VAR3(1), .VAR31(VAR26), .VAR34(VAR29), .VAR10(VAR1), .rd(VAR2), .wr(VAR4), .valid(VAR20), .VAR11(VAR28), .VAR25(VAR17), .VAR12(VAR37)); reg VAR21; reg VAR22; reg [4:0] VAR24; reg VAR16; reg [3:0] VAR36; reg [7:0] VAR13; reg VAR18; always @(posedge clk) begin VAR16 <= ~VAR16; if (VAR16) begin VAR38 <= 1; end else begin if (|VAR36) begin {VAR9,VAR13} <= {VAR13[7:0],1'b1}; VAR38 <= 0; VAR36 <= VAR36 - 1; end VAR30 <= VAR36; end if (VAR20 && !VAR18) begin VAR18 <= 1; if (|VAR24) begin VAR24 <= VAR24 - 1; VAR13 <= VAR37; VAR36 <= 8; VAR21 <= ~VAR21; end else begin casez (VAR37) 8'VAR6: begin VAR24 <= (VAR37 & 5'b01111) + 1; end 8'VAR35: begin {VAR7,VAR23,VAR14,VAR8,VAR39} <= VAR37[4:0]; end default: ; endcase VAR22 <= ~VAR22; end end if (~VAR20) VAR18 <= 0; end assign VAR32 = VAR21; assign VAR27 = VAR22; endmodule
mit
MeshSr/onetswitch45
ons45-gsg-2-gt_ibert/vivado/onets_7045_gt_ibert/sources/onetswitch_top.v
2,177
module MODULE1 ( output [(4*VAR13)-1:0] VAR14, output [(4*VAR13)-1:0] VAR18, input [(4*VAR13)-1:0] VAR25, input [(4*VAR13)-1:0] VAR19, input [VAR2-1:0] VAR7, input [VAR2-1:0] VAR12, input [VAR2-1:0] VAR26, input [VAR2-1:0] VAR11 ); wire [VAR13-1:0] VAR10; wire [VAR13-1:0] VAR20; wire [VAR2-1:0] VAR4; wire [VAR2-1:0] VAR5; VAR1 VAR22 ( .VAR16 (VAR4[0]), .VAR6 (), .VAR9 (1'b0), .VAR21 (VAR7[0]), .VAR17 (VAR12[0]) ); VAR1 VAR3 ( .VAR16 (VAR5[0]), .VAR6 (), .VAR9 (1'b0), .VAR21 (VAR26[0]), .VAR17 (VAR11[0]) ); assign VAR10[0] = VAR4[0]; assign VAR20[0] = VAR5[0]; VAR24 VAR15 ( .VAR14(VAR14), .VAR18(VAR18), .VAR25(VAR25), .VAR19(VAR19), .VAR8(VAR10), .VAR23(VAR20) ); endmodule
lgpl-2.1
vipinkmenon/scas
hw/fpga/source/memory_if/mig_7series_v1_8_mem_intfc.v
40,945
module MODULE1 # ( parameter VAR119 = 100, parameter VAR161 = 64, parameter VAR199 = "1T", parameter VAR38 = "0", parameter VAR241 = 3, parameter VAR195 = 2, parameter VAR24 = "8", parameter VAR233 = "VAR133", parameter VAR288 = "VAR200", parameter VAR184 = 1, parameter VAR92 = 4'hc, parameter VAR210 = 4'hf, parameter VAR4 = 4'hf, parameter VAR72 = 4'hf, parameter VAR129 = 4'hf, parameter VAR82 = 4'b1111, parameter VAR50 = 4'b0000, parameter VAR67 = 4'b0000, parameter VAR47 = 4'b0000, parameter VAR312 = 4'b0000, parameter VAR299 = 48'h000000000000, parameter VAR269 = 48'h000000000000, parameter VAR201 = 48'h000000000000, parameter VAR121 = 144'h000000000000000000000000000000000000, parameter VAR25 = 192'h000000000000000000000000000000000000000000000000, parameter VAR78 = 36'h000000000, parameter VAR87 = 12'h000, parameter VAR194 = 8'h00, parameter VAR282 = 96'h000000000000000000000000, parameter VAR123 = 96'h000000000000000000000000, parameter VAR318 = "VAR245", parameter VAR77 = 120'h000000000000000000000000000000, parameter VAR260 = 12'h000, parameter VAR150 = 12'h000, parameter VAR166 = 12'h000, parameter VAR290 = 144'h000000000000000000000000000000000000, parameter VAR263 = 96'h000000000000000000000000, parameter VAR304 = 96'h000000000000000000000000, parameter VAR273 = 96'h000000000000000000000000, parameter VAR217 = 96'h000000000000000000000000, parameter VAR182 = 96'h000000000000000000000000, parameter VAR307 = 96'h000000000000000000000000, parameter VAR57 = 96'h000000000000000000000000, parameter VAR238 = 96'h000000000000000000000000, parameter VAR268 = 96'h000000000000000000000000, parameter VAR128 = 96'h000000000000000000000000, parameter VAR167 = 96'h000000000000000000000000, parameter VAR9 = 96'h000000000000000000000000, parameter VAR175 = 96'h000000000000000000000000, parameter VAR37 = 96'h000000000000000000000000, parameter VAR131 = 96'h000000000000000000000000, parameter VAR30 = 96'h000000000000000000000000, parameter VAR213 = 96'h000000000000000000000000, parameter VAR19 = 96'h000000000000000000000000, parameter VAR171 = 108'h000000000000000000000000000, parameter VAR262 = 108'h000000000000000000000000000, parameter VAR311 = 16'h0000, parameter VAR62 = 12'h000, parameter VAR230 = 3'h0, parameter VAR252 = 5, parameter VAR137 = 12, parameter VAR251 = "VAR76", parameter VAR240 = 1, parameter VAR293 = 1, parameter VAR186 = 5, parameter VAR51 = 64, parameter VAR153 = 8, parameter VAR249 = 1, parameter VAR40 = "VAR250", parameter VAR148 = 8, parameter VAR198 = 6, parameter VAR223 = 64, parameter VAR64 = 3, parameter VAR44 = 8, parameter VAR332 = "VAR55", parameter VAR204 = 8, parameter VAR31 = "VAR200", parameter VAR134 = 8, parameter VAR113 = 31, parameter VAR73 = 0, parameter VAR305 = 4, parameter VAR274 = "VAR200", parameter VAR237 = 4, parameter VAR275 = 1, parameter VAR309 = "VAR245", parameter VAR170 = "VAR21", parameter VAR159 = "VAR200" , parameter VAR243 = "VAR200", parameter VAR49 = "VAR76", parameter VAR303 = "VAR99", parameter VAR255 = "VAR187", parameter VAR328 = "VAR76", parameter VAR176 = "VAR208", parameter VAR117 = "VAR112" , parameter VAR203 = "VAR200" , parameter VAR83 = "60" , parameter VAR143 = "120" , parameter VAR179 = 2, parameter VAR11 = 2500, parameter VAR291 = 10000, parameter VAR15 = 40000, parameter VAR315 = 1000000, parameter VAR188 = 37500, parameter VAR326 = 12500, parameter VAR207 = 7800000, parameter VAR28 = 110000, parameter VAR169 = 12500, parameter VAR116 = 10000, parameter VAR266 = 7500, parameter VAR180 = 7500, parameter VAR244 = 128000000, parameter VAR192 = 64, parameter VAR173 = "VAR200" , parameter VAR96 = "VAR200" , parameter VAR313 = "VAR60" , parameter VAR177 = 1, parameter VAR88 = 4, parameter VAR185 = 1, parameter VAR231 = 16, parameter [7:0] VAR118 = 8'b00000001, parameter [7:0] VAR196 = 8'b00000000, parameter VAR162 = "VAR200", parameter VAR190 = 300.0, parameter VAR330 = VAR44, parameter VAR322 = 0, parameter VAR215 = 0, parameter VAR103 = 0, parameter VAR211 = 144'h11100F0E0D0C0B0A09080706050403020100, parameter VAR219 = 0, parameter VAR155 = 0, parameter VAR75 = 0, parameter VAR168 = 1, parameter VAR284 = 1, parameter VAR105 = 1, parameter VAR214 = 0, parameter VAR248 = "VAR200", parameter VAR94 = "VAR76" ) ( input VAR115, input VAR101, input VAR334, input VAR138, input VAR205, input VAR319, input reset, output VAR174, input [VAR241-1:0] VAR278, input clk , input [2:0] VAR42, input [VAR137-1:0] VAR79, input VAR104, input [VAR153-1:0] VAR7, input VAR122, input VAR253, input VAR145, input VAR68, input VAR308, input [VAR64-1:0] VAR95, input VAR5, input [VAR177-1:0] VAR270, input [2*VAR237-1:0] VAR163, input [VAR231-1:0] VAR234, input rst, input VAR236, input [7:0] VAR36, input [7:0] VAR272, input VAR317, input [2*VAR237*VAR161-1:0] VAR160, input [2*VAR237*VAR51/8-1:0] VAR224, output VAR149, output VAR66, output [VAR195-1:0] VAR108, input VAR59, output VAR91, input VAR124, output VAR279, input VAR276, output VAR109, output [255:0] VAR71, output [6*VAR44*VAR88-1:0] VAR39, output [6*VAR44*VAR88-1:0] VAR165, output [255:0] VAR221, output [99:0] VAR147, output [6*VAR44-1:0] VAR135, output [3*VAR44-1:0] VAR287, output [VAR44-1:0] VAR164, output [2*VAR237*VAR223-1:0] VAR90, output [1:0] VAR300, output [1:0] VAR154, output [1:0] VAR86, output [5:0] VAR43, output VAR146, output VAR280, output VAR126, output VAR181, output [VAR231-1:0] VAR136, output [VAR241-1:0] VAR141, output VAR106, output [VAR184-1:0] VAR158, output [VAR184-1:0] VAR142 , output [VAR293-1:0] VAR89, output [VAR240*VAR275-1:0] VAR23, output [VAR148-1:0] VAR258, output [VAR185-1:0] VAR218, output VAR22, output VAR310, output VAR259, output VAR156, output VAR226, output VAR48, output [VAR113-1:0] VAR84, output [2*VAR237-1:0] VAR16, output [2*VAR237-1:0] VAR239, output wire [2*VAR237*VAR161-1:0] VAR229, output [VAR153-1:0] VAR152, output VAR18, output VAR98, output [VAR249-1:0] VAR34, output [VAR153-1:0] VAR324, output VAR216, output [VAR249-1:0] VAR256, inout [VAR223-1:0] VAR316, inout [VAR44-1:0] VAR8, inout [VAR44-1:0] VAR220 ,input [11:0] VAR302 ,input VAR69 ,input VAR111 ,input [VAR64:0] VAR228 ,input VAR298 ,input VAR227 ,input VAR285 ,input VAR225 ,input VAR93 ,output [6*VAR44*VAR88-1:0] VAR151 ,output [5*VAR44*VAR88-1:0] VAR139 ,output VAR53 ,output [6*VAR44-1:0] VAR65 ,output [3*VAR44-1:0] VAR45 ,output [255:0] VAR297 ,output [5:0] VAR271 ,output [8:0] VAR335 ,output VAR125 ,input VAR327 ,output [6*VAR88-1:0] VAR100 ,output [255:0] VAR27 ,output [255:0] VAR296 ,output [255:0] VAR292 ,output VAR191 ,output VAR12 ,output VAR140 ,output VAR321 ,output VAR130 ,output VAR306 ,output VAR52 ,output VAR281 ,output VAR331 ,output [11:0] VAR32 ,output [11:0] VAR46 ,output [6*VAR88-1:0] VAR6 ,output [6*VAR88-1:0] VAR63 ,output [5:0] VAR13 ,output [5:0] VAR10 ,output [5:0] VAR1 ,output VAR183 ,output VAR242 ,output [255:0] VAR206 ,output [VAR204*16 -1:0]VAR289 ); localparam VAR294 = 1 + (|VAR196 ? 1 : 0); localparam VAR33 = (VAR294 == 2)? 8'b00000101 : 8'b00001111; localparam VAR120 = (VAR294 == 2)? 8'b00001010 : 8'b00000000; reg [7:0] VAR197; reg [7:0] VAR20; reg VAR2 = 1'b0; reg VAR102 = 1'b0; reg VAR202 = 1'b0; wire [VAR237-1:0] VAR61; wire [VAR237-1:0] VAR329; wire [VAR237-1:0] VAR314; wire [VAR237*VAR231-1:0] VAR246; wire [VAR237*VAR241-1:0] VAR189; wire [VAR237-1 :0] VAR333 ; wire [1:0] VAR41 ; wire [VAR240*VAR275*VAR237-1:0] VAR178; wire VAR325; wire [2*VAR237*VAR223-1:0] VAR264; wire [2*VAR237*VAR223/8-1:0] VAR261; wire VAR283; wire VAR267; wire VAR254; wire VAR222; wire VAR97; wire VAR85; wire [2:0] VAR3; wire [1:0] VAR114; wire [5:0] VAR81; wire [5:0] VAR301; wire [5:0] VAR193; wire [3:0] VAR80; wire [3:0] VAR172; wire [1:0] VAR286; wire VAR232; wire VAR54; wire VAR209; wire [2*VAR237*VAR223-1:0] VAR157; wire VAR320; wire [6*VAR88-1:0] VAR265; wire [6*VAR88-1:0] VAR56; wire [6*VAR88-1:0] VAR26; wire VAR212; wire VAR14; wire VAR29; localparam VAR127 = (VAR332 == "VAR55") ? VAR186 : VAR252-1; assign VAR226 = VAR212; assign VAR48 = VAR14; assign VAR70 = (VAR274 == "VAR200") ? VAR212 : (VAR212 | VAR14); assign VAR29 = (VAR274 == "VAR200") ? rst : reset; assign VAR6 = VAR56; assign VAR63 = VAR26; assign VAR13 = VAR81; assign VAR10 = VAR301; assign VAR1 = VAR193; assign VAR254 = VAR94 == "VAR200" ? 1'b0 : VAR267; generate if (VAR294 == 1) begin: VAR132 always @ (VAR36 or VAR272) begin VAR197 = VAR36; VAR20 = VAR272; end end else if (VAR294 == 2) begin: VAR74 always @ (VAR36[0] or VAR36[1] or VAR272[0] or VAR272[1]) begin case ({VAR36[0],VAR36[1], VAR272[0],VAR272[1]}) 4'b1000: begin VAR197 = 8'b00000001; VAR20 = 8'b00000000; end 4'b0010: begin VAR197 = 8'b00000000; VAR20 = 8'b00000010; end 4'b1100: begin VAR197 = 8'b00000101; VAR20 = 8'b00000000; end 4'b0011: begin VAR197 = 8'b00000000; VAR20 = 8'b00001010; end 4'b1010: begin VAR197 = 8'b00000001; VAR20 = 8'b00000010; end 4'b1011: begin VAR197 = 8'b00000001; VAR20 = 8'b00001010; end 4'b1110: begin VAR197 = 8'b00000101; VAR20 = 8'b00000010; end 4'b1111: begin VAR197 = 8'b00000101; VAR20 = 8'b00001010; end endcase end end endgenerate VAR58 # ( .VAR119 (VAR119), .VAR161 (VAR161), .VAR113 (VAR113), .VAR199 (VAR199), .VAR241 (VAR241), .VAR195 (VAR195), .VAR24 (VAR24), .VAR137 (VAR137), .VAR251 (VAR251), .VAR240 (VAR240), .VAR51 (VAR51), .VAR153 (VAR153), .VAR249 (VAR249), .VAR332 (VAR332), .VAR318 (VAR318), .VAR44 (VAR44), .VAR223 (VAR223), .VAR31 (VAR31), .VAR134 (VAR134), .VAR305 (VAR305), .VAR237 (VAR237), .VAR294 (VAR294), .VAR252 (VAR252), .VAR275 (VAR275), .VAR186 (VAR127), .VAR170 (VAR170), .VAR177 (VAR177), .VAR88 (VAR88), .VAR203 (VAR203), .VAR231 (VAR231), .VAR83 (VAR83), .VAR143 (VAR143), .VAR179 (VAR179), .VAR118 (VAR33), .VAR196 (VAR120), .VAR11 (VAR11), .VAR291 (VAR291), .VAR15 (VAR15), .VAR188 (VAR188), .VAR326 (VAR326), .VAR207 (VAR207), .VAR28 (VAR28), .VAR169 (VAR169), .VAR116 (VAR116), .VAR266 (VAR266), .VAR180 (VAR180), .VAR244 (VAR244), .VAR192 (VAR192), .VAR315 (VAR315), .VAR248 (VAR248)) VAR247 (.VAR144 (1'b0), .VAR59 (VAR59), .VAR91 (VAR91), .VAR124 (VAR124), .VAR279 (VAR279), .VAR276 (VAR276), .VAR109 (VAR109), .VAR239 (VAR239), .VAR16 (VAR16), .VAR84 (VAR84), .VAR246 (VAR246), .VAR80 (VAR80), .VAR172 (VAR172), .VAR189 (VAR189), .VAR333 (VAR333), .VAR41 (VAR41), .VAR329 (VAR329), .VAR3 (VAR3), .VAR97 (VAR97), .VAR178 (VAR178), .VAR85 (VAR85), .VAR81 (VAR81), .VAR301 (VAR301), .VAR193 (VAR193), .VAR114 (VAR114), .VAR286 (VAR286), .VAR61 (VAR61), .VAR325 (VAR325), .VAR314 (VAR314), .VAR264 (VAR264), .VAR283 (VAR283), .VAR261 (VAR261), .VAR149 (VAR149), .VAR66 (VAR66), .VAR108 (VAR108[VAR195-1:0]), .VAR152 (VAR152[VAR153-1:0]), .VAR18 (VAR18), .VAR98 (VAR98), .VAR34 (VAR34), .VAR324 (VAR324[VAR153-1:0]), .VAR216 (VAR216), .VAR256 (VAR256), .VAR229 (VAR229), .VAR160 (VAR160), .VAR224 (VAR224), .VAR107 (VAR222), .VAR267 (VAR267), .VAR226 (VAR70), .VAR17 (VAR265), .VAR56 (VAR56), .VAR26 (VAR26), .VAR232 (VAR232), .VAR54 (VAR54), .VAR209 (VAR209), .VAR157 (VAR157), .VAR320 (VAR320), .VAR104 (VAR104), .VAR278 (VAR278[VAR241-1:0]), .clk (clk), .VAR42 (VAR42[2:0]), .VAR79 (VAR79[VAR137-1:0]), .VAR7 (VAR7[VAR153-1:0]), .VAR5 (VAR5), .VAR270 (VAR270[VAR177-1:0]), .VAR163 (VAR163[2*VAR237-1 :0]), .VAR234 (VAR234[VAR231-1:0]), .rst (VAR29), .VAR236 (VAR236), .VAR36 (VAR197[7:0]), .VAR272 (VAR20[7:0]), .VAR317 (VAR317)); localparam VAR235 = VAR11 * VAR237; localparam VAR257 = VAR252; localparam VAR110 = VAR127; VAR295: assert property (@(posedge clk) (~((VAR332 == "VAR323") && ((VAR252 > 6) || (VAR252 < 3))))); VAR35 # ( .VAR119 (VAR119), .VAR190 (VAR190), .VAR82 (VAR82), .VAR50 (VAR50), .VAR67 (VAR67), .VAR47 (VAR47), .VAR312 (VAR312), .VAR299 (VAR299), .VAR269 (VAR269), .VAR201 (VAR201), .VAR288 (VAR288), .VAR121 (VAR121), .VAR25 (VAR25), .VAR78 (VAR78), .VAR87 (VAR87), .VAR194 (VAR194), .VAR282 (VAR282), .VAR123 (VAR123), .VAR318 (VAR318), .VAR77 (VAR77), .VAR260 (VAR260), .VAR150 (VAR150), .VAR166 (VAR166), .VAR290 (VAR290), .VAR263 (VAR263), .VAR304 (VAR304), .VAR273 (VAR273), .VAR217 (VAR217), .VAR182 (VAR182), .VAR307 (VAR307), .VAR57 (VAR57), .VAR238 (VAR238), .VAR268 (VAR268), .VAR128 (VAR128), .VAR167 (VAR167), .VAR9 (VAR9), .VAR175 (VAR175), .VAR37 (VAR37), .VAR131 (VAR131), .VAR30 (VAR30), .VAR213 (VAR213), .VAR19 (VAR19), .VAR171 (VAR171), .VAR262 (VAR262), .VAR311 (VAR311), .VAR62 (VAR62), .VAR230 (VAR230), .VAR275 (VAR275), .VAR240 (VAR240), .VAR237 (VAR237), .VAR274 (VAR274), .VAR293 (VAR293), .VAR92 (VAR92), .VAR210 (VAR210), .VAR4 (VAR4), .VAR72 (VAR72), .VAR129 (VAR129), .VAR40 (VAR40), .VAR332 (VAR332), .VAR241 (VAR241), .VAR184 (VAR184), .VAR137 (VAR137), .VAR148 (VAR148), .VAR223 (VAR223), .VAR64 (VAR64), .VAR44 (VAR44), .VAR204 (VAR204), .VAR309 (VAR309), .VAR231 (VAR231), .VAR38 (VAR38), .VAR199 (VAR199), .VAR24 (VAR24), .VAR233 (VAR233), .VAR252 (VAR257), .VAR186 (VAR110), .VAR28 (VAR28), .VAR11 (VAR11), .VAR117 (VAR117), .VAR88 (VAR88), .VAR185 (VAR185), .VAR203 (VAR203), .VAR83 (VAR83), .VAR143 (VAR143), .VAR196 (VAR196), .VAR173 (VAR173), .VAR49 (VAR49), .VAR303 (VAR303), .VAR255 (VAR255), .VAR328(VAR328), .VAR176 (VAR176), .VAR162 (VAR162), .VAR168 (VAR168), .VAR284 (VAR284), .VAR105 (VAR105), .VAR214 (VAR214), .VAR96 (VAR96) ) VAR277 ( .VAR265 (VAR265), .VAR56 (VAR56), .VAR26 (VAR26), .VAR142 (VAR142), .VAR158 (VAR158), .VAR136 (VAR136), .VAR141 (VAR141), .VAR22 (VAR22), .VAR106 (VAR106), .VAR156 (VAR156), .VAR23 (VAR23), .VAR89 (VAR89), .VAR218 (VAR218), .VAR310 (VAR310), .VAR259 (VAR259), .VAR258 (VAR258), .VAR71 (VAR71), .VAR39 (VAR39), .VAR165 (VAR165), .VAR221 (VAR221), .VAR147 (VAR147), .VAR135 (VAR135), .VAR287 (VAR287), .VAR164 (VAR164), .VAR90 (VAR90), .VAR300 (VAR300), .VAR154 (VAR154), .VAR86 (VAR86), .VAR43 (VAR43), .VAR146 (VAR146), .VAR280 (VAR280), .VAR126 (VAR126), .VAR181 (VAR181), .VAR46 (VAR46), .VAR32 (VAR32), .VAR226 (VAR212), .VAR48 (VAR14), .VAR246 (VAR246), .VAR80 (VAR80), .VAR172 (VAR172), .VAR189 (VAR189), .VAR333 (VAR333), .VAR41 (VAR41), .VAR329 (VAR329), .VAR3 (VAR3), .VAR97 (VAR97), .VAR114 (VAR114), .VAR178 (VAR178), .VAR85 (VAR85), .VAR81 (VAR81), .VAR301 (VAR301), .VAR193 (VAR193), .VAR286 (VAR286), .VAR61 (VAR61), .VAR325 (VAR325), .VAR314 (VAR314), .VAR264 (VAR264), .VAR283 (VAR283), .VAR261 (VAR261), .VAR222 (VAR222), .VAR334 (VAR334), .VAR232 (VAR232), .VAR54 (VAR54), .VAR209 (VAR209), .VAR157 (VAR157), .VAR320 (VAR320), .VAR138 (VAR138), .VAR205 (VAR205), .VAR220 (VAR220), .VAR8 (VAR8), .VAR316 (VAR316), .VAR115 (VAR115), .VAR101 (VAR101), .clk (clk), .rst (rst), .VAR319 (VAR319), .VAR174 (VAR174), .VAR36 (VAR36), .VAR272 (VAR272), .VAR145 (VAR145), .VAR122 (VAR122), .VAR68 (VAR68), .VAR253 (VAR253), .VAR95 (VAR95), .VAR308 (VAR308) ,.VAR302 (VAR302) ,.VAR254 (VAR254) ,.VAR69 (VAR69) ,.VAR111 (VAR111) ,.VAR228 (VAR228) ,.VAR298 (VAR298) ,.VAR285 (VAR285) ,.VAR225 (VAR225) ,.VAR227 (VAR227) ,.VAR93 (VAR93) ,.VAR151 (VAR151) ,.VAR139 (VAR139) ,.VAR53 (VAR53) ,.VAR65 (VAR65) ,.VAR45 (VAR45) ,.VAR297 (VAR297) ,.VAR125 (VAR125) ,.VAR327 (VAR327) ,.VAR100 (VAR100) ,.VAR27 (VAR27) ,.VAR296 (VAR296) ,.VAR292 (VAR292) ,.VAR335 (VAR335) ,.VAR271 (VAR271) ,.VAR191 (VAR191) ,.VAR12 (VAR12) ,.VAR140 (VAR140) ,.VAR321 (VAR321) ,.VAR130 (VAR130) ,.VAR306 (VAR306) ,.VAR52 (VAR52) ,.VAR281 (VAR281) ,.VAR331 (VAR331) ,.VAR206 (VAR206) ,.VAR289 (VAR289) ,.VAR183 (VAR183) ,.VAR242 (VAR242) ); endmodule
mit
Monash-2015-Ultrasonic/Logs
Final System Code/SYSTEMV3/Source/IP/COUNTER/COUNTER.v
4,425
module MODULE1 ( VAR9, VAR3, VAR20, VAR23); input VAR9; input VAR3; input VAR20; output [13:0] VAR23; wire [13:0] VAR1; wire [13:0] VAR23 = VAR1[13:0]; VAR10 VAR21 ( .VAR9 (VAR9), .VAR3 (VAR3), .VAR20 (VAR20), .VAR23 (VAR1), .VAR25 (1'b0), .VAR22 (1'b0), .VAR5 (1'b1), .VAR11 (1'b1), .VAR24 (), .VAR2 ({14{1'b0}}), .VAR8 (), .VAR16 (1'b0), .VAR18 (1'b0), .VAR13 (1'b0), .VAR14 (1'b1)); VAR21.VAR17 = "VAR12", VAR21.VAR15 = "VAR7", VAR21.VAR19 = "VAR4", VAR21.VAR6 = 14; endmodule
gpl-2.0
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/ip/Erosion/acl_fp_ln1px_double_s5.v
1,326
module MODULE1 ( enable, VAR1, VAR2, VAR9, VAR5); input enable, VAR1; input VAR2; input [63:0] VAR9; output [63:0] VAR5; wire [63:0] VAR3; wire [63:0] VAR5 = VAR3[63:0]; VAR4 VAR10 ( .clk(VAR2), .VAR8(1'b0), .en(enable), .VAR6(VAR9), .VAR7(VAR3)); endmodule
mit
ShepardSiegel/ocpi
coregen/dram_k7_mig12/mig_7series_v1_2/user_design/rtl/phy/phy_wrcal.v
40,300
module MODULE1 # ( parameter VAR29 = 100, parameter VAR22 = 2, parameter VAR114 = 64, parameter VAR15 = 3, parameter VAR34 = 8, parameter VAR65 = 8, parameter VAR18 = "VAR12" ) ( input clk, input rst, input VAR101, input VAR93, input VAR78, input VAR58, output VAR72, output reg VAR74, output reg VAR17, output reg VAR125, input VAR75, input [2*VAR22*VAR114-1:0] VAR132, input [3*VAR34-1:0] VAR4, input [6*VAR34-1:0] VAR3, output reg VAR137, output reg VAR99, output reg VAR88, output reg VAR153, output VAR152, output reg [VAR15:0] VAR9, output [99:0] VAR33 ); localparam VAR39 = 8; localparam VAR53 = (VAR22 == 4) ? 1 : 2; localparam VAR11 = 12; localparam VAR129 = 5'h0; localparam VAR20 = 5'h1; localparam VAR92 = 5'h2; localparam VAR23 = 5'h3; localparam VAR121 = 5'h4; localparam VAR118 = 5'h5; localparam VAR6 = 5'h6; localparam VAR138 = 5'h7; localparam VAR103 = 5'h8; localparam VAR105 = 5'h9; localparam VAR96 = 5'hA; localparam VAR64 = 5'hB; localparam VAR108 = 5'hC; localparam VAR81 = 5'hD; localparam VAR73 = 5'hE; localparam VAR47 = 5'hF; localparam VAR55 = 5'h10; integer VAR116; reg [3*VAR34-1:0] VAR95; reg [6*VAR34-1:0] VAR123; reg [VAR15:0] VAR21; reg [4:0] VAR80; reg [3:0] VAR141; reg [2:0] VAR40; reg VAR31; reg VAR59; reg VAR136; reg VAR8; reg VAR71; reg [4:0] VAR126; reg [3*VAR34-1:0] VAR87; reg [6*VAR34-1:0] VAR68; reg [2:0] VAR61; reg VAR25; reg VAR109; reg VAR120; reg VAR70; reg VAR146; reg VAR49; reg [5:0] VAR102; reg [5:0] VAR97; reg VAR63; reg VAR14; reg VAR83; reg VAR131; reg [3:0] VAR144; reg [VAR65-1:0] VAR100; reg [VAR65-1:0] VAR44; reg [VAR65-1:0] VAR128; reg [VAR65-1:0] VAR150; reg [VAR65-1:0] VAR50; reg [VAR65-1:0] VAR30; reg [VAR65-1:0] VAR66; reg [VAR65-1:0] VAR38; reg VAR32; reg VAR82; wire [VAR53-1:0] VAR117 [3:0]; wire [VAR53-1:0] VAR107 [3:0]; wire [VAR53-1:0] VAR69 [3:0]; wire [VAR53-1:0] VAR16 [3:0]; reg [VAR65-1:0] VAR135; reg VAR127; reg [VAR65-1:0] VAR62; reg VAR111; reg [VAR65-1:0] VAR112; reg VAR57; reg [VAR65-1:0] VAR10; reg VAR13; reg [VAR65-1:0] VAR89; reg VAR45; reg [VAR65-1:0] VAR134; reg VAR94; reg [VAR65-1:0] VAR148; reg VAR36; reg [VAR65-1:0] VAR27; reg VAR140; wire [VAR53-1:0] VAR28 [3:0]; wire [VAR53-1:0] VAR98 [3:0]; wire [VAR53-1:0] VAR149 [3:0]; wire [VAR53-1:0] VAR145 [3:0]; wire [VAR114-1:0] VAR76; wire [VAR114-1:0] VAR79; wire [VAR114-1:0] VAR133; wire [VAR114-1:0] VAR56; wire [VAR114-1:0] VAR26; wire [VAR114-1:0] VAR85; wire [VAR114-1:0] VAR60; wire [VAR114-1:0] VAR54; reg [VAR15:0] VAR19; reg VAR143; reg VAR67; reg VAR130; reg VAR91; reg VAR90; reg VAR147; reg VAR24; reg VAR5; reg [VAR53-1:0] VAR84 [VAR65-1:0]; reg [VAR53-1:0] VAR51 [VAR65-1:0]; reg [VAR53-1:0] VAR104 [VAR65-1:0]; reg [VAR53-1:0] VAR43 [VAR65-1:0]; reg [VAR53-1:0] VAR37 [VAR65-1:0]; reg [VAR53-1:0] VAR151 [VAR65-1:0]; reg [VAR53-1:0] VAR86 [VAR65-1:0]; reg [VAR53-1:0] VAR2 [VAR65-1:0]; assign VAR33[0] = VAR32; assign VAR33[5:1] = VAR126[4:0]; assign VAR33[6] = VAR101; assign VAR33[7] = VAR74; assign VAR33[8] = VAR82; assign VAR33[13+:(VAR15+1)]= VAR21; assign VAR33[18+:3*VAR34] = VAR95; assign VAR33[45+:6*VAR34] = VAR123; assign VAR72 = VAR109; always @(posedge clk) generate if (VAR22 == 4) begin: VAR46 assign VAR76 = VAR132[VAR114-1:0]; assign VAR79 = VAR132[2*VAR114-1:VAR114]; assign VAR133 = VAR132[3*VAR114-1:2*VAR114]; assign VAR56 = VAR132[4*VAR114-1:3*VAR114]; assign VAR26 = VAR132[5*VAR114-1:4*VAR114]; assign VAR85 = VAR132[6*VAR114-1:5*VAR114]; assign VAR60 = VAR132[7*VAR114-1:6*VAR114]; assign VAR54 = VAR132[8*VAR114-1:7*VAR114]; end else if (VAR22 == 2) begin: VAR119 assign VAR76 = VAR132[VAR114-1:0]; assign VAR79 = VAR132[2*VAR114-1:VAR114]; assign VAR133 = VAR132[3*VAR114-1:2*VAR114]; assign VAR56 = VAR132[4*VAR114-1:3*VAR114]; end endgenerate always @(posedge clk) begin if (rst) begin end else if (VAR31 && ~VAR59) begin for (VAR116 = 0; VAR116 < VAR34; VAR116 = VAR116 + 1) begin (VAR87[3*VAR116+:3] + VAR4[3*VAR116+:3]); (VAR68[6*VAR116+:6] + VAR3[6*VAR116+:6]); end end end always @(posedge clk) begin end generate genvar VAR48; if (VAR22 == 4) begin: VAR42 for (VAR48 = 0; VAR48 < VAR65; VAR48 = VAR48 + 1) begin: VAR52 always @(posedge clk) begin VAR48]; VAR48]; VAR48]; VAR48]; VAR48]; VAR48]; VAR48]; VAR48]; end end end else if (VAR22 == 2) begin: VAR7 for (VAR48 = 0; VAR48 < VAR65; VAR48 = VAR48 + 1) begin: VAR52 always @(posedge clk) begin VAR48]; VAR48]; VAR48]; VAR48]; end end end endgenerate always @(posedge clk) if (rst) end else generate genvar VAR106; if (VAR22 == 4) begin: VAR122 for (VAR106 = 0; VAR106 < VAR65; VAR106 = VAR106 + 1) begin: VAR124 always @(posedge clk) begin end end end else if (VAR22 == 2) begin: VAR142 for (VAR106 = 0; VAR106 < VAR65; VAR106 = VAR106 + 1) begin: VAR124 always @(posedge clk) begin VAR128[VAR106]}; VAR100[VAR106]}; VAR150[VAR106]}; VAR44[VAR106]}; end end end endgenerate always @(posedge clk) if (VAR101 && (VAR126 == VAR20)) begin end generate if (VAR22 == 4) begin: VAR77 assign VAR28[3] = 1'b1; assign VAR117[3] = 1'b0; assign VAR98[3] = 1'b1; assign VAR107[3] = 1'b0; assign VAR149[3] = 1'b0; assign VAR69[3] = 1'b1; assign VAR145[3] = 1'b1; assign VAR16[3] = 1'b0; assign VAR28[2] = 1'b1; assign VAR117[2] = 1'b0; assign VAR98[2] = 1'b0; assign VAR107[2] = 1'b1; assign VAR149[2] = 1'b1; assign VAR69[2] = 1'b0; assign VAR145[2] = 1'b0; assign VAR16[2] = 1'b1; assign VAR28[1] = 1'b1; assign VAR117[1] = 1'b0; assign VAR98[1] = 1'b1; assign VAR107[1] = 1'b0; assign VAR149[1] = 1'b0; assign VAR69[1] = 1'b1; assign VAR145[1] = 1'b0; assign VAR16[1] = 1'b1; assign VAR28[0] = 1'b1; assign VAR117[0] = 1'b0; assign VAR98[0] = 1'b0; assign VAR107[0] = 1'b1; assign VAR149[0] = 1'b1; assign VAR69[0] = 1'b0; assign VAR145[0] = 1'b1; assign VAR16[0] = 1'b0; end else if (VAR22 == 2) begin: VAR35 assign VAR28[3] = 2'b10; assign VAR117[3] = 2'b01; assign VAR98[3] = 2'b11; assign VAR107[3] = 2'b00; assign VAR28[2] = 2'b11; assign VAR117[2] = 2'b00; assign VAR98[2] = 2'b00; assign VAR107[2] = 2'b11; assign VAR28[1] = 2'b10; assign VAR117[1] = 2'b01; assign VAR98[1] = 2'b10; assign VAR107[1] = 2'b01; assign VAR28[0] = 2'b11; assign VAR117[0] = 2'b00; assign VAR98[0] = 2'b01; assign VAR107[0] = 2'b10; end endgenerate generate genvar VAR115; if (VAR22 == 4) begin: VAR110 for (VAR115 = 0; VAR115 < VAR65; VAR115 = VAR115 + 1) begin: VAR41 always @(posedge clk) begin if (VAR104[VAR115] == VAR28[VAR115%4]) end else if (VAR84[VAR115] == VAR117[VAR115%4]) end else if (VAR43[VAR115] == VAR98[VAR115%4]) end else if (VAR51[VAR115] == VAR107[VAR115%4]) end else if (VAR86[VAR115] == VAR149[VAR115%4]) end else if (VAR37[VAR115] == VAR69[VAR115%4]) end else if (VAR2[VAR115] == VAR145[VAR115%4]) end else if (VAR151[VAR115] == VAR16[VAR115%4]) else end always @(posedge clk) begin VAR127 && VAR94 && VAR111 && VAR36 && VAR57 && VAR140 && VAR13); end end end else if (VAR22 == 2) begin: VAR139 for (VAR115 = 0; VAR115 < VAR65; VAR115 = VAR115 + 1) begin: VAR41 always @(posedge clk) begin if (VAR104[VAR115] == VAR28[VAR115%4]) end else if (VAR84[VAR115] == VAR117[VAR115%4]) end else if (VAR43[VAR115] == VAR98[VAR115%4]) end else if (VAR51[VAR115] == VAR107[VAR115%4]) else end always @(posedge clk) begin VAR127 && VAR94 && VAR111); end end end endgenerate always @(posedge clk) if (rst || ((VAR126 == VAR20) && (VAR144 == 'b1))) else if (VAR143) else if (VAR144 > 'b1) always @(posedge clk) if (rst || (VAR144 == 'b1)) else if (VAR126 != VAR20) always @(posedge clk) begin end assign VAR152 = VAR131; always @(posedge clk) begin if (rst) begin end else if (VAR126 == VAR23) begin end else if (VAR126 == VAR81) begin end else begin end end always @(posedge clk) begin if (rst) begin end else if (VAR126 == VAR118) begin end else if (VAR126 == VAR47) begin end else begin end end always @(posedge clk) begin end always @(posedge clk) begin if (rst) end else if (VAR126 == VAR121) end else end always @(posedge clk) begin if (rst) end else if ((VAR126 == VAR20) && VAR93) end else end always @(posedge clk) begin if (rst) end else if (VAR109) end always @(posedge clk) begin if (rst || ~VAR78) end else if (VAR109) end always @(posedge clk) begin if (rst) begin end else begin case (VAR126) VAR129: begin if (VAR101) begin if (VAR18 == "VAR1") end else end end VAR20: begin if (VAR82) begin if (VAR32) end else begin if (VAR87[3*VAR21+:3] == 'd0) end else end end else if (VAR80 == 'd31) end VAR23: begin VAR87[3*VAR21+:3] <= end VAR121: begin if (VAR40 == 'd4) begin if (VAR87[3*VAR21+:3] == 'd3) begin if (VAR4[3*VAR21+:3] > 'd0) begin end else begin end end else begin end end end VAR118: begin end VAR6: begin if (VAR102 == 'd0) begin end else begin end end VAR138: begin if (VAR75) if (((VAR34 == 1) || (VAR18 == "VAR113")) || (VAR21 == VAR34-1)) begin end else begin end end VAR103: begin end VAR105: begin if (VAR141 == 'd3) begin end else begin end end VAR96: begin end VAR64: begin if (VAR78 && ~(VAR25 || VAR109)) begin end end VAR108: begin if (VAR87[3*VAR21+:3] == 3'd0) end else end VAR81: begin end VAR73: begin if (VAR61 == 'd0) begin end else end VAR47: begin end VAR55: begin if ((VAR97 == 'd0) && (VAR21 == 'd0)) end else if ((VAR97 == 'd0) && (VAR21 > 'd0)) begin end else end endcase end end always @(posedge clk) if (rst) begin end else begin end always @(posedge clk) if (rst) end else if (VAR31) endmodule
lgpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/srams/rtl/bw_r_scm.v
12,878
module MODULE1 ( VAR50, VAR7, VAR30, VAR57, VAR46, VAR24, VAR2, VAR47, VAR55, VAR19, VAR23, VAR33, VAR21, VAR48, VAR35, VAR37, VAR12, VAR32, VAR51, VAR31 ) ; parameter VAR27 = 32 ; input [44:15] VAR2 ; input [44:15] VAR47 ; input [14:0] VAR55 ; input VAR19 ; input VAR23 ; input [1:0] VAR33 ; input [7:0] VAR21; input [2:0] VAR48 ; input VAR35 ;input VAR37 ;input [1:0] VAR12 ; input VAR32 ; input VAR51 ; input VAR31 ; output [44:0] VAR50 ; output [7:0] VAR7 ; output [7:0] VAR30 ; output [2:0] VAR57 ; output VAR46 ; output VAR24 ; reg [44:0] VAR50 ; reg [31:0] VAR44 ; reg [44:0] VAR9 [VAR27-1:0] ; reg [44:0] VAR56 ; reg [36:0] VAR6 ; reg [31:0] VAR25 ; reg [7:0] VAR52 ; reg [31:0] VAR28 ; reg [31:0] VAR34 ; reg [31:0] VAR10 ; reg [31:0] VAR58 ; reg [44:15] VAR15 ; reg [44:15] VAR17 ; reg [14:0] VAR18 ; reg VAR4 ; reg VAR26 ; reg [1:0] VAR1 ; reg [1:0] VAR5 ; reg VAR29 ; wire VAR40 ; wire VAR49 ; wire [7:0] VAR13 ; wire [7:0] VAR36 ; wire [7:0] VAR41 ; wire [7:0] VAR20 ; wire [7:0] VAR16 ; wire [7:0] VAR8 ; wire [7:0] VAR53 ; wire [44:0] VAR38 ; wire [44:0] VAR3 ; wire [44:15] VAR11 ; reg [4:0] VAR43; integer VAR39,VAR14,VAR22,VAR45 ; wire VAR42 ; assign VAR42 = 1'b0 ; assign VAR13[7:0] = VAR21[7:0]; always @ (posedge VAR51) begin for (VAR39=0;VAR39<32;VAR39=VAR39+1) begin if ({VAR12[1:0],VAR48[2:0]} == VAR39) VAR44[VAR39] <= 1'b1; end else VAR44[VAR39] <= 1'b0; end end always @(posedge VAR51) begin VAR17[44:15] <= VAR2[44:15]; VAR15[44:15] <= VAR47[44:15]; VAR18[14:0] <= VAR55[14:0]; VAR4 <= VAR35 ; VAR26 <= VAR37 ; VAR1[1:0] <= VAR33[1:0] ; VAR29 <= VAR19 ; VAR43 <= {VAR12[1:0],VAR48[2:0]}; end assign VAR49 = VAR32 ; assign VAR40 = VAR26 | VAR31 ; assign VAR11[44:15] = VAR29 ? VAR15[44:15] : VAR17[44:15] ; assign VAR38[44:0] = {VAR11[44:15],VAR18[14:0]}; always @ (negedge VAR51) begin if(VAR4) begin if(~VAR31) begin VAR9[VAR43] <= VAR38[44:0]; VAR50[44:0] <= VAR38[44:0]; end else begin VAR50[44:0] <= VAR9[VAR43]; end end for (VAR14=0;VAR14<VAR27;VAR14=VAR14+1) begin if (VAR44[VAR14] & VAR4) begin if (~VAR31) begin VAR9[VAR14] <= VAR38[44:0]; VAR50[44:0] <= VAR38[44:0]; end else begin VAR50[44:0] <= VAR9[VAR14]; end end end if(VAR40 & ~VAR42) begin if (VAR40 & VAR4 & ~VAR31) begin VAR50[44:0] <= VAR38[44:0]; end else begin VAR50[44:0] <= VAR9[VAR43]; end end for (VAR22=0;VAR22<VAR27;VAR22=VAR22+1) begin if (VAR44[VAR22] & VAR40 & ~VAR42) begin if (VAR40 & VAR4 & ~VAR31) VAR50[44:0] <= VAR38[44:0]; end else VAR50[44:0] <= VAR9[VAR22]; end end end assign VAR3[44:0] = {VAR2[44:15],VAR55[14:0]}; always @ (posedge VAR51) begin for (VAR45=0;VAR45<VAR27;VAR45=VAR45+1) begin VAR56[44:0] = VAR9[VAR45] ; VAR6[36:0] = VAR56[44:8] ; VAR52[7:0] = VAR56[7:0] ; VAR25[VAR45] = (VAR6[36:1] == VAR3[44:9]) & (((VAR6[0] == VAR3[8]) & ~VAR49) | VAR49) & VAR23 & ~VAR42 ; VAR28[VAR45] = |(VAR52[7:0] & VAR3[7:0]) & VAR23 & ~VAR42 ; VAR34[VAR45] = |(~VAR52[7:0] & VAR3[7:0]) & VAR23 & ~VAR42 ; end end assign VAR16[7:0] = (VAR1[1:0] == 2'b00) ? VAR34[7:0] : (VAR1[1:0] == 2'b01) ? VAR34[15:8] : (VAR1[1:0] == 2'b10) ? VAR34[23:16] : (VAR1[1:0] == 2'b11) ? VAR34[31:24] : 8'VAR54 ; assign VAR8[7:0] = (VAR1[1:0] == 2'b00) ? VAR28[7:0] : (VAR1[1:0] == 2'b01) ? VAR28[15:8] : (VAR1[1:0] == 2'b10) ? VAR28[23:16] : (VAR1[1:0] == 2'b11) ? VAR28[31:24] : 8'VAR54 ; assign VAR20[7:0] = (VAR1[1:0] == 2'b00) ? VAR25[7:0] : (VAR1[1:0] == 2'b01) ? VAR25[15:8] : (VAR1[1:0] == 2'b10) ? VAR25[23:16] : (VAR1[1:0] == 2'b11) ? VAR25[31:24] : 8'VAR54 ; assign VAR7[7:0] = VAR20[7:0] & VAR8[7:0] & ~VAR16[7:0] & ~VAR13[7:0] ; assign VAR30[7:0] = VAR20[7:0] & VAR8[7:0] & VAR16[7:0] & ~VAR13[7:0] ; assign VAR53[7:0] = VAR20[7:0] & VAR8[7:0] & ~VAR13[7:0] ; assign VAR46 = |(VAR53[7:0]); assign VAR57[0] = VAR53[1] | VAR53[3] | VAR53[5] | VAR53[7] ; assign VAR57[1] = VAR53[2] | VAR53[3] | VAR53[6] | VAR53[7] ; assign VAR57[2] = VAR53[4] | VAR53[5] | VAR53[6] | VAR53[7] ; assign VAR24 = (VAR53[0] & VAR53[1]) | (VAR53[2] & VAR53[3]) | (VAR53[4] & VAR53[5]) | (VAR53[6] & VAR53[7]) | ((VAR53[0] | VAR53[1]) & (VAR53[2] | VAR53[3])) | ((VAR53[4] | VAR53[5]) & (VAR53[6] | VAR53[7])) | ((|VAR53[3:0]) & (|VAR53[7:4])); endmodule
gpl-2.0
cpulabs/gci-std-display
rtl/gci_std_display.v
6,478
module MODULE1( input wire VAR64, input wire VAR21, input wire VAR40, output wire VAR24, input wire VAR9, input wire [31:0] VAR19, input wire [31:0] VAR52, output wire VAR46, input wire VAR2, output wire [31:0] VAR45, output wire VAR44, input wire VAR1, output wire [23:0] VAR59, input wire VAR47, input wire VAR38, output wire VAR10, output wire VAR39, output wire VAR50, output wire VAR35, output wire VAR22, output wire [19:0] VAR58, inout wire [15:0] VAR16, output wire VAR55, output wire VAR26, output wire VAR41, output wire VAR5, output wire VAR25, output wire VAR23, output wire VAR62, output wire [3:0] VAR57, output wire VAR61, output wire VAR33, output wire VAR11, output wire [18:0] VAR4, inout wire [31:0] VAR51, inout wire [3:0] VAR28, output wire VAR8, output wire VAR54, output wire VAR30, output wire VAR29, output wire VAR48, output wire VAR18, output wire [9:0] VAR34, output wire [9:0] VAR53, output wire [9:0] VAR20 ); wire VAR3; wire VAR13; wire VAR32; wire [31:0] VAR65; reg VAR27; reg [31:0] VAR60; assign VAR13 = VAR40 && (VAR19 < 32'h00000400) && !VAR3; assign VAR32 = VAR40 && (VAR19 >= 32'h00000400) && !VAR3; VAR7 #(32'h001383FC + 32'h4, 32'h00000000, 32'h00000002) VAR36( .VAR64(VAR64), .VAR21(VAR21), .VAR42(VAR13), .VAR31(VAR9), .VAR14(VAR19[9:2]), .VAR49(VAR52), .VAR6(VAR65) ); VAR43 VAR56( .VAR64(VAR64), .VAR21(VAR21), .VAR15(VAR38), .VAR37(VAR32), .VAR12(VAR3), .VAR63({2'h0, VAR19[31:2]}), .VAR17(VAR52), .VAR10(VAR10), .VAR39(VAR39), .VAR50(VAR50), .VAR35(VAR35), .VAR22(VAR22), .VAR58(VAR58), .VAR16(VAR16), .VAR55(VAR55), .VAR26(VAR26), .VAR41(VAR41), .VAR5(VAR5), .VAR25(VAR25), .VAR23(VAR23), .VAR62(VAR62), .VAR57(VAR57), .VAR61(VAR61), .VAR33(VAR33), .VAR11(VAR11), .VAR4(VAR4), .VAR51(VAR51), .VAR28(VAR28), .VAR8(VAR8), .VAR54(VAR54), .VAR30(VAR30), .VAR29(VAR29), .VAR48(VAR48), .VAR18(VAR18), .VAR34(VAR34), .VAR53(VAR53), .VAR20(VAR20) ); always@(posedge VAR64 or negedge VAR21)begin if(!VAR21)begin VAR27 <= 1'b0; VAR60 <= {32{1'b0}}; end else begin VAR27 <= (VAR13 || VAR32); VAR60 <= (VAR13)? VAR65 : {32{1'b0}}; end end assign VAR24 = VAR3; assign VAR46 = VAR27; assign VAR45 = VAR60; assign VAR44 = 1'b0; assign VAR59 = {24{1'b0}}; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/fill/sky130_fd_sc_lp__fill.blackbox.v
1,166
module MODULE1 (); supply1 VAR4; supply0 VAR2; supply1 VAR3 ; supply0 VAR1 ; endmodule
apache-2.0
ChrisPVille/RL02
FPGA/ipcore_dir/commandAndWriteFIFO.v
13,736
module MODULE1( clk, VAR171, din, VAR277, VAR1, dout, VAR417, VAR382, VAR241 ); input clk; input VAR171; input [15 : 0] din; input VAR277; input VAR1; output [15 : 0] dout; output VAR417; output VAR382; output VAR241; VAR223 #( .VAR342(0), .VAR100(0), .VAR378(0), .VAR59(0), .VAR299(0), .VAR228(0), .VAR278(0), .VAR183(32), .VAR184(1), .VAR40(1), .VAR388(1), .VAR77(64), .VAR150(4), .VAR384(1), .VAR346(0), .VAR69(1), .VAR265(64), .VAR239(4), .VAR379(8), .VAR280(4), .VAR395(4), .VAR362(4), .VAR154(0), .VAR268(1), .VAR75(0), .VAR303(10), .VAR276("VAR101"), .VAR331(16), .VAR169(1), .VAR260(32), .VAR132(64), .VAR377(32), .VAR16(64), .VAR389(2), .VAR372("0"), .VAR399(16), .VAR83(0), .VAR213(1), .VAR207(0), .VAR107(0), .VAR307(0), .VAR74(0), .VAR190(0), .VAR314(0), .VAR326(0), .VAR325("VAR54"), .VAR287(0), .VAR217(0), .VAR145(0), .VAR237(0), .VAR403(0), .VAR139(0), .VAR212(0), .VAR205(0), .VAR200(0), .VAR149(0), .VAR57(0), .VAR285(0), .VAR197(0), .VAR375(0), .VAR153(0), .VAR356(1), .VAR368(0), .VAR36(0), .VAR313(0), .VAR170(0), .VAR91(0), .VAR386(0), .VAR367(0), .VAR354(0), .VAR255(0), .VAR15(0), .VAR406(0), .VAR297(0), .VAR133(0), .VAR188(0), .VAR229(0), .VAR4(0), .VAR58(0), .VAR227(0), .VAR92(0), .VAR94(0), .VAR300(0), .VAR157(0), .VAR275(0), .VAR5(0), .VAR98(1), .VAR60(0), .VAR408(0), .VAR226(0), .VAR20(0), .VAR68(0), .VAR113(0), .VAR349(1), .VAR267(1), .VAR359(1), .VAR167(1), .VAR30(1), .VAR141(1), .VAR345(0), .VAR425(0), .VAR259(1), .VAR355("VAR101"), .VAR284(1), .VAR339(0), .VAR123(0), .VAR11(0), .VAR147(1), .VAR163("512x36"), .VAR296(4), .VAR43(1022), .VAR165(1022), .VAR235(1022), .VAR264(1022), .VAR311(1022), .VAR128(1022), .VAR293(5), .VAR13(0), .VAR364(0), .VAR181(0), .VAR341(0), .VAR127(0), .VAR392(0), .VAR360(0), .VAR26(133), .VAR194(1023), .VAR148(1023), .VAR416(1023), .VAR224(1023), .VAR413(1023), .VAR8(1023), .VAR412(132), .VAR318(1), .VAR232(0), .VAR88(0), .VAR363(0), .VAR196(0), .VAR244(0), .VAR351(0), .VAR144(0), .VAR56(10), .VAR3(512), .VAR305(1), .VAR25(9), .VAR248(0), .VAR242(0), .VAR282(0), .VAR365(0), .VAR6(0), .VAR199(0), .VAR114(0), .VAR426(2), .VAR369(0), .VAR106(0), .VAR187(0), .VAR9(0), .VAR166(1), .VAR176(0), .VAR317(0), .VAR340(0), .VAR39(0), .VAR269(0), .VAR138(0), .VAR137(0), .VAR415(0), .VAR270(0), .VAR301(1), .VAR204(0), .VAR322(0), .VAR324(0), .VAR96(0), .VAR398(10), .VAR66(512), .VAR424(1024), .VAR257(16), .VAR344(1024), .VAR214(16), .VAR118(1024), .VAR32(16), .VAR110(1), .VAR29(9), .VAR174(10), .VAR151(4), .VAR53(10), .VAR380(4), .VAR152(10), .VAR410(4), .VAR65(1), .VAR42(0) ) VAR263 ( .VAR80(clk), .VAR81(VAR171), .VAR366(din), .VAR10(VAR277), .VAR2(VAR1), .VAR251(dout), .VAR122(VAR417), .VAR238(VAR382), .VAR111(VAR241), .VAR48(), .VAR357(), .VAR192(), .VAR283(), .VAR142(), .VAR126(), .VAR411(), .VAR49(), .VAR175(), .VAR89(), .VAR51(), .VAR120(), .VAR177(), .VAR215(), .VAR62(), .VAR198(), .VAR315(), .VAR273(), .VAR328(), .VAR105(), .VAR117(), .VAR134(), .VAR387(), .VAR84(), .VAR87(), .VAR402(), .VAR73(), .VAR161(), .VAR327(), .VAR99(), .VAR295(), .VAR52(), .VAR31(), .VAR103(), .VAR17(), .VAR343(), .VAR131(), .VAR371(), .VAR348(), .VAR252(), .VAR61(), .VAR108(), .VAR422(), .VAR76(), .VAR179(), .VAR206(), .VAR136(), .VAR112(), .VAR245(), .VAR261(), .VAR246(), .VAR162(), .VAR12(), .VAR373(), .VAR409(), .VAR423(), .VAR347(), .VAR50(), .VAR130(), .VAR418(), .VAR219(), .VAR158(), .VAR376(), .VAR210(), .VAR23(), .VAR41(), .VAR168(), .VAR279(), .VAR156(), .VAR291(), .VAR209(), .VAR7(), .VAR46(), .VAR310(), .VAR236(), .VAR234(), .VAR78(), .VAR191(), .VAR290(), .VAR419(), .VAR172(), .VAR178(), .VAR129(), .VAR55(), .VAR160(), .VAR353(), .VAR28(), .VAR193(), .VAR37(), .VAR220(), .VAR22(), .VAR319(), .VAR79(), .VAR185(), .VAR312(), .VAR19(), .VAR189(), .VAR256(), .VAR125(), .VAR337(), .VAR221(), .VAR334(), .VAR124(), .VAR286(), .VAR140(), .VAR309(), .VAR308(), .VAR180(), .VAR338(), .VAR233(), .VAR211(), .VAR186(), .VAR304(), .VAR294(), .VAR35(), .VAR109(), .VAR323(), .VAR155(), .VAR90(), .VAR47(), .VAR102(), .VAR203(), .VAR350(), .VAR67(), .VAR407(), .VAR383(), .VAR70(), .VAR146(), .VAR97(), .VAR34(), .VAR231(), .VAR405(), .VAR258(), .VAR397(), .VAR274(), .VAR361(), .VAR85(), .VAR370(), .VAR320(), .VAR396(), .VAR332(), .VAR222(), .VAR262(), .VAR374(), .VAR21(), .VAR33(), .VAR202(), .VAR288(), .VAR201(), .VAR289(), .VAR159(), .VAR135(), .VAR14(), .VAR116(), .VAR336(), .VAR38(), .VAR390(), .VAR298(), .VAR391(), .VAR115(), .VAR143(), .VAR427(), .VAR306(), .VAR24(), .VAR27(), .VAR321(), .VAR400(), .VAR45(), .VAR71(), .VAR121(), .VAR316(), .VAR230(), .VAR394(), .VAR281(), .VAR352(), .VAR381(), .VAR254(), .VAR173(), .VAR420(), .VAR119(), .VAR72(), .VAR218(), .VAR271(), .VAR216(), .VAR44(), .VAR253(), .VAR195(), .VAR401(), .VAR64(), .VAR82(), .VAR421(), .VAR208(), .VAR247(), .VAR302(), .VAR292(), .VAR335(), .VAR63(), .VAR86(), .VAR250(), .VAR266(), .VAR243(), .VAR164(), .VAR414(), .VAR93(), .VAR358(), .VAR240(), .VAR393(), .VAR330(), .VAR18(), .VAR385(), .VAR404(), .VAR225(), .VAR329(), .VAR272(), .VAR333(), .VAR249(), .VAR104(), .VAR95(), .VAR182() ); endmodule
gpl-3.0
AnAtomInTheUniverse/578_project_col_panic
final_verilog/src/clib/c_gate_bits.v
2,475
module MODULE1 (select, VAR3, VAR5); parameter VAR4 = 1; parameter VAR7 = 32; parameter VAR6 = VAR8; input [0:VAR4-1] select; input [0:VAR4*VAR7-1] VAR3; output [0:VAR4*VAR7-1] VAR5; wire [0:VAR4*VAR7-1] VAR5; generate genvar VAR9; for(VAR9 = 0; VAR9 < VAR4; VAR9 = VAR9 + 1) begin:VAR10 VAR1 .VAR7(VAR7), .VAR6(VAR6)) VAR2 (.VAR3({{VAR7{select[VAR9]}}, VAR3[VAR9*VAR7:(VAR9+1)*VAR7-1]}), .VAR5(VAR5[VAR9*VAR7:(VAR9+1)*VAR7-1])); end endgenerate endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a22o/sky130_fd_sc_lp__a22o.functional.v
1,511
module MODULE1 ( VAR4 , VAR11, VAR10, VAR3, VAR1 ); output VAR4 ; input VAR11; input VAR10; input VAR3; input VAR1; wire VAR12 ; wire VAR5 ; wire VAR8; and VAR9 (VAR12 , VAR3, VAR1 ); and VAR7 (VAR5 , VAR11, VAR10 ); or VAR6 (VAR8, VAR5, VAR12); buf VAR2 (VAR4 , VAR8 ); endmodule
apache-2.0
cafe-alpha/wasca
fpga_firmware/wasca/synthesis/submodules/wasca_nios2_gen2_0_cpu_mult_cell.v
8,003
module MODULE1 ( VAR16, VAR25, VAR17, clk, VAR35, VAR14, VAR26, VAR41 ) ; output [ 31: 0] VAR14; output [ 31: 0] VAR26; output [ 31: 0] VAR41; input [ 31: 0] VAR16; input [ 31: 0] VAR25; input VAR17; input clk; input VAR35; wire [ 31: 0] VAR14; wire [ 31: 0] VAR26; wire [ 31: 0] VAR41; wire VAR9; wire [ 31: 0] VAR42; wire [ 31: 0] VAR33; assign VAR9 = ~VAR35; assign VAR42 = VAR16; assign VAR33 = VAR25; VAR28 VAR47 ( .VAR44 (VAR9), .VAR49 (clk), .VAR58 (VAR42[15 : 0]), .VAR5 (VAR33[15 : 0]), .VAR6 (VAR17), .VAR46 (VAR14) ); VAR47.VAR45 = "VAR3", VAR47.VAR53 = "VAR4", VAR47.VAR19 = "VAR36", VAR47.VAR1 = "VAR4", VAR47.VAR30 = "VAR4", VAR47.VAR7 = "VAR54", VAR47.VAR52 = "VAR18", VAR47.VAR13 = "VAR28", VAR47.VAR10 = "VAR22", VAR47.VAR15 = "VAR29", VAR47.VAR43 = "VAR3", VAR47.VAR57 = 1, VAR47.VAR51 = "VAR4", VAR47.VAR23 = "VAR32", VAR47.VAR38 = "VAR32", VAR47.VAR31 = "VAR27", VAR47.VAR11 = "VAR27", VAR47.VAR50 = "VAR34", VAR47.VAR8 = "VAR29", VAR47.VAR21 = "VAR29", VAR47.VAR20 = "VAR3", VAR47.VAR55 = "VAR3", VAR47.VAR48 = "VAR4", VAR47.VAR12 = "VAR4", VAR47.VAR37 = 16, VAR47.VAR24 = 16, VAR47.VAR2 = 32; VAR28 VAR56 ( .VAR44 (VAR9), .VAR49 (clk), .VAR58 (VAR42[15 : 0]), .VAR5 (VAR33[31 : 16]), .VAR6 (VAR17), .VAR46 (VAR26) ); VAR56.VAR45 = "VAR3", VAR56.VAR53 = "VAR4", VAR56.VAR19 = "VAR36", VAR56.VAR1 = "VAR4", VAR56.VAR30 = "VAR4", VAR56.VAR7 = "VAR54", VAR56.VAR52 = "VAR18", VAR56.VAR13 = "VAR28", VAR56.VAR10 = "VAR22", VAR56.VAR15 = "VAR29", VAR56.VAR43 = "VAR3", VAR56.VAR57 = 1, VAR56.VAR51 = "VAR4", VAR56.VAR23 = "VAR32", VAR56.VAR38 = "VAR32", VAR56.VAR31 = "VAR27", VAR56.VAR11 = "VAR27", VAR56.VAR50 = "VAR34", VAR56.VAR8 = "VAR29", VAR56.VAR21 = "VAR29", VAR56.VAR20 = "VAR3", VAR56.VAR55 = "VAR3", VAR56.VAR48 = "VAR4", VAR56.VAR12 = "VAR4", VAR56.VAR37 = 16, VAR56.VAR24 = 16, VAR56.VAR2 = 32; VAR28 VAR39 ( .VAR44 (VAR9), .VAR49 (clk), .VAR58 (VAR42[31 : 16]), .VAR5 (VAR33[15 : 0]), .VAR6 (VAR17), .VAR46 (VAR41) ); VAR39.VAR45 = "VAR3", VAR39.VAR53 = "VAR4", VAR39.VAR19 = "VAR36", VAR39.VAR1 = "VAR4", VAR39.VAR30 = "VAR4", VAR39.VAR7 = "VAR54", VAR39.VAR52 = "VAR18", VAR39.VAR13 = "VAR28", VAR39.VAR10 = "VAR22", VAR39.VAR15 = "VAR29", VAR39.VAR43 = "VAR3", VAR39.VAR57 = 1, VAR39.VAR51 = "VAR4", VAR39.VAR23 = "VAR32", VAR39.VAR38 = "VAR32", VAR39.VAR31 = "VAR27", VAR39.VAR11 = "VAR27", VAR39.VAR50 = "VAR34", VAR39.VAR8 = "VAR29", VAR39.VAR21 = "VAR29", VAR39.VAR20 = "VAR3", VAR39.VAR55 = "VAR3", VAR39.VAR48 = "VAR4", VAR39.VAR12 = "VAR4", VAR39.VAR37 = 16, VAR39.VAR24 = 16, VAR39.VAR2 = 32; endmodule
gpl-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/analog/bw_clk/rtl/bw_clk_gclk_inv_r90_256x.v
1,308
module MODULE1 ( VAR1, VAR2 ); output VAR1; input VAR2; assign VAR1 = ~( VAR2 ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dlygate4sd1/sky130_fd_sc_ms__dlygate4sd1_1.v
2,119
module MODULE2 ( VAR7 , VAR8 , VAR4, VAR2, VAR5 , VAR6 ); output VAR7 ; input VAR8 ; input VAR4; input VAR2; input VAR5 ; input VAR6 ; VAR1 VAR3 ( .VAR7(VAR7), .VAR8(VAR8), .VAR4(VAR4), .VAR2(VAR2), .VAR5(VAR5), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR7, VAR8 ); output VAR7; input VAR8; supply1 VAR4; supply0 VAR2; supply1 VAR5 ; supply0 VAR6 ; VAR1 VAR3 ( .VAR7(VAR7), .VAR8(VAR8) ); endmodule
apache-2.0
8l/beri
cherilibs/trunk/peripherals/i2c/i2c_master_bit_ctrl.v
21,382
module MODULE1 ( input clk, input rst, input VAR40, input VAR4, input [15:0] VAR13, input [ 3:0] VAR10, output reg VAR27, output reg VAR9, output reg VAR15, input din, output reg dout, input VAR53, output VAR6, output reg VAR17, input VAR42, output VAR37, output reg VAR26 ); reg [ 1:0] VAR47, VAR46; reg [ 2:0] VAR29, VAR50; reg VAR32, VAR34; reg VAR19, VAR8; reg VAR33; reg VAR39; reg VAR20; reg VAR3; reg [15:0] VAR25; reg [13:0] VAR18; reg [17:0] VAR28; reg VAR41; always @(posedge clk) VAR41 <= VAR20; always @(posedge clk) VAR33 <= VAR17; always @(posedge clk or negedge VAR40) if (!VAR40) VAR3 <= 1'b0; else VAR3 <= (VAR17 & ~VAR33 & ~VAR32) | (VAR3 & ~VAR32); wire VAR11 = VAR19 & ~VAR32 & VAR17; always @(posedge clk or negedge VAR40) if (~VAR40) begin VAR25 <= 16'h0; VAR20 <= 1'b1; end else if (rst || ~|VAR25 || !VAR4 || VAR11) begin VAR25 <= VAR13; VAR20 <= 1'b1; end else if (VAR3) begin VAR25 <= VAR25; VAR20 <= 1'b0; end else begin VAR25 <= VAR25 - 16'h1; VAR20 <= 1'b0; end always @(posedge clk or negedge VAR40) if (!VAR40) begin VAR47 <= 2'b00; VAR46 <= 2'b00; end else if (rst) begin VAR47 <= 2'b00; VAR46 <= 2'b00; end else begin VAR47 <= {VAR47[0],VAR53}; VAR46 <= {VAR46[0],VAR42}; end always @(posedge clk or negedge VAR40) if (!VAR40 ) VAR18 <= 14'h0; else if (rst || !VAR4 ) VAR18 <= 14'h0; else if (~|VAR18) VAR18 <= VAR13 >> 2; else VAR18 <= VAR18 -1; always @(posedge clk or negedge VAR40) if (!VAR40) begin VAR29 <= 3'b111; VAR50 <= 3'b111; end else if (rst) begin VAR29 <= 3'b111; VAR50 <= 3'b111; end else if (~|VAR18) begin VAR29 <= {VAR29[1:0],VAR47[1]}; VAR50 <= {VAR50[1:0],VAR46[1]}; end always @(posedge clk or negedge VAR40) if (~VAR40) begin VAR32 <= 1'b1; VAR34 <= 1'b1; VAR19 <= 1'b1; VAR8 <= 1'b1; end else if (rst) begin VAR32 <= 1'b1; VAR34 <= 1'b1; VAR19 <= 1'b1; VAR8 <= 1'b1; end else begin VAR32 <= &VAR29[2:1] | &VAR29[1:0] | (VAR29[2] & VAR29[0]); VAR34 <= &VAR50[2:1] | &VAR50[1:0] | (VAR50[2] & VAR50[0]); VAR19 <= VAR32; VAR8 <= VAR34; end reg VAR35; reg VAR48; always @(posedge clk or negedge VAR40) if (~VAR40) begin VAR35 <= 1'b0; VAR48 <= 1'b0; end else if (rst) begin VAR35 <= 1'b0; VAR48 <= 1'b0; end else begin VAR35 <= ~VAR34 & VAR8 & VAR32; VAR48 <= VAR34 & ~VAR8 & VAR32; end always @(posedge clk or negedge VAR40) if (!VAR40) VAR9 <= 1'b0; else if (rst ) VAR9 <= 1'b0; else VAR9 <= (VAR35 | VAR9) & ~VAR48; reg VAR45; always @(posedge clk or negedge VAR40) if (~VAR40) VAR45 <= 1'b0; else if (rst) VAR45 <= 1'b0; else if (VAR20) VAR45 <= VAR10 == VAR36; always @(posedge clk or negedge VAR40) if (~VAR40) VAR15 <= 1'b0; else if (rst) VAR15 <= 1'b0; else VAR15 <= (VAR39 & ~VAR34 & VAR26) | (|VAR28 & VAR48 & ~VAR45); always @(posedge clk) if (VAR32 & ~VAR19) dout <= VAR34; parameter [17:0] VAR7 = 18'b00000000000000000; parameter [17:0] VAR1 = 18'b00000000000000001; parameter [17:0] VAR2 = 18'b00000000000000010; parameter [17:0] VAR30 = 18'b00000000000000100; parameter [17:0] VAR5 = 18'b00000000000001000; parameter [17:0] VAR38 = 18'b00000000000010000; parameter [17:0] VAR43 = 18'b00000000000100000; parameter [17:0] VAR23 = 18'b00000000001000000; parameter [17:0] VAR51 = 18'b00000000010000000; parameter [17:0] VAR49 = 18'b00000000100000000; parameter [17:0] VAR44 = 18'b00000001000000000; parameter [17:0] VAR31 = 18'b00000010000000000; parameter [17:0] VAR12 = 18'b00000100000000000; parameter [17:0] VAR21 = 18'b00001000000000000; parameter [17:0] VAR22 = 18'b00010000000000000; parameter [17:0] VAR16 = 18'b00100000000000000; parameter [17:0] VAR24 = 18'b01000000000000000; parameter [17:0] VAR14 = 18'b10000000000000000; always @(posedge clk or negedge VAR40) if (!VAR40) begin VAR28 <= VAR7; VAR27 <= 1'b0; VAR17 <= 1'b1; VAR26 <= 1'b1; VAR39 <= 1'b0; end else if (rst | VAR15) begin VAR28 <= VAR7; VAR27 <= 1'b0; VAR17 <= 1'b1; VAR26 <= 1'b1; VAR39 <= 1'b0; end else begin VAR27 <= 1'b0; if (VAR20) case (VAR28) VAR7: begin case (VAR10) VAR52: VAR28 <= VAR1; default: VAR28 <= VAR7; endcase VAR17 <= VAR17; VAR26 <= VAR26; VAR39 <= 1'b0; end VAR1: begin VAR28 <= VAR2; VAR17 <= VAR17; VAR26 <= 1'b1; VAR39 <= 1'b0; end VAR2: begin VAR28 <= VAR30; VAR17 <= 1'b1; VAR26 <= 1'b1; VAR39 <= 1'b0; end VAR30: begin VAR28 <= VAR5; VAR17 <= 1'b1; VAR26 <= 1'b0; VAR39 <= 1'b0; end VAR5: begin VAR28 <= VAR38; VAR17 <= 1'b1; VAR26 <= 1'b0; VAR39 <= 1'b0; end VAR38: begin VAR28 <= VAR7; VAR27 <= 1'b1; VAR17 <= 1'b0; VAR26 <= 1'b0; VAR39 <= 1'b0; end VAR43: begin VAR28 <= VAR23; VAR17 <= 1'b0; VAR26 <= 1'b0; VAR39 <= 1'b0; end VAR23: begin VAR28 <= VAR51; VAR17 <= 1'b1; VAR26 <= 1'b0; VAR39 <= 1'b0; end VAR51: begin VAR28 <= VAR49; VAR17 <= 1'b1; VAR26 <= 1'b0; VAR39 <= 1'b0; end VAR49: begin VAR28 <= VAR7; VAR27 <= 1'b1; VAR17 <= 1'b1; VAR26 <= 1'b1; VAR39 <= 1'b0; end VAR44: begin VAR28 <= VAR31; VAR17 <= 1'b0; VAR26 <= 1'b1; VAR39 <= 1'b0; end VAR31: begin VAR28 <= VAR12; VAR17 <= 1'b1; VAR26 <= 1'b1; VAR39 <= 1'b0; end VAR12: begin VAR28 <= VAR21; VAR17 <= 1'b1; VAR26 <= 1'b1; VAR39 <= 1'b0; end VAR21: begin VAR28 <= VAR7; VAR27 <= 1'b1; VAR17 <= 1'b0; VAR26 <= 1'b1; VAR39 <= 1'b0; end VAR22: begin VAR28 <= VAR16; VAR17 <= 1'b0; VAR26 <= din; VAR39 <= 1'b0; end VAR16: begin VAR28 <= VAR24; VAR17 <= 1'b1; VAR26 <= din; VAR39 <= 1'b0; end VAR24: begin VAR28 <= VAR14; VAR17 <= 1'b1; VAR26 <= din; VAR39 <= 1'b1; end VAR14: begin VAR28 <= VAR7; VAR27 <= 1'b1; VAR17 <= 1'b0; VAR26 <= din; VAR39 <= 1'b0; end endcase end assign VAR6 = 1'b0; assign VAR37 = 1'b0; endmodule
apache-2.0
bluespec/Flute
builds/RV32ACDFIMSU_Flute_iverilog/Verilog_RTL/mkCSR_MIE.v
5,900
module MODULE1(VAR38, VAR11, VAR34, VAR10, VAR24, VAR35, VAR4, VAR33, VAR1, VAR25, VAR32, VAR8, VAR18); input VAR38; input VAR11; input VAR34; output [31 : 0] VAR10; input [27 : 0] VAR24; input [31 : 0] VAR35; input VAR4; output [31 : 0] VAR33; output [31 : 0] VAR1; input [27 : 0] VAR25; input [31 : 0] VAR32; input VAR8; output [31 : 0] VAR18; wire [31 : 0] VAR18, VAR33, VAR10, VAR1; reg [11 : 0] VAR27; reg [11 : 0] VAR3; wire VAR23; wire VAR5, VAR2, VAR22, VAR12, VAR31, VAR6; wire [11 : 0] VAR37; wire [11 : 0] VAR13, VAR28, VAR19; wire VAR29, VAR9, VAR20, VAR21, VAR16, VAR40, VAR26, VAR7, VAR17, VAR30, VAR36, VAR14; assign VAR22 = 1'd1 ; assign VAR6 = VAR34 ; assign VAR10 = { 20'd0, VAR27 } ; assign VAR33 = { 20'd0, VAR13 } ; assign VAR2 = 1'd1 ; assign VAR31 = VAR4 ; assign VAR1 = { 20'd0, VAR28 } ; assign VAR18 = { 20'd0, VAR19 } ; assign VAR5 = 1'd1 ; assign VAR12 = VAR8 ; assign VAR37 = { VAR27[11], 1'b0, VAR9, VAR7, VAR27[7], 1'b0, VAR40, VAR14, VAR27[3], 1'b0, VAR21, VAR30 } ; always@(VAR4 or VAR13 or VAR34 or VAR8 or VAR37) case (1'b1) VAR4: VAR3 = VAR13; VAR34: VAR3 = 12'd0; VAR8: VAR3 = VAR37; default: VAR3 = 12'b101010101010 ; endcase assign VAR23 = VAR4 || VAR8 || VAR34 ; assign VAR13 = { VAR35[11], 1'b0, VAR29, VAR26, VAR35[7], 1'b0, VAR16, VAR36, VAR35[3], 1'b0, VAR20, VAR17 } ; assign VAR29 = VAR24[18] && VAR35[9] ; assign VAR9 = VAR25[18] && VAR32[9] ; assign VAR20 = VAR24[18] && VAR35[1] ; assign VAR21 = VAR25[18] && VAR32[1] ; assign VAR16 = VAR24[18] && VAR35[5] ; assign VAR40 = VAR25[18] && VAR32[5] ; assign VAR26 = VAR24[13] && VAR35[8] ; assign VAR7 = VAR25[13] && VAR32[8] ; assign VAR17 = VAR24[13] && VAR35[0] ; assign VAR30 = VAR25[13] && VAR32[0] ; assign VAR36 = VAR24[13] && VAR35[4] ; assign VAR14 = VAR25[13] && VAR32[4] ; assign VAR28 = { 2'd0, VAR27[9:8], 2'd0, VAR27[5:4], 2'd0, VAR27[1:0] } ; assign VAR19 = { 2'd0, VAR9, VAR7, 2'd0, VAR40, VAR14, 2'd0, VAR21, VAR30 } ; always@(posedge VAR38) begin if (VAR11 == VAR39) begin VAR27 <= VAR15 12'd0; end else begin if (VAR23) VAR27 <= VAR15 VAR3; end end begin VAR27 = 12'hAAA; end
apache-2.0
sgq995/rc4-de0-nano-soc
fpga/hps/soc_system/synthesis/submodules/altera_jtag_streaming.v
26,246
module MODULE1 #( parameter VAR64 = 0, parameter VAR29 = 0, parameter VAR31 = 0, parameter VAR108 = -1 ) ( input wire VAR113, input wire VAR55, output reg VAR119, input wire [2:0] VAR23, input wire VAR28, input wire VAR70, input wire VAR114, input wire VAR94, output wire [7:0] VAR87, output wire VAR81, input wire [7:0] VAR45, input wire VAR116, output wire VAR112, input wire VAR9, input wire VAR95, output reg VAR34, output wire VAR39, output reg VAR33, output reg [(VAR108>0?VAR108:1)-1:0] VAR63, output reg VAR68 ); function integer VAR92; input [31:0] VAR62; integer VAR111; begin VAR111 = VAR62; if ( VAR111 <= 0 ) VAR92 = 0; end else begin for(VAR92 = -1; VAR111 > 0; VAR92 = VAR92 + 1) VAR111 = VAR111 >> 1; end end endfunction localparam VAR18 = VAR92(VAR29); localparam VAR20 = VAR92(VAR31); localparam VAR117 = 8; localparam VAR76 = 3; localparam VAR6 = 0; localparam VAR82 = 1; localparam VAR120 = 2; localparam VAR78 = 3; localparam VAR2 = 4; localparam VAR65 = 5; localparam VAR69 = 3; localparam VAR25 = 'h0; localparam VAR105 = 'h1; localparam VAR42 = 'h2; localparam VAR21 = 'h3; localparam VAR66 = 'h0; localparam VAR83 = 'h1; localparam VAR93 = 'h2; reg [1:0] VAR52 = VAR25; reg [1:0] VAR11 = VAR66; reg [ 7:0] VAR101 = 'b0; reg [ 7:0] VAR24 = 'b0; reg VAR110 = 'b0; reg [ 2:0] VAR46 = 'b0; reg [10:0] VAR56 = 'b0; reg [ 8:0] VAR90 = 'b0; reg [VAR108+2:0] VAR43 = 'b0; reg [ 8:0] VAR36 = 'b0; reg [ 7:0] VAR57 = 'b0; reg [ 2:0] VAR27 = 'b0; reg [ 2:0] VAR4 = 'b0; reg [ 3:0] VAR75 = 'b0; reg [ 3:0] VAR3 = 'b0; reg [18:0] VAR89 = 'b0; reg [18:0] VAR115 = 'b0; reg VAR106 = 'b0; reg VAR71 = 'b0; reg VAR96 = 'b0; reg VAR41 = 'b0; reg VAR13 = 'b0; wire VAR99; wire VAR7; wire VAR10; wire VAR84; assign VAR99 = (VAR27 == 1); assign VAR7 = (VAR4 == 1); assign VAR10 = (VAR36[2:0] == 'b0); assign VAR84 = (VAR89 == 'b0); reg [ 7:0] VAR88 = 'b0; reg [15:0] VAR17 = 'b0; reg [9:0] VAR51 = 'b0; reg [2:0] VAR38 = 'b0; reg [2:0] VAR91 = 'b0; wire [7:0] VAR73; wire VAR80; wire VAR8; wire [7:0] VAR107; reg VAR19 = 'b0; reg [7:0] VAR30 = 'b0; reg VAR37 = 'b0; wire [7:0] VAR47; wire VAR53; assign VAR87 = VAR47; assign VAR81 = VAR53; assign VAR112 = VAR8; assign VAR73 = VAR45; assign VAR80 = VAR116; reg VAR103 = 'b0; reg VAR100 = 'b0; reg VAR14 = 'b1; wire VAR86; assign VAR86 = VAR116; wire [18:0] VAR79; wire [18:0] VAR54; wire [18:0] VAR85; assign VAR79 = { VAR51, {8{1'b1}} }; assign VAR54 = (VAR91 == 0) ? 19'h0 : (19'h00080 << VAR91); assign VAR85 = (VAR38 == 0) ? 19'h0 : (19'h00080 << VAR38); wire VAR104; wire VAR22; wire VAR59; wire VAR16; VAR61 #(.VAR49(VAR76)) VAR98 ( .clk(VAR113), .VAR94(1'b1), .din(VAR103), .dout(VAR104)); VAR61 #(.VAR49(VAR76)) VAR72 ( .clk(VAR113), .VAR94(1'b1), .din(VAR95), .dout(VAR22)); VAR61 #(.VAR49(VAR76)) VAR58 ( .clk(VAR113), .VAR94(1'b1), .din(VAR100), .dout(VAR59)); VAR61 #(.VAR49(VAR117)) VAR74 ( .clk(VAR9), .VAR94(VAR14), .din(1'b1), .dout(VAR16)); always @ (posedge VAR9 or negedge VAR16) begin if (~VAR16) begin VAR103 <= 1'b0; end else begin VAR103 <= 1'b1; end end always @ (posedge VAR9) begin VAR100 <= ~VAR100; end always @ (posedge VAR113) begin VAR37 <= 1'b0; VAR19 <= 1'b0; if (VAR23 == VAR6) begin if (VAR28) begin if (VAR88 == 'b0) begin VAR52 <= VAR105; end else begin VAR52 <= VAR25; end VAR57 <= VAR88; VAR75 <= 15; VAR27 <= 0; VAR41 <= 1'b0; VAR13 <= 1'b0; VAR96 <= 1'b0; VAR115 <= 0; end if (VAR70) begin case (VAR52) VAR25: begin VAR57 <= VAR57 - 1'b1; if (VAR57 == 1) begin VAR52 <= VAR105; end end VAR105: begin VAR17 <= {VAR55, VAR17[15:1]}; VAR75 <= VAR75 - 1'b1; if (VAR75 == 3) begin VAR38 <= {VAR55, VAR17[15:14]}; VAR51 <= VAR17[13:4]; VAR52 <= VAR42; VAR41 <= 1'b1; end end VAR42: begin VAR17 <= {VAR55, VAR17[15:1]}; VAR75 <= VAR75 - 1'b1; if (VAR41) begin VAR41 <= 1'b0; if (VAR38 == 3'b111) begin VAR96 <= 1'b1; end VAR89 <= VAR79; end if (VAR75 == 0) begin VAR91 <= {VAR55, VAR17[15:14]}; VAR52 <= VAR21; VAR13 <= 1'b1; end end VAR21: begin VAR101 <= {VAR55, VAR101[7:1]}; if (VAR13) begin VAR13 <= 1'b0; case (VAR91) 3'b111: VAR115 <= VAR79 + 1'b1; 3'b000: VAR115 <= 'b0; default: VAR115 <= VAR54; endcase end VAR27 <= VAR27 - 1'b1; VAR106 <= (VAR115 != 0); if (VAR99 && VAR106) begin VAR115 <= VAR115 - 1'b1; VAR37 <= 1'b1; VAR30 <= {VAR55, VAR101[7:1]}; end end endcase end end if (VAR23 == VAR6) begin if (VAR28) begin VAR11 <= VAR66; if (|VAR88[2:0]) begin VAR36[8:3] <= VAR88[7:3] + 1'b1; VAR36[2:0] <= 3'b0; end else begin VAR36 <= {1'b0, VAR88}; end VAR3 <= 0; VAR4 <= 0; VAR24 <= {{7{1'b0}}, VAR86}; VAR71 <= 0; end if (VAR70) begin VAR24 <= {1'b0, VAR24[7:1]}; case (VAR11) VAR66: begin VAR3 <= VAR3 - 1'b1; if (VAR3 == 2) begin if (VAR36 == 0) begin VAR19 <= VAR96; end end if (VAR3 == 1) begin if (VAR36 == 0) begin VAR11 <= VAR93; VAR71 <= VAR96 || (VAR89<=VAR85+1); VAR24 <= VAR96 ? VAR107 : 8'h4a; end else begin VAR11 <= VAR83; VAR36 <= VAR36 - 1'b1; VAR19 <= 1'b0; VAR24 <= 8'h4a; end end end VAR83: begin VAR36 <= VAR36 - 1'b1; if (VAR10) begin VAR24 <= 8'h4a; end if (VAR36 == 1) begin VAR19 <= VAR96; end if (VAR36 == 0) begin VAR11 <= VAR93; VAR71 <= VAR96 || (VAR89<=VAR85+1); VAR24 <= VAR96 ? VAR107 : 8'h4a; end end VAR93: begin VAR4 <= VAR4 - 1'b1; if (VAR4 == 2) begin VAR19 <= VAR84 ? 1'b0 : VAR71; end if (VAR7) begin if (~VAR84) begin VAR89 <= VAR89 - 1'b1; end VAR71 <= VAR96 || (VAR89<=VAR85+1); VAR24 <= (VAR71 & ~VAR84) ? VAR107 : 8'h4a; end end endcase end end if (VAR23 == VAR82) begin if (VAR28) begin VAR110 <= 1'b0; end if (VAR70) begin VAR110 <= VAR55; end end if (VAR23 == VAR120) begin if (VAR28) begin VAR46 <= {VAR104, VAR59, VAR22}; end if (VAR70) begin VAR46 <= {1'b0, VAR46[2:1]}; end if (VAR114) begin VAR14 <= 1'b0; end else begin VAR14 <= 1'b1; end end if (VAR23 == VAR78) begin if (VAR28) begin VAR56 <= {VAR64[2:0], VAR18[3:0], VAR20[3:0]}; end if (VAR70) begin VAR56 <= {1'b0, VAR56[10:1]}; end end if (VAR23 == VAR2) begin if (VAR28) begin VAR90 <= 'b0; end if (VAR70) begin VAR90 <= {VAR55, VAR90[8:1]}; end if (VAR114) begin {VAR34, VAR88} <= VAR90; end end end always @ * begin if (VAR70) begin case (VAR23) VAR6: VAR119 <= VAR24[0]; VAR82: VAR119 <= VAR110; VAR120: VAR119 <= VAR46[0]; VAR78: VAR119 <= VAR56[0]; VAR2: VAR119 <= VAR90[0]; VAR65: VAR119 <= VAR43[0]; default: VAR119 <= 1'b0; endcase end else begin VAR119 <= 1'b0; end end VAR12 VAR1 ( .clk (VAR113), .VAR94 (VAR94), .VAR109 (), .VAR44 (VAR37), .VAR40 (VAR30), .VAR48 (1'b1), .VAR32 (VAR53), .VAR60 (VAR47) ); VAR50 VAR118 ( .clk (VAR113), .VAR94 (VAR94), .VAR109 (VAR8), .VAR44 (VAR80), .VAR40 (VAR73), .VAR48 (VAR19), .VAR32 (), .VAR60 (VAR107) ); generate if (VAR108 > 0) begin : VAR15 reg [VAR108+2:0] VAR35 = 'b0; reg VAR97 = 1'b0; wire VAR67; reg VAR77; always @ (posedge VAR113) begin if (VAR23 == VAR65) begin if (VAR28) begin VAR43 <= 'b0; VAR43[VAR108+2] <= 1'b1; end if (VAR70) begin VAR43 <= {VAR55, VAR43[VAR108+2:1]}; end if (VAR114) begin VAR35 <= VAR43; VAR97 <= VAR35[VAR108+2] ? 1'b0 : ~VAR97; end end end VAR61 #(.VAR49(VAR117)) VAR102 ( .clk(VAR9), .VAR94(1'b1), .din(VAR35[VAR108+2]), .dout(VAR39)); VAR61 #(.VAR49(VAR117)) VAR5 ( .clk(VAR9), .VAR94(1'b1), .din(VAR97), .dout(VAR67)); always @ (posedge VAR9 or posedge VAR39) begin if (VAR39) begin VAR33 <= 1'b0; VAR77 <= 1'b0; end else begin if ((VAR67 ^ VAR77) && VAR35[VAR108+1]) begin VAR33 <= 1'b1; VAR63 <= VAR35[VAR108:1]; VAR68 <= VAR35[0]; end else begin VAR33 <= 1'b0; end VAR77 <= VAR67; end end end else begin : VAR26 always @ (posedge VAR113) begin VAR43[0] <= 1'b0; end assign VAR39 = 1'b0; always @ (posedge VAR9) begin VAR33 <= 1'b0; VAR68 <= 'b0; VAR63 <= 'b0; end end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/dlclkp/sky130_fd_sc_hvl__dlclkp.functional.pp.v
1,947
module MODULE1 ( VAR8, VAR3, VAR4 , VAR16, VAR1, VAR10 , VAR6 ); output VAR8; input VAR3; input VAR4 ; input VAR16; input VAR1; input VAR10 ; input VAR6 ; wire VAR9 ; wire VAR5 ; wire VAR14; not VAR7 (VAR5 , VAR4 ); VAR12 VAR13 (VAR9 , VAR3, VAR5, , VAR16, VAR1); and VAR11 (VAR14, VAR9, VAR4 ); VAR15 VAR2 (VAR8 , VAR14, VAR16, VAR1 ); endmodule
apache-2.0
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_ad9643_v6_00_a/hdl/verilog/axi_ad9643_channel.v
6,971
module MODULE1 ( VAR20, VAR60, VAR62, VAR18, VAR40, VAR46, VAR59, VAR64, VAR65, VAR71, VAR42, VAR50, VAR32, VAR41, VAR37, VAR80, VAR58, VAR53, VAR67); parameter VAR79 = 0; parameter VAR75 = 0; input VAR20; input VAR60; input [13:0] VAR62; input VAR18; output [15:0] VAR40; input [15:0] VAR46; output [15:0] VAR59; output VAR64; output VAR65; output VAR71; output VAR42; input VAR50; input VAR32; input VAR41; input VAR37; input [13:0] VAR80; input [31:0] VAR58; output [31:0] VAR53; output VAR67; wire [15:0] VAR15; wire [15:0] VAR2; wire [15:0] VAR19; wire VAR11; wire VAR21; wire VAR88; wire VAR16; wire VAR27; wire VAR72; wire [15:0] VAR55; wire [15:0] VAR47; wire [15:0] VAR52; wire [15:0] VAR87; wire VAR63; wire VAR68; assign VAR2 = (VAR79 == 1) ? VAR46 : VAR40; assign VAR19 = (VAR79 == 1) ? VAR40 : VAR46; VAR56 VAR23 ( .VAR20 (VAR20), .VAR62 (VAR62), .VAR24 (VAR68), .VAR6 (VAR63), .VAR5 (VAR72)); VAR82 #(.VAR13(14)) VAR51 ( .clk (VAR20), .valid (1'b1), .VAR3 (VAR62), .VAR44 (), .VAR1 (VAR15), .VAR30 (VAR27), .VAR26 (VAR16), .VAR48 (VAR88)); VAR17 VAR69 ( .clk (VAR20), .valid (1'b1), .VAR3 (VAR15), .VAR44 (), .VAR1 (VAR40), .VAR85 (VAR21), .VAR57 (VAR47), .VAR70 (VAR55)); VAR7 #(.VAR79(VAR79)) VAR83 ( .clk (VAR20), .valid (1'b1), .VAR76 (VAR2), .VAR45 (VAR19), .VAR44 (), .VAR1 (VAR59), .VAR39 (VAR11), .VAR38 (VAR52), .VAR35 (VAR87)); VAR9 #(.VAR49(VAR75)) VAR4 ( .VAR20 (VAR20), .VAR60 (VAR60), .VAR64 (VAR64), .VAR12 (VAR11), .VAR31 (VAR21), .VAR78 (VAR88), .VAR81 (VAR16), .VAR25 (VAR27), .VAR5 (VAR72), .VAR28 (VAR55), .VAR36 (VAR47), .VAR54 (VAR52), .VAR29 (VAR87), .VAR6 (VAR63), .VAR24 (VAR68), .VAR18 (VAR18), .VAR65 (VAR65), .VAR71 (VAR71), .VAR42 (VAR42), .VAR61 (), .VAR14 (), .VAR34 (), .VAR33 (), .VAR22 (), .VAR86 (), .VAR84 (), .VAR77 (1'b0), .VAR74 (1'b1), .VAR73 (8'd0), .VAR43 (8'd16), .VAR66 (8'd16), .VAR8 (16'd1), .VAR10 (16'd1), .VAR50 (VAR50), .VAR32 (VAR32), .VAR41 (VAR41), .VAR37 (VAR37), .VAR80 (VAR80), .VAR58 (VAR58), .VAR53 (VAR53), .VAR67 (VAR67)); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dfstp/sky130_fd_sc_lp__dfstp_1.v
2,273
module MODULE1 ( VAR3 , VAR10 , VAR5 , VAR9, VAR6 , VAR4 , VAR8 , VAR7 ); output VAR3 ; input VAR10 ; input VAR5 ; input VAR9; input VAR6 ; input VAR4 ; input VAR8 ; input VAR7 ; VAR1 VAR2 ( .VAR3(VAR3), .VAR10(VAR10), .VAR5(VAR5), .VAR9(VAR9), .VAR6(VAR6), .VAR4(VAR4), .VAR8(VAR8), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR3 , VAR10 , VAR5 , VAR9 ); output VAR3 ; input VAR10 ; input VAR5 ; input VAR9; supply1 VAR6; supply0 VAR4; supply1 VAR8 ; supply0 VAR7 ; VAR1 VAR2 ( .VAR3(VAR3), .VAR10(VAR10), .VAR5(VAR5), .VAR9(VAR9) ); endmodule
apache-2.0
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_128.v
1,550
module MODULE2 ( VAR9, VAR6 ); input [31:0] VAR9; output [31:0] VAR6; wire [31:0] VAR4, VAR11, VAR1, VAR12, VAR10, VAR15, VAR5, VAR3, VAR13, VAR7; assign VAR4 = VAR9; assign VAR5 = VAR10 - VAR15; assign VAR10 = VAR12 - VAR4; assign VAR12 = VAR4 << 7; assign VAR13 = VAR3 - VAR5; assign VAR3 = VAR5 << 7; assign VAR15 = VAR1 << 2; assign VAR7 = VAR13 << 1; assign VAR11 = VAR4 << 2; assign VAR1 = VAR4 + VAR11; assign VAR6 = VAR7; endmodule module MODULE1( VAR9, VAR6, clk ); input [31:0] VAR9; output [31:0] VAR6; reg [31:0] VAR6; input clk; reg [31:0] VAR14; wire [30:0] VAR2; always @(posedge clk) begin VAR14 <= VAR9; VAR6 <= VAR2; end MODULE2 MODULE1( .VAR9(VAR14), .VAR6(VAR2) ); endmodule
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_bram_ctrl_0_0/zqynq_lab_1_design_axi_bram_ctrl_0_0_stub.v
3,914
module MODULE1(VAR16, VAR14, VAR8, VAR32, VAR18, VAR31, VAR42, VAR46, VAR11, VAR33, VAR36, VAR28, VAR3, VAR22, VAR45, VAR19, VAR26, VAR2, VAR25, VAR15, VAR6, VAR13, VAR29, VAR1, VAR21, VAR40, VAR38, VAR20, VAR43, VAR17, VAR35, VAR39, VAR7, VAR41, VAR37, VAR23, VAR10, VAR9, VAR30, VAR34, VAR5, VAR27, VAR47, VAR44, VAR24, VAR4, VAR12) ; input VAR16; input VAR14; input [15:0]VAR8; input [7:0]VAR32; input [2:0]VAR18; input [1:0]VAR31; input VAR42; input [3:0]VAR46; input [2:0]VAR11; input VAR33; output VAR36; input [31:0]VAR28; input [3:0]VAR3; input VAR22; input VAR45; output VAR19; output [1:0]VAR26; output VAR2; input VAR25; input [15:0]VAR15; input [7:0]VAR6; input [2:0]VAR13; input [1:0]VAR29; input VAR1; input [3:0]VAR21; input [2:0]VAR40; input VAR38; output VAR20; output [31:0]VAR43; output [1:0]VAR17; output VAR35; output VAR39; input VAR7; output VAR41; output VAR37; output VAR23; output [3:0]VAR10; output [15:0]VAR9; output [31:0]VAR30; input [31:0]VAR34; output VAR5; output VAR27; output VAR47; output [3:0]VAR44; output [15:0]VAR24; output [31:0]VAR4; input [31:0]VAR12; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfxtp/sky130_fd_sc_ls__dfxtp_4.v
2,128
module MODULE1 ( VAR3 , VAR6 , VAR4 , VAR9, VAR5, VAR2 , VAR7 ); output VAR3 ; input VAR6 ; input VAR4 ; input VAR9; input VAR5; input VAR2 ; input VAR7 ; VAR8 VAR1 ( .VAR3(VAR3), .VAR6(VAR6), .VAR4(VAR4), .VAR9(VAR9), .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7) ); endmodule module MODULE1 ( VAR3 , VAR6, VAR4 ); output VAR3 ; input VAR6; input VAR4 ; supply1 VAR9; supply0 VAR5; supply1 VAR2 ; supply0 VAR7 ; VAR8 VAR1 ( .VAR3(VAR3), .VAR6(VAR6), .VAR4(VAR4) ); endmodule
apache-2.0
zeruniverse/pipelined_CPU
.v source code/cpu_top.v
2,523
module MODULE1( input wire VAR71,rst,clk, input wire [1:0] VAR47, input wire [4:0] VAR62, output wire VAR38, output wire [5:0] VAR68, output wire [3:0] VAR57, output wire [7:0] VAR64 ); wire VAR50; wire VAR5; wire VAR12; wire [31:0] VAR9; reg [15:0] VAR81,VAR11=0; wire [7:0] VAR83; wire [31:0] VAR14; wire [31:0] VAR21; wire [31:0] VAR59; wire [31:0] VAR20; wire [7:0] VAR7,VAR66,VAR82,VAR3,VAR17,VAR4; wire [31:0] VAR37,VAR70,VAR27,VAR74,VAR16,VAR2,VAR73; wire [31:0] VAR13,VAR46,VAR6,VAR78; wire [4:0] VAR24,VAR85,VAR43,VAR52,VAR48,VAR18,VAR63; wire [3:0] VAR56,VAR49; wire [1:0] VAR69; wire VAR72; wire VAR87,VAR79,VAR54,VAR32,VAR65,VAR34; wire VAR23,VAR76,VAR30,VAR55,VAR8,VAR45; wire VAR41,VAR26,VAR36; wire VAR39,VAR75; VAR25 VAR28(clk,VAR71,VAR50); assign VAR5=clk; VAR25 VAR44(clk,rst,VAR12); always @(posedge VAR50) VAR11=VAR11+1; VAR80 VAR29(VAR82,VAR72,VAR50,VAR12,VAR83); VAR42 VAR53(VAR69,VAR83,VAR7,VAR70,VAR66,VAR82,VAR4,VAR37); VAR19 VAR51(VAR4,VAR37,VAR72,VAR50,VAR12,VAR3,VAR14); VAR33 VAR15(VAR41,VAR52,VAR43,VAR23,VAR76,VAR26,VAR3,VAR14,VAR48,VAR78,VAR21,VAR59,VAR46,VAR39,VAR50,VAR12,VAR7,VAR66,VAR69,VAR72,VAR87,VAR79,VAR54,VAR56,VAR32,VAR70,VAR27,VAR2,VAR24,VAR65,VAR34,VAR18,VAR62,VAR9); VAR61 VAR86(VAR87,VAR79,VAR54,VAR56,VAR32,VAR70,VAR27,VAR2,VAR24,VAR65,VAR34,VAR3,VAR18,VAR50,VAR12,VAR23,VAR76,VAR30,VAR49,VAR55,VAR74,VAR16,VAR73,VAR85,VAR8,VAR45,VAR17,VAR63); VAR58 VAR31(VAR49,VAR55,VAR74,VAR16,VAR73,VAR63,VAR8,VAR85,VAR17,VAR45,VAR43,VAR21); VAR40 VAR67(VAR23,VAR76,VAR30,VAR21,VAR16,VAR43,VAR50,VAR12,VAR41,VAR26,VAR36,VAR59,VAR13,VAR52); VAR77 VAR84(VAR36,VAR59,VAR13,VAR50,VAR5,VAR46); VAR22 VAR41(VAR41,VAR26,VAR46,VAR59,VAR52,VAR50,VAR12,VAR39,VAR75,VAR6,VAR20,VAR48); VAR10 VAR1(VAR20,VAR6,VAR75,VAR78); VAR60 VAR35(clk,VAR81,VAR57,VAR64); always @* begin case (VAR47) 2'b00:VAR81<=VAR9[15:0]; 2'b01:VAR81<=VAR9[31:16]; 2'b10:VAR81<={8'b0,VAR83[7:0]}; 2'b11:VAR81<=VAR11; endcase end assign VAR38=VAR50; assign VAR68=VAR14[31:26]; endmodule
gpl-3.0
hoglet67/CoPro6502
src/m32632/DATENPFAD.v
10,515
module MODULE1( VAR74, VAR92, VAR79, VAR140, VAR33, VAR38, VAR58, VAR76, VAR148, VAR3, VAR81, VAR61, VAR29, VAR158, VAR40, VAR108, VAR23, VAR157, VAR156, VAR94, VAR21, VAR102, VAR133, VAR151, VAR67, VAR4, VAR5, VAR129, VAR98, VAR116, VAR25, VAR89, VAR15, VAR114, VAR1, VAR26, VAR18, VAR78, VAR46, VAR57, VAR155, VAR22, VAR142, VAR80, VAR143, VAR121, VAR56, VAR115, VAR144, VAR30, VAR123, VAR14, VAR70, VAR66, VAR54, VAR124, VAR154, VAR110, VAR120, VAR43); input VAR74; input VAR92; input VAR79; input VAR140; input VAR33; input VAR38; input VAR58; input VAR76; input VAR70; input [14:0] VAR148; input [5:0] VAR3; input [31:0] VAR81; input [31:0] VAR61; input [2:0] VAR29; input [31:0] VAR158; input [6:0] VAR40; input [1:0] VAR108; input [12:0] VAR23; input [1:0] VAR157; input [10:0] VAR156; input [31:0] VAR94; input [31:0] VAR21; input [7:0] VAR102; input [7:0] VAR133; input [1:0] VAR151; input [1:0] VAR67; input [5:0] VAR4; input VAR66; input VAR120; input [23:0] VAR154; input [63:0] VAR110; output VAR5; output VAR129; output VAR98; output VAR116; output VAR25; output VAR89; output VAR15; output VAR114; output VAR1; output VAR26; output VAR18; output reg VAR78; output VAR46; output VAR57; output [12:0] VAR155; output [3:0] VAR22; output [63:0] VAR142; output [1:0] VAR80; output [3:0] VAR143; output [3:0] VAR121; output [31:0] VAR56; output [11:0] VAR115; output [1:0] VAR144; output [4:0] VAR30; output [5:0] VAR123; output [31:0] VAR14; output [40:2] VAR54; output VAR124; output [127:0] VAR43; reg [31:0] VAR139; reg [31:0] VAR12; reg [31:0] VAR103; wire [2:0] VAR32; wire [1:0] VAR104; wire VAR111; wire [31:0] VAR28; wire VAR37; wire [31:0] VAR44; wire [63:0] VAR107; wire [7:0] VAR93; wire VAR85; wire VAR134; wire [2:0] VAR11; wire [31:0] VAR71; wire [31:0] VAR77; wire [4:0] VAR52; wire VAR149; wire VAR86; wire VAR60; wire VAR128,VAR136; wire VAR16; wire VAR113; wire [4:0] VAR59; wire [31:0] VAR130; wire [2:0] VAR99; wire [31:0] VAR90; wire [31:0] VAR95; wire VAR91; wire [6:0] VAR109; wire [31:0] VAR106,VAR73; wire VAR63; wire [31:0] VAR48; wire [31:0] VAR127; wire VAR42; wire [31:0] VAR146,VAR126; wire VAR153; wire [3:0] VAR20; wire [3:0] VAR19; wire VAR147; wire [31:0] VAR112; assign VAR37 = VAR156[10]; assign VAR104 = VAR156[9:8]; assign VAR93 = VAR156[7:0]; assign VAR28 = VAR63 ? VAR127 : VAR48; assign VAR60 = VAR4[0] ^ VAR111; assign VAR153 = VAR128 | VAR79; assign VAR42 = VAR153 & VAR149; assign VAR128 = VAR136 & ~VAR123[0]; assign VAR142[63:32] = VAR139; assign VAR56 = VAR71; always @(posedge VAR74) if (VAR108[1] || VAR79) VAR78 <= VAR147; always @(posedge VAR74) if (VAR108[1] || VAR91) VAR139 <= VAR28; always @(posedge VAR74) if (VAR33) VAR12 <= VAR38 ? VAR158 : VAR81; always @(posedge VAR74) if (VAR102[7]) VAR103 <= VAR28; VAR96 VAR39( .VAR74(VAR74), .VAR153(VAR153), .VAR42(VAR42), .VAR81(VAR28), .VAR103(VAR103), .VAR145(VAR102), .VAR68({VAR4[5:1],VAR60}), .VAR67(VAR67), .VAR84(VAR85), .VAR87(VAR106)); assign VAR71 = VAR85 ? VAR12 : VAR106; VAR96 VAR72( .VAR74(VAR74), .VAR153(VAR153), .VAR42(VAR42), .VAR81(VAR28), .VAR103(VAR103), .VAR145(VAR133), .VAR68({VAR4[5:1],VAR60}), .VAR67(VAR67), .VAR84(VAR134), .VAR87(VAR73)); assign VAR77 = VAR134 ? VAR12 : VAR73; VAR62 VAR88( .VAR97(VAR93[2]), .VAR104(VAR104), .VAR71(VAR71), .VAR77(VAR77), .VAR146(VAR146), .VAR126(VAR126)); VAR150 VAR7( .VAR69(VAR146), .VAR36(VAR126), .VAR132(VAR107)); VAR75 VAR137( .VAR49(VAR109), .VAR87(VAR112)); VAR51 VAR27( .VAR37(VAR37), .VAR92(VAR92), .VAR74(VAR74), .VAR79(VAR79), .VAR108(VAR108[1]), .VAR159(VAR130), .VAR32(VAR32), .VAR112(VAR112), .VAR104(VAR104), .VAR99(VAR99), .VAR90(VAR90), .VAR44(VAR44), .VAR23(VAR23[11:0]), .VAR107(VAR107), .VAR93(VAR93), .VAR102(VAR102), .VAR95(VAR95), .VAR11(VAR11), .VAR71(VAR71), .VAR77(VAR77), .VAR4(VAR4), .VAR19(VAR19), .VAR53(VAR123[2]), .VAR78(VAR147), .VAR109(VAR109), .VAR48(VAR48), .VAR115(VAR115), .VAR30(VAR30), .VAR20(VAR20), .VAR61(VAR61[4:0]), .VAR70(VAR70)); VAR55 VAR65( .VAR74(VAR74), .VAR92(VAR92), .VAR140(VAR140), .VAR24(VAR148[11]), .VAR9(VAR148[10]), .VAR8(VAR148[2]), .VAR100(VAR148[8]), .VAR135(VAR148[3]), .VAR83(VAR40[0]), .VAR118(VAR148[9]), .VAR105(VAR148[14]), .VAR160(VAR123[0]), .VAR125(VAR40[2]), .VAR122(VAR40[3]), .VAR152({VAR40[1],VAR76}), .VAR3(VAR3), .VAR117(VAR148[13:12]), .VAR104(VAR104), .VAR61(VAR61), .VAR29(VAR29), .VAR41(VAR148[7:4]), .VAR157(VAR157), .VAR94(VAR94), .VAR21(VAR21), .VAR71(VAR71), .VAR77(VAR77), .VAR82(VAR148[1:0]), .VAR1(VAR1), .VAR114(VAR114), .VAR116(VAR116), .VAR98(VAR98), .VAR46(VAR46), .VAR159(VAR130), .VAR32(VAR32), .VAR121(VAR121), .VAR144(VAR144), .VAR14(VAR14), .VAR25(VAR25), .VAR89(VAR89), .VAR15(VAR15), .VAR64(VAR40[4]), .VAR141(VAR40[5]), .VAR35(VAR40[6]) ); VAR6 VAR138( .VAR74(VAR74), .VAR92(VAR92), .VAR79(VAR79), .VAR108(VAR108[1]), .VAR93(VAR93), .VAR71(VAR71), .VAR4(VAR4), .VAR18(VAR18), .VAR26(VAR26), .VAR155(VAR155), .VAR22(VAR22), .VAR80(VAR80), .VAR129(VAR129), .VAR143(VAR143), .VAR10(VAR123[5:3]), .VAR94(VAR94), .VAR19(VAR19), .VAR45(VAR115[8]), .VAR34(VAR23[12]), .VAR54(VAR54), .VAR66(VAR66), .VAR24(VAR116) ); VAR101 VAR17( .VAR74(VAR74), .VAR37(VAR37), .VAR92(VAR92), .VAR47(VAR108[0]), .VAR58(VAR58), .VAR104(VAR104), .VAR44(VAR44[8:3]), .VAR93(VAR93), .VAR71(VAR71), .VAR77(VAR77), .VAR151(VAR151), .VAR5(VAR5), .VAR86(VAR86), .VAR128(VAR136), .VAR111(VAR111), .VAR31(VAR91), .VAR119(VAR123[1]), .VAR99(VAR99), .VAR90(VAR90), .VAR142(VAR142[31:0]), .VAR52(VAR52), .VAR131(VAR115[0]), .VAR20(VAR20), .VAR120(VAR120), .VAR154(VAR154), .VAR110(VAR110), .VAR124(VAR124), .VAR43(VAR43)); assign VAR127 = 32'b0; assign VAR95 = 32'b0; assign VAR59 = 5'b0; assign VAR11 = 3'b0; assign VAR63 = 1'b0; assign VAR16 = 1'b0; assign VAR113 = 1'b0; VAR50 VAR13( .VAR74(VAR74), .VAR92(VAR92), .VAR2(VAR16), .VAR79(VAR153), .VAR4(VAR4[5:4]), .VAR86(VAR86), .VAR113(VAR113 & VAR108[1]), .VAR81(VAR71[16:0]), .VAR52(VAR52), .VAR59(VAR59), .VAR160(VAR123[0]), .VAR149(VAR149), .VAR57(VAR57), .VAR44(VAR44)); endmodule
gpl-3.0
aquaxis/synverll
lib/sdiv/aq_div31x31.v
8,001
module MODULE1( input VAR53, input VAR28, input [30:0] VAR48, input [30:0] VAR10, output [30:0] VAR27, output [30:0] VAR41 ); reg [62:0] VAR52; reg [62:0] VAR20; reg [62:0] VAR4; reg [62:0] VAR29; reg [62:0] VAR42; reg [62:0] VAR2; reg [62:0] VAR19; reg [62:0] VAR63; reg [62:0] VAR47; reg [62:0] VAR18; reg [62:0] VAR14; reg [62:0] VAR61; reg [62:0] VAR34; reg [62:0] VAR62; reg [62:0] VAR15; reg [62:0] VAR23; reg [62:0] VAR56; reg [62:0] VAR12; reg [62:0] VAR5; reg [62:0] VAR24; reg [62:0] VAR21; reg [62:0] VAR40; reg [62:0] VAR70; reg [62:0] VAR37; reg [62:0] VAR35; reg [62:0] VAR43; reg [62:0] VAR44; reg [62:0] VAR3; reg [62:0] VAR69; reg [62:0] VAR38; reg [62:0] VAR50; reg [62:0] VAR45; reg [30:0] VAR57; reg [30:0] VAR36; reg [30:0] VAR1; reg [30:0] VAR16; reg [30:0] VAR30; reg [30:0] VAR17; reg [30:0] VAR33; reg [30:0] VAR11; reg [30:0] VAR6; reg [30:0] VAR9; reg [30:0] VAR13; reg [30:0] VAR51; reg [30:0] VAR32; reg [30:0] VAR39; reg [30:0] VAR59; reg [30:0] VAR66; reg [30:0] VAR58; reg [30:0] VAR46; reg [30:0] VAR7; reg [30:0] VAR25; reg [30:0] VAR64; reg [30:0] VAR55; reg [30:0] VAR31; reg [30:0] VAR65; reg [30:0] VAR8; reg [30:0] VAR68; reg [30:0] VAR26; reg [30:0] VAR22; reg [30:0] VAR60; reg [30:0] VAR49; reg [30:0] VAR67; reg [30:0] VAR54; always @(negedge VAR53 or posedge VAR28) begin if(!VAR53) begin VAR52 <= 63'd0; VAR20 <= 63'd0; VAR4 <= 63'd0; VAR29 <= 63'd0; VAR42 <= 63'd0; VAR2 <= 63'd0; VAR19 <= 63'd0; VAR63 <= 63'd0; VAR47 <= 63'd0; VAR18 <= 63'd0; VAR14 <= 63'd0; VAR61 <= 63'd0; VAR34 <= 63'd0; VAR62 <= 63'd0; VAR15 <= 63'd0; VAR23 <= 63'd0; VAR56 <= 63'd0; VAR12 <= 63'd0; VAR5 <= 63'd0; VAR24 <= 63'd0; VAR21 <= 63'd0; VAR40 <= 63'd0; VAR70 <= 63'd0; VAR37 <= 63'd0; VAR35 <= 63'd0; VAR43 <= 63'd0; VAR44 <= 63'd0; VAR3 <= 63'd0; VAR69 <= 63'd0; VAR38 <= 63'd0; VAR50 <= 63'd0; VAR45 <= 63'd0; VAR57 <= 31'd0; VAR36 <= 31'd0; VAR1 <= 31'd0; VAR16 <= 31'd0; VAR30 <= 31'd0; VAR17 <= 31'd0; VAR33 <= 31'd0; VAR11 <= 31'd0; VAR6 <= 31'd0; VAR9 <= 31'd0; VAR13 <= 31'd0; VAR51 <= 31'd0; VAR32 <= 31'd0; VAR39 <= 31'd0; VAR59 <= 31'd0; VAR66 <= 31'd0; VAR58 <= 31'd0; VAR46 <= 31'd0; VAR7 <= 31'd0; VAR25 <= 31'd0; VAR64 <= 31'd0; VAR55 <= 31'd0; VAR31 <= 31'd0; VAR65 <= 31'd0; VAR8 <= 31'd0; VAR68 <= 31'd0; VAR26 <= 31'd0; VAR22 <= 31'd0; VAR60 <= 31'd0; VAR49 <= 31'd0; VAR67 <= 31'd0; end else begin VAR52[62:0] <= {1'b1, 31'd0, VAR48}; VAR57 <= VAR10; VAR20[62:30] <= ({1'b1,VAR52[61:30]}) + (~{2'b00,VAR57}) + 1; VAR20[29:0] <= VAR52[29:0] ; VAR36 <= VAR57; VAR4[61:29] <= ({VAR20[62],VAR20[60:29]}) + (({33{VAR20[62]}}^{2'b00,VAR36}) + VAR20[62]); VAR4[62:62] <= VAR20[62:62] ; VAR4[28:0] <= VAR20[28:0] ; VAR1 <= VAR36; VAR29[60:28] <= ({VAR4[61],VAR4[59:28]}) + (({33{VAR4[61]}}^{2'b00,VAR1}) + VAR4[61]); VAR29[62:61] <= VAR4[62:61] ; VAR29[27:0] <= VAR4[27:0] ; VAR16 <= VAR1; VAR42[59:27] <= ({VAR29[60],VAR29[58:27]}) + (({33{VAR29[60]}}^{2'b00,VAR16}) + VAR29[60]); VAR42[62:60] <= VAR29[62:60] ; VAR42[26:0] <= VAR29[26:0] ; VAR30 <= VAR16; VAR2[58:26] <= ({VAR42[59],VAR42[57:26]}) + (({33{VAR42[59]}}^{2'b00,VAR30}) + VAR42[59]); VAR2[62:59] <= VAR42[62:59] ; VAR2[25:0] <= VAR42[25:0] ; VAR17 <= VAR30; VAR19[57:25] <= ({VAR2[58],VAR2[56:25]}) + (({33{VAR2[58]}}^{2'b00,VAR17}) + VAR2[58]); VAR19[62:58] <= VAR2[62:58] ; VAR19[24:0] <= VAR2[24:0] ; VAR33 <= VAR17; VAR63[56:24] <= ({VAR19[57],VAR19[55:24]}) + (({33{VAR19[57]}}^{2'b00,VAR33}) + VAR19[57]); VAR63[62:57] <= VAR19[62:57] ; VAR63[23:0] <= VAR19[23:0] ; VAR11 <= VAR33; VAR47[55:23] <= ({VAR63[56],VAR63[54:23]}) + (({33{VAR63[56]}}^{2'b00,VAR11}) + VAR63[56]); VAR47[62:56] <= VAR63[62:56] ; VAR47[22:0] <= VAR63[22:0] ; VAR6 <= VAR11; VAR18[54:22] <= ({VAR47[55],VAR47[53:22]}) + (({33{VAR47[55]}}^{2'b00,VAR6}) + VAR47[55]); VAR18[62:55] <= VAR47[62:55] ; VAR18[21:0] <= VAR47[21:0] ; VAR9 <= VAR6; VAR14[53:21] <= ({VAR18[54],VAR18[52:21]}) + (({33{VAR18[54]}}^{2'b00,VAR9}) + VAR18[54]); VAR14[62:54] <= VAR18[62:54] ; VAR14[20:0] <= VAR18[20:0] ; VAR13 <= VAR9; VAR61[52:20] <= ({VAR14[53],VAR14[51:20]}) + (({33{VAR14[53]}}^{2'b00,VAR13}) + VAR14[53]); VAR61[62:53] <= VAR14[62:53] ; VAR61[19:0] <= VAR14[19:0] ; VAR51 <= VAR13; VAR34[51:19] <= ({VAR61[52],VAR61[50:19]}) + (({33{VAR61[52]}}^{2'b00,VAR51}) + VAR61[52]); VAR34[62:52] <= VAR61[62:52] ; VAR34[18:0] <= VAR61[18:0] ; VAR32 <= VAR51; VAR62[50:18] <= ({VAR34[51],VAR34[49:18]}) + (({33{VAR34[51]}}^{2'b00,VAR32}) + VAR34[51]); VAR62[62:51] <= VAR34[62:51] ; VAR62[17:0] <= VAR34[17:0] ; VAR39 <= VAR32; VAR15[49:17] <= ({VAR62[50],VAR62[48:17]}) + (({33{VAR62[50]}}^{2'b00,VAR39}) + VAR62[50]); VAR15[62:50] <= VAR62[62:50] ; VAR15[16:0] <= VAR62[16:0] ; VAR59 <= VAR39; VAR23[48:16] <= ({VAR15[49],VAR15[47:16]}) + (({33{VAR15[49]}}^{2'b00,VAR59}) + VAR15[49]); VAR23[62:49] <= VAR15[62:49] ; VAR23[15:0] <= VAR15[15:0] ; VAR66 <= VAR59; VAR56[47:15] <= ({VAR23[48],VAR23[46:15]}) + (({33{VAR23[48]}}^{2'b00,VAR66}) + VAR23[48]); VAR56[62:48] <= VAR23[62:48] ; VAR56[14:0] <= VAR23[14:0] ; VAR58 <= VAR66; VAR12[46:14] <= ({VAR56[47],VAR56[45:14]}) + (({33{VAR56[47]}}^{2'b00,VAR58}) + VAR56[47]); VAR12[62:47] <= VAR56[62:47] ; VAR12[13:0] <= VAR56[13:0] ; VAR46 <= VAR58; VAR5[45:13] <= ({VAR12[46],VAR12[44:13]}) + (({33{VAR12[46]}}^{2'b00,VAR46}) + VAR12[46]); VAR5[62:46] <= VAR12[62:46] ; VAR5[12:0] <= VAR12[12:0] ; VAR7 <= VAR46; VAR24[44:12] <= ({VAR5[45],VAR5[43:12]}) + (({33{VAR5[45]}}^{2'b00,VAR7}) + VAR5[45]); VAR24[62:45] <= VAR5[62:45] ; VAR24[11:0] <= VAR5[11:0] ; VAR25 <= VAR7; VAR21[43:11] <= ({VAR24[44],VAR24[42:11]}) + (({33{VAR24[44]}}^{2'b00,VAR25}) + VAR24[44]); VAR21[62:44] <= VAR24[62:44] ; VAR21[10:0] <= VAR24[10:0] ; VAR64 <= VAR25; VAR40[42:10] <= ({VAR21[43],VAR21[41:10]}) + (({33{VAR21[43]}}^{2'b00,VAR64}) + VAR21[43]); VAR40[62:43] <= VAR21[62:43] ; VAR40[9:0] <= VAR21[9:0] ; VAR55 <= VAR64; VAR70[41:9] <= ({VAR40[42],VAR40[40:9]}) + (({33{VAR40[42]}}^{2'b00,VAR55}) + VAR40[42]); VAR70[62:42] <= VAR40[62:42] ; VAR70[8:0] <= VAR40[8:0] ; VAR31 <= VAR55; VAR37[40:8] <= ({VAR70[41],VAR70[39:8]}) + (({33{VAR70[41]}}^{2'b00,VAR31}) + VAR70[41]); VAR37[62:41] <= VAR70[62:41] ; VAR37[7:0] <= VAR70[7:0] ; VAR65 <= VAR31; VAR35[39:7] <= ({VAR37[40],VAR37[38:7]}) + (({33{VAR37[40]}}^{2'b00,VAR65}) + VAR37[40]); VAR35[62:40] <= VAR37[62:40] ; VAR35[6:0] <= VAR37[6:0] ; VAR8 <= VAR65; VAR43[38:6] <= ({VAR35[39],VAR35[37:6]}) + (({33{VAR35[39]}}^{2'b00,VAR8}) + VAR35[39]); VAR43[62:39] <= VAR35[62:39] ; VAR43[5:0] <= VAR35[5:0] ; VAR68 <= VAR8; VAR44[37:5] <= ({VAR43[38],VAR43[36:5]}) + (({33{VAR43[38]}}^{2'b00,VAR68}) + VAR43[38]); VAR44[62:38] <= VAR43[62:38] ; VAR44[4:0] <= VAR43[4:0] ; VAR26 <= VAR68; VAR3[36:4] <= ({VAR44[37],VAR44[35:4]}) + (({33{VAR44[37]}}^{2'b00,VAR26}) + VAR44[37]); VAR3[62:37] <= VAR44[62:37] ; VAR3[3:0] <= VAR44[3:0] ; VAR22 <= VAR26; VAR69[35:3] <= ({VAR3[36],VAR3[34:3]}) + (({33{VAR3[36]}}^{2'b00,VAR22}) + VAR3[36]); VAR69[62:36] <= VAR3[62:36] ; VAR69[2:0] <= VAR3[2:0] ; VAR60 <= VAR22; VAR38[34:2] <= ({VAR69[35],VAR69[33:2]}) + (({33{VAR69[35]}}^{2'b00,VAR60}) + VAR69[35]); VAR38[62:35] <= VAR69[62:35] ; VAR38[1:0] <= VAR69[1:0] ; VAR49 <= VAR60; VAR50[33:1] <= ({VAR38[34],VAR38[32:1]}) + (({33{VAR38[34]}}^{2'b00,VAR49}) + VAR38[34]); VAR50[62:34] <= VAR38[62:34] ; VAR50[0:0] <= VAR38[0:0] ; VAR67 <= VAR49; VAR45[32:0] <= ({VAR50[33],VAR50[31:0]}) + (({33{VAR50[33]}}^{2'b00,VAR67}) + VAR50[33]); VAR45[62:33] <= VAR50[62:33] ; VAR54 <= VAR67; end end assign VAR27 = VAR45[62:32]; assign VAR41 = (VAR45[31])?(VAR45[30:0]+VAR54):VAR45[30:0]; endmodule
mit
Beck-Sisyphus/EE471
Lab4/sourceCode/CPUcontrol.v
1,552
module MODULE1 (clk, rst, VAR27, VAR7, VAR6, VAR33, VAR30, VAR17, VAR23, VAR2, VAR10, VAR22, VAR18, VAR8, VAR14, VAR28); input clk, rst, VAR27, VAR7; input [31:0] VAR6; input [6:0] VAR33; output VAR30, VAR17, VAR23, VAR2, VAR10, VAR22; output [4:0] VAR18, VAR8, VAR14; output [31:0] VAR28; wire [31:0] VAR4, VAR19; wire [6:0] VAR29, VAR26; wire VAR9, VAR5; assign VAR28 = VAR4; assign VAR4 = {{16{VAR19[15]}}, VAR19[15:0]}; assign VAR19 = VAR7 ? 32'VAR31 : VAR6; assign VAR29 = VAR7 ? VAR26 : VAR33; VAR15 VAR3(clk, VAR29, VAR7, VAR19); VAR25 VAR21(clk, rst, VAR19[25:0], VAR4, VAR9, VAR5, VAR27, VAR26); VAR20 decoder(VAR19[31:26], VAR9, VAR5, VAR17, VAR23, VAR2, VAR10, VAR22); endmodule module MODULE2(); reg VAR32; wire [9:0] VAR1; reg [9:0] VAR16; reg [3:0] VAR24; VAR13 VAR12 (VAR32, VAR1, VAR16, VAR24); parameter VAR11 = 20;
mit