repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/video_sys/synthesis/submodules/video_sys_Color_Space_Converter.v
8,845
module MODULE1 ( clk, reset, VAR12, VAR20, VAR13, VAR8, VAR15, VAR5, VAR35, VAR23, VAR29, VAR3, VAR21, VAR32 ); parameter VAR14 = 23; parameter VAR34 = 23; parameter VAR18 = 1; parameter VAR24 = 1; input clk; input reset; input [VAR14: 0] VAR12; input VAR20; input VAR13; input [VAR18:0] VAR8; input VAR15; input VAR5; output VAR35; output reg [VAR34: 0] VAR23; output reg VAR29; output reg VAR3; output reg [VAR24:0] VAR21; output reg VAR32; wire VAR31; wire [VAR34: 0] VAR16; wire VAR26; wire VAR28; wire [VAR24:0] VAR19; wire VAR27; reg [VAR14: 0] VAR22; reg VAR9; reg VAR7; reg [VAR18:0] VAR30; reg valid; always @(posedge clk) begin if (reset) begin VAR23 <= 'h0; VAR29 <= 1'b0; VAR3 <= 1'b0; VAR21 <= 2'h0; VAR32 <= 1'b0; end else if (VAR31) begin VAR23 <= VAR16; VAR29 <= VAR26; VAR3 <= VAR28; VAR21 <= VAR19; VAR32 <= VAR27; end end always @(posedge clk) begin if (reset) begin VAR22 <= 'h0; VAR9 <= 1'b0; VAR7 <= 1'b0; VAR30 <= 'h0; valid <= 1'b0; end else if (VAR35) begin VAR22 <= VAR12; VAR9 <= VAR20; VAR7 <= VAR13; VAR30 <= VAR8; valid <= VAR15; end else if (VAR31) begin VAR22 <= 'b0; VAR9 <= 1'b0; VAR7 <= 1'b0; VAR30 <= 'h0; valid <= 1'b0; end end assign VAR35 = VAR15 & (~valid | VAR31); assign VAR31 = ~VAR32 | (VAR5 & VAR32); VAR2 VAR10 ( .clk (clk), .VAR4 (VAR31), .reset (reset), .VAR11 (VAR22[ 7: 0]), .VAR6 (VAR22[23:16]), .VAR33 (VAR22[15: 8]), .VAR20 (VAR9), .VAR13 (VAR7), .VAR8 (VAR30), .VAR15 (valid), .VAR25 (VAR16[23:16]), .VAR17 (VAR16[15: 8]), .VAR1 (VAR16[ 7: 0]), .VAR29 (VAR26), .VAR3 (VAR28), .VAR21 (VAR19), .VAR32 (VAR27) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o32ai/sky130_fd_sc_lp__o32ai.behavioral.v
1,643
module MODULE1 ( VAR12 , VAR13, VAR2, VAR8, VAR1, VAR9 ); output VAR12 ; input VAR13; input VAR2; input VAR8; input VAR1; input VAR9; supply1 VAR6; supply0 VAR16; supply1 VAR14 ; supply0 VAR7 ; wire VAR10 ; wire VAR17 ; wire VAR11; nor VAR15 (VAR10 , VAR8, VAR13, VAR2 ); nor VAR3 (VAR17 , VAR1, VAR9 ); or VAR4 (VAR11, VAR17, VAR10); buf VAR5 (VAR12 , VAR11 ); endmodule
apache-2.0
marco-c/leon-nexys2
grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/or1200/or1200_dmmu_tlb.v
10,550
module MODULE1( clk, rst, VAR27, VAR3, VAR38, VAR17, VAR37, VAR18, VAR32, VAR21, VAR26, VAR22, VAR42, VAR49, VAR10, VAR25, VAR13, VAR7, VAR29 ); parameter VAR50 = VAR54; parameter VAR33 = VAR54; input clk; input rst; input VAR27; input [VAR33-1:0] VAR3; output VAR38; output [31:VAR6] VAR17; output VAR37; output VAR18; output VAR32; output VAR21; output VAR26; input VAR22; input [VAR52 - 1:0] VAR49; output VAR42; input VAR10; input VAR25; input [31:0] VAR13; input [31:0] VAR7; output [31:0] VAR29; wire [VAR30] VAR41; wire VAR34; wire [VAR35-1:0] VAR51; wire VAR55; wire VAR1; wire [VAR48-1:0] VAR43; wire [VAR48-1:0] VAR53; wire VAR19; wire VAR46; wire [VAR8-1:0] VAR16; wire [VAR8-1:0] VAR12; wire VAR58; wire VAR45; wire VAR5 = VAR22; wire VAR9 = VAR58; assign VAR42 = VAR45; assign VAR55 = VAR27 | (VAR10 & !VAR13[VAR23]); assign VAR1 = VAR10 & VAR25 & !VAR13[VAR23]; assign VAR19 = VAR27 | (VAR10 & VAR13[VAR23]); assign VAR46 = VAR10 & VAR25 & VAR13[VAR23]; assign VAR29 = (VAR10 & !VAR25 & !VAR13[VAR23]) ? {VAR41, VAR51 & {VAR35{VAR34}}, {VAR31-7{1'b0}}, 1'b0, 5'b00000, VAR34} : (VAR10 & !VAR25 & VAR13[VAR23]) ? {VAR17, {VAR6-10{1'b0}}, VAR32, VAR21, VAR37, VAR18, {4{1'b0}}, VAR26, 1'b0} : 32'h00000000; assign {VAR41, VAR34} = VAR53; assign VAR43 = {VAR7[VAR30], VAR7[VAR40]}; assign {VAR17, VAR32, VAR21, VAR37, VAR18, VAR26} = VAR12; assign VAR16 = {VAR7[31:VAR6], VAR7[VAR56], VAR7[VAR15], VAR7[VAR14], VAR7[VAR2], VAR7[VAR39]}; assign VAR38 = (VAR41 == VAR3[VAR30]) & VAR34; assign VAR51 = VAR10 ? VAR13[VAR35-1:0] : VAR3[VAR11]; VAR59 VAR44( .clk(clk), .rst(rst), .VAR22(VAR5), .VAR42(VAR58), .VAR49(VAR49), .VAR24(VAR55), .VAR20(VAR1), .VAR28(1'b1), .addr(VAR51), .VAR57(VAR43), .VAR36(VAR53) ); VAR47 VAR4( .clk(clk), .rst(rst), .VAR22(VAR9), .VAR42(VAR45), .VAR49(VAR49), .VAR24(VAR19), .VAR20(VAR46), .VAR28(1'b1), .addr(VAR51), .VAR57(VAR16), .VAR36(VAR12) ); endmodule
gpl-2.0
kevintownsend/R3
coregen/fifo_fwft_64x1024.v
37,604
module MODULE1 ( clk, VAR101, rst, VAR43, VAR164, VAR161, VAR77, dout, din ); input clk; input VAR101; input rst; output VAR43; input VAR164; output VAR161; output VAR77; output [63 : 0] dout; input [63 : 0] din; wire VAR94; wire VAR53; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR28 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR135 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR34 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR141 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR17 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR124 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR5 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR95 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR158 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR34 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR147 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR10 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR112 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR5 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR12 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR158 ; wire \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR45 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR146 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR6 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR87 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<31>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<30>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<29>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<28>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<3>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<2>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<1>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<0>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<12>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<11>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<10>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<9>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<8>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<7>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<6>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<5>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<4>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<3>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<2>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<1>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<0>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<12>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<11>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<10>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<9>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<8>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<7>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<6>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<5>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<4>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<3>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<2>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<1>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<0>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR146 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR6 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR87 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<12>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<11>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<10>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<9>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<8>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<7>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<6>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<5>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<4>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<3>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<2>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<1>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<0>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<12>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<11>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<10>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<9>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<8>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<7>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<6>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<5>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<4>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<3>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<2>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<1>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<0>VAR89 ; wire \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR51 ; wire [0 : 0] \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 ; wire [0 : 0] \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR99 ; wire [4 : 0] \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 ; wire [2 : 1] \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR24 ; VAR145 VAR22 ( .VAR154(VAR94) ); VAR114 VAR115 ( .VAR98(VAR53) ); VAR137 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR57 ( .VAR113(clk), .VAR3(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [0]), .VAR123(VAR94), .VAR27(rst), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR135 ) ); VAR39 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR150 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR135 ), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [4]) ); VAR39 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR71 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [4]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [3]) ); VAR39 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR127 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [3]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [2]) ); VAR39 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR29 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [2]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [1]) ); VAR39 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR160 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [1]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR30 [0]) ); VAR117 #( .VAR110 ( 1'b1 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR156 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR34 ), .VAR27(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR147 ) ); VAR120 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR25 ( .VAR113(clk), .VAR138(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR5 ), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR12 ) ); VAR102 #( .VAR69 ( 13'h0301 ), .VAR149 ( "VAR132" ), .VAR148 ( 36 ), .VAR74 ( 0 ), .VAR118 ( "VAR19" ), .VAR33 ( "VAR129" ), .VAR62 ( 13'h0003 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR125 ( .VAR68(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR158 ), .VAR48(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR45 ), .VAR155(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR23(clk), .VAR105(clk), .VAR126(clk), .VAR97(clk), .VAR142(clk), .VAR67(clk), .VAR55 (\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR146 ), .VAR153(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR5 ), .VAR121(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR34 ), .VAR64(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR24 [2]), .VAR107(\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR6 ), .VAR81(\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR87 ), .VAR73({VAR94, VAR94, VAR94, VAR94, din[63], din[62], din[61], din[60], din[59], din[58], din[57], din[56], din[55], din[54], din[53], din[52], din[51], din[50] , din[49], din[48], din[47], din[46], din[45], din[44], din[43], din[42], din[41], din[40], din[39], din[38], din[37], din[36]}), .VAR83({VAR94, VAR94, VAR94, VAR94}), .VAR32({\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<31>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<30>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<29>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR85<28>VAR89 , dout[63], dout[62], dout[61], dout[60], dout[59], dout[58], dout[57], dout[56], dout[55], dout[54], dout[53], dout[52], dout[51], dout[50], dout[49], dout[48], dout[47], dout[46], dout[45], dout[44], dout[43], dout[42], dout[41], dout[40], dout[39], dout[38], dout[37], dout[36]}), .VAR165({\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<3>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<2>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<1>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR15<0>VAR89 }), .VAR109({ \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<12>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<11>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<10>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<9>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<8>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<7>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<6>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<5>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<4>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<3>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<2>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<1>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR60<0>VAR89 }), .VAR96({ \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<12>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<11>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<10>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<9>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<8>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<7>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<6>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<5>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<4>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<3>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<2>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<1>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR63.VAR9<0>VAR89 }) ); VAR117 #( .VAR110 ( 1'b1 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR156 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR34 ), .VAR27(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR141 ) ); VAR120 #( .VAR110 ( 1'b0 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR25 ( .VAR113(clk), .VAR138(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR5 ), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR95 ) ); VAR102 #( .VAR69 ( 13'h0301 ), .VAR149 ( "VAR132" ), .VAR148 ( 36 ), .VAR74 ( 0 ), .VAR118 ( "VAR19" ), .VAR33 ( "VAR129" ), .VAR62 ( 13'h0003 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR125 ( .VAR68(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR158 ), .VAR48(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR45 ), .VAR155(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR23(clk), .VAR105(clk), .VAR126(clk), .VAR97(clk), .VAR142(clk), .VAR67(clk), .VAR55 (\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR146 ), .VAR153(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR5 ), .VAR121(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR34 ), .VAR64(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR24 [1]), .VAR107(\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR6 ), .VAR81(\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR87 ), .VAR73({din[31], din[30], din[29], din[28], din[27], din[26], din[25], din[24], din[23], din[22], din[21], din[20], din[19], din[18], din[17], din[16], din[15], din[14], din[13], din[12], din[11], din[10], din[9], din[8], din[7], din[6], din[5], din[4], din[3], din[2], din[1], din[0]}), .VAR83({din[35], din[34], din[33], din[32]}), .VAR32({dout[31], dout[30], dout[29], dout[28], dout[27], dout[26], dout[25], dout[24], dout[23], dout[22], dout[21], dout[20], dout[19], dout[18], dout[17], dout[16], dout[15], dout[14], dout[13], dout[12], dout[11], dout[10], dout[9], dout[8], dout[7], dout[6], dout[5], dout[4], dout[3], dout[2] , dout[1], dout[0]}), .VAR165({dout[35], dout[34], dout[33], dout[32]}), .VAR109({ \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<12>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<11>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<10>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<9>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<8>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<7>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<6>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<5>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<4>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<3>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<2>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<1>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR60<0>VAR89 }), .VAR96({ \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<12>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<11>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<10>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<9>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<8>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<7>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<6>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<5>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<4>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<3>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<2>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<1>VAR89 , \VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR63.VAR9<0>VAR89 }) ); VAR44 #( .VAR110 ( 4'hE )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR86<1>1 ( .VAR92(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR135 ), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR99 [0]), .VAR8(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]) ); VAR44 #( .VAR110 ( 4'hE )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR14 ( .VAR92(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR12 ), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR95 ), .VAR8(VAR77) ); VAR44 #( .VAR110 ( 4'hE )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR133 ( .VAR92(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR10 ), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR17 ), .VAR8(VAR43) ); VAR44 #( .VAR110 ( 4'hE )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR26 ( .VAR92(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR24 [2]), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR24 [1]), .VAR8(VAR161) ); VAR122 #( .VAR110 ( 8'h02 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR82 ( .VAR92(VAR164), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR24 [1]), .VAR111(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR24 [2]), .VAR8(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR45 ) ); VAR104 #( .VAR110 ( 32'h000ACC0A )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR84 ( .VAR92(VAR101), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR147 ), .VAR111(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR17 ), .VAR159(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR10 ), .VAR106(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR34 ), .VAR8(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR158 ) ); VAR104 #( .VAR110 ( 32'h000ACC0A )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR84 ( .VAR92(VAR101), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR141 ), .VAR111(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR10 ), .VAR159(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR17 ), .VAR106(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR34 ), .VAR8(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR158 ) ); VAR117 #( .VAR110 ( 1'b1 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR131 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR112 ), .VAR27(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR10 ) ); VAR117 #( .VAR110 ( 1'b1 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR131 ( .VAR113(clk), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR124 ), .VAR27(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR11 [0]), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR17 ) ); VAR104 #( .VAR110 ( 32'h8C88AEAA )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR75 ( .VAR92(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR10 ), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR34 ), .VAR111(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR17 ), .VAR159(VAR101), .VAR106(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR147 ), .VAR8(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR112 ) ); VAR104 #( .VAR110 ( 32'h8C88AEAA )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR75 ( .VAR92(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR17 ), .VAR52(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR34 ), .VAR111(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[2].VAR157/VAR7.VAR144/VAR10 ), .VAR159(VAR101), .VAR106(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR141 ), .VAR8(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR108.VAR91/VAR50[1].VAR157/VAR7.VAR144/VAR124 ) ); VAR1 #( .VAR110 ( 16'h001F )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR78 ( .VAR88(VAR94), .VAR31(VAR94), .VAR151(VAR53), .VAR13(VAR94), .VAR3(VAR53), .VAR128(clk), .VAR123(VAR94), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR28 ), .VAR21(\VAR65/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR51 ) ); VAR47 #( .VAR110 ( 1'b1 )) \VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR66 ( .VAR113(clk), .VAR3(VAR53), .VAR123(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR28 ), .VAR37(\VAR61/VAR136/VAR80.VAR54/VAR130.VAR76/VAR152/VAR99 [0]) ); endmodule module MODULE2 (); parameter VAR38 = 100000; parameter VAR90 = 0; wire VAR116; wire VAR70; wire VAR93; wire VAR119; tri1 VAR79; tri (weak1, strong0) VAR20 = VAR79; wire VAR162; wire VAR4; reg VAR46; reg VAR40; reg VAR36; wire VAR49; wire VAR163; wire VAR166; wire VAR139; wire VAR103; reg VAR140; reg VAR58; reg VAR134; reg VAR2; reg VAR56; reg VAR72 = 0; reg VAR59 = 0 ; reg VAR41 = 0; reg VAR42 = 0; reg VAR18 = 1'VAR100; reg VAR143 = 1'VAR100; reg VAR35 = 1'VAR100; reg VAR16 = 1'VAR100; assign (weak1, weak0) VAR116 = VAR46; assign (weak1, weak0) VAR70 = VAR40; assign (weak1, weak0) VAR119 = VAR36;
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/mux2/gf180mcu_fd_sc_mcu7t5v0__mux2_4.functional.pp.v
1,069
module MODULE1( VAR1, VAR3, VAR9, VAR12, VAR8, VAR10 ); input VAR12, VAR3, VAR9; inout VAR8, VAR10; output VAR1; wire VAR5; and VAR7( VAR5, VAR12, VAR3 ); wire VAR11; not VAR14( VAR11, VAR9 ); wire VAR6; and VAR2( VAR6, VAR11, VAR12 ); wire VAR13; and VAR15( VAR13, VAR3, VAR9 ); or VAR4( VAR1, VAR5, VAR6, VAR13 ); endmodule
apache-2.0
FPGA1988/udp_ip_stack
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/MAC_rx/MAC_rx_FF.v
25,298
module MODULE1 ( VAR27 , VAR80 , VAR30 , VAR26 , VAR21 , VAR91 , VAR62 , VAR16 , VAR87, VAR94, VAR45, VAR74 , VAR35 , VAR13 , VAR41 , VAR82 , VAR59, VAR86 ); input VAR27 ; input VAR80 ; input VAR30 ; input [7:0] VAR26 ; input VAR21 ; output VAR91 ; input VAR62 ; input VAR16 ; input VAR45 ; input [4:0] VAR87 ; input [4:0] VAR94 ; output VAR74 ;input VAR35 ; output [31:0] VAR13 ; output [1:0] VAR41 ; output VAR59 ; output VAR82 ; output VAR86 ; parameter VAR34 =4'd0; parameter VAR95 =4'd1; parameter VAR49 =4'd2; parameter VAR43 =4'd3; parameter VAR65 =4'd4; parameter VAR79 =4'd5; parameter VAR83 =4'd6; parameter VAR2 =4'd7; parameter VAR40 =4'd8; parameter VAR42 =4'd9; parameter VAR99 =3'd0; parameter VAR5 =3'd1; parameter VAR10 =3'd2; parameter VAR9 =3'd3; parameter VAR54 =3'd4; reg [VAR19-1:0] VAR90; reg [VAR19-1:0] VAR18; reg [VAR19-1:0] VAR58; reg [VAR19-1:0] VAR67; reg [VAR19-1:0] VAR53; reg [VAR19-1:0] VAR47; reg [VAR19-1:0] VAR93; reg [VAR19-1:0] VAR57; reg [VAR19-1:0] VAR36; reg [VAR19-1:0] VAR20; reg [35:0] VAR28; reg [35:0] VAR68; reg [35:0] VAR84; wire[35:0] VAR22; reg VAR96; reg VAR32; reg VAR37; wire[VAR19-1:0] VAR50; wire[VAR19-1:0] VAR55; wire[VAR19-1:0] VAR52; wire[VAR19-1:0] VAR14; reg VAR97; reg VAR4; reg VAR51 ; reg [3:0] VAR70 ; reg [3:0] VAR63; reg [7:0] VAR33; reg [7:0] VAR100; reg [7:0] VAR75; reg [7:0] VAR15; reg VAR71; reg [7:0] VAR44; reg VAR72 ; reg VAR82 ; reg VAR74 ; reg VAR59 ; reg [2:0] VAR69 ; reg [2:0] VAR85 ; reg [5:0] VAR61 ; reg VAR24 ; wire VAR6; reg VAR38; reg VAR56; reg VAR64 ; reg VAR88 ; reg VAR60; reg VAR12; reg VAR81; reg [35:0] VAR31; reg [4:0] VAR46; reg VAR23 ; reg VAR39 ; reg VAR7 ; reg VAR11 ; reg VAR76 ; reg [4:0] VAR89 ; reg [4:0] VAR48 ; reg VAR66 ; integer VAR17 ; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR70 <=VAR42; else VAR70 <=VAR63; always @(VAR70 or VAR21 or VAR62 or VAR16) case (VAR70) VAR42: if (VAR21) VAR63 =VAR34; else VAR63 =VAR70; VAR34: if (VAR21) VAR63 =VAR95; else if (VAR62) VAR63 =VAR40; else if (VAR16) VAR63 =VAR2; else VAR63 =VAR70; VAR95: if (VAR21) VAR63 =VAR49; else if (VAR62) VAR63 =VAR40; else if (VAR16) VAR63 =VAR83; else VAR63 =VAR70; VAR49: if (VAR21) VAR63 =VAR43; else if (VAR62) VAR63 =VAR40; else if (VAR16) VAR63 =VAR79; else VAR63 =VAR70; VAR43: if (VAR21) VAR63 =VAR34; else if (VAR62) VAR63 =VAR40; else if (VAR16) VAR63 =VAR65; else VAR63 =VAR70; VAR2: VAR63 =VAR42; VAR83: VAR63 =VAR42; VAR79: VAR63 =VAR42; VAR65: VAR63 =VAR42; VAR40: VAR63 =VAR42; default: VAR63 =VAR42; endcase always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR53 <=0; else if (VAR70==VAR42) VAR53 <=VAR90; always @ (posedge VAR27 or posedge VAR80) if (VAR27) VAR58 <=0; else begin VAR58[VAR19-1] <=VAR90[VAR19-1]; for (VAR17=VAR19-2;VAR17>=0;VAR17=VAR17-1) VAR58[VAR17] <=VAR90[VAR17+1]^VAR90[VAR17]; end always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR36 <=0; else VAR36 <=VAR57; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR20 =0; else begin VAR20[VAR19-1] =VAR36[VAR19-1]; for (VAR17=VAR19-2;VAR17>=0;VAR17=VAR17-1) VAR20[VAR17] =VAR20[VAR17+1]^VAR36[VAR17]; end assign VAR50=VAR90+1; assign VAR55=VAR90+4; assign VAR52=VAR90+3; assign VAR14=VAR90+2; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR97 <=0; else if (VAR50==VAR20) VAR97 <=1; else VAR97 <=0; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR4 <=0; else if (VAR55==VAR20|| VAR52==VAR20|| VAR14==VAR20|| VAR50==VAR20 ) VAR4 <=1; else VAR4 <=0; assign VAR91 =VAR4; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR90 <=0; else if (VAR70==VAR40) VAR90 <=VAR53; else if (VAR96&&!VAR97) VAR90 <=VAR90 +1; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR39 <=0; else if (VAR70==VAR40) VAR39 <=1; else VAR39 <=0; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR7 <=0; else VAR7 <=VAR39; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR11 <=0; else if (VAR70==VAR40) VAR11 <=1; else if (VAR7) VAR11 <=0; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR71 <=0; else VAR71 <=VAR21; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR44 <=0; else VAR44 <=VAR26; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR15 <=0; else if (VAR70==VAR34&&VAR71) VAR15 <=VAR44; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR75 <=0; else if (VAR70==VAR95&&VAR71) VAR75 <=VAR44; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR100 <=0; else if (VAR70==VAR49&&VAR71) VAR100 <=VAR44; always @ if (VAR70==VAR65||VAR70==VAR2|| VAR70==VAR83||VAR70==VAR79|| (VAR70==VAR43&&VAR21)) VAR32 =1; else VAR32 =0; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR84 <=0; else if(VAR32) VAR84 <=VAR68; always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR37 <=0; else if(VAR70==VAR42) VAR37 <=0; else if(VAR32) VAR37 <=1; always @ (posedge VAR80 or posedge VAR27) if (VAR27) begin VAR96 <=0; VAR28 <=0; end else if(VAR45) begin VAR96 <=VAR32; VAR28 <=VAR68; end else begin VAR96 <=VAR32&&VAR37; VAR28 <={VAR68[35:32],VAR84[31:0]}; end always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR88 <=0; else if (VAR70==VAR65||VAR70==VAR2|| VAR70==VAR83||VAR70==VAR79) VAR88 <=1; else VAR88 <=0; always @ (posedge VAR80 or posedge VAR27) if (VAR27) begin VAR60 <=0; VAR12 <=0; end else begin VAR60 <=VAR88; VAR12 <=VAR60; end always @ (posedge VAR80 or posedge VAR27) if (VAR27) VAR64 <=0; else if (VAR60||VAR12) VAR64 <=1; else VAR64 <=0; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR69 <=VAR9; else VAR69 <=VAR85; always @ (VAR69 or VAR35 or VAR74 or VAR22 or VAR51) case (VAR69) VAR9: if (VAR35&&VAR74&&!VAR51) VAR85 =VAR99; else if(VAR35&&VAR74&&VAR51) VAR85 =VAR54; else VAR85 =VAR69; VAR99: if (VAR22[35]) VAR85 =VAR10; else if (!VAR35) VAR85 =VAR5; else if (VAR51) VAR85 =VAR54; else VAR85 =VAR69; VAR5: if (VAR35) VAR85 =VAR99; else VAR85 =VAR69; VAR54: if (!VAR51) VAR85 =VAR99; else VAR85 =VAR69; VAR10: if (!VAR35) VAR85 =VAR9; else VAR85 =VAR69; default: VAR85 =VAR9; endcase always @ (posedge VAR30 or posedge VAR27) if (VAR27) begin VAR38 <=0; VAR56 <=0; end else begin VAR38 <=VAR64; VAR56 <=VAR38; end assign VAR6=VAR38&!VAR56; always @ (VAR69 or VAR85) if (VAR69==VAR99&&VAR85==VAR10) VAR24 =1; else VAR24 =0; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR61 <=0; else if (VAR6&&!VAR24) VAR61 <=VAR61 + 1; else if (!VAR6&&VAR24&&VAR61!=0) VAR61 <=VAR61 - 1; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR46 <=0; else VAR46 <=VAR18[VAR19-1:VAR19-5]-VAR47[VAR19-1:VAR19-5]; always @ (posedge VAR30 or posedge VAR27) if (VAR27) begin VAR89 <=0; VAR48 <=0; end else begin VAR89 <=VAR87; VAR48 <=VAR94; end always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR74 <=0; else if (VAR61==0&&VAR46<=VAR48) VAR74 <=0; else if (VAR61>=1||VAR46>=VAR89) VAR74 <=1; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR47 <=0; else if (VAR69==VAR99&&!(VAR22[35]&&VAR66)) VAR47 <=VAR47 + 1; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR93 <=0; else VAR93 <=VAR47; always @ (*) if (VAR93==VAR47) VAR66 =0; else VAR66 =1; always @ (posedge VAR27 or posedge VAR30) if (VAR27) VAR57 <=0; else begin VAR57[VAR19-1] <=VAR47[VAR19-1]; for (VAR17=VAR19-2;VAR17>=0;VAR17=VAR17-1) VAR57[VAR17] <=VAR47[VAR17+1]^VAR47[VAR17]; end always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR67 <=0; else VAR67 <=VAR58; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR76 <=0; else VAR76 <=VAR11; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR18 =0; else if (!VAR76) begin VAR18[VAR19-1] =VAR67[VAR19-1]; for (VAR17=VAR19-2;VAR17>=0;VAR17=VAR17-1) VAR18[VAR17] =VAR18[VAR17+1]^VAR67[VAR17]; end always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR51 <=1; else if (VAR47==VAR18) VAR51 <=1; else VAR51 <=0; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR31 <=0; else VAR31 <=VAR22; assign VAR13 =VAR31[31:0]; assign VAR41 =VAR31[33:32]; assign VAR86 =VAR31[35]; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR23 <=0; else if (VAR69==VAR99&&!(VAR22[35]&&VAR66)) VAR23 <=1; else VAR23 <=0; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR59 <=0; else VAR59 <=VAR23; always @ (posedge VAR30 or posedge VAR27) if (VAR27) VAR72 <=0; else if (VAR69==VAR9&&VAR85==VAR99) VAR72 <=1; else VAR72 <=0; always @ (posedge VAR30 or posedge VAR27) if (VAR27) begin VAR81 <=0; VAR82 <=0; end else begin VAR81 <=VAR72; VAR82 <=VAR81; end VAR25 #(36,VAR19,"VAR29") VAR92( .VAR78 (VAR28 ), .VAR8 (VAR96 ), .VAR98 (VAR90 ), .VAR77 (VAR47 ), .VAR1 (VAR80 ), .VAR3 (VAR30 ), .VAR73 (VAR22 )); endmodule
apache-2.0
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
bin_Sobel_Filter/system/synthesis/submodules/system_acl_iface_acl_kernel_clk.v
35,319
module MODULE1 ( output wire VAR40, input wire VAR128, output wire VAR180, output wire [31:0] VAR15, output wire VAR247, input wire [0:0] VAR187, input wire [31:0] VAR129, input wire [10:0] VAR152, input wire VAR111, input wire VAR239, input wire [3:0] VAR149, input wire VAR242, output wire VAR202, output wire VAR51, input wire VAR90, input wire VAR219 ); wire [63:0] VAR56; wire [63:0] VAR44; wire VAR221; wire VAR246; wire VAR140; wire [0:0] VAR195; wire VAR27; wire [10:0] VAR220; wire [31:0] VAR26; wire VAR29; wire VAR253; wire [31:0] VAR101; wire VAR151; wire [3:0] VAR176; wire VAR62; wire VAR9; wire [31:0] VAR117; wire [5:0] VAR97; wire VAR92; wire VAR88; wire [31:0] VAR172; wire [31:0] VAR35; wire [7:0] VAR136; wire VAR133; wire VAR19; wire VAR130; wire [31:0] VAR166; wire VAR236; wire [3:0] VAR178; wire VAR213; wire [31:0] VAR121; wire [1:0] VAR204; wire VAR33; wire VAR73; wire [31:0] VAR125; wire VAR135; wire [3:0] VAR208; wire VAR232; wire [31:0] VAR93; wire VAR116; wire VAR23; wire [31:0] VAR66; wire [3:0] VAR170; wire VAR189; wire [31:0] VAR227; wire VAR80; wire [31:0] VAR211; wire VAR218; wire VAR54; wire VAR171; wire VAR197; wire VAR78; VAR150 VAR105 ( .VAR190 (VAR128), .rst (VAR218), .VAR160 (VAR221), .VAR243 (VAR246), .VAR238 (VAR140), .VAR235 (VAR56), .VAR174 (VAR44) ); VAR147 #( .VAR251 ("VAR155 VAR216"), .VAR196 (64), .VAR198 (0), .VAR201 ("") ) VAR47 ( .VAR252 (VAR90), .VAR48 (VAR171), .VAR21 (VAR172), .VAR188 (VAR9), .VAR244 (VAR88), .VAR16 (VAR92), .VAR34 (VAR97), .VAR77 (VAR117), .VAR235 (VAR56), .VAR174 (VAR44) ); VAR67 VAR114 ( .clk (VAR90), .address (VAR136), .VAR168 (VAR236), .VAR45 (VAR19), .VAR32 (VAR133), .write (VAR130), .VAR11 (VAR166), .VAR82 (VAR35), .VAR83 (VAR178), .reset (VAR171), .VAR75 (VAR197) ); VAR177 #( .VAR1 (32), .VAR200 (2) ) counter ( .clk (VAR202), .VAR96 (VAR246), .VAR119 (~VAR78), .VAR10 (VAR204), .VAR162 (VAR121), .VAR3 (VAR73), .VAR52 (VAR33), .VAR255 (VAR208), .VAR17 (VAR213), .VAR199 (VAR125), .VAR127 (VAR135) ); VAR237 VAR134 ( .VAR167 (VAR221), .VAR5 (VAR202) ); VAR237 VAR257 ( .VAR167 (VAR246), .VAR5 (VAR40) ); VAR43 #( .VAR18 (32), .VAR112 (8), .VAR234 (11), .VAR123 (1), .VAR37 (0), .VAR215 (0) ) VAR161 ( .clk (VAR90), .reset (VAR171), .VAR245 (VAR180), .VAR184 (VAR15), .VAR225 (VAR247), .VAR99 (VAR187), .VAR89 (VAR129), .VAR124 (VAR152), .VAR206 (VAR111), .VAR179 (VAR239), .VAR38 (VAR149), .VAR39 (VAR242), .VAR31 (VAR27), .VAR61 (VAR101), .VAR98 (VAR62), .VAR231 (VAR195), .VAR193 (VAR26), .VAR250 (VAR220), .VAR107 (VAR29), .VAR7 (VAR253), .VAR103 (VAR176), .VAR12 (VAR151) ); VAR144 #( .VAR1 (32), .VAR164 (10) ) VAR58 ( .clk (VAR90), .VAR119 (~VAR171), .VAR52 (VAR116), .VAR162 (VAR93), .VAR255 (VAR170), .VAR3 (VAR23), .VAR199 (VAR66), .VAR17 (VAR232), .VAR241 (VAR54) ); VAR163 #( .VAR1 (32) ) VAR249 ( .clk (VAR90), .VAR119 (~VAR171), .VAR14 (VAR140), .VAR13 (VAR51), .VAR3 (VAR189), .VAR199 (VAR227) ); VAR65 #( .VAR1 (32), .VAR104 (-1598029823) ) VAR106 ( .clk (VAR90), .VAR119 (~VAR171), .VAR3 (VAR80), .VAR199 (VAR211) ); VAR64 VAR95 ( .VAR191 (VAR90), .VAR28 (VAR202), .VAR210 (VAR78), .VAR214 (VAR171), .VAR220 (VAR220), .VAR27 (VAR27), .VAR195 (VAR195), .VAR176 (VAR176), .VAR253 (VAR253), .VAR101 (VAR101), .VAR62 (VAR62), .VAR29 (VAR29), .VAR26 (VAR26), .VAR151 (VAR151), .VAR192 (VAR204), .VAR194 (VAR33), .VAR120 (VAR73), .VAR2 (VAR125), .VAR76 (VAR121), .VAR57 (VAR208), .VAR154 (VAR135), .VAR205 (VAR213), .VAR145 (VAR189), .VAR137 (VAR227), .VAR141 (VAR97), .VAR91 (VAR92), .VAR256 (VAR88), .VAR110 (VAR172), .VAR165 (VAR117), .VAR4 (VAR9), .VAR142 (VAR136), .VAR70 (VAR130), .VAR224 (VAR166), .VAR212 (VAR35), .VAR153 (VAR178), .VAR159 (VAR133), .VAR72 (VAR19), .VAR63 (VAR236), .VAR25 (VAR116), .VAR258 (VAR23), .VAR230 (VAR66), .VAR84 (VAR93), .VAR185 (VAR170), .VAR126 (VAR232), .VAR50 (VAR80), .VAR186 (VAR211) ); VAR108 #( .VAR60 (2), .VAR138 ("VAR183"), .VAR20 (2), .VAR86 (0), .VAR102 (1), .VAR122 (3), .VAR69 (1), .VAR203 (0), .VAR118 (0), .VAR53 (0), .VAR71 (0), .VAR181 (0), .VAR132 (0), .VAR30 (0), .VAR100 (0), .VAR85 (0), .VAR240 (0), .VAR228 (0), .VAR209 (0), .VAR148 (0), .VAR146 (0), .VAR158 (0), .VAR254 (0), .VAR109 (0) ) VAR6 ( .VAR59 (~VAR54), .VAR115 (~VAR219), .clk (VAR128), .VAR87 (VAR218), .VAR75 (), .VAR217 (1'b0), .VAR156 (1'b0), .VAR157 (1'b0), .VAR55 (1'b0), .VAR222 (1'b0), .VAR229 (1'b0), .VAR68 (1'b0), .VAR223 (1'b0), .VAR81 (1'b0), .VAR169 (1'b0), .VAR182 (1'b0), .VAR248 (1'b0), .VAR22 (1'b0), .VAR74 (1'b0), .VAR46 (1'b0), .VAR173 (1'b0), .VAR8 (1'b0), .VAR36 (1'b0), .VAR233 (1'b0), .VAR41 (1'b0), .VAR49 (1'b0), .VAR226 (1'b0), .VAR42 (1'b0), .VAR113 (1'b0), .VAR24 (1'b0), .VAR131 (1'b0), .VAR94 (1'b0), .VAR139 (1'b0), .VAR175 (1'b0), .VAR143 (1'b0) ); VAR108 #( .VAR60 (1), .VAR138 ("VAR183"), .VAR20 (2), .VAR86 (1), .VAR102 (1), .VAR122 (3), .VAR69 (1), .VAR203 (0), .VAR118 (0), .VAR53 (0), .VAR71 (0), .VAR181 (0), .VAR132 (0), .VAR30 (0), .VAR100 (0), .VAR85 (0), .VAR240 (0), .VAR228 (0), .VAR209 (0), .VAR148 (0), .VAR146 (0), .VAR158 (0), .VAR254 (0), .VAR109 (0) ) VAR79 ( .VAR59 (~VAR219), .clk (VAR90), .VAR87 (VAR171), .VAR75 (VAR197), .VAR217 (1'b0), .VAR115 (1'b0), .VAR156 (1'b0), .VAR157 (1'b0), .VAR55 (1'b0), .VAR222 (1'b0), .VAR229 (1'b0), .VAR68 (1'b0), .VAR223 (1'b0), .VAR81 (1'b0), .VAR169 (1'b0), .VAR182 (1'b0), .VAR248 (1'b0), .VAR22 (1'b0), .VAR74 (1'b0), .VAR46 (1'b0), .VAR173 (1'b0), .VAR8 (1'b0), .VAR36 (1'b0), .VAR233 (1'b0), .VAR41 (1'b0), .VAR49 (1'b0), .VAR226 (1'b0), .VAR42 (1'b0), .VAR113 (1'b0), .VAR24 (1'b0), .VAR131 (1'b0), .VAR94 (1'b0), .VAR139 (1'b0), .VAR175 (1'b0), .VAR143 (1'b0) ); VAR108 #( .VAR60 (1), .VAR138 ("VAR183"), .VAR20 (2), .VAR86 (0), .VAR102 (1), .VAR122 (3), .VAR69 (1), .VAR203 (0), .VAR118 (0), .VAR53 (0), .VAR71 (0), .VAR181 (0), .VAR132 (0), .VAR30 (0), .VAR100 (0), .VAR85 (0), .VAR240 (0), .VAR228 (0), .VAR209 (0), .VAR148 (0), .VAR146 (0), .VAR158 (0), .VAR254 (0), .VAR109 (0) ) VAR207 ( .VAR59 (~VAR219), .clk (VAR202), .VAR87 (VAR78), .VAR75 (), .VAR217 (1'b0), .VAR115 (1'b0), .VAR156 (1'b0), .VAR157 (1'b0), .VAR55 (1'b0), .VAR222 (1'b0), .VAR229 (1'b0), .VAR68 (1'b0), .VAR223 (1'b0), .VAR81 (1'b0), .VAR169 (1'b0), .VAR182 (1'b0), .VAR248 (1'b0), .VAR22 (1'b0), .VAR74 (1'b0), .VAR46 (1'b0), .VAR173 (1'b0), .VAR8 (1'b0), .VAR36 (1'b0), .VAR233 (1'b0), .VAR41 (1'b0), .VAR49 (1'b0), .VAR226 (1'b0), .VAR42 (1'b0), .VAR113 (1'b0), .VAR24 (1'b0), .VAR131 (1'b0), .VAR94 (1'b0), .VAR139 (1'b0), .VAR175 (1'b0), .VAR143 (1'b0) ); endmodule
mit
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v
2,120
module MODULE1 #(parameter VAR1(VAR6), VAR3 = "VAR5" , VAR7 = VAR6-1 , VAR2 = 0 ) (input [VAR6-1:0] VAR8 [VAR3-1:0] , output [VAR6-1:0] VAR4 [VAR3-1:0] );
bsd-3-clause
omicronns/studies-sys-rek
de1-soc/src/delayLine/delayLine.v
1,302
module MODULE1 #( parameter VAR8 = 0, parameter VAR1 = 8 )( input VAR6, input rst, input clk, input [VAR1 - 1:0] in, output [VAR1 - 1:0] out ); wire [VAR1 - 1:0] VAR5 [VAR8:0]; assign VAR5[0] = in; assign out = VAR5[VAR8]; genvar VAR3; generate for(VAR3 = 0; VAR3 < VAR8; VAR3 = VAR3 + 1) begin : VAR7 VAR4 #( .VAR1(VAR1) ) VAR2 ( .VAR6(VAR6), .rst(rst), .clk(clk), .in(VAR5[VAR3]), .out(VAR5[VAR3 + 1]) ); end endgenerate endmodule
mit
maijohnson/comp3601_blue_15s2
AudioController/sin_lut.v
2,182
module MODULE1 (input [5:0] VAR2, output [7:0] VAR1); assign VAR1 = (VAR2 == 6'd0) ? 128 : (VAR2 == 6'd1) ? 140 : (VAR2 == 6'd2) ? 152 : (VAR2 == 6'd3) ? 165 : (VAR2 == 6'd4) ? 176 : (VAR2 == 6'd5) ? 188 : (VAR2 == 6'd6) ? 198 : (VAR2 == 6'd7) ? 208 : (VAR2 == 6'd8) ? 218 : (VAR2 == 6'd9) ? 226 : (VAR2 == 6'd10) ? 234 : (VAR2 == 6'd11) ? 240 : (VAR2 == 6'd12) ? 245 : (VAR2 == 6'd13) ? 250 : (VAR2 == 6'd14) ? 253 : (VAR2 == 6'd15) ? 254 : (VAR2 == 6'd16) ? 255 : (VAR2 == 6'd17) ? 254 : (VAR2 == 6'd18) ? 253 : (VAR2 == 6'd19) ? 250 : (VAR2 == 6'd20) ? 245 : (VAR2 == 6'd21) ? 240 : (VAR2 == 6'd22) ? 234 : (VAR2 == 6'd23) ? 226 : (VAR2 == 6'd24) ? 218 : (VAR2 == 6'd25) ? 208 : (VAR2 == 6'd26) ? 198 : (VAR2 == 6'd27) ? 188 : (VAR2 == 6'd28) ? 176 : (VAR2 == 6'd29) ? 165 : (VAR2 == 6'd30) ? 152 : (VAR2 == 6'd31) ? 140 : (VAR2 == 6'd32) ? 128 : (VAR2 == 6'd33) ? 115 : (VAR2 == 6'd34) ? 103 : (VAR2 == 6'd35) ? 90 : (VAR2 == 6'd36) ? 79 : (VAR2 == 6'd37) ? 67 : (VAR2 == 6'd38) ? 57 : (VAR2 == 6'd39) ? 47 : (VAR2 == 6'd40) ? 37 : (VAR2 == 6'd41) ? 29 : (VAR2 == 6'd42) ? 21 : (VAR2 == 6'd43) ? 15 : (VAR2 == 6'd44) ? 10 : (VAR2 == 6'd45) ? 5 : (VAR2 == 6'd46) ? 2 : (VAR2 == 6'd47) ? 1 : (VAR2 == 6'd48) ? 0 : (VAR2 == 6'd49) ? 1 : (VAR2 == 6'd50) ? 2 : (VAR2 == 6'd51) ? 5 : (VAR2 == 6'd52) ? 10 : (VAR2 == 6'd53) ? 15 : (VAR2 == 6'd54) ? 21 : (VAR2 == 6'd55) ? 29 : (VAR2 == 6'd56) ? 37 : (VAR2 == 6'd57) ? 47 : (VAR2 == 6'd58) ? 57 : (VAR2 == 6'd59) ? 67 : (VAR2 == 6'd60) ? 79 : (VAR2 == 6'd61) ? 90 : (VAR2 == 6'd62) ? 103 : (VAR2 == 6'd63) ? 115 : 0 ; endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/control_lib/medfifo.v
2,116
module MODULE1 parameter VAR14=1) (input clk, input rst, input [VAR2-1:0] VAR4, output [VAR2-1:0] VAR12, input read, input write, input VAR6, output VAR15, output VAR10, output [7:0] VAR9, output [7:0] VAR5); localparam VAR16 = (1<<VAR14); wire [VAR2-1:0] dout [0:VAR16-1]; wire [0:VAR16-1] VAR13; wire [0:VAR16-1] VAR11; VAR7 #(.VAR2(VAR2)) head (.clk(clk),.rst(rst), .VAR4(VAR4),.write(write),.VAR15(VAR15), .VAR12(dout[0]),.read(~VAR11[0] & ~VAR13[1]),.VAR10(VAR11[0]), .VAR6(VAR6),.VAR9(VAR9[4:0]),.VAR5() ); VAR7 #(.VAR2(VAR2)) VAR1 (.clk(clk),.rst(rst), .VAR4(dout[VAR16-2]),.write(~VAR11[VAR16-2] & ~VAR13[VAR16-1]),.VAR15(VAR13[VAR16-1]), .VAR12(VAR12),.read(read),.VAR10(VAR10), .VAR6(VAR6),.VAR9(),.VAR5(VAR5[4:0]) ); genvar VAR8; generate for(VAR8 = 1; VAR8 < VAR16-1 ; VAR8 = VAR8 + 1) begin : VAR3 VAR7 #(.VAR2(VAR2)) VAR7 (.clk(clk),.rst(rst), .VAR4(dout[VAR8-1]),.write(~VAR13[VAR8] & ~VAR11[VAR8-1]),.VAR15(VAR13[VAR8]), .VAR12(dout[VAR8]),.read(~VAR13[VAR8+1] & ~VAR11[VAR8]),.VAR10(VAR11[VAR8]), .VAR6(VAR6),.VAR9(),.VAR5() ); end endgenerate assign VAR9[7:5] = 0; assign VAR5[7:5] = 0; endmodule
gpl-2.0
martinmiranda14/Digitales
Lab_6/Lab_6_prev1.srcs/sources_1/new/clock_divider.v
1,122
module MODULE1( input clk, input rst, output reg VAR2 ); localparam VAR1 = 100000; reg [63:0] VAR3; always @ (posedge(clk) or posedge(rst)) begin if (rst == 1'b1) VAR3 <= 32'd0; end else if (VAR3 == (VAR1 - 32'd1)) VAR3 <= 32'd0; else VAR3 <= VAR3 + 32'b1; end always @ (posedge(clk) or posedge(rst)) begin if (rst == 1'b1) VAR2 <= 1'b0; end else if (VAR3 == (VAR1 - 1)) VAR2 <= ~VAR2; else VAR2 <= VAR2; end endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/oai22/gf180mcu_fd_sc_mcu7t5v0__oai22_2.behavioral.pp.v
2,375
module MODULE1( VAR8, VAR6, VAR4, VAR3, VAR7, VAR5, VAR9 ); input VAR7, VAR3, VAR6, VAR8; inout VAR5, VAR9; output VAR4; VAR2 VAR10(.VAR8(VAR8),.VAR6(VAR6),.VAR4(VAR4),.VAR3(VAR3),.VAR7(VAR7),.VAR5(VAR5),.VAR9(VAR9)); VAR2 VAR1(.VAR8(VAR8),.VAR6(VAR6),.VAR4(VAR4),.VAR3(VAR3),.VAR7(VAR7),.VAR5(VAR5),.VAR9(VAR9));
apache-2.0
cfangmeier/VFPIX-telescope-Code
DAQ_Firmware/src/ram/ram_controller_controller_phy.v
15,072
module MODULE1 ( VAR96, VAR130, VAR171, VAR33, VAR1, VAR94, VAR24, VAR38, VAR30, VAR163, VAR59, VAR83, VAR144, VAR70, VAR168, VAR61, VAR79, VAR40, VAR39, VAR62, VAR100, VAR166, VAR146, VAR118, VAR99, VAR120, VAR64, VAR78, VAR3, VAR50, VAR42, VAR88, VAR27, VAR119, VAR95, VAR149, VAR150, VAR52, VAR129, VAR173, VAR123, VAR5, VAR140, VAR102, VAR29, VAR6, VAR54, VAR25, VAR58, VAR153, VAR121, VAR142, VAR77, VAR37, VAR101, VAR63, VAR26, VAR72, VAR134, VAR51, VAR57, VAR23, VAR139, VAR157, VAR97, VAR116, VAR152, VAR19, VAR162, VAR109, VAR159, VAR112, VAR138, VAR13, VAR131, VAR56, VAR14 ) ; output VAR173; output VAR123; output VAR5; output VAR140; output VAR102; output [ 5: 0] VAR29; output VAR6; output [ 15: 0] VAR54; output VAR25; output VAR58; output [ 31: 0] VAR153; output VAR121; output VAR142; output VAR77; output VAR37; output [ 12: 0] VAR101; output [ 2: 0] VAR63; output VAR26; output [ 0: 0] VAR72; inout [ 0: 0] VAR134; inout [ 0: 0] VAR51; output [ 0: 0] VAR57; output [ 1: 0] VAR23; inout [ 15: 0] VAR139; inout [ 1: 0] VAR157; inout [ 1: 0] VAR97; output [ 0: 0] VAR116; output VAR152; output VAR19; output VAR162; output VAR109; output VAR159; output VAR112; output VAR138; output [ 8: 0] VAR13; output VAR131; output VAR56; output VAR14; input [ 5: 0] VAR96; input [ 5: 0] VAR130; input VAR171; input VAR33; input [ 1: 0] VAR1; input VAR94; input [ 1: 0] VAR24; input [ 15: 0] VAR38; input [ 1: 0] VAR30; input [ 1: 0] VAR163; input [ 1: 0] VAR59; input [ 24: 0] VAR83; input VAR144; input [ 3: 0] VAR70; input VAR168; input VAR61; input VAR79; input VAR40; input VAR39; input VAR62; input VAR100; input [ 2: 0] VAR166; input [ 31: 0] VAR146; input VAR118; input [ 13: 0] VAR99; input [ 13: 0] VAR120; input [ 3: 0] VAR64; input VAR78; input VAR3; input VAR50; input [ 2: 0] VAR42; input [ 3: 0] VAR88; input [ 8: 0] VAR27; input VAR119; input VAR95; input VAR149; input VAR150; input VAR52; input VAR129; wire [ 12: 0] VAR154; wire [ 2: 0] VAR60; wire VAR91; wire VAR67; wire VAR84; wire VAR137; wire VAR28; wire [ 3: 0] VAR127; wire [ 1: 0] VAR36; wire VAR105; wire VAR148; wire [ 31: 0] VAR69; wire [ 1: 0] VAR126; wire [ 1: 0] VAR18; wire VAR48; wire VAR85; wire [ 31: 0] VAR135; wire [ 1: 0] VAR45; wire VAR47; wire [ 4: 0] VAR169; wire VAR173; wire VAR123; wire VAR5; wire VAR140; wire [ 31: 0] VAR115; wire VAR160; wire VAR133; wire [ 1: 0] VAR68; wire VAR132; wire VAR165; wire VAR86; wire VAR147; wire VAR7; wire [ 4: 0] VAR15; wire [ 31: 0] VAR87; wire VAR164; wire VAR102; wire [ 5: 0] VAR29; wire VAR6; wire [ 15: 0] VAR54; wire VAR25; wire VAR58; wire [ 31: 0] VAR153; wire VAR121; wire VAR142; wire VAR77; wire VAR37; wire [ 12: 0] VAR101; wire [ 2: 0] VAR63; wire VAR26; wire [ 0: 0] VAR72; wire [ 0: 0] VAR134; wire [ 0: 0] VAR51; wire [ 0: 0] VAR57; wire [ 1: 0] VAR23; wire [ 15: 0] VAR139; wire [ 1: 0] VAR157; wire [ 1: 0] VAR97; wire [ 0: 0] VAR116; wire VAR152; wire VAR19; wire VAR162; wire VAR109; wire VAR159; wire VAR112; wire VAR138; wire [ 8: 0] VAR13; wire VAR131; wire VAR110; wire VAR56; wire VAR14; assign VAR109 = VAR147; assign VAR56 = VAR110; VAR55 VAR31 ( .VAR154 (VAR154), .VAR60 (VAR60), .VAR73 (VAR68), .VAR21 (VAR132), .VAR32 (VAR165), .VAR98 (VAR86), .VAR91 (VAR91), .VAR67 (VAR67), .VAR84 (VAR84), .VAR137 (VAR137), .VAR28 (VAR28), .VAR127 (VAR127), .VAR36 (VAR36), .VAR155 (VAR7), .VAR105 (VAR105), .VAR148 (VAR148), .VAR69 (VAR69), .VAR126 (VAR126), .VAR18 (VAR18), .VAR48 (VAR48), .VAR65 (VAR15), .VAR85 (VAR85), .VAR151 ({1{1'b0}}), .VAR135 (VAR135), .VAR45 (VAR45), .VAR47 (VAR47), .VAR169 (VAR169), .clk (VAR147), .VAR125 (16'b0), .VAR74 (4'b0), .VAR71 (1'b0), .VAR141 (1'b0), .VAR46 (VAR115), .VAR53 (VAR160), .VAR128 (1'b0), .VAR106 (VAR133), .VAR35 (32'b0), .VAR9 (1'b0), .VAR6 (VAR6), .VAR49 (VAR123), .VAR83 (VAR83), .VAR144 (VAR144), .VAR66 (VAR168), .VAR158 (VAR166), .VAR8 (VAR70), .VAR25 (VAR25), .VAR93 (VAR61), .VAR2 (VAR58), .VAR92 (1'b0), .VAR16 (1'b1), .VAR80 (VAR79), .VAR170 (VAR153), .VAR156 (VAR121), .VAR142 (VAR142), .VAR77 (VAR77), .VAR40 (VAR40), .VAR39 (VAR39), .VAR37 (VAR37), .VAR62 (VAR62), .VAR100 (VAR100), .VAR143 (VAR118), .VAR145 (VAR146), .VAR117 (VAR110) ); VAR89 VAR167 ( .VAR173 (VAR173), .VAR123 (VAR123), .VAR113 (VAR154), .VAR44 (VAR60), .VAR68 (VAR68), .VAR132 (VAR132), .VAR165 (VAR165), .VAR86 (VAR86), .VAR124 (VAR91), .VAR82 (VAR67), .VAR147 (VAR147), .VAR43 (VAR84), .VAR34 (VAR127), .VAR136 (VAR126), .VAR111 (VAR36), .VAR7 (VAR7), .VAR20 (VAR105), .VAR17 (VAR148), .VAR172 (VAR69), .VAR114 (VAR48), .VAR76 (VAR110), .VAR15 (VAR15), .VAR103 (VAR85), .VAR161 (VAR135), .VAR81 (VAR45), .VAR122 (VAR47), .VAR75 (VAR169), .VAR10 (13'b0), .VAR22 (VAR147), .VAR4 (1'b0), .VAR104 (1'b0), .VAR107 (VAR87), .VAR12 (VAR110), .VAR41 (VAR164), .VAR11 (1'b0), .VAR108 (32'b0), .VAR171 (VAR171), .VAR101 (VAR101), .VAR63 (VAR63), .VAR26 (VAR26), .VAR72 (VAR72), .VAR134 (VAR134), .VAR51 (VAR51), .VAR57 (VAR57), .VAR23 (VAR23[1 : 0]), .VAR139 (VAR139), .VAR157 (VAR157[1 : 0]), .VAR97 (VAR97[1 : 0]), .VAR116 (VAR116), .VAR152 (VAR152), .VAR19 (VAR19), .VAR162 (VAR162), .VAR52 (VAR52), .VAR14 (VAR14), .VAR129 (VAR129), .VAR90 () ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_dff_nr_pp_pkg_s/sky130_fd_sc_hs__udp_dff_nr_pp_pkg_s.symbol.v
1,498
module MODULE1 ( input VAR1 , output VAR7 , input VAR3 , input VAR4 , input VAR5, input VAR2 , input VAR8 , input VAR6 ); endmodule
apache-2.0
davidjabon/Verilog
Binary_to_BCD/binary_to_BCD_eight_bit.v
1,186
module MODULE1( input [7:0] in, output [3:0] VAR4, output [3:0] VAR22, output [1:0] VAR10 ); wire [3:0] VAR13,VAR2,VAR18,VAR21,VAR19,VAR11,VAR12; wire [3:0] VAR23,VAR16,VAR14,VAR3,VAR7,VAR5,VAR20; assign VAR23 = {1'b0,in[7:5]}; assign VAR16 = {VAR13[2:0],in[4]}; assign VAR14 = {VAR2[2:0],in[3]}; assign VAR3 = {1'b0,VAR13[3],VAR2[3],VAR18[3]}; assign VAR7 = {VAR18[2:0],in[2]}; assign VAR5 = {VAR21[2:0],VAR19[3]}; assign VAR20 = {VAR19[2:0],in[1]}; VAR15 VAR8(VAR23,VAR13); VAR15 VAR9(VAR16,VAR2); VAR15 VAR17(VAR14,VAR18); VAR15 VAR1(VAR3,VAR21); VAR15 VAR25(VAR7,VAR19); VAR15 VAR24(VAR5,VAR11); VAR15 VAR6(VAR20,VAR12); assign VAR4 = {VAR12[2:0],in[0]}; assign VAR22 = {VAR11[2:0],VAR12[3]}; assign VAR10 = {VAR21[3],VAR11[3]}; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/einvn/sky130_fd_sc_lp__einvn.pp.blackbox.v
1,289
module MODULE1 ( VAR3 , VAR5 , VAR2, VAR1, VAR7, VAR6 , VAR4 ); output VAR3 ; input VAR5 ; input VAR2; input VAR1; input VAR7; input VAR6 ; input VAR4 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o22a/sky130_fd_sc_hd__o22a.pp.symbol.v
1,368
module MODULE1 ( input VAR9 , input VAR7 , input VAR6 , input VAR4 , output VAR1 , input VAR2 , input VAR3, input VAR5, input VAR8 ); endmodule
apache-2.0
asicguy/gplgpu
hdl/altera_ddr3_128/alt_mem_ddrx_rank_timer.v
112,499
module MODULE1 # ( parameter VAR128 = 2, VAR107 = 4, VAR213 = "VAR125", VAR40 = 1, VAR126 = 1, VAR203 = 4, VAR189 = 2, VAR169 = 0, VAR9 = 0, VAR174 = 5, VAR14 = 0, VAR192 = 0, VAR159 = 0, VAR10 = 0, VAR101 = 0, VAR206 = 0, VAR96 = 0, VAR187 = 0, VAR133 = 0, VAR6 = 0, VAR4 = 0, VAR53 = 0 ) ( VAR20, VAR61, VAR179, VAR87, VAR141, VAR200, VAR76, VAR140, VAR84, VAR81, VAR152, VAR15, VAR193, VAR2, VAR33, VAR58, VAR149, VAR108, VAR195, VAR97, VAR139, VAR60, VAR201, VAR114, VAR45, VAR221, VAR205, VAR69, VAR202, VAR116, VAR135, VAR176 ); input VAR20; input VAR61; input [VAR174 - 1 : 0] VAR179; input [VAR14 - 1 : 0] VAR87; input [VAR192 - 1 : 0] VAR141; input [VAR159 - 1 : 0] VAR200; input [VAR10 - 1 : 0] VAR76; input [VAR101 - 1 : 0] VAR140; input [VAR206 - 1 : 0] VAR84; input [VAR96 - 1 : 0] VAR81; input [VAR187 - 1 : 0] VAR152; input [VAR133 - 1 : 0] VAR15; input [VAR6 - 1 : 0] VAR193; input [VAR4 - 1 : 0] VAR2; input [VAR53 - 1 : 0] VAR33; input [VAR189 - 1 : 0] VAR58; input [VAR189 - 1 : 0] VAR149; input [VAR189 - 1 : 0] VAR108; input [VAR189 - 1 : 0] VAR195; input [VAR189 - 1 : 0] VAR97; input [VAR189 - 1 : 0] VAR139; input [(VAR189 * VAR40) - 1 : 0] VAR60; input [VAR203 - 1 : 0] VAR201; input VAR114; input [VAR126 - 1 : 0] VAR45; input [(VAR107 * VAR126) - 1 : 0] VAR221; input [VAR107 - 1 : 0] VAR205; input [VAR40 - 1 : 0] VAR69; output [VAR107 - 1 : 0] VAR202; output [VAR107 - 1 : 0] VAR116; output [VAR107 - 1 : 0] VAR135; output [VAR107 - 1 : 0] VAR176; localparam VAR115 = (VAR9) ? ((VAR169) ? 4 : 3) : ((VAR169) ? 3 : 2); localparam VAR214 = (VAR9) ? ((VAR169) ? 2 : 1) : ((VAR169) ? 1 : 0); localparam VAR12 = 1; wire VAR93 = 1'b1; wire VAR199 = 1'b0; reg VAR177; reg VAR111; reg VAR85; reg VAR132; reg VAR32; reg VAR13; reg VAR51; reg VAR170; reg VAR106; reg VAR27; reg VAR162; reg VAR43; reg VAR94; reg VAR178; reg VAR197; reg VAR167; reg VAR220; reg VAR42; reg VAR62; reg VAR46; reg VAR7; reg VAR29; reg VAR172; reg VAR190; reg VAR138; reg VAR123; reg VAR130; reg VAR216; reg VAR181; reg VAR122; reg VAR99; reg VAR37; reg VAR146; reg VAR218; reg VAR208; reg VAR78; reg VAR147; reg VAR198; reg VAR136; reg VAR16; reg VAR82; reg VAR219; reg VAR59; reg VAR210; reg VAR118; reg VAR35; reg VAR161; reg VAR77; reg VAR48; reg VAR158; reg VAR215; reg VAR217; reg VAR153; reg VAR142; reg VAR120; reg VAR102; reg VAR71; reg VAR112; reg VAR36; reg VAR155; reg VAR145; reg VAR211; reg VAR64; reg VAR57; reg VAR8; reg VAR83; reg VAR163; reg VAR119; reg VAR171; reg VAR182; reg VAR150; reg VAR105; reg VAR104; reg VAR44; reg VAR92; reg VAR55; reg VAR54; reg VAR186; reg VAR86; reg VAR79; reg VAR212; reg VAR19; reg VAR70; reg VAR50; reg VAR66; reg VAR137; reg VAR73; reg VAR175; reg VAR196; reg VAR124; reg VAR127; reg VAR67; reg VAR28; reg VAR41; reg [VAR40 - 1 : 0] VAR18; reg [VAR40 - 1 : 0] VAR160; reg [VAR203 - 1 : 0] VAR183; reg VAR166; localparam VAR63 = VAR192; localparam VAR38 = 3; localparam VAR184 = 2 ** VAR14; reg [VAR40 - 1 : 0] VAR207; reg [VAR40 - 1 : 0] VAR98; reg [VAR40 - 1 : 0] VAR24; reg [VAR40 - 1 : 0] VAR72; reg [VAR40 - 1 : 0] VAR144; wire [VAR38 - 1 : 0] VAR204 [VAR40 - 1 : 0]; localparam VAR39 = 32'h49444C45; localparam VAR95 = 32'h20205752; localparam VAR17 = 32'h20205244; localparam VAR100 = (VAR6 > VAR101) ? VAR6 : VAR101; reg [VAR203 - 1 : 0] VAR91; reg [VAR6 - 1 : 0] VAR191; reg [VAR53 - 1 : 0] VAR154; reg [VAR101 - 1 : 0] VAR121; reg [VAR96 - 1 : 0] VAR25; reg [VAR6 - 1 : 0] VAR194; reg [VAR53 - 1 : 0] VAR3; reg [VAR101 - 1 : 0] VAR5; reg [VAR96 - 1 : 0] VAR156; reg [VAR40 - 1 : 0] VAR164; reg [VAR40 - 1 : 0] VAR30; reg [VAR40 - 1 : 0] VAR21; reg [VAR107 - 1 : 0] VAR80; reg [VAR107 - 1 : 0] VAR103; reg [VAR107 - 1 : 0] VAR151; reg [VAR107 - 1 : 0] VAR185; reg [VAR107 - 1 : 0] VAR202; reg [VAR107 - 1 : 0] VAR116; reg [VAR107 - 1 : 0] VAR135; reg [VAR107 - 1 : 0] VAR176; reg [VAR14 - 1 : 0] VAR26; always @ begin VAR124 = |VAR139; end always @ begin VAR67 = |VAR195; end always @ begin VAR41 = |VAR149; end always @ begin VAR183 = VAR201; end always @ begin if (VAR9 && VAR205 [VAR180]) begin VAR109 = VAR45; end else begin VAR109 = VAR221 [(VAR180 + 1) * VAR126 - 1 : VAR180 * VAR126]; end end if (VAR9) begin always @ begin VAR202 [VAR180] = VAR144 [VAR109]; end always @ begin VAR135 [VAR180] = VAR164 [VAR109]; end always @ begin if (VAR9) begin VAR102 = VAR111; VAR71 = VAR167; VAR112 = VAR220; VAR36 = VAR42; VAR155 = VAR62; VAR145 = VAR46; VAR211 = VAR7; VAR64 = VAR29; VAR57 = VAR172; VAR8 = VAR190; VAR83 = VAR138; VAR163 = VAR85; VAR119 = VAR123; VAR171 = VAR130; VAR182 = VAR216; VAR150 = VAR181; VAR105 = VAR122; VAR104 = VAR99; VAR44 = VAR37; VAR92 = VAR146; VAR55 = VAR218; VAR54 = VAR208; VAR186 = VAR132; VAR86 = VAR78; VAR79 = VAR147; VAR212 = VAR198; VAR19 = VAR136; VAR70 = VAR16; VAR50 = VAR82; VAR66 = VAR219; VAR137 = VAR59; VAR73 = VAR210; VAR175 = VAR118; end else begin VAR102 = VAR111; VAR71 = VAR167; VAR112 = VAR220; VAR36 = VAR42; VAR155 = VAR62; VAR145 = VAR46; VAR211 = VAR7; VAR64 = VAR29; VAR57 = VAR172; VAR8 = VAR190; VAR83 = VAR138; VAR163 = VAR111; VAR119 = VAR167; VAR171 = VAR220; VAR182 = VAR42; VAR150 = VAR62; VAR105 = VAR46; VAR104 = VAR7; VAR44 = VAR29; VAR92 = VAR172; VAR55 = VAR190; VAR54 = VAR138; VAR186 = VAR85; VAR86 = VAR123; VAR79 = VAR130; VAR212 = VAR216; VAR19 = VAR181; VAR70 = VAR122; VAR50 = VAR99; VAR66 = VAR37; VAR137 = VAR146; VAR73 = VAR218; VAR175 = VAR208; end end end else begin always @ begin if (VAR32) begin VAR98 [VAR188] = 1'b1; end else begin if (VAR196 && VAR18 [VAR188] && VAR143 == 3'd3) VAR98 [VAR188] = 1'b0; end else if (VAR143 < 3'd4) VAR98 [VAR188] = 1'b1; end else VAR98 [VAR188] = 1'b0; end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR207 [VAR188] <= 1'b0; end else begin VAR207 [VAR188] <= VAR98 [VAR188]; end end reg [VAR63 - 1 : 0] VAR173; always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR173 <= 0; end else begin if (VAR196 && VAR18 [VAR188]) begin if (VAR12) begin VAR173 <= VAR115 - 1; end else begin VAR173 <= VAR115; end end else if (VAR173 != {VAR63{1'b1}}) begin VAR173 <= VAR173 + 1'b1; end end end always @ begin if (!VAR9 && VAR69 [VAR188]) begin VAR144 [VAR188] = 1'b0; end else begin if (VAR12) begin VAR144 [VAR188] = VAR72 [VAR188] & VAR98 [VAR188]; end else begin VAR144 [VAR188] = VAR24 [VAR188] & VAR207 [VAR188]; end end end end endgenerate always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR91 <= 0; end else begin VAR91 <= VAR179 / VAR128; end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR194 <= 0; VAR3 <= 0; VAR5 <= 0; VAR156 <= 0; end else begin if (VAR127) begin VAR194 <= VAR2; VAR3 <= VAR33; VAR5 <= VAR84; VAR156 <= VAR81; end else if (VAR67) begin if (VAR193 > (VAR91 - VAR183)) VAR194 <= VAR193 - (VAR91 - VAR183); end else VAR194 <= 1'b1; if (VAR33 > (VAR91 - VAR183)) VAR3 <= VAR33 - (VAR91 - VAR183); end else VAR3 <= 1'b1; if (VAR140 > (VAR91 - VAR183)) VAR5 <= VAR140 - (VAR91 - VAR183); end else VAR5 <= 1'b1; if (VAR81 > (VAR91 - VAR183)) VAR156 <= VAR81 - (VAR91 - VAR183); else VAR156 <= 1'b1; end end end generate genvar VAR110; for (VAR110 = 0;VAR110 < VAR40;VAR110 = VAR110 + 1) begin : VAR34 reg [31 : 0] VAR88; reg [VAR100 - 1 : 0] VAR131; reg [VAR100 - 1 : 0] VAR209; reg [VAR100 - 1 : 0] VAR168; reg [VAR100 - 1 : 0] VAR47; reg VAR157; reg VAR113; reg VAR90; reg VAR165; reg VAR134; reg VAR129; reg VAR148; reg VAR52; always @ begin if (VAR28) begin if (VAR160 [VAR110]) begin VAR113 = 1'b1; VAR165 = 1'b0; end else begin VAR113 = 1'b0; VAR165 = 1'b1; end end else begin VAR113 = 1'b0; VAR165 = 1'b0; end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR131 <= 0; VAR209 <= 0; end else begin if (VAR157) VAR131 <= VAR115; end else if (VAR131 != {VAR100{1'b1}}) VAR131 <= VAR131 + 1'b1; if (VAR113) VAR209 <= VAR115; end else if (VAR209 != {VAR100{1'b1}}) VAR209 <= VAR209 + 1'b1; end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR168 <= 0; VAR47 <= 0; end else begin if (VAR90) VAR168 <= VAR115; end else if (VAR168 != {VAR100{1'b1}}) VAR168 <= VAR168 + 1'b1; if (VAR165) VAR47 <= VAR115; end else if (VAR47 != {VAR100{1'b1}}) VAR47 <= VAR47 + 1'b1; end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR134 <= 1'b0; end else begin if (VAR41 || VAR28) begin if (VAR127) VAR134 <= 1'b1; end else VAR134 <= 1'b0; end end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR129 <= 1'b0; end else begin if (VAR41 || VAR28) VAR129 <= 1'b0; end else if (VAR67) VAR129 <= 1'b1; end end reg VAR68; reg VAR75; reg VAR74; reg VAR89; reg VAR23; reg VAR1; reg VAR49; reg VAR31; reg VAR22; reg VAR65; reg VAR11; reg VAR56; always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR68 <= 1'b0; VAR75 <= 1'b0; VAR74 <= 1'b0; VAR89 <= 1'b0; VAR23 <= 1'b0; VAR1 <= 1'b0; VAR49 <= 1'b0; VAR31 <= 1'b0; end else begin if (VAR157) begin if (VAR86) begin VAR68 <= 1'b1; end else begin VAR68 <= 1'b0; end if (VAR79) begin VAR74 <= 1'b1; end else begin VAR74 <= 1'b0; end end else begin if (VAR131 >= (VAR152 - 1'b1)) begin VAR68 <= 1'b1; end else begin VAR68 <= 1'b0; end if (VAR131 >= (VAR193 - 1'b1)) begin VAR74 <= 1'b1; end else begin VAR74 <= 1'b0; end end if (VAR90) begin if (VAR66) begin VAR75 <= 1'b1; end else begin VAR75 <= 1'b0; end if (VAR137) begin VAR89 <= 1'b1; end else begin VAR89 <= 1'b0; end end else begin if (VAR168 >= (VAR15 - 1'b1)) begin VAR75 <= 1'b1; end else begin VAR75 <= 1'b0; end if (VAR168 >= (VAR33 - 1'b1)) begin VAR89 <= 1'b1; end else begin VAR89 <= 1'b0; end end if (VAR113) begin if (VAR212) begin VAR23 <= 1'b1; end else begin VAR23 <= 1'b0; end if (VAR19) begin VAR49 <= 1'b1; end else begin VAR49 <= 1'b0; end end else begin if (VAR209 >= (VAR200 - 1'b1)) begin VAR23 <= 1'b1; end else begin VAR23 <= 1'b0; end if (VAR209 >= (VAR140 - 1'b1)) begin VAR49 <= 1'b1; end else begin VAR49 <= 1'b0; end end if (VAR165) begin if (VAR73) begin VAR1 <= 1'b1; end else begin VAR1 <= 1'b0; end if (VAR175) begin VAR31 <= 1'b1; end else begin VAR31 <= 1'b0; end end else begin if (VAR47 >= (VAR76 - 1'b1)) begin VAR1 <= 1'b1; end else begin VAR1 <= 1'b0; end if (VAR47 >= (VAR81 - 1'b1)) begin VAR31 <= 1'b1; end else begin VAR31 <= 1'b0; end end end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR22 <= 1'b0; VAR65 <= 1'b0; VAR11 <= 1'b0; VAR56 <= 1'b0; end else begin if (VAR157) begin if (VAR193 <= VAR115) begin VAR22 <= 1'b1; end else begin VAR22 <= 1'b0; end end else begin if (VAR131 >= (VAR194 - 1'b1)) begin VAR22 <= 1'b1; end else begin VAR22 <= 1'b0; end end if (VAR90) begin if (VAR33 <= VAR115) begin VAR65 <= 1'b1; end else begin VAR65 <= 1'b0; end end else begin if (VAR168 >= (VAR3 - 1'b1)) begin VAR65 <= 1'b1; end else begin VAR65 <= 1'b0; end end if (VAR113) begin if (VAR140 <= VAR115) begin VAR11 <= 1'b1; end else begin VAR11 <= 1'b0; end end else begin if (VAR209 >= (VAR5 - 1'b1)) begin VAR11 <= 1'b1; end else begin VAR11 <= 1'b0; end end if (VAR165) begin if (VAR81 <= VAR115) begin VAR56 <= 1'b1; end else begin VAR56 <= 1'b0; end end else begin if (VAR47 >= (VAR156 - 1'b1)) begin VAR56 <= 1'b1; end else begin VAR56 <= 1'b0; end end end end always @ (posedge VAR20 or negedge VAR61) begin if (!VAR61) begin VAR88 <= VAR39; VAR148 <= 1'b0; VAR52 <= 1'b0; end else begin case (VAR88) VAR39 : begin if (VAR113) begin VAR88 <= VAR95; if (VAR127) begin if (VAR104) VAR148 <= 1'b1; end else VAR148 <= 1'b0; end else begin if (VAR150) VAR148 <= 1'b1; end else VAR148 <= 1'b0; end if (VAR182) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end else if (VAR165) begin VAR88 <= VAR95; if (VAR54) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR55) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else if (VAR157) begin VAR88 <= VAR17; if (VAR119) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR127) begin if (VAR105) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end else begin if (VAR171) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end end else if (VAR90) begin VAR88 <= VAR17; if (VAR44) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR92) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else begin VAR88 <= VAR39; VAR148 <= 1'b1; VAR52 <= 1'b1; end end VAR95 : begin if (VAR113) begin VAR88 <= VAR95; if (VAR127) begin if (VAR104) VAR148 <= 1'b1; end else VAR148 <= 1'b0; end else begin if (VAR150) VAR148 <= 1'b1; end else VAR148 <= 1'b0; end if (VAR182) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else if (VAR165) begin VAR88 <= VAR95; if (VAR54 && VAR49) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR55 && VAR74) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else if (VAR157) begin VAR88 <= VAR17; if (VAR119) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR127) begin if (VAR105) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end else begin if (VAR171) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end end else if (VAR90) begin VAR88 <= VAR17; if (VAR44 && VAR49) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR92 && VAR74) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else begin if (VAR134 || VAR129) begin if (VAR11 && VAR68 && VAR56 && VAR75 ) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR23 && VAR22 && VAR1 && VAR65 ) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end else begin if (VAR49 && VAR68 && VAR31 && VAR75 ) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR23 && VAR74 && VAR1 && VAR89 ) VAR52 <= 1'b1; else VAR52 <= 1'b0; end end end VAR17 : begin if (VAR113) begin VAR88 <= VAR95; if (VAR127) begin if (VAR104) VAR148 <= 1'b1; end else VAR148 <= 1'b0; end else begin if (VAR150) VAR148 <= 1'b1; end else VAR148 <= 1'b0; end if (VAR182) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else if (VAR165) begin VAR88 <= VAR95; if (VAR54 && VAR11) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR55 && VAR74) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else if (VAR157) begin VAR88 <= VAR17; if (VAR119) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR127) begin if (VAR105) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end else begin if (VAR171) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end end else if (VAR90) begin VAR88 <= VAR17; if (VAR44 && VAR11) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR92 && VAR74) VAR52 <= 1'b1; else VAR52 <= 1'b0; end else begin if (VAR134 || VAR129) begin if (VAR68 && VAR11 && VAR75 && VAR56 ) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR22 && VAR23 && VAR65 && VAR1 ) VAR52 <= 1'b1; end else VAR52 <= 1'b0; end else begin if (VAR68 && VAR49 && VAR75 && VAR31 ) VAR148 <= 1'b1; end else VAR148 <= 1'b0; if (VAR74 && VAR23 && VAR89 && VAR1) VAR52 <= 1'b1; else VAR52 <= 1'b0; end end end default : VAR88 <= VAR39; endcase end end always @ begin if (!VAR9 && VAR69 [VAR117]) VAR21 [VAR117] = 1'b0; end else VAR21 [VAR117] = VAR93; end end endgenerate endmodule
gpl-3.0
jotego/jt12
hdl/jt12_div.v
4,421
module MODULE1( input rst, input clk, input VAR15 , input [1:0] VAR11, output reg VAR25, output reg VAR2, output reg VAR17, output reg VAR20, output reg VAR27, output reg VAR24 ); parameter VAR22=0; reg [3:0] VAR23, VAR14=4'd0; reg [2:0] VAR26, VAR28=3'd0; reg [4:0] VAR13 = 5'd0; reg [2:0] VAR9 = 3'd0, VAR10=3'd0; reg VAR18, VAR1, VAR3, VAR4; always @(*) begin casez( VAR11 ) 2'b0?: begin VAR23 = 4'd2-4'd1; VAR26 = 3'd0; end 2'b10: begin VAR23 = 4'd6-4'd1; VAR26 = 3'd3; end 2'b11: begin VAR23 = 4'd3-4'd1; VAR26 = 3'd1; end endcase end VAR5 VAR20 = 1'b0; reg VAR29; reg [1:0] VAR8=2'b0; reg VAR16, VAR12, VAR7, VAR6, VAR21, VAR19; always @(negedge clk) begin VAR25 <= VAR16; VAR2 <= VAR12; VAR17 <= VAR7; VAR20 <= VAR6; VAR27 <= VAR21; VAR24 <= VAR19; end always @(posedge clk) begin VAR18 <= VAR14 == 4'd0; VAR1 <= VAR28 == 3'd0; VAR3 <= VAR13 == 5'd0; VAR4 <= VAR9 == 3'd0; VAR29 <= VAR10 == 3'd0; VAR25 <= 1'b1; VAR17 <= 1'b1; VAR20 <= 1'b1; VAR24 <= 1'b1; VAR16 <= VAR15 & VAR18; VAR12 <= VAR15 && (VAR8==2'b00); VAR7 <= VAR22 ? (VAR15 & VAR1) : 1'b0; VAR6 <= VAR15 & VAR3; VAR21 <= VAR15 & VAR3 & VAR4; VAR19 <= VAR15 & VAR3 & VAR4 & VAR29; end always @(posedge clk) if( VAR15 ) begin VAR8 <= VAR8==2'b10 ? 2'b00 : (VAR8+2'b01); end always @(posedge clk) if( VAR15 ) begin if( VAR14 == VAR23 ) begin VAR14 <= 4'd0; end else VAR14 <= VAR14 + 4'd1; end always @(posedge clk) if( VAR15 ) begin if( VAR28 == VAR26 ) begin VAR28 <= 3'd0; end else VAR28 <= VAR28 + 3'd1; end always @(posedge clk) if( VAR15 ) begin VAR13 <= VAR13==5'd11 ? 5'd0 : VAR13 + 5'd1; if( VAR13==5'd0 ) begin VAR9 <= VAR9==3'd5 ? 3'd0 : VAR9+3'd1; if( VAR9==3'd0) VAR10 <= VAR10==3'd1 ? 3'd0: VAR10+3'd1; end end endmodule
gpl-3.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dlyb/gf180mcu_fd_sc_mcu7t5v0__dlyb_1.behavioral.v
1,098
module MODULE1( VAR1, VAR5 ); input VAR1; output VAR5; VAR4 VAR2(.VAR1(VAR1),.VAR5(VAR5)); VAR4 VAR3(.VAR1(VAR1),.VAR5(VAR5));
apache-2.0
plindstroem/oh
elink/dv/dv_elink.v
37,978
module MODULE1( VAR325, VAR160, VAR184, VAR288, VAR103, VAR128, clk, reset, VAR280, VAR19, VAR146, VAR121 ); parameter VAR287 = 32; parameter VAR307 = 32; parameter VAR141 = 2; parameter VAR243 = 12; parameter VAR72 = 6; parameter VAR183 = 12; parameter VAR327 = 104; input clk; input reset; output VAR325; output VAR160; input VAR280; input [VAR327-1:0] VAR19; output VAR184; output VAR288; output VAR103; output [VAR327-1:0] VAR128; input VAR146; input VAR121; wire [11:0] VAR274; wire [11:0] VAR326; wire VAR200; wire VAR276; wire VAR13; wire VAR312; wire VAR245; wire VAR173; wire VAR226; wire VAR65; wire VAR130; wire VAR278; wire VAR54; wire VAR28; wire VAR138; wire [VAR327-1:0] VAR187; wire VAR239; wire [VAR327-1:0] VAR64; wire VAR269; wire [VAR327-1:0] VAR175; wire VAR60; wire VAR135; wire VAR197; wire VAR69; wire [7:0] VAR300; wire [7:0] VAR236; wire VAR294; wire VAR177; wire VAR259; wire VAR14; wire VAR264; wire VAR9; wire VAR293; wire [11:0] VAR68; wire VAR143; wire VAR240; wire VAR112; wire VAR270; wire VAR152; wire VAR253; wire VAR102; wire VAR153; wire VAR73; wire VAR78; wire VAR221; wire VAR24; wire VAR129; wire [VAR327-1:0] VAR71; wire VAR47; wire [VAR327-1:0] VAR277; wire VAR247; wire [VAR327-1:0] VAR182; wire VAR158; wire VAR92; wire VAR149; wire VAR113; wire [7:0] VAR323; wire [7:0] VAR4; wire VAR272; wire VAR212; wire VAR96; wire VAR57; wire VAR139; wire VAR76; wire [VAR327-1:0] VAR45; wire VAR237; wire VAR211; wire [31:0] VAR172; wire [1:0] VAR83; wire [3:0] VAR25; wire [VAR243-1:0] VAR106; wire [7:0] VAR315; wire [1:0] VAR241; wire [2:0] VAR33; wire [3:0] VAR317; wire VAR210; wire [2:0] VAR123; wire VAR56; wire [31:0] VAR162; wire [1:0] VAR38; wire [3:0] VAR267; wire [VAR243-1:0] VAR37; wire [7:0] VAR266; wire [1:0] VAR155; wire [2:0] VAR127; wire [3:0] VAR199; wire VAR43; wire [2:0] VAR168; wire VAR88; wire [VAR183-1:0] VAR148; wire VAR133; wire [1:0] VAR324; wire VAR311; wire [31:0] VAR281; wire [VAR183-1:0] VAR87; wire VAR124; wire VAR279; wire [1:0] VAR132; wire VAR198; wire [63:0] VAR79; wire [VAR243-1:0] VAR16; wire VAR320; wire VAR29; wire [7:0] VAR114; wire VAR244; wire VAR258; wire VAR304; wire VAR252; wire VAR306; wire [31:0] VAR289; wire [1:0] VAR3; wire [3:0] VAR201; wire [VAR72-1:0] VAR15; wire [7:0] VAR249; wire [1:0] VAR27; wire [2:0] VAR301; wire [3:0] VAR190; wire VAR283; wire [2:0] VAR171; wire VAR185; wire [31:0] VAR321; wire [1:0] VAR12; wire [3:0] VAR161; wire [VAR72-1:0] VAR75; wire [7:0] VAR203; wire [1:0] VAR17; wire [2:0] VAR111; wire [3:0] VAR224; wire VAR229; wire [2:0] VAR262; wire VAR191; wire [VAR243-1:0] VAR58; wire VAR122; wire [1:0] VAR101; wire VAR32; wire [31:0] VAR205; wire [VAR243-1:0] VAR40; wire VAR55; wire VAR290; wire [1:0] VAR99; wire VAR330; wire [63:0] VAR285; wire [VAR72-1:0] VAR178; wire VAR204; wire VAR219; wire [7:0] VAR286; wire VAR271; wire [7:0] VAR319; wire [7:0] VAR93; wire VAR118; wire VAR117; wire VAR44; wire VAR298; wire VAR89; wire VAR225; wire VAR26; wire VAR108; wire VAR296; wire VAR220; wire [3:0] VAR303; wire [3:0] VAR305; wire VAR209; wire VAR11; wire VAR62, VAR145; wire VAR98; wire VAR151; wire VAR261; wire [VAR327-1:0] VAR131; wire VAR103; wire [VAR327-1:0] VAR128; wire VAR208; wire [VAR327-1:0] VAR85; wire VAR238; wire [VAR327-1:0] VAR36; wire VAR41; wire [VAR327-1:0] VAR107; wire VAR313; wire [VAR327-1:0] VAR242; wire VAR218; wire [VAR327-1:0] VAR104; wire VAR165; wire [VAR327-1:0] VAR120; wire VAR302; wire [VAR327-1:0] VAR86; wire VAR174; wire [VAR327-1:0] VAR192; wire [7:0] VAR154; wire VAR275; wire VAR34; wire VAR5; reg [31:0] VAR77; wire VAR318 = 1'b1; wire VAR140 = clk; VAR80 VAR186 (.VAR215 (clk), .VAR20 (), .VAR63 (), .VAR329 (VAR135), .VAR81 (VAR197), .VAR233 (VAR69), .VAR255 (VAR173), .VAR157 (VAR226), .VAR189 (VAR245), .VAR49 (VAR200), .reset (reset), .VAR48 (VAR276), .VAR164 (VAR65)); VAR80 VAR2 (.VAR215 (clk), .VAR20 (), .VAR63 (), .VAR329 (VAR92), .VAR81 (VAR149), .VAR233 (VAR113), .VAR255 (VAR253), .VAR157 (VAR102), .VAR189 (VAR152), .VAR49 (VAR143), .reset (reset), .VAR48 (VAR240), .VAR164 (VAR153)); assign VAR165 = VAR280 & ~VAR19[1]; assign VAR120[VAR327-1:0] = VAR19[VAR327-1:0]; assign VAR218 = VAR280 & VAR19[1]; assign VAR104[VAR327-1:0] = VAR19[VAR327-1:0]; assign VAR184 = VAR264; assign VAR288 = VAR293; assign VAR103 = VAR239; assign VAR128[VAR327-1:0] = VAR64[VAR327-1:0]; assign VAR89 = 1'b0; assign VAR26 = 1'b0; assign VAR225 = 1'b0; assign VAR313 = 1'b0; assign VAR242[VAR327-1:0] = 'b0; VAR105 VAR90 ( .VAR23 (VAR57), .VAR30 (VAR96), .VAR125 (VAR212), .VAR246 (VAR272), .VAR74 (VAR4[7:0]), .VAR282 (VAR323[7:0]), .VAR213 (VAR24), .VAR180 (VAR221), .VAR156 (VAR78), .VAR314 (VAR73), .VAR151 (VAR65), .VAR306 (VAR28), .VAR252 (VAR54), .VAR304 (VAR278), .VAR258 (VAR130), .VAR298 (VAR14), .VAR44 (VAR259), .VAR117 (VAR177), .VAR118 (VAR294), .VAR93 (VAR236[7:0]), .VAR319 (VAR300[7:0]), .VAR274 (VAR326[11:0]), .VAR48 (VAR276), .VAR11 (VAR312), .VAR209 (VAR13), .timeout (VAR60), .VAR238 (VAR269), .VAR36 (VAR175[VAR327-1:0]), .VAR41 (VAR138), .VAR107 (VAR187[VAR327-1:0]), .VAR208 (VAR239), .VAR85 (VAR64[VAR327-1:0]), .VAR295 (VAR293), .VAR159 (VAR264), .VAR109 (VAR9), .reset (VAR245), .VAR215 (clk), .VAR329 (VAR135), .VAR81 (VAR197), .VAR233 (VAR69), .VAR255 (VAR173), .VAR157 (VAR226), .VAR84 (VAR26), .VAR299 (VAR89), .VAR170 (VAR225), .VAR181 (VAR218), .VAR51 (VAR104[VAR327-1:0]), .VAR6 (VAR165), .VAR310 (VAR120[VAR327-1:0]), .VAR1 (VAR313), .VAR144 (VAR242[VAR327-1:0])); assign VAR174 = 1'b0; assign VAR192 = 'b0; assign VAR302 = 1'b0; assign VAR86 = 'b0; assign VAR296 = 1'b0; VAR105 VAR273 ( .VAR23 (VAR14), .VAR30 (VAR259), .VAR125 (VAR177), .VAR246 (VAR294), .VAR74 (VAR236[7:0]), .VAR282 (VAR300[7:0]), .VAR213 (VAR28), .VAR180 (VAR54), .VAR156 (VAR278), .VAR314 (VAR130), .VAR151 (VAR153), .VAR306 (VAR24), .VAR252 (VAR221), .VAR304 (VAR78), .VAR258 (VAR73), .VAR298 (VAR57), .VAR44 (VAR96), .VAR117 (VAR212), .VAR118 (VAR272), .VAR93 (VAR4[7:0]), .VAR319 (VAR323[7:0]), .VAR274 (VAR68[11:0]), .VAR48 (VAR240), .VAR11 (VAR270), .VAR209 (VAR112), .timeout (VAR158), .VAR238 (VAR247), .VAR36 (VAR182[VAR327-1:0]), .VAR41 (VAR129), .VAR107 (VAR71[VAR327-1:0]), .VAR208 (VAR47), .VAR85 (VAR277[VAR327-1:0]), .VAR295 (VAR211), .VAR159 (VAR139), .VAR109 (VAR237), .reset (VAR152), .VAR215 (clk), .VAR329 (VAR92), .VAR81 (VAR149), .VAR233 (VAR113), .VAR255 (VAR253), .VAR157 (VAR102), .VAR84 (VAR220), .VAR299 (VAR108), .VAR170 (VAR296), .VAR181 (VAR302), .VAR51 (VAR86[VAR327-1:0]), .VAR6 (VAR174), .VAR310 (VAR192[VAR327-1:0]), .VAR1 (VAR76), .VAR144 (VAR45[VAR327-1:0])); reg [8:0] counter; wire VAR193; always @ (posedge clk) if(reset) counter <= 'b0; else counter <= counter+1; assign VAR193 = counter > 256; assign VAR261 = (VAR247 & ~(VAR182[39:28]==VAR273.VAR147)) | (VAR129 & ~(VAR71[39:28]==VAR273.VAR147)); assign VAR131[VAR327-1:0] = VAR247 ? VAR182[VAR327-1:0]: VAR71[VAR327-1:0]; assign VAR108 = VAR5 | VAR247; assign VAR220 = 1'b0; VAR166 VAR53 (.VAR39 (1'b0), .clk (clk), .VAR116 (VAR5), .VAR42 (VAR76), .VAR292 (VAR45[VAR327-1:0]), .reset (reset), .VAR309 (VAR261), .VAR216 (VAR131[VAR327-1:0])); VAR194 VAR265 (.VAR215 (clk), .VAR202 (~reset), .VAR169 (~reset), .VAR23 (VAR298), .VAR30 (VAR44), .VAR125 (VAR117), .VAR246 (VAR118), .VAR74 (VAR93[7:0]), .VAR282 (VAR319[7:0]), .VAR213 (VAR306), .VAR180 (VAR252), .VAR156 (VAR304), .VAR314 (VAR258), .VAR49 (VAR98), .VAR20 (VAR62), .VAR63 (VAR145), .VAR306 (VAR306), .VAR252 (VAR252), .VAR304 (VAR304), .VAR258 (VAR258), .VAR298 (VAR298), .VAR44 (VAR44), .VAR117 (VAR117), .VAR118 (VAR118), .VAR93 (VAR93[7:0]), .VAR319 (VAR319[7:0]), .VAR274 (VAR274[11:0]), .VAR11 (VAR11), .VAR209 (VAR209), .VAR37 (VAR75[VAR72-1:0]), .VAR162 (VAR321[31:0]), .VAR266 (VAR203[7:0]), .VAR168 (VAR262[2:0]), .VAR38 (VAR12[1:0]), .VAR155 (VAR17[1:0]), .VAR267 (VAR161[3:0]), .VAR127 (VAR111[2:0]), .VAR199 (VAR224[3:0]), .VAR88 (VAR191), .VAR16 (VAR178[VAR72-1:0]), .VAR79 (VAR285[63:0]), .VAR114 (VAR286[7:0]), .VAR320 (VAR204), .VAR244 (VAR271), .VAR133 (VAR122), .VAR106 (VAR15[VAR72-1:0]), .VAR172 (VAR289[31:0]), .VAR315 (VAR249[7:0]), .VAR123 (VAR171[2:0]), .VAR83 (VAR3[1:0]), .VAR241 (VAR27[1:0]), .VAR25 (VAR201[3:0]), .VAR33 (VAR301[2:0]), .VAR317 (VAR190[3:0]), .VAR56 (VAR185), .VAR279 (VAR290), .VAR283 (VAR210), .VAR229 (VAR43), .VAR58 (VAR148[VAR183-1:0]), .VAR101 (VAR324[1:0]), .VAR32 (VAR311), .VAR40 (VAR87[VAR183-1:0]), .VAR205 (VAR281[31:0]), .VAR55 (VAR124), .VAR99 (VAR132[1:0]), .VAR330 (VAR198), .VAR219 (VAR29), .reset (reset), .VAR43 (VAR229), .VAR29 (VAR219), .VAR148 (VAR58[VAR72-1:0]), .VAR324 (VAR101[1:0]), .VAR311 (VAR32), .VAR210 (VAR283), .VAR87 (VAR40[VAR72-1:0]), .VAR281 (VAR205[63:0]), .VAR132 (VAR99[1:0]), .VAR124 (VAR55), .VAR198 (VAR330), .VAR15 (VAR106[VAR183-1:0]), .VAR289 (VAR172[31:0]), .VAR3 (VAR83[1:0]), .VAR201 (VAR25[3:0]), .VAR27 (VAR241[1:0]), .VAR249 (VAR315[7:0]), .VAR301 (VAR33[2:0]), .VAR190 (VAR317[3:0]), .VAR171 (VAR123[2:0]), .VAR185 (VAR56), .VAR75 (VAR37[VAR183-1:0]), .VAR321 (VAR162[31:0]), .VAR12 (VAR38[1:0]), .VAR161 (VAR267[3:0]), .VAR17 (VAR155[1:0]), .VAR203 (VAR266[7:0]), .VAR111 (VAR127[2:0]), .VAR224 (VAR199[3:0]), .VAR262 (VAR168[2:0]), .VAR191 (VAR88), .VAR122 (VAR133), .VAR290 (VAR279), .VAR178 (VAR16[VAR183-1:0]), .VAR285 (VAR79[31:0]), .VAR204 (VAR320), .VAR286 (VAR114[3:0]), .VAR271 (VAR244)); wire VAR1; wire [VAR327-1:0] VAR144; assign VAR41 = VAR251 & ~VAR97[1]; assign VAR107[VAR327-1:0] = VAR97[VAR327-1:0]; assign VAR238 = VAR251 & VAR97[1]; assign VAR36[VAR327-1:0] = VAR97[VAR327-1:0]; wire VAR251; wire [VAR327-1:0] VAR97; .VAR116 (), .VAR42 (VAR251), .VAR292 (VAR97[VAR327-1:0]), .VAR140 (clk), .VAR214 (clk), .VAR91 (reset), .VAR137 (reset), .VAR309 (VAR280), .VAR216 (VAR19[VAR327-1:0]), .VAR39 ((VAR238 & VAR84) | (VAR41 & VAR299) ) ); VAR150 VAR10 (.VAR257 (clk), .VAR202 (~reset), .VAR1 (), .VAR144 (), .VAR84 (VAR84), .VAR299 (VAR299), .VAR238 (VAR238), .VAR36 (VAR36[VAR327-1:0]), .VAR41 (VAR41), .VAR107 (VAR107[VAR327-1:0]), .VAR109 (1'b0), .VAR37 (VAR37[VAR243-1:0]), .VAR162 (VAR162[31:0]), .VAR266 (VAR266[7:0]), .VAR168 (VAR168[2:0]), .VAR38 (VAR38[1:0]), .VAR155 (VAR155[1:0]), .VAR267 (VAR267[3:0]), .VAR127 (VAR127[2:0]), .VAR199 (VAR199[3:0]), .VAR88 (VAR88), .VAR16 (VAR16[VAR243-1:0]), .VAR79 (VAR79[63:0]), .VAR114 (VAR114[7:0]), .VAR320 (VAR320), .VAR244 (VAR244), .VAR133 (VAR133), .VAR106 (VAR106[VAR243-1:0]), .VAR172 (VAR172[31:0]), .VAR315 (VAR315[7:0]), .VAR123 (VAR123[2:0]), .VAR83 (VAR83[1:0]), .VAR241 (VAR241[1:0]), .VAR25 (VAR25[3:0]), .VAR33 (VAR33[2:0]), .VAR317 (VAR317[3:0]), .VAR56 (VAR56), .VAR279 (VAR279), .VAR43 (VAR43), .VAR29 (VAR29), .VAR148 (VAR148[VAR243-1:0]), .VAR324 (VAR324[1:0]), .VAR311 (VAR311), .VAR210 (VAR210), .VAR87 (VAR87[VAR243-1:0]), .VAR281 (VAR281[63:0]), .VAR132 (VAR132[1:0]), .VAR124 (VAR124), .VAR198 (VAR198)); wire [VAR327-1:0] VAR51; wire VAR181; wire [VAR327-1:0] VAR310; wire VAR6; wire VAR206; wire VAR328; VAR35 VAR94 (.VAR134 (clk), .VAR169 (~reset), .VAR181 (VAR181), .VAR51 (VAR51[VAR327-1:0]), .VAR6 (VAR6), .VAR310 (VAR310[VAR327-1:0]), .VAR170 (), .VAR295 (VAR328), .VAR159 (VAR206), .VAR208 (VAR208), .VAR85 (VAR85[VAR327-1:0]), .VAR283 (VAR283), .VAR229 (VAR229), .VAR58 (VAR58[VAR243-1:0]), .VAR101 (VAR101[1:0]), .VAR32 (VAR32), .VAR40 (VAR40[VAR243-1:0]), .VAR205 (VAR205[31:0]), .VAR55 (VAR55), .VAR99 (VAR99[1:0]), .VAR330 (VAR330), .VAR219 (VAR219), .VAR15 (VAR15[VAR243-1:0]), .VAR289 (VAR289[31:0]), .VAR3 (VAR3[1:0]), .VAR201 (VAR201[3:0]), .VAR27 (VAR27[1:0]), .VAR249 (VAR249[7:0]), .VAR301 (VAR301[2:0]), .VAR190 (VAR190[3:0]), .VAR171 (VAR171[2:0]), .VAR185 (VAR185), .VAR75 (VAR75[VAR243-1:0]), .VAR321 (VAR321[31:0]), .VAR12 (VAR12[1:0]), .VAR161 (VAR161[3:0]), .VAR17 (VAR17[1:0]), .VAR203 (VAR203[7:0]), .VAR111 (VAR111[2:0]), .VAR224 (VAR224[3:0]), .VAR262 (VAR262[2:0]), .VAR191 (VAR191), .VAR122 (VAR122), .VAR290 (VAR290), .VAR178 (VAR178[VAR243-1:0]), .VAR285 (VAR285[31:0]), .VAR204 (VAR204), .VAR286 (VAR286[3:0]), .VAR271 (VAR271)); wire VAR179; wire [VAR327-1:0] VAR284; assign VAR179 = (VAR181 & ~(VAR51[39:28]==VAR265.VAR147)) | (VAR6 & ~(VAR310[39:28]==VAR265.VAR147)); assign VAR284[VAR327-1:0] = VAR181 ? VAR51[VAR327-1:0]: VAR310[VAR327-1:0]; assign VAR206 = VAR322 | VAR181; assign VAR328 = 1'b0; VAR166 VAR223 (.VAR39 (1'b0), .clk (clk), .VAR116 (VAR322), .VAR42 (VAR208), .VAR292 (VAR85[VAR327-1:0]), .reset (reset), .VAR309 (VAR179), .VAR216 (VAR284[VAR327-1:0])); wire VAR260; wire [VAR327-1:0] VAR232; VAR21 VAR228( .VAR116 (), .VAR42 (VAR260), .VAR292 (VAR232[VAR327-1:0]), .VAR140 (clk), .VAR214 (clk), .VAR91 (reset), .VAR137 (reset), .VAR309 (VAR280), .VAR216 (VAR19[VAR327-1:0]), .VAR39 (1'b0) ); VAR195 VAR115 ( .VAR95 (), .VAR100 (), .VAR268 (VAR154[7:0]), .VAR248 (VAR34), .VAR126 (VAR275), .VAR110(), .VAR82 (), .VAR297(), .VAR50(), .VAR167 (), .VAR7(), .VAR196(), .VAR256 (), .VAR222 (VAR254), .reset (reset), .VAR188 (clk), .VAR8 (clk), .VAR22 (clk), .VAR136 (clk), .VAR227 (8'b0), .VAR250 (1'b0), .VAR176 (1'b0), .VAR291 (1'b0), .VAR70 (1'b0), .VAR61 (VAR260), .VAR66 (VAR232[1]), .VAR308(VAR232[39:8]), .VAR234(VAR232[103:72]), .VAR31 (VAR232[71:40]), .VAR207(VAR232[3:2]), .VAR119(VAR232[7:4]) ); always @ (posedge VAR140 or posedge reset) if(reset) VAR77[31:0] <= 32'b0; else VAR77[31:0] <= VAR77[31:0]+1'b1; VAR142 #(.VAR231("VAR263")) VAR217 (.VAR316 ((VAR184 | VAR288)), .clk (clk), .reset (reset), .VAR318 (VAR318), .VAR77 (VAR77[31:0]), .VAR59 (VAR280), .VAR235 (VAR19[VAR327-1:0])); VAR142 #(.VAR231("VAR52")) VAR230 (.VAR316 (1'b0), .clk (clk), .reset (reset), .VAR318 (VAR318), .VAR77 (VAR77[31:0]), .VAR59 (VAR103), .VAR235 (VAR128[VAR327-1:0])); VAR142 #(.VAR231("VAR53")) VAR18 (.VAR316 (1'b0), .clk (clk), .VAR59 (VAR261), .VAR235 (VAR131[VAR327-1:0]), .reset (reset), .VAR318 (VAR318), .VAR77 (VAR77[31:0])); endmodule
gpl-3.0
secworks/ChaCha20-Poly1305
src/behave/poly13015.v
5,487
module MODULE1(); reg [127 : 0] VAR12; reg [127 : 0] VAR6; reg [129 : 0] VAR11; reg [127 : 0] VAR3; localparam VAR2 = 128'h0ffffffc0ffffffc0ffffffc0fffffff; localparam VAR15 = 130'h3fffffffffffffffffffffffffffffffb; task VAR10(input [255 : 0] VAR14); begin : VAR10 VAR12 = VAR14[255 : 128] & VAR2; VAR6 = VAR14[127 : 0]; VAR11 = 130'h0; end endtask task VAR7(input [129 : 0] VAR5); begin : VAR7 reg [261 : 0] VAR4; VAR11 = VAR11 + VAR5; VAR4 = VAR11 * VAR12; VAR11 = VAR4 % VAR15; end endtask task VAR13; begin : VAR7 VAR11 = VAR11 + VAR6; VAR3 = VAR11[127 : 0]; end endtask task VAR9; begin : VAR9 reg [255 : 0] VAR14; reg [127 : 0] VAR8; VAR14 = {128'ha806d542fe52447f336d555778bed685, 128'h0}; VAR8 = 128'h0806d5400e52447c036d555408bed685; VAR10(VAR14); if (VAR12 == VAR8) end else end endtask task VAR1; begin : VAR1 reg [128 : 0] VAR5; VAR11 = 130'h0; VAR12 = 128'h0806d5400e52447c036d555408bed685; VAR5 = 129'h16f4620636968706172676f7470797243; VAR7(VAR5); VAR5 = 129'h16f7247206863726165736552206d7572; VAR7(VAR5); VAR5 = 129'h000000000000000000000000000017075; VAR7(VAR5); end endtask
bsd-2-clause
duttondj/DigitalDesignI-P4
keypressed.v
3,489
module MODULE1(VAR2, reset, VAR1, VAR5); input VAR2; input reset; input VAR1; output VAR5; reg [1:0] VAR7, VAR3; reg VAR5; parameter [1:0] VAR6 = 2'b00, VAR4 = 2'b01, VAR9 = 2'b10; always @(posedge VAR2 or negedge reset) begin if (reset == 1'b0) VAR7 <= VAR6; end else VAR7 <= VAR3; end always @(VAR7, VAR1) begin VAR3 = VAR7; VAR5 = 1'b0; case (VAR7) VAR6: begin if (VAR1 == 1'b0) VAR3 = VAR4; end VAR4: begin if (VAR1 == 1'b1) VAR3 = VAR9; end VAR9: begin VAR5 = 1'b1; VAR3 = VAR6; end default: begin VAR3 = 2'VAR10; VAR5 = 1'VAR8; end endcase end endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_ad9671/axi_ad9671_if.v
9,247
module MODULE1 ( VAR57, VAR24, VAR45, VAR44, VAR8, VAR34, VAR43, VAR40, VAR46, VAR2, VAR36, VAR53, VAR15, VAR23, VAR21, VAR4, VAR47, VAR26, VAR3, VAR31, VAR59, VAR37, VAR20, VAR48, VAR35, VAR58, VAR5, VAR27, VAR28, VAR19); parameter VAR51 = 1; parameter VAR13 = 0; input VAR57; input VAR24; input [(64*VAR51)+63:0] VAR45; output VAR44; input VAR8; output VAR34; output [ 15:0] VAR43; output VAR40; output [ 15:0] VAR46; output VAR2; output [ 15:0] VAR36; output VAR53; output [ 15:0] VAR15; output VAR23; output [ 15:0] VAR21; output VAR4; output [ 15:0] VAR47; output VAR26; output [ 15:0] VAR3; output VAR31; output [ 15:0] VAR59; output VAR37; input [ 31:0] VAR20; input VAR48; output VAR35; input VAR58; output VAR5; output VAR27; input [ 3:0] VAR28; output [ 3:0] VAR19; wire [127:0] VAR9; wire [127:0] VAR25; wire [ 15:0] VAR50; wire [ 15:0] VAR42; wire [ 15:0] VAR6; wire [ 15:0] VAR16; wire [ 15:0] VAR29; wire [ 15:0] VAR17; wire [ 15:0] VAR54; wire [ 15:0] VAR22; wire [ 3:0] VAR10; wire VAR12; reg VAR56 = 'd0; reg [127:0] VAR38 = 'd0; reg VAR27 = 'd0; reg VAR5 = 'd0; reg VAR32 = 'd0; reg [ 3:0] VAR39 = 'd0; reg [ 3:0] VAR19 = 'd0; reg [ 15:0] VAR43; reg [ 15:0] VAR46; reg [ 15:0] VAR36; reg [ 15:0] VAR15; reg [ 15:0] VAR21; reg [ 15:0] VAR47; reg [ 15:0] VAR3; reg [ 15:0] VAR59; assign VAR44 = VAR57; assign VAR34 = VAR56; assign VAR35 = VAR58; assign VAR40 = 'd0; assign VAR2 = 'd0; assign VAR53 = 'd0; assign VAR23 = 'd0; assign VAR4 = 'd0; assign VAR26 = 'd0; assign VAR31 = 'd0; assign VAR37 = 'd0; assign VAR50 = {VAR38[ 7: 0], VAR38[ 15: 8]}; assign VAR42 = {VAR38[ 23: 16], VAR38[ 31: 24]}; assign VAR6 = {VAR38[ 39: 32], VAR38[ 47: 40]}; assign VAR16 = {VAR38[ 55: 48], VAR38[ 63: 56]}; assign VAR29 = {VAR38[ 71: 64], VAR38[ 79: 72]}; assign VAR17 = {VAR38[ 87: 80], VAR38[ 95: 88]}; assign VAR54 = {VAR38[103: 96], VAR38[111:104]}; assign VAR22 = {VAR38[119:112], VAR38[127:120]}; assign VAR9 = {VAR22, VAR54, VAR17, VAR29, VAR16, VAR6, VAR42, VAR50}; assign VAR10 = (VAR13 == 0) ? VAR19 : VAR28; assign VAR12 = (VAR13 == 0) ? VAR35 : VAR48; always @(posedge VAR57) begin VAR43 <= VAR25[ 15: 0]; VAR46 <= VAR25[ 31: 16]; VAR36 <= VAR25[ 47: 32]; VAR15 <= VAR25[ 63: 48]; VAR21 <= VAR25[ 79: 64]; VAR47 <= VAR25[ 95: 80]; VAR3 <= VAR25[111: 96]; VAR59 <= VAR25[127:112]; end always @(posedge VAR57) begin if (VAR8 == 1'b1) begin VAR39 <= 4'h0; VAR19 <= 4'h8; VAR5 <= 1'b0; end else begin if (VAR16 == VAR20[15:0] && VAR5 == 1'b1) begin VAR5 <= 1'b0; end else if(VAR12 == 1'b1) begin VAR5 <= 1'b1; end if (VAR16 == VAR20[15:0] && VAR5 == 1'b1) begin VAR39 <= 4'h0; VAR19 <= 4'h8; end else if (VAR56 == 1'b1) begin VAR39 <= VAR39 + 1; VAR19 <= VAR19 + 1; end end end always @(posedge VAR57) begin if (VAR51 == 1'b1) begin VAR56 <= 1'b1; VAR38 <= VAR45; end else begin VAR32 <= VAR24; VAR56 <= VAR32; VAR38[63:0] <= {VAR45[31:0], VAR38[63:32]}; VAR38[127:64] <= {VAR45[63:32], VAR38[127:96]}; end end always @(posedge VAR57) begin if (VAR8 == 1'b1) begin VAR27 <= 1'b0; end else begin VAR27 <= 1'b1; end end VAR41 #(.VAR14(4), .VAR18(128)) VAR49 ( .VAR7(VAR57), .VAR30(VAR56), .VAR1(VAR39), .VAR11(VAR9), .VAR33(VAR57), .VAR55(VAR10), .VAR52(VAR25)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nor4b/sky130_fd_sc_ms__nor4b.blackbox.v
1,322
module MODULE1 ( VAR2 , VAR7 , VAR8 , VAR1 , VAR6 ); output VAR2 ; input VAR7 ; input VAR8 ; input VAR1 ; input VAR6; supply1 VAR9; supply0 VAR3; supply1 VAR4 ; supply0 VAR5 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffnsnq/gf180mcu_fd_sc_mcu7t5v0__dffnsnq_1.behavioral.pp.v
3,847
module MODULE1( VAR27, VAR17, VAR16, VAR1, VAR14, VAR28 ); input VAR27, VAR17, VAR16; inout VAR14, VAR28; output VAR1; reg VAR12; VAR24 VAR2(.VAR27(VAR27),.VAR17(VAR17),.VAR16(VAR16),.VAR1(VAR1),.VAR14(VAR14),.VAR28(VAR28),.VAR12(VAR12)); VAR24 VAR29(.VAR27(VAR27),.VAR17(VAR17),.VAR16(VAR16),.VAR1(VAR1),.VAR14(VAR14),.VAR28(VAR28),.VAR12(VAR12)); not VAR5(VAR15,VAR17); and VAR34(VAR4,VAR16,VAR15); and VAR19(VAR33,VAR16,VAR17); buf VAR23(VAR10,VAR16); not VAR6(VAR26,VAR27); not VAR11(VAR8,VAR17); and VAR32(VAR31,VAR8,VAR26); not VAR20(VAR13,VAR27); and VAR22(VAR3,VAR17,VAR13); not VAR7(VAR25,VAR17); and VAR21(VAR9,VAR25,VAR27); and VAR30(VAR18,VAR17,VAR27);
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a41oi/sky130_fd_sc_ls__a41oi_1.v
2,439
module MODULE1 ( VAR2 , VAR9 , VAR1 , VAR5 , VAR11 , VAR7 , VAR8, VAR6, VAR12 , VAR4 ); output VAR2 ; input VAR9 ; input VAR1 ; input VAR5 ; input VAR11 ; input VAR7 ; input VAR8; input VAR6; input VAR12 ; input VAR4 ; VAR3 VAR10 ( .VAR2(VAR2), .VAR9(VAR9), .VAR1(VAR1), .VAR5(VAR5), .VAR11(VAR11), .VAR7(VAR7), .VAR8(VAR8), .VAR6(VAR6), .VAR12(VAR12), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR2 , VAR9, VAR1, VAR5, VAR11, VAR7 ); output VAR2 ; input VAR9; input VAR1; input VAR5; input VAR11; input VAR7; supply1 VAR8; supply0 VAR6; supply1 VAR12 ; supply0 VAR4 ; VAR3 VAR10 ( .VAR2(VAR2), .VAR9(VAR9), .VAR1(VAR1), .VAR5(VAR5), .VAR11(VAR11), .VAR7(VAR7) ); endmodule
apache-2.0
spesialstyrker/boula
gen/PCIe/example_design/PIO_EP.v
8,387
module MODULE1 #( parameter VAR25 = 64, parameter VAR2 = VAR25 / 8 ) ( input clk, input VAR5, input VAR67, output [VAR25-1:0] VAR71, output [VAR2-1:0] VAR62, output VAR57, output VAR47, output VAR24, input [VAR25-1:0] VAR20, input [VAR2-1:0] VAR7, input VAR78, input VAR85, output VAR35, input [21:0] VAR45, output VAR82, output VAR53, input [15:0] VAR64, input VAR48 ); wire [10:0] VAR16; wire [3:0] VAR26; wire [31:0] VAR65; wire [10:0] VAR29; wire [7:0] VAR68; wire [31:0] VAR28; wire VAR73; wire VAR70; wire VAR46; wire VAR21; wire VAR12; wire [2:0] VAR55; wire VAR43; wire VAR80; wire [1:0] VAR22; wire [9:0] VAR84; wire [15:0] VAR11; wire [7:0] VAR50; wire [7:0] VAR6; wire [12:0] VAR41; VAR1 VAR44 ( .clk(clk), .VAR5(VAR5), .VAR74(VAR16), .VAR19(VAR26), .VAR39(VAR65), .VAR72(VAR29), .VAR83(VAR68), .VAR17(VAR28), .VAR56(VAR73), .VAR75(VAR70) ); VAR60 #( .VAR25( VAR25 ), .VAR2( VAR2 ) ) VAR32 ( .clk(clk), .VAR5(VAR5), .VAR20( VAR20 ), .VAR7( VAR7 ), .VAR78( VAR78 ), .VAR85( VAR85 ), .VAR35( VAR35 ), .VAR45 ( VAR45 ), .VAR82(VAR46), .VAR30(VAR21), .VAR61(VAR12), .VAR3(VAR55), .VAR4(VAR43), .VAR10(VAR80), .VAR23(VAR22), .VAR8(VAR84), .VAR15(VAR11), .VAR9(VAR50), .VAR49(VAR6), .VAR36(VAR41), .VAR14(VAR29), .VAR33(VAR68), .VAR18(VAR28), .VAR77(VAR73), .VAR42(VAR70) ); VAR54 #( .VAR25( VAR25 ), .VAR2( VAR2 ) )VAR69( .clk(clk), .VAR5(VAR5), .VAR67( VAR67 ), .VAR71( VAR71 ), .VAR62( VAR62 ), .VAR57( VAR57 ), .VAR47( VAR47 ), .VAR24( VAR24 ), .VAR79(VAR46), .VAR51(VAR21), .VAR53(VAR12), .VAR66(VAR55), .VAR76(VAR43), .VAR40(VAR80), .VAR31(VAR22), .VAR34(VAR84), .VAR38(VAR11), .VAR13(VAR50), .VAR52(VAR6), .VAR63(VAR41), .VAR58(VAR16), .VAR37(VAR26), .VAR81(VAR65), .VAR59(VAR64), .VAR27(VAR48) ); assign VAR82 = VAR46; assign VAR53 = VAR12; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a21o/sky130_fd_sc_lp__a21o.functional.pp.v
1,994
module MODULE1 ( VAR15 , VAR4 , VAR3 , VAR7 , VAR1, VAR9, VAR5 , VAR14 ); output VAR15 ; input VAR4 ; input VAR3 ; input VAR7 ; input VAR1; input VAR9; input VAR5 ; input VAR14 ; wire VAR6 ; wire VAR13 ; wire VAR16; and VAR10 (VAR6 , VAR4, VAR3 ); or VAR2 (VAR13 , VAR6, VAR7 ); VAR8 VAR12 (VAR16, VAR13, VAR1, VAR9); buf VAR11 (VAR15 , VAR16 ); endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_jbus_common/rtl/bw_io_dtl_rcv_dc.v
1,489
module MODULE1 ( VAR3, VAR1, ref, VAR2 ); output VAR3; input VAR1; input ref; input VAR2; assign VAR3 = VAR1 ; endmodule
gpl-2.0
alexforencich/verilog-ethernet
example/VCU108/fpga_1g/rtl/fpga.v
9,788
module MODULE1 ( input wire VAR60, input wire VAR117, input wire reset, input wire VAR64, input wire VAR75, input wire VAR115, input wire VAR15, input wire VAR126, input wire [3:0] VAR90, output wire [7:0] VAR36, input wire VAR110, input wire VAR106, output wire VAR40, output wire VAR85, input wire VAR94, input wire VAR71, output wire VAR147, input wire VAR20, input wire VAR51, output wire VAR109, output wire VAR118, input wire VAR93 ); wire VAR101; wire VAR134; wire VAR77; wire VAR10; wire VAR65 = reset; wire VAR41; wire VAR131; VAR153 #( .VAR43("VAR104"), .VAR56("VAR104") ) VAR171 ( .VAR157 (VAR101), .VAR88 (VAR60), .VAR70 (VAR117) ); VAR21 #( .VAR122("VAR79"), .VAR130(5), .VAR31(0.5), .VAR80(0), .VAR161(1), .VAR39(0.5), .VAR113(0), .VAR30(1), .VAR128(0.5), .VAR95(0), .VAR52(1), .VAR121(0.5), .VAR154(0), .VAR146(1), .VAR18(0.5), .VAR116(0), .VAR152(1), .VAR22(0.5), .VAR72(0), .VAR174(1), .VAR50(0.5), .VAR16(0), .VAR81(5), .VAR140(0), .VAR96(1), .VAR163(0.010), .VAR12(8.0), .VAR137("VAR104"), .VAR34("VAR104") ) VAR1 ( .VAR61(VAR101), .VAR103(VAR131), .VAR7(VAR65), .VAR158(1'b0), .VAR68(VAR134), .VAR89(), .VAR132(), .VAR33(), .VAR28(), .VAR6(), .VAR35(), .VAR23(), .VAR136(), .VAR59(), .VAR69(), .VAR165(VAR131), .VAR11(), .VAR27(VAR41) ); VAR166 VAR151 ( .VAR88(VAR134), .VAR157(VAR77) ); VAR3 #( .VAR143(4) ) VAR107 ( .clk(VAR77), .rst(~VAR41), .out(VAR10) ); wire VAR148; wire VAR162; wire VAR133; wire VAR92; wire VAR108; wire [3:0] VAR170; VAR112 #( .VAR29(9), .VAR143(4), .VAR139(125000) ) VAR26 ( .clk(VAR77), .rst(VAR10), .in({VAR64, VAR75, VAR115, VAR15, VAR126, VAR90}), .out({VAR148, VAR162, VAR133, VAR92, VAR108, VAR170}) ); wire VAR105; wire VAR9; VAR169 #( .VAR29(2), .VAR143(2) ) VAR114 ( .clk(VAR77), .in({VAR51, VAR93}), .out({VAR105, VAR9}) ); wire VAR19; wire VAR32; wire VAR145; wire [7:0] VAR76; wire VAR164; wire VAR83; wire [7:0] VAR25; wire VAR138; wire VAR87; wire [15:0] VAR111; wire VAR66 = VAR111[0]; wire VAR173 = VAR111[1]; wire VAR58 = VAR111[2]; wire VAR46 = VAR111[3]; wire VAR99 = VAR111[4]; wire VAR125 = VAR111[5]; wire VAR17 = VAR111[6]; wire VAR24 = VAR111[7]; wire [1:0] VAR84 = VAR111[9:8]; wire [1:0] VAR37 = VAR111[11:10]; wire VAR4 = VAR111[12]; wire VAR167 = VAR111[13]; wire [1:0] VAR135 = VAR111[15:14]; wire [4:0] VAR159; assign VAR159[4] = 1'b1; assign VAR159[3] = 1'b0; assign VAR159[2] = 1'b0; assign VAR159[1] = 1'b0; assign VAR159[0] = 1'b0; wire [15:0] VAR155; assign VAR155[15] = 1'b1; assign VAR155[14] = 1'b1; assign VAR155[13:12] = 2'b01; assign VAR155[11:10] = 2'b10; assign VAR155[9] = 1'b0; assign VAR155[8:7] = 2'b00; assign VAR155[6] = 1'b0; assign VAR155[5] = 1'b0; assign VAR155[4:1] = 4'b0000; assign VAR155[0] = 1'b1; VAR42 VAR63 ( .VAR47 (VAR40), .VAR141 (VAR85), .VAR120 (VAR110), .VAR86 (VAR106), .VAR98 (VAR94), .VAR8 (VAR71), .reset (VAR10), .VAR127 (VAR19), .VAR172 (), .VAR168 (), .VAR119 (VAR32), .VAR49 (), .VAR102 (), .VAR78 (), .VAR150 (), .VAR142 (VAR145), .VAR57 (VAR37 != 2'b10), .VAR73 (VAR37 == 2'b01), .VAR62 (VAR76), .VAR97 (VAR164), .VAR14 (VAR83), .VAR55 (VAR25), .VAR100 (VAR138), .VAR45 (VAR87), .VAR13 (), .VAR38 (VAR159), .VAR149 (), .VAR156 (VAR155), .VAR48 (1'b0), .VAR144 (VAR111), .VAR129 (1'b1) ); wire [7:0] VAR123; assign VAR36 = VAR90[0] ? (VAR90[1] ? VAR111[15:8] : VAR111[7:0]) : VAR123; VAR2 VAR5 ( .clk(VAR77), .rst(VAR10), .VAR64(VAR148), .VAR75(VAR162), .VAR115(VAR133), .VAR15(VAR92), .VAR126(VAR108), .VAR90(VAR170), .VAR36(VAR123), .VAR54(VAR19), .VAR124(VAR32), .VAR67(VAR145), .VAR53(VAR25), .VAR91(VAR138), .VAR74(VAR87), .VAR160(VAR76), .VAR82(VAR164), .VAR44(VAR83), .VAR147(VAR147), .VAR20(VAR20), .VAR51(VAR105), .VAR109(VAR109), .VAR118(VAR118), .VAR93(VAR9) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
models/udp_pwrgood_pp_pg/sky130_fd_sc_hs__udp_pwrgood_pp_pg.symbol.v
1,332
module MODULE1 ( input VAR3 , output VAR4, input VAR2 , input VAR1 ); endmodule
apache-2.0
tmolteno/TART
hardware/FPGA/tart_spi/verilog/acquire/fifo_control.v
7,900
module MODULE1 parameter VAR25 = VAR20-2, parameter VAR24 = VAR20-1, parameter VAR14 = 3) ( input VAR33, input VAR9, input VAR13, input VAR19, output [8:0] VAR7, output [8:0] VAR15, input [23:0] VAR27, input VAR1, input VAR18, output reg VAR21 = 1'b0, output reg VAR10 = 1'b0, output reg [VAR25:0] VAR11 = {VAR24{1'b0}}, output [31:0] VAR30, output reg VAR2 = 1'b0, output reg VAR4 = 1'b0, output [2:0] VAR29 ); reg [VAR24:0] VAR17 = {VAR20{1'b0}}; reg [VAR24:0] VAR5 = {VAR20{1'b0}}; reg [9:0] VAR26 = 10'h0, VAR12 = 10'h0; wire [10:0] VAR16, VAR23; wire VAR8, VAR22; reg [2:0] VAR32 = VAR28; assign VAR23 = VAR26 + 1; assign VAR16 = VAR12 + 1; assign VAR8 = VAR26 != VAR12; assign VAR22 = VAR26[9] != VAR12[9] && VAR26[8:0] == VAR12[8:0]; assign VAR6 = VAR18 && VAR21; assign VAR7 = VAR26[8:0]; assign VAR15 = VAR12[8:0]; assign VAR30 = VAR27; assign VAR29 = VAR32; always @(posedge VAR33) if (VAR9) VAR12 <= #VAR14 10'h0; else if (VAR13 && VAR19) VAR12 <= #VAR14 VAR16[9:0]; always @(posedge VAR33) if (VAR9) VAR26 <= #VAR14 10'h0; else if (VAR6 && VAR10) VAR26 <= #VAR14 VAR23[9:0]; always @(posedge VAR33) if (VAR9) VAR4 <= #VAR14 1'b0; else if (VAR13 && VAR32 > 1) VAR4 <= #VAR14 1'b1; always @(posedge VAR33) if (VAR9 || !VAR13) VAR2 <= #VAR14 1'b0; else if (VAR22 && VAR19 && state == VAR35) VAR2 <= #VAR14 1'b1; always @(posedge VAR33) if (VAR9) begin VAR17 <= #VAR14 0; VAR5 <= #VAR14 0; VAR21 <= #VAR14 1'b0; VAR10 <= #VAR14 1'b0; VAR32 <= #VAR14 VAR28; end else case (VAR32) if (VAR17[VAR20-1]) begin VAR21 <= #VAR14 1'b1; VAR10 <= #VAR14 1'b0; VAR11 <= #VAR14 VAR5[VAR20-2:0]; VAR32 <= #VAR14 VAR34; end else if (VAR8) begin VAR21 <= #VAR14 1'b1; VAR10 <= #VAR14 1'b1; VAR11 <= #VAR14 VAR17[VAR20-2:0]; VAR32 <= #VAR14 VAR35; end end if (VAR6) begin VAR21 <= #VAR14 1'b0; VAR17 <= #VAR14 VAR17 + 1'b1; VAR32 <= #VAR14 VAR28; end end if (VAR6) begin VAR21 <= #VAR14 1'b0; VAR5 <= #VAR14 VAR5 + 1'b1; VAR32 <= #VAR14 VAR3; end end VAR11 <= #VAR14 VAR5[VAR20-2:0]; if (VAR5[VAR20-1]) VAR32 <= #VAR14 VAR31; else if (VAR1) begin VAR21 <= #VAR14 1'b1; VAR10 <= #VAR14 1'b0; VAR11 <= #VAR14 VAR5[VAR20-2:0]; VAR32 <= #VAR14 VAR34; end end end endcase endmodule
lgpl-3.0
fredmorcos/attic
projects/vo-tools/archive/machine2graph-3/machines/sbn-dia/sbn.v
3,296
module MODULE1 (clk, state, VAR4, VAR24, VAR7); parameter VAR26 = 8; parameter VAR2 = 32; input clk; output [2:0] state; output [VAR26-1:0] VAR4; output [VAR2-1:0] VAR24, VAR7; parameter VAR6 = 4 * VAR26; reg [VAR6-1:0] VAR13[0:((1<<VAR26)-1)]; reg [VAR2-1:0] VAR15[0:((1<<VAR26)-1)]; reg [VAR2-1:0] VAR8, VAR5; reg [VAR26-1:0] VAR4; reg [VAR6-1:0] VAR11; wire [VAR6-1:0] VAR20; wire [VAR2-1:0] VAR25, VAR27; wire [VAR26-1:0] addr, VAR12, VAR23, VAR9, VAR28, VAR21; wire VAR30, VAR3; reg [1:0] VAR10; reg [2:0] state, VAR19; parameter VAR16 = 3'b000; parameter VAR1 = 3'b001; parameter VAR29 = 3'b010; parameter VAR22 = 3'b011; parameter VAR17 = 3'b100; parameter VAR14 = 3'b101; parameter VAR18 = 3'b111; assign VAR20 = VAR13[VAR4]; assign VAR25 = VAR15[addr]; assign VAR24 = VAR8; assign VAR7 = VAR5; assign VAR27 = VAR8 - VAR5; assign VAR30 = VAR27[VAR2-1]; assign VAR12 = VAR4 + 1; assign VAR23 = VAR11[(4*VAR26-1):(3*VAR26)]; assign VAR9 = VAR11[(3*VAR26-1):(2*VAR26)]; assign VAR28 = VAR11[(2*VAR26-1):VAR26]; assign VAR21 = VAR11[VAR26-1:0]; assign VAR3 = (VAR28 == ~{VAR26{1'b0}}) ? 1 : 0; assign addr = (VAR10 == 2'b00) ? VAR23 : ((VAR10 == 2'b01) ? VAR9 : VAR28); always @ (posedge clk) case (state) VAR16: begin VAR11 <= VAR20; VAR10 <= 2'b00; end VAR1: begin VAR8 <= VAR25; VAR10 <= 2'b01; end VAR29: begin VAR5 <= VAR25; VAR10 <= 2'b10; end VAR22: VAR15[addr] <= VAR27; VAR17: VAR4 <= VAR21; VAR14: VAR4 <= VAR12; VAR18: begin end endcase always @ (posedge clk) state <= VAR19; always @ (state or VAR30 or VAR3) case (state) VAR16: VAR19 = VAR1; VAR1: VAR19 = VAR29; VAR29: if (VAR3 ) VAR19 = VAR18; else VAR19 = VAR22; VAR22: if (VAR30) VAR19 = VAR17; else VAR19 = VAR14; default: VAR19 = VAR16; endcase
isc
vad-rulezz/megabot
fusesoc/orpsoc-cores/cores/ps2/verilog/ps2.v
13,492
module MODULE1( input wire VAR36, input wire VAR8, output wire [7:0] VAR38, input wire [7:0] VAR25, input wire VAR44, input wire VAR29, output wire VAR3, output wire VAR19, output wire VAR45, output wire VAR26, output wire VAR6, inout wire VAR47, output wire VAR4, inout wire VAR49, inout wire VAR40 ); parameter [2:0] VAR2 = 3'd1, VAR43 = 3'd2, VAR32 = 3'd3, VAR58 = 3'd4, VAR46 = 3'd5, VAR42 = 3'd6; parameter [2:0] VAR13 = 3'd1, VAR51 = 3'd2, VAR16 = 3'd3, VAR20 = 3'd4; parameter VAR24 = 8; parameter VAR15 = 8; reg [2:0] state; reg [2:0] VAR37; reg [VAR24-1:0] VAR11; wire VAR14; reg [1:0] VAR9; wire VAR27; reg VAR21; wire VAR10; wire VAR53; reg [1:0] VAR54; wire VAR22; reg VAR57; reg VAR1; reg VAR18; reg [2:0] VAR55; wire VAR17; reg [8:0] VAR23; wire VAR31; wire VAR39; wire VAR7; wire VAR48; reg [VAR15-1:0] VAR28; wire VAR34; wire VAR33; wire VAR41; reg VAR5; wire VAR12; reg VAR56; reg VAR50; reg [7:0] VAR52; reg [7:0] VAR35; reg VAR30; always @(posedge VAR36 or negedge VAR8) begin if (!VAR8) begin VAR9 <= 0; VAR54 <= 0; end else begin VAR9 <= {VAR49, VAR9[1]}; VAR54 <= {VAR40, VAR54[1]}; end end assign VAR27 = VAR9[0]; assign VAR22 = VAR54[0]; always @(posedge VAR36 or negedge VAR8) if (!VAR8) VAR11 <= 0; end else if (VAR10 | VAR53 | VAR14) VAR11 <= 0; else VAR11 <= VAR11 + 1; assign VAR14 = VAR11[VAR24-1]; always @(posedge VAR36 or negedge VAR8) begin if (!VAR8) begin VAR37 <= VAR13; VAR21 <= 1'b0; end else begin case (VAR37) VAR13: begin if (VAR21 != VAR27) begin if (VAR27) VAR37 <= VAR51; end else VAR37 <= VAR16; end end VAR20: begin if (VAR14) VAR37 <= VAR13; end VAR51: begin VAR37 <= VAR20; VAR21 <= 1'b1; end VAR16: begin VAR37 <= VAR20; VAR21 <= 1'b0; end endcase end end assign VAR10 = (VAR37 == VAR16); assign VAR53 = (VAR37 == VAR51); always @(posedge VAR36 or negedge VAR8) if (!VAR8) VAR28 <= 0; else if (VAR34 | VAR33) VAR28 <= 0; else if (VAR14) VAR28 <= VAR28 + 1; assign VAR33 = VAR28[VAR15-1]; assign VAR34 = VAR10; always @(posedge VAR36 or negedge VAR8) if (!VAR8) VAR23 <= 0; else if (VAR7) begin VAR23[7:0] <= VAR52; VAR23[8] <= 1'b0; end else if (VAR48) begin VAR23[8] <= ~VAR41; end else if (!VAR18) begin if (VAR31) begin VAR23[7:0] <= VAR23[8:1]; VAR23[8] <= VAR22; end end else if (VAR18) begin if (VAR39) begin VAR23[7:0] <= VAR23[8:1]; VAR23[8] <= 1'b1; end end always @(posedge VAR36 or negedge VAR8) if (!VAR8) VAR55 <= 0; end else if (state == VAR32) VAR55 <= 0; end else if (VAR10 & (state == VAR58)) VAR55 <= VAR55 + 1; assign VAR17 = (&VAR55); assign VAR41 = (VAR23[0] ^ VAR23[1] ^ VAR23[2] ^ VAR23[3] ^ VAR23[4] ^ VAR23[5] ^ VAR23[6] ^ VAR23[7]); always @(posedge VAR36 or negedge VAR8) if (!VAR8) begin state <= VAR2; VAR18 <= 1'b0; end else case (state) VAR2: begin if (VAR30 & !VAR18) begin state <= VAR43; VAR18 <= 1'b1; end else if (VAR10) state <= VAR32; end VAR43: begin if (VAR33) state <= VAR32; end VAR32: begin if (VAR33) begin VAR18 <= 1'b0; state <= VAR2; end else if (VAR10) state <= VAR58; end VAR58: begin if (VAR33) begin VAR18 <= 1'b0; state <= VAR2; end else if (VAR10 & VAR17) state <= VAR46; end VAR46: begin if (VAR33) begin VAR18 <= 1'b0; state <= VAR2; end else if (VAR10) state <= VAR42; end VAR42: begin if (VAR18) begin if ((VAR10 & !VAR22) | VAR33) begin state <= VAR2; VAR18 <= 1'b0; end end else begin VAR35 <= VAR23[7:0]; state <= VAR2; end end endcase always @(posedge VAR36 or negedge VAR8) if (!VAR8) begin VAR5 <= 1'b0; VAR56 <= 1'b0; VAR50 <= 1'b0; end else begin if (VAR47) VAR56 <= 1'b0; end else if (!VAR18 & state == VAR42) begin if (VAR23[8] != ~VAR41) VAR56 <= 1'b1; end if (VAR47) VAR50 <= 1'b0; end else if ((state == VAR32 | state == VAR58 | state == VAR46) & VAR33) VAR50 <= 1'b1; if (VAR44) VAR5 <= 1'b0; end else if (!VAR18 & state == VAR42) if (VAR23[8] == ~VAR41) VAR5 <= 1'b1; end assign VAR12 = VAR18; assign VAR7 = (VAR30 & state == VAR43); assign VAR48 = (state == VAR32 & VAR18); assign VAR31 = (state == VAR58 | state == VAR32) ? VAR10 : 1'b0; assign VAR39 = (state == VAR58 | state == VAR46) ? VAR10 : 1'b0; always @(posedge VAR36 or negedge VAR8) if (!VAR8) begin VAR1 <= 1'b1; VAR57 <= 1'b1; end else begin if (VAR18) begin if (state == VAR43 | state == VAR32) VAR1 <= 1'b0; end else if (state == VAR58 | state == VAR46) VAR1 <= VAR23[0]; end else VAR1 <= 1'b1; end if (state == VAR43) VAR57 <= 1'b0; end else VAR57 <= 1'b1; end always @(posedge VAR36 or negedge VAR8) if (!VAR8) begin VAR52 <= 0; VAR30 <= 1'b0; end else if (VAR29) begin VAR52 <= VAR25; VAR30 <= 1'b1; end else if (state == VAR43) VAR30 <= 1'b0; assign VAR38 = VAR35; assign VAR3 = VAR5; assign VAR19 = VAR12; assign VAR6 = !(state == VAR2 & !VAR18); assign VAR26 = VAR56; assign VAR45 = VAR50; assign VAR4 = VAR33; assign VAR49 = (!VAR57) ? 1'b0 : 1'VAR59; assign VAR40 = (!VAR1) ? 1'b0 : 1'VAR59; endmodule
gpl-2.0
gbraad/minimig-de1
rtl/or1200/or1200_rfram_generic.v
8,110
module MODULE1( clk, rst, VAR17, VAR10, VAR12, VAR9, VAR7, VAR13, VAR11, VAR1, VAR3, VAR8 ); parameter VAR16 = VAR5; parameter VAR14 = VAR6; input clk; input rst; input VAR17; input [VAR14-1:0] VAR10; output [VAR16-1:0] VAR12; input VAR9; input [VAR14-1:0] VAR7; output [VAR16-1:0] VAR13; input VAR11; input VAR1; input [VAR14-1:0] VAR3; input [VAR16-1:0] VAR8; reg [VAR14-1:0] VAR4; reg [VAR14-1:0] VAR2; reg [32*VAR16-1:0] VAR15; reg [VAR16-1:0] VAR12; reg [VAR16-1:0] VAR13; always @(posedge clk or posedge rst) if (rst) begin VAR15 <= {512'h0, 512'h0}; end else if (VAR11 & VAR1) case (VAR3) 5'd00: VAR15[32*0+31:32*0] <= 32'h00000000; 5'd01: VAR15[32*1+31:32*1] <= VAR8; 5'd02: VAR15[32*2+31:32*2] <= VAR8; 5'd03: VAR15[32*3+31:32*3] <= VAR8; 5'd04: VAR15[32*4+31:32*4] <= VAR8; 5'd05: VAR15[32*5+31:32*5] <= VAR8; 5'd06: VAR15[32*6+31:32*6] <= VAR8; 5'd07: VAR15[32*7+31:32*7] <= VAR8; 5'd08: VAR15[32*8+31:32*8] <= VAR8; 5'd09: VAR15[32*9+31:32*9] <= VAR8; 5'd10: VAR15[32*10+31:32*10] <= VAR8; 5'd11: VAR15[32*11+31:32*11] <= VAR8; 5'd12: VAR15[32*12+31:32*12] <= VAR8; 5'd13: VAR15[32*13+31:32*13] <= VAR8; 5'd14: VAR15[32*14+31:32*14] <= VAR8; 5'd15: VAR15[32*15+31:32*15] <= VAR8; 5'd16: VAR15[32*16+31:32*16] <= VAR8; 5'd17: VAR15[32*17+31:32*17] <= VAR8; 5'd18: VAR15[32*18+31:32*18] <= VAR8; 5'd19: VAR15[32*19+31:32*19] <= VAR8; 5'd20: VAR15[32*20+31:32*20] <= VAR8; 5'd21: VAR15[32*21+31:32*21] <= VAR8; 5'd22: VAR15[32*22+31:32*22] <= VAR8; 5'd23: VAR15[32*23+31:32*23] <= VAR8; 5'd24: VAR15[32*24+31:32*24] <= VAR8; 5'd25: VAR15[32*25+31:32*25] <= VAR8; 5'd26: VAR15[32*26+31:32*26] <= VAR8; 5'd27: VAR15[32*27+31:32*27] <= VAR8; 5'd28: VAR15[32*28+31:32*28] <= VAR8; 5'd29: VAR15[32*29+31:32*29] <= VAR8; 5'd30: VAR15[32*30+31:32*30] <= VAR8; default: VAR15[32*31+31:32*31] <= VAR8; endcase always @(posedge clk or posedge rst) if (rst) begin VAR4 <= 5'h00; end else if (VAR17) VAR4 <= VAR10; always @(VAR15 or VAR4) case (VAR4) 5'd00: VAR12 = 32'h00000000; 5'd01: VAR12 = VAR15[32*1+31:32*1]; 5'd02: VAR12 = VAR15[32*2+31:32*2]; 5'd03: VAR12 = VAR15[32*3+31:32*3]; 5'd04: VAR12 = VAR15[32*4+31:32*4]; 5'd05: VAR12 = VAR15[32*5+31:32*5]; 5'd06: VAR12 = VAR15[32*6+31:32*6]; 5'd07: VAR12 = VAR15[32*7+31:32*7]; 5'd08: VAR12 = VAR15[32*8+31:32*8]; 5'd09: VAR12 = VAR15[32*9+31:32*9]; 5'd10: VAR12 = VAR15[32*10+31:32*10]; 5'd11: VAR12 = VAR15[32*11+31:32*11]; 5'd12: VAR12 = VAR15[32*12+31:32*12]; 5'd13: VAR12 = VAR15[32*13+31:32*13]; 5'd14: VAR12 = VAR15[32*14+31:32*14]; 5'd15: VAR12 = VAR15[32*15+31:32*15]; 5'd16: VAR12 = VAR15[32*16+31:32*16]; 5'd17: VAR12 = VAR15[32*17+31:32*17]; 5'd18: VAR12 = VAR15[32*18+31:32*18]; 5'd19: VAR12 = VAR15[32*19+31:32*19]; 5'd20: VAR12 = VAR15[32*20+31:32*20]; 5'd21: VAR12 = VAR15[32*21+31:32*21]; 5'd22: VAR12 = VAR15[32*22+31:32*22]; 5'd23: VAR12 = VAR15[32*23+31:32*23]; 5'd24: VAR12 = VAR15[32*24+31:32*24]; 5'd25: VAR12 = VAR15[32*25+31:32*25]; 5'd26: VAR12 = VAR15[32*26+31:32*26]; 5'd27: VAR12 = VAR15[32*27+31:32*27]; 5'd28: VAR12 = VAR15[32*28+31:32*28]; 5'd29: VAR12 = VAR15[32*29+31:32*29]; 5'd30: VAR12 = VAR15[32*30+31:32*30]; default: VAR12 = VAR15[32*31+31:32*31]; endcase always @(posedge clk or posedge rst) if (rst) begin VAR2 <= 5'h00; end else if (VAR9) VAR2 <= VAR7; always @(VAR15 or VAR2) case (VAR2) 5'd00: VAR13 = 32'h00000000; 5'd01: VAR13 = VAR15[32*1+31:32*1]; 5'd02: VAR13 = VAR15[32*2+31:32*2]; 5'd03: VAR13 = VAR15[32*3+31:32*3]; 5'd04: VAR13 = VAR15[32*4+31:32*4]; 5'd05: VAR13 = VAR15[32*5+31:32*5]; 5'd06: VAR13 = VAR15[32*6+31:32*6]; 5'd07: VAR13 = VAR15[32*7+31:32*7]; 5'd08: VAR13 = VAR15[32*8+31:32*8]; 5'd09: VAR13 = VAR15[32*9+31:32*9]; 5'd10: VAR13 = VAR15[32*10+31:32*10]; 5'd11: VAR13 = VAR15[32*11+31:32*11]; 5'd12: VAR13 = VAR15[32*12+31:32*12]; 5'd13: VAR13 = VAR15[32*13+31:32*13]; 5'd14: VAR13 = VAR15[32*14+31:32*14]; 5'd15: VAR13 = VAR15[32*15+31:32*15]; 5'd16: VAR13 = VAR15[32*16+31:32*16]; 5'd17: VAR13 = VAR15[32*17+31:32*17]; 5'd18: VAR13 = VAR15[32*18+31:32*18]; 5'd19: VAR13 = VAR15[32*19+31:32*19]; 5'd20: VAR13 = VAR15[32*20+31:32*20]; 5'd21: VAR13 = VAR15[32*21+31:32*21]; 5'd22: VAR13 = VAR15[32*22+31:32*22]; 5'd23: VAR13 = VAR15[32*23+31:32*23]; 5'd24: VAR13 = VAR15[32*24+31:32*24]; 5'd25: VAR13 = VAR15[32*25+31:32*25]; 5'd26: VAR13 = VAR15[32*26+31:32*26]; 5'd27: VAR13 = VAR15[32*27+31:32*27]; 5'd28: VAR13 = VAR15[32*28+31:32*28]; 5'd29: VAR13 = VAR15[32*29+31:32*29]; 5'd30: VAR13 = VAR15[32*30+31:32*30]; default: VAR13 = VAR15[32*31+31:32*31]; endcase endmodule
gpl-3.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/6434ae5eac7e244d/zqynq_lab_1_design_xbar_1_stub.v
3,660
module MODULE1(VAR4, VAR19, VAR2, VAR39, VAR20, VAR26, VAR34, VAR10, VAR9, VAR37, VAR35, VAR13, VAR23, VAR5, VAR1, VAR27, VAR29, VAR24, VAR3, VAR33, VAR25, VAR36, VAR38, VAR11, VAR18, VAR17, VAR15, VAR28, VAR21, VAR8, VAR32, VAR40, VAR12, VAR30, VAR16, VAR7, VAR6, VAR22, VAR14, VAR31) ; input VAR4; input VAR19; input [31:0]VAR2; input [2:0]VAR39; input [0:0]VAR20; output [0:0]VAR26; input [31:0]VAR34; input [3:0]VAR10; input [0:0]VAR9; output [0:0]VAR37; output [1:0]VAR35; output [0:0]VAR13; input [0:0]VAR23; input [31:0]VAR5; input [2:0]VAR1; input [0:0]VAR27; output [0:0]VAR29; output [31:0]VAR24; output [1:0]VAR3; output [0:0]VAR33; input [0:0]VAR25; output [95:0]VAR36; output [8:0]VAR38; output [2:0]VAR11; input [2:0]VAR18; output [95:0]VAR17; output [11:0]VAR15; output [2:0]VAR28; input [2:0]VAR21; input [5:0]VAR8; input [2:0]VAR32; output [2:0]VAR40; output [95:0]VAR12; output [8:0]VAR30; output [2:0]VAR16; input [2:0]VAR7; input [95:0]VAR6; input [5:0]VAR22; input [2:0]VAR14; output [2:0]VAR31; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/dlxtp/sky130_fd_sc_hvl__dlxtp_1.v
2,170
module MODULE2 ( VAR3 , VAR8 , VAR9, VAR4, VAR2, VAR5 , VAR6 ); output VAR3 ; input VAR8 ; input VAR9; input VAR4; input VAR2; input VAR5 ; input VAR6 ; VAR7 VAR1 ( .VAR3(VAR3), .VAR8(VAR8), .VAR9(VAR9), .VAR4(VAR4), .VAR2(VAR2), .VAR5(VAR5), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR3 , VAR8 , VAR9 ); output VAR3 ; input VAR8 ; input VAR9; supply1 VAR4; supply0 VAR2; supply1 VAR5 ; supply0 VAR6 ; VAR7 VAR1 ( .VAR3(VAR3), .VAR8(VAR8), .VAR9(VAR9) ); endmodule
apache-2.0
YuxuanLing/trunk
trunk/references/h265enc_v1.0/rtl/pre_i/md_top.v
5,783
module MODULE1( clk, VAR15, enable, VAR51, VAR19, VAR41, VAR49, VAR61, VAR44, VAR30 ); parameter VAR43=21; parameter VAR25=0; input clk; input VAR15; input enable; input [31:0] VAR51; output VAR30; output VAR19; output [3:0] VAR41; output [5:0] VAR49; output [5:0] VAR61; output [5:0] VAR44; wire VAR30; wire [3:0] VAR41; wire VAR19; wire VAR16; wire [5:0] VAR68; wire [6:0] VAR53; wire [5:0] VAR64; wire [5:0] VAR2; wire [5:0] VAR45; wire [5:0] VAR49; wire [5:0] VAR61; wire [5:0] VAR44; wire [VAR43-VAR25:0] VAR56; wire [VAR43-VAR25+2:0] VAR67; wire [VAR43-VAR25+4:0] VAR40; wire [VAR43:0] VAR39; wire [VAR43:0] VAR36; wire [VAR43:0] VAR29; wire [VAR43:0] VAR50; wire [VAR43:0] VAR42; wire [VAR43:0] VAR5; wire [VAR43:0] VAR18; wire [VAR43:0] VAR9; wire [VAR43:0] VAR4; wire [VAR43:0] VAR1; wire [VAR43:0] VAR24; wire [VAR43:0] VAR32; wire [VAR43:0] VAR48; wire [VAR43:0] VAR3; wire [VAR43:0] VAR55; wire [VAR43:0] VAR62; wire [VAR43:0] VAR65; wire [VAR43:0] VAR47; wire [VAR43:0] VAR27; wire [VAR43:0] VAR69; wire [VAR43:0] VAR37; wire [VAR43:0] VAR35; wire [VAR43:0] VAR46; wire [VAR43:0] VAR17; wire [VAR43:0] VAR12; wire [VAR43:0] VAR31; wire [VAR43:0] VAR26; wire [VAR43:0] VAR63; wire [VAR43:0] VAR7; wire [VAR43:0] VAR6; wire [VAR43:0] VAR71; wire [VAR43:0] VAR70; wire VAR58; wire VAR14; wire VAR28; wire [10:0] VAR23; wire [10:0] VAR10; wire [23:0] VAR20; wire [15:0] VAR34; wire [23:0] VAR52; VAR66 VAR60( .clk ( clk ), .VAR15 ( VAR15 ), .enable ( enable ), .VAR68 ( VAR68 ), .VAR19 ( VAR19 ), .VAR51 ( VAR51 ), .VAR41 ( VAR41 ), .VAR20 ( VAR20 ), .VAR34 ( VAR34 ), .VAR52 ( VAR52 ) ); VAR33 VAR21( .clk ( clk ), .VAR15 ( VAR15 ), .enable ( enable ), .VAR16 ( VAR16 ), .VAR58 ( VAR58 ), .VAR22 ( VAR68 ), .VAR53 ( VAR53 ), .VAR14 ( VAR14 ), .VAR28 ( VAR28 ), .VAR30 ( VAR30 ) ); VAR57 VAR11( .clk ( clk ), .VAR15 ( VAR15 ), .VAR58 ( VAR58 ), .VAR20 ( VAR20 ), .VAR34 ( VAR34 ), .VAR52 ( VAR52 ), .VAR23 ( VAR23 ), .VAR10 ( VAR10 ) ); counter VAR8( .clk ( clk ), .VAR15 ( VAR15 ), .VAR14 ( VAR14 ), .VAR28 ( VAR28 ), .VAR23 ( VAR23 ), .VAR10 ( VAR10 ), .VAR39 ( VAR39 ), .VAR36 ( VAR36 ), .VAR29 ( VAR29 ), .VAR50 ( VAR50 ), .VAR42 ( VAR42 ), .VAR5 ( VAR5 ), .VAR18 ( VAR18 ), .VAR9 ( VAR9 ), .VAR4 ( VAR4 ), .VAR1 ( VAR1 ), .VAR24 ( VAR24 ), .VAR32 ( VAR32 ), .VAR48 ( VAR48 ), .VAR3 ( VAR3 ), .VAR55 ( VAR55 ), .VAR62 ( VAR62 ), .VAR65 ( VAR65 ), .VAR47 ( VAR47 ), .VAR27 ( VAR27 ), .VAR69 ( VAR69 ), .VAR37 ( VAR37 ), .VAR35 ( VAR35 ), .VAR46 ( VAR46 ), .VAR17 ( VAR17 ), .VAR12 ( VAR12 ), .VAR31 ( VAR31 ), .VAR26 ( VAR26 ), .VAR63 ( VAR63 ), .VAR7 ( VAR7 ), .VAR6 ( VAR6 ), .VAR71 ( VAR71 ), .VAR70 ( VAR70 ) ); VAR13 VAR38( .clk ( clk ), .VAR15 ( VAR15 ), .VAR68 ( VAR68 ), .VAR53 ( VAR53 ), .VAR64 ( VAR64 ), .VAR2 ( VAR2 ), .VAR45 ( VAR45 ), .VAR56 ( VAR56 ), .VAR67 ( VAR67 ), .VAR40 ( VAR40 ), .VAR39 ( VAR39 ), .VAR36 ( VAR36 ), .VAR29 ( VAR29 ), .VAR50 ( VAR50 ), .VAR42 ( VAR42 ), .VAR5 ( VAR5 ), .VAR18 ( VAR18 ), .VAR9 ( VAR9 ), .VAR4 ( VAR4 ), .VAR1 ( VAR1 ), .VAR24 ( VAR24 ), .VAR32 ( VAR32 ), .VAR48 ( VAR48 ), .VAR3 ( VAR3 ), .VAR55 ( VAR55 ), .VAR62 ( VAR62 ), .VAR65 ( VAR65 ), .VAR47 ( VAR47 ), .VAR27 ( VAR27 ), .VAR69 ( VAR69 ), .VAR37 ( VAR37 ), .VAR35 ( VAR35 ), .VAR46 ( VAR46 ), .VAR17 ( VAR17 ), .VAR12 ( VAR12 ), .VAR31 ( VAR31 ), .VAR26 ( VAR26 ), .VAR63 ( VAR63 ), .VAR7 ( VAR7 ), .VAR6 ( VAR6 ), .VAR71 ( VAR71 ), .VAR70 ( VAR70 ) ); VAR59 VAR54( .VAR15 ( VAR15 ), .clk ( clk ), .VAR14 ( VAR14 ), .VAR28 ( VAR28 ), .VAR68 ( VAR68 ), .VAR53 ( VAR53 ), .VAR23 ( VAR23 ), .VAR10 ( VAR10 ), .VAR64 ( VAR64 ), .VAR2 ( VAR2 ), .VAR45 ( VAR45 ), .VAR56 ( VAR56 ), .VAR67 ( VAR67 ), .VAR40 ( VAR40 ), .VAR49 ( VAR49 ), .VAR61 ( VAR61 ), .VAR44 ( VAR44 ) ); endmodule
gpl-3.0
infiniteNOP/nopCPU
control_unit.v
8,008
module MODULE1 (input clk, reset, interrupt, input [7:0] VAR29, VAR27, VAR23, input [7:0] VAR1, VAR22, VAR3, output reg [3:0] VAR15, output reg [7:0] VAR8,VAR2, output reg [1:0] VAR21, VAR26, VAR31, output reg [7:0] VAR7, VAR11, output reg VAR17, VAR25, VAR12, VAR30); parameter VAR4 = 3'h0; parameter VAR32 = 3'h1; parameter VAR20 = 3'h2; parameter VAR19 = 3'h3; parameter VAR28 = 3'h4; parameter VAR14 = 3'h5; parameter VAR18 = 3'h6; reg [2:0] VAR5; reg [7:0] VAR6; reg [7:0] VAR16; reg [7:0] VAR9; reg VAR13, VAR10; reg VAR24; always @(*) begin VAR6 <= VAR29; VAR10 <= (VAR6[7:4] <= 4'h7); VAR13 <= (VAR6[7:4] <= 4'hd); VAR15 <= VAR6[7:4]; VAR21 <= (VAR5 == VAR4) ? VAR6[3:2] : VAR16[3:2]; VAR26 <= (VAR5 == VAR4) ? VAR6[1:0] : VAR16[1:0]; VAR31 <= VAR16[1:0]; VAR24 <= (VAR23 == VAR1); VAR30 <= (VAR5 >= VAR28) ? 1 : 0; end always @(posedge clk) if(interrupt == 1) begin VAR12 <= 1; VAR11 <= 8'hfd; VAR5 <= VAR20; end else if(reset == 1) begin VAR9 <= 0; {VAR16, VAR8, VAR2, VAR7} <= 8'b0; {VAR17, VAR25} <= 1'b0; VAR12 <= 1; VAR11 <= 8'b0; VAR5 <= VAR20; end else if (VAR5 == VAR4) begin VAR17 <= 0; VAR16 <= VAR29; if (VAR10) begin VAR17 <= 0; VAR25 <= 1; VAR8 <= VAR22; VAR5 <= VAR4; end else if (VAR10 == 0) begin case (VAR6[7:4]) 4'h9 : begin VAR11 <= VAR1; VAR25 <= 0; VAR12 <= 1; VAR5 <= VAR20; end 4'ha : begin VAR17 <= 1; VAR9 <= VAR9 + 1; VAR7 <= VAR9; VAR2 <= VAR27; VAR11 <= VAR1; VAR25 <= 0; VAR12 <= 1; VAR5 <= VAR20; end 4'hb : begin if(VAR6[3:0] == 4'h0) begin VAR12 <= 1; VAR9 <= VAR9 - 1; VAR7 <= VAR9; VAR25 <= 0; VAR5 <= VAR28; end else if(VAR6[3:0] == 4'h1) begin VAR25 <= 1; VAR8 <= VAR9; VAR5 <= VAR4; end else if(VAR6[3:0] == 4'h2) begin VAR9 <= VAR9 - 1; VAR7 <= VAR9; VAR25 <= 1; VAR25 <= 0; VAR5 <= VAR18; end else if(VAR6[3:0] == 4'h4) begin VAR25 <= 0; VAR9 <= VAR23; VAR5 <= VAR4; end else if(VAR6[3:0] == 4'h8) begin VAR17 <= 1; VAR9 <= VAR9 + 1; VAR7 <= VAR9 + 1; VAR2 <= VAR23; VAR5 <= VAR4; end end 4'hc : begin VAR25 <= 0; if(VAR24) begin VAR5 <= VAR19; end else VAR5 <= VAR4; end 4'hd : begin VAR25 <= 0; if(VAR24 == 0) begin VAR5 <= VAR19; end else VAR5 <= VAR4; end default: VAR5 <= VAR32; endcase end end else if (VAR5 == VAR32) begin case (VAR16[7:4]) 4'h8 : begin VAR17 <= 0; VAR25 <= 1; VAR8 <= VAR29; VAR5 <= VAR4; end 4'he : begin VAR17 <= 1; VAR25 <= 0; VAR7 <= VAR29; VAR2 <= VAR23; VAR5 <= VAR4; end 4'hf : begin VAR17 <= 0; VAR7 <= VAR29; VAR25 <= 1; VAR5 <= VAR14; end endcase end else if(VAR5 == VAR20) begin VAR17 <= 0; VAR16 <= VAR29; VAR12 <= 0; VAR5 <= VAR4; end else if(VAR5 == VAR19) begin if(VAR13) VAR5 <= VAR4; end else VAR5 <= VAR20; end else if(VAR5 == VAR28) begin VAR17 <= 0; VAR11 <= VAR3; VAR5 <= VAR20; end else if(VAR5 == VAR14) begin VAR16 <= VAR29; VAR8 <= VAR3; VAR5 <= VAR4; end else if(VAR5 == VAR18) begin VAR16 <= VAR29; VAR8 <= VAR3; VAR5 <= VAR4; end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor2/sky130_fd_sc_ls__nor2.blackbox.v
1,233
module MODULE1 ( VAR2, VAR1, VAR3 ); output VAR2; input VAR1; input VAR3; supply1 VAR7; supply0 VAR4; supply1 VAR5 ; supply0 VAR6 ; endmodule
apache-2.0
kielfriedt/ece472
lab5/mux3.v
1,029
module MODULE1( sel, VAR3, VAR2, VAR1, VAR4 ); input [1:0] sel; input [31:0] VAR3, VAR2, VAR1; output VAR4; reg [31:0] VAR4; always @(VAR3 or VAR2 or VAR1 or sel) begin case (sel) 2'b00:VAR4 = VAR3; 2'b10:VAR4 = VAR2; 2'b01:VAR4 = VAR1; endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/and4/sky130_fd_sc_ms__and4.pp.symbol.v
1,297
module MODULE1 ( input VAR7 , input VAR2 , input VAR4 , input VAR3 , output VAR1 , input VAR5 , input VAR8, input VAR6, input VAR9 ); endmodule
apache-2.0
DougFirErickson/parallella-hw
fpga/src/elink/hdl/etx.v
16,506
module MODULE1( VAR58, VAR101, VAR41, VAR61, VAR5, VAR68, VAR51, VAR78, VAR43, VAR83, VAR103, VAR107, reset, VAR110, VAR44, VAR111, VAR50, VAR92, VAR12, VAR102, VAR96, VAR37, VAR19, VAR112, VAR115, VAR82, VAR69, VAR1, VAR22, VAR114, VAR73, VAR39, VAR36, VAR93, VAR116, VAR79, VAR16, VAR15, VAR24, VAR34, VAR109, VAR8, VAR7, VAR81, VAR74, VAR32, VAR113, VAR30, VAR63, VAR77, VAR75, VAR38 ); parameter VAR121 = 32; parameter VAR18 = 32; parameter VAR98 = 12; input reset; input VAR110; input VAR44; input VAR111; input VAR50; input VAR92; input VAR12; input VAR102; input VAR96; input [8:0] VAR37; output [1:0] VAR58; output [15:0] VAR101; input VAR19; input VAR112; input [1:0] VAR115; input [3:0] VAR82; input [31:0] VAR69; input [31:0] VAR1; input [31:0] VAR22; output VAR41; input VAR114; input VAR73; input [1:0] VAR39; input [3:0] VAR36; input [31:0] VAR93; input [31:0] VAR116; input [31:0] VAR79; output VAR61; input VAR16; input VAR15; input [1:0] VAR24; input [3:0] VAR34; input [31:0] VAR109; input [31:0] VAR8; input [31:0] VAR7; output VAR5; output VAR68, VAR51; output VAR78, VAR43; output [7:0] VAR83, VAR103; input VAR81,VAR74; input VAR32, VAR113; input VAR30; input VAR63; input VAR77; input [15:0] VAR75; input [31:0] VAR38; output [31:0] VAR107; reg [15:0] VAR101; wire VAR29; wire VAR47; wire VAR105; wire VAR59; wire [3:0] VAR108; wire [31:0] VAR20; wire [1:0] VAR91; wire [31:0] VAR10; wire [31:0] VAR97; wire VAR55; wire VAR90; wire VAR66; wire [3:0] VAR52; wire [31:0] VAR72; wire [1:0] VAR64; wire [31:0] VAR95; wire [31:0] VAR21; wire VAR17; wire VAR57; wire VAR106; wire [3:0] VAR28; wire [31:0] VAR56; wire [1:0] VAR14; wire [31:0] VAR27; wire [31:0] VAR89; wire VAR4; wire VAR53; wire VAR49; wire VAR71; wire [3:0] VAR45; wire [31:0] VAR85; wire [1:0] VAR13; wire [31:0] VAR87; wire VAR2; wire [31:0] VAR25; wire VAR88; wire VAR9; wire [63:0] VAR99; wire [7:0] VAR80; wire VAR54; wire VAR65; VAR86 VAR3(.VAR104 (VAR29), .VAR84 (VAR106), .VAR35 (VAR4), .VAR62(VAR14[1:0]), .VAR118(VAR28[3:0]), .VAR94(VAR27[31:0]), .VAR23 (VAR56[31:0]), .VAR31(VAR89[31:0]), .VAR60 (VAR61), .VAR46 (VAR111), .VAR11 (VAR50), .reset (reset), .VAR70 (VAR114), .VAR100 (VAR73), .VAR6(VAR39[1:0]), .VAR76(VAR36[3:0]), .VAR26 (VAR93[31:0]), .VAR33 (VAR116[31:0]), .VAR42 (VAR79[31:0]), .VAR119 (VAR53)); VAR86 VAR40(.VAR104 (VAR105), .VAR84(VAR59), .VAR35 (VAR55), .VAR62(VAR91[1:0]), .VAR118(VAR108[3:0]), .VAR94(VAR10[31:0]), .VAR23 (VAR20[31:0]), .VAR31(VAR97[31:0]), .VAR60 (VAR41), .VAR46 (VAR111), .VAR11 (VAR50), .reset (reset), .VAR70 (VAR19), .VAR100 (VAR112), .VAR6(VAR115[1:0]), .VAR76(VAR82[3:0]), .VAR26(VAR69[31:0]), .VAR33 (VAR1[31:0]), .VAR42(VAR22[31:0]), .VAR119 (VAR90)); VAR86 VAR48(.VAR104 (VAR47), .VAR84(VAR66), .VAR35 (VAR17), .VAR62(VAR64[1:0]), .VAR118(VAR52[3:0]), .VAR94(VAR95[31:0]), .VAR23 (VAR72[31:0]), .VAR31(VAR21[31:0]), .VAR60 (VAR5), .VAR46 (VAR111), .VAR11 (VAR92), .reset (reset), .VAR70 (VAR16), .VAR100 (VAR15), .VAR6(VAR24[1:0]), .VAR76(VAR34[3:0]), .VAR26(VAR109[31:0]), .VAR33 (VAR8[31:0]), .VAR42(VAR7[31:0]), .VAR119 (VAR57)); VAR67 VAR67 ( .VAR53 (VAR53), .VAR90 (VAR90), .VAR57 (VAR57), .VAR49 (VAR49), .VAR9 (VAR9), .VAR13 (VAR13[1:0]), .VAR45 (VAR45[3:0]), .VAR87 (VAR87[31:0]), .VAR25 (VAR25[31:0]), .VAR85 (VAR85[31:0]), .VAR111 (VAR111), .reset (reset), .VAR106 (VAR106), .VAR4 (VAR4), .VAR14 (VAR14[1:0]), .VAR28 (VAR28[3:0]), .VAR27 (VAR27[31:0]), .VAR56 (VAR56[31:0]), .VAR89 (VAR89[31:0]), .VAR59 (VAR59), .VAR55 (VAR55), .VAR91 (VAR91[1:0]), .VAR108 (VAR108[3:0]), .VAR10 (VAR10[31:0]), .VAR20 (VAR20[31:0]), .VAR97 (VAR97[31:0]), .VAR66 (VAR66), .VAR17 (VAR17), .VAR64 (VAR64[1:0]), .VAR52 (VAR52[3:0]), .VAR95 (VAR95[31:0]), .VAR72 (VAR72[31:0]), .VAR21 (VAR21[31:0]), .VAR2 (VAR2), .VAR88 (VAR88), .VAR71 (VAR71)); VAR120 VAR120 ( .VAR2 (VAR2), .VAR88 (VAR88), .VAR71 (VAR71), .VAR80 (VAR80[7:0]), .VAR99 (VAR99[63:0]), .VAR58 (VAR58[1:0]), .reset (reset), .VAR49 (VAR49), .VAR9 (VAR9), .VAR13 (VAR13[1:0]), .VAR45 (VAR45[3:0]), .VAR87 (VAR87[31:0]), .VAR25 (VAR25[31:0]), .VAR85 (VAR85[31:0]), .VAR111 (VAR111), .VAR54 (VAR54), .VAR65 (VAR65)); VAR117 VAR117 ( .VAR68 (VAR68), .VAR51 (VAR51), .VAR78 (VAR78), .VAR43 (VAR43), .VAR83 (VAR83[7:0]), .VAR103 (VAR103[7:0]), .VAR65 (VAR65), .VAR54 (VAR54), .reset (reset), .VAR81 (VAR81), .VAR74 (VAR74), .VAR32 (VAR32), .VAR113 (VAR113), .VAR111 (VAR111), .VAR110 (VAR110), .VAR44 (VAR44), .VAR80 (VAR80[7:0]), .VAR99 (VAR99[63:0]), .VAR12 (VAR12), .VAR102 (VAR102), .VAR37 (VAR37[8:0])); always @ (posedge VAR111) begin VAR101[15:0] <= {2'b0, VAR2, VAR88, VAR57, VAR5, VAR16, VAR90, VAR41, VAR19, VAR53, VAR61, VAR114, VAR47, VAR105, VAR29 }; end endmodule
gpl-3.0
Fabeltranm/FPGA-Game-D1
HW/RTL/05MicroSD/Version_02/02 verilog/J1_soc-master/hdl/dpram.v
1,472
module MODULE1 #( parameter VAR15 = 13, parameter VAR2 = 16, parameter VAR12 = "none" ) ( input VAR7, input VAR9, input VAR11, input [VAR15-1:0] VAR6, input [VAR2-1:0] VAR3, input VAR1, input [VAR15-1:0] VAR14, output reg [VAR2-1:0] VAR8, output reg [VAR2-1:0] VAR5, input VAR13 ); parameter VAR4 = (1 << VAR15); reg [VAR2-1:0] VAR10 [0:VAR4-1]; always @(posedge VAR1) begin if (VAR11) VAR8 <= VAR10[VAR14]; end always @(posedge VAR7) begin if (VAR9) begin if (VAR13) begin VAR10[VAR6] <= VAR3; end else VAR5<=VAR10[VAR6]; end end begin begin
gpl-3.0
timtian090/Playground
UVM/UVMPlayground/Lab4/Lab4-Project/Key_Synchronizer_Bank.v
1,418
module MODULE1 parameter VAR5 = 1, parameter VAR8 = 50000000, parameter VAR1 = 800000000 ) ( input [VAR5-1:0] VAR6, output [VAR5-1:0] VAR9, input VAR7 ); genvar VAR10; generate begin for (VAR10 = 0; VAR10 < VAR5; VAR10=VAR10+1) begin : VAR2 VAR3 .VAR8( VAR8 ), .VAR1( VAR1 ) ) VAR4 ( .VAR6( VAR6[VAR10] ), .VAR9( VAR9[VAR10] ), .VAR7( VAR7 ) ); end end endgenerate endmodule
mit
defano/digital-design
lsuc/rtl/disp_ctrl.v
5,608
module MODULE1 ( clk, reset, VAR38, VAR4, addr, VAR19, req, VAR24, VAR21, VAR20, VAR36); input clk; input reset; output [6:0] VAR38; output [3:0] VAR4; input [7:0] addr; input VAR19; input req; inout VAR24; input [7:0] VAR21; output [7:0] VAR20; output VAR36; reg [3:0] VAR16; reg [6:0] VAR6; reg [6:0] VAR3; reg [6:0] VAR8; reg [6:0] VAR17; reg VAR36; reg [7:0] VAR20; wire [6:0] VAR38; wire [3:0] VAR4; wire [6:0] VAR13; wire [6:0] VAR12; wire [6:0] VAR34; wire [6:0] VAR22; wire [6:0] VAR27; wire [6:0] VAR14; wire [6:0] VAR28; wire [6:0] VAR18; wire VAR25; wire VAR5; parameter VAR29 = 8'd0; parameter VAR37 = 8'd1; parameter VAR15 = 8'd2; parameter VAR35 = 8'd3; parameter VAR2 = 8'd4; parameter VAR11 = 8'd5; parameter VAR1 = 8'd6; parameter VAR31 = 8'd7; assign VAR25 = VAR19 && !VAR24 && req; assign VAR5 = VAR19 && VAR24 && req; always@ (posedge clk or negedge reset) if (!reset) VAR6 <= 7'h0; else if (VAR25 && addr == VAR37) VAR6 <= VAR21[6:0]; always@ (posedge clk or negedge reset) if (!reset) VAR3 <= 7'h0; else if (VAR25 && addr == VAR35) VAR3 <= VAR21[6:0]; always@ (posedge clk or negedge reset) if (!reset) VAR8 <= 7'h0; else if (VAR25 && addr == VAR11) VAR8 <= VAR21[6:0]; always@ (posedge clk or negedge reset) if (!reset) VAR17 <= 7'h0; else if (VAR25 && addr == VAR31) VAR17 <= VAR21[6:0]; always@ (posedge clk or negedge reset) if (!reset) VAR16 <= 4'h0; else if (VAR25 && addr == VAR29) VAR16[0] <= VAR21[0]; else if (VAR25 && addr == VAR15) VAR16[1] <= VAR21[1]; else if (VAR25 && addr == VAR2) VAR16[2] <= VAR21[2]; else if (VAR25 && addr == VAR1) VAR16[3] <= VAR21[3]; always@ (posedge clk or negedge reset) if (!reset) VAR20 <= 8'h00; else if (VAR5) VAR20 <= (addr == VAR37) ? {1'h0, VAR6} : (addr == VAR35) ? {1'h0, VAR3} : (addr == VAR11) ? {1'h0, VAR8} : (addr == VAR31) ? {1'h0, VAR17} : (addr == VAR29) ? {7'h0, VAR16[0]} : (addr == VAR15) ? {7'h0, VAR16[1]} : (addr == VAR2) ? {7'h0, VAR16[2]} : (addr == VAR1) ? {7'h0, VAR16[3]} : 8'h00; always@ (posedge clk or negedge reset) if (!reset) VAR36 <= 1'b0; else VAR36 <= req; VAR7 VAR23 ( .VAR30(VAR13), .VAR26(VAR6[3:0]) ); VAR7 VAR9 ( .VAR30(VAR12), .VAR26(VAR3[3:0]) ); VAR7 VAR33 ( .VAR30(VAR34), .VAR26(VAR8[3:0]) ); VAR7 VAR10 ( .VAR30(VAR22), .VAR26(VAR17[3:0]) ); assign VAR27 = VAR16[0] ? VAR6[6:0] : VAR13; assign VAR14 = VAR16[1] ? VAR3[6:0] : VAR12; assign VAR28 = VAR16[2] ? VAR8[6:0] : VAR34; assign VAR18 = VAR16[3] ? VAR17[6:0] : VAR22; VAR32 VAR32 ( .clk(clk), .reset(reset), .VAR27(VAR27), .VAR14(VAR14), .VAR28(VAR28), .VAR18(VAR18), .VAR30(VAR38), .VAR4(VAR4) ); endmodule
mit
Kumikomi/openreroc_motion_sensor
hardware/src/sonic_sensor.v
3,143
module MODULE1( input clk, input rst, input req, output VAR15, inout VAR2, output [31:0] VAR7 output [3:0] VAR11; ); parameter VAR14 = 0, VAR20 = 1, VAR16 = 2, VAR3 = 3, VAR5 = 4, VAR12 = 5, VAR1 = 6, VAR4 = 7, VAR19 = 8; reg [3:0] state; reg [31:0] VAR17; reg [32:0] counter; reg [31:0] VAR9; wire VAR13; wire VAR6; wire VAR8; wire timeout; wire VAR18; assign VAR13 = counter == 499; assign VAR6 = counter == 74998; assign VAR8 = counter == 19999; assign timeout = counter == 2000; assign VAR18 = (VAR17 > 1850000)? 1 : 0; assign VAR2 = (state == VAR16)? 1 : 1'VAR10; assign VAR15 = (state > VAR20)?1 : 0; always @(posedge clk) begin if(rst) state <= 0; end else case(state) VAR14: state <= VAR20; VAR20:if(req) state <= VAR16; VAR16:if(VAR13) state <= VAR3; VAR3: state <= VAR5; VAR5:if(VAR6) state <= VAR12; VAR12: state <= VAR1; VAR1:begin if(VAR18 || VAR2 == 0) state <= VAR4; end else if(VAR17 == 0 && timeout) state <= VAR14; end VAR4: state <= VAR19; VAR19:if(VAR8) state <= VAR20; endcase end always @(posedge clk) begin if(rst) counter <= 0; end else case(state) VAR16: counter <= counter + 1; VAR5: counter <= counter + 1; VAR1 : counter <= counter + 1; VAR19: counter <= counter + 1; default counter <= 0; endcase end always @(posedge clk) begin if(rst) VAR17 <= 0; end else if(state == VAR1)begin VAR17 <= VAR17 + 1; end else VAR17 <= 0; end always @(posedge clk)begin if(rst) VAR9 <= 0; end else if(state == VAR4) VAR9 <= VAR17; end assign VAR7 = VAR9[31:0]; assign VAR11 = state; endmodule
bsd-3-clause
monotone-RK/FACE
IEICE-Trans/bandwidth/PCIe/src/ip_dram/ecc/mig_7series_v2_3_ecc_merge_enc.v
5,947
module MODULE1 parameter VAR19 = 100, parameter VAR16 = 64, parameter VAR3 = 72, parameter VAR5 = 4, parameter VAR7 = 1, parameter VAR12 = 64, parameter VAR9 = 72, parameter VAR22 = 8, parameter VAR28 = 4 ) ( VAR4, VAR23, clk, rst, VAR29, VAR21, VAR25, VAR1, VAR10 ); input clk; input rst; input [2*VAR28*VAR16-1:0] VAR29; input [2*VAR28*VAR12/8-1:0] VAR21; input [2*VAR28*VAR12-1:0] VAR25; reg [2*VAR28*VAR16-1:0] VAR24; reg [2*VAR28*VAR12/8-1:0] VAR6; reg [2*VAR28*VAR12-1:0] VAR8; wire [2*VAR28*VAR16-1:0] VAR20; genvar VAR15; genvar VAR13; generate for (VAR15=0; VAR15<2*VAR28; VAR15=VAR15+1) begin : VAR26 for (VAR13=0; VAR13<VAR12/8; VAR13=VAR13+1) begin : VAR27 assign VAR20[VAR15*VAR16+VAR13*8+:8] = VAR21[VAR15*VAR12/8+VAR13] ? VAR25[VAR15*VAR12+VAR13*8+:8] : VAR29[VAR15*VAR16+VAR13*8+:8]; end if (VAR16 > VAR12) assign VAR20[(VAR15+1)*VAR16-1-:VAR16-VAR12]= VAR29[(VAR15+1)*VAR16-1-:VAR16-VAR12]; end endgenerate input [VAR3*VAR22-1:0] VAR1; input [2*VAR28-1:0] VAR10; reg [2*VAR28-1:0] VAR18; output reg [2*VAR28*VAR9-1:0] VAR4; reg [2*VAR28*VAR9-1:0] VAR2; genvar VAR11; integer VAR17; generate for (VAR11=0; VAR11<2*VAR28; VAR11=VAR11+1) begin : VAR14 always @(VAR1 or VAR20 or VAR18) begin VAR2[VAR11*VAR9+:VAR9] = {{VAR9-VAR16{1'b0}}, VAR20[VAR11*VAR16+:VAR16]}; for (VAR17=0; VAR17<VAR22; VAR17=VAR17+1) if (~VAR18[VAR11]) VAR2[VAR11*VAR9+VAR3-VAR17-1] = ^(VAR20[VAR11*VAR16+:VAR12] & VAR1[VAR17*VAR3+:VAR12]); end end endgenerate always @(posedge clk) VAR4 <= VAR2; output wire[2*VAR28*VAR9/8-1:0] VAR23; assign VAR23 = {2*VAR28*VAR9/8{1'b0}}; endmodule
mit
htogarcia/Microcontrolador-Calculadora
VGA Mouse/num_7.v
1,111
module MODULE1( input [2:0] VAR7, output reg [4:0] VAR4 ); parameter [4:0] VAR3 = 5'b11111; parameter [4:0] VAR6 = 5'b10000; parameter [4:0] VAR5 = 5'b01000; parameter [4:0] VAR8 = 5'b00100; parameter [4:0] VAR1 = 5'b00010; parameter [4:0] VAR2 = 5'b00001; always @ * begin case (VAR7) 3'b000: VAR4 = VAR3; 3'b001: VAR4 = VAR6; 3'b010: VAR4 = VAR5; 3'b011: VAR4 = VAR8; 3'b100: VAR4 = VAR1; 3'b101: VAR4 = VAR2; default: VAR4 = 5'b0; endcase end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nand3/sky130_fd_sc_hd__nand3_4.v
2,175
module MODULE1 ( VAR9 , VAR3 , VAR2 , VAR5 , VAR7, VAR10, VAR1 , VAR4 ); output VAR9 ; input VAR3 ; input VAR2 ; input VAR5 ; input VAR7; input VAR10; input VAR1 ; input VAR4 ; VAR6 VAR8 ( .VAR9(VAR9), .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5), .VAR7(VAR7), .VAR10(VAR10), .VAR1(VAR1), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR9, VAR3, VAR2, VAR5 ); output VAR9; input VAR3; input VAR2; input VAR5; supply1 VAR7; supply0 VAR10; supply1 VAR1 ; supply0 VAR4 ; VAR6 VAR8 ( .VAR9(VAR9), .VAR3(VAR3), .VAR2(VAR2), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/dfxtp/sky130_fd_sc_hvl__dfxtp.pp.symbol.v
1,315
module MODULE1 ( input VAR3 , output VAR2 , input VAR4 , input VAR1 , input VAR5, input VAR6, input VAR7 ); endmodule
apache-2.0
zYeoman/32BIT-MIPS-CPU
Single/DataMem.v
3,887
module MODULE1 ( input clk, rst, input VAR23, VAR6, input VAR10, input [31:0] addr, VAR9, input [7:0] VAR30, output VAR1, output reg [31:0] VAR15, output reg [7:0] VAR14, output reg [11:0] VAR25, output irq ); parameter VAR5 = 256; parameter VAR18 = 8; reg [31:0] VAR19[VAR5-1:0]; reg [31:0] VAR3, VAR29; reg [2:0] VAR12; reg [7:0] VAR31, VAR21; reg [1:0] VAR11; reg enable; wire VAR2, VAR4; wire [7:0] VAR20; integer VAR24; assign irq = VAR12[2]; always @ (*) begin if(VAR6) begin case (addr) 32'h40000000: VAR15 <= VAR3; 32'h40000004: VAR15 <= VAR29; 32'h40000008: VAR15 <= {29'b0, VAR12}; 32'h4000000c: VAR15 <= {24'b0, VAR14}; 32'h40000010: VAR15 <= {24'b0, VAR30}; 32'h40000014: VAR15 <= {20'b0, VAR25}; 32'h40000018: VAR15 <= {24'b0, VAR21}; 32'h4000001c: VAR15 <= {24'b0, VAR31}; 32'h40000020: VAR15 <= {28'b0, VAR11, 2'b0}; default: begin VAR15 <= ( (addr[VAR18+1:2]<VAR5) && ~addr[30] ) ? VAR19[ addr[VAR18+1:2] ] : 32'b0; end endcase end else VAR15 <= 32'b0; end always @ (posedge clk or posedge rst) begin if (rst) begin for(VAR24=0;VAR24<256;VAR24=VAR24+1) VAR19[VAR24]<=32'b0; VAR3 <= 32'b0; VAR29 <= 32'b0; VAR12 <= 3'b0; VAR14 <= 8'b0; VAR25 <= 12'b0; end else begin if(VAR12[0]) begin if(VAR29==32'hffffffff) begin VAR29 <= VAR3; VAR12[2] <= VAR12[1] ? 1'b1 : 1'b0; end else begin VAR29 <= VAR29 + 1'b1; end end if(VAR23) case (addr) 32'h40000000: VAR3 <= VAR9; 32'h40000004: VAR29 <= VAR9; 32'h40000008: VAR12 <= VAR9[2:0]; 32'h4000000C: VAR14 <= VAR9[7:0]; 32'h40000014: VAR25 <= VAR9[11:0]; default: if ( (addr[VAR18+1:2]<VAR5) && ~addr[30] ) VAR19[ addr[VAR18+1:2] ] <= VAR9; endcase end end VAR16 VAR27( .clk(clk), .rst(rst), .VAR28(VAR10), .VAR19(VAR20), .VAR7(VAR2) ); VAR13 VAR17( .clk(clk), .rst(rst), .VAR19(VAR21), .VAR26(enable), .VAR8(VAR1), .VAR7(), .VAR22(VAR4) ); always @ (posedge clk or posedge rst) begin if(rst) begin VAR11 <= 2'b0; VAR21 <= 8'b0; VAR31 <= 8'b0; enable <= 1'b0; end else begin if(VAR23) case (addr) 32'h40000018: begin VAR21 <= VAR9[7:0]; enable <= 1'b1; end 32'h40000020: VAR11 <= VAR9[3:2]; default: ; endcase if(VAR6) case (addr) 32'h40000018: VAR11[0] <= 1'b0; 32'h4000001c: VAR11[1] <= 1'b0; default: ; endcase if(VAR2) begin VAR31 <= VAR20; VAR11[1] <= 1'b1; end if(VAR4) begin VAR11[0] <= 1'b1; enable <= 1'b0; end end end endmodule
gpl-2.0
mzakharo/usb-de2-fpga
support/DE2_NIOS_DEVICE_LED/HW/I2C_Controller.v
3,871
module MODULE1 ( VAR3, VAR8, VAR2, VAR16, VAR7, VAR4, VAR9, VAR5, VAR6, VAR13, VAR15 ); input VAR3; input [23:0]VAR16; input VAR7; input VAR6; input VAR9; inout VAR2; output VAR8; output VAR4; output VAR5; output [5:0] VAR13; output VAR15; reg VAR15; reg VAR14; reg VAR4; reg [23:0]VAR1; reg [5:0]VAR13; wire VAR8=VAR14 | ( ((VAR13 >= 4) & (VAR13 <=30))? ~VAR3 :0 ); wire VAR2=VAR15?1'VAR12:0 ; reg VAR17,VAR11,VAR10; wire VAR5=VAR17 | VAR11 |VAR10; always @(negedge VAR6 or posedge VAR3 ) begin if (!VAR6) VAR13=6'b111111; end else begin if (VAR7==0) VAR13=0; end else if (VAR13 < 6'b111111) VAR13=VAR13+1; end end always @(negedge VAR6 or posedge VAR3 ) begin if (!VAR6) begin VAR14=1;VAR15=1; VAR17=0;VAR11=0;VAR10=0; VAR4=1; end else case (VAR13) 6'd0 : begin VAR17=0 ;VAR11=0 ;VAR10=0 ; VAR4=0; VAR15=1; VAR14=1;end 6'd1 : begin VAR1=VAR16;VAR15=0;end 6'd2 : VAR14=0; 6'd3 : VAR15=VAR1[23]; 6'd4 : VAR15=VAR1[22]; 6'd5 : VAR15=VAR1[21]; 6'd6 : VAR15=VAR1[20]; 6'd7 : VAR15=VAR1[19]; 6'd8 : VAR15=VAR1[18]; 6'd9 : VAR15=VAR1[17]; 6'd10 : VAR15=VAR1[16]; 6'd11 : VAR15=1'b1; 6'd12 : begin VAR15=VAR1[15]; VAR17=VAR2; end 6'd13 : VAR15=VAR1[14]; 6'd14 : VAR15=VAR1[13]; 6'd15 : VAR15=VAR1[12]; 6'd16 : VAR15=VAR1[11]; 6'd17 : VAR15=VAR1[10]; 6'd18 : VAR15=VAR1[9]; 6'd19 : VAR15=VAR1[8]; 6'd20 : VAR15=1'b1; 6'd21 : begin VAR15=VAR1[7]; VAR11=VAR2; end 6'd22 : VAR15=VAR1[6]; 6'd23 : VAR15=VAR1[5]; 6'd24 : VAR15=VAR1[4]; 6'd25 : VAR15=VAR1[3]; 6'd26 : VAR15=VAR1[2]; 6'd27 : VAR15=VAR1[1]; 6'd28 : VAR15=VAR1[0]; 6'd29 : VAR15=1'b1; 6'd30 : begin VAR15=1'b0; VAR14=1'b0; VAR10=VAR2; end 6'd31 : VAR14=1'b1; 6'd32 : begin VAR15=1'b1; VAR4=1; end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/sdfrtp/sky130_fd_sc_hdll__sdfrtp.behavioral.pp.v
2,906
module MODULE1 ( VAR10 , VAR15 , VAR20 , VAR25 , VAR16 , VAR23, VAR21 , VAR11 , VAR1 , VAR6 ); output VAR10 ; input VAR15 ; input VAR20 ; input VAR25 ; input VAR16 ; input VAR23; input VAR21 ; input VAR11 ; input VAR1 ; input VAR6 ; wire VAR17 ; wire VAR3 ; wire VAR13 ; reg VAR22 ; wire VAR8 ; wire VAR14 ; wire VAR18 ; wire VAR26; wire VAR29 ; wire VAR31 ; wire VAR28 ; wire VAR5 ; wire VAR4 ; wire VAR7 ; wire VAR9 ; not VAR12 (VAR3 , VAR26 ); VAR2 VAR30 (VAR13, VAR8, VAR14, VAR18 ); VAR24 VAR27 (VAR17 , VAR13, VAR29, VAR3, VAR22, VAR21, VAR11); assign VAR31 = ( VAR21 === 1'b1 ); assign VAR28 = ( ( VAR26 === 1'b1 ) && VAR31 ); assign VAR5 = ( ( VAR18 === 1'b0 ) && VAR28 ); assign VAR4 = ( ( VAR18 === 1'b1 ) && VAR28 ); assign VAR7 = ( ( VAR8 !== VAR14 ) && VAR28 ); assign VAR9 = ( ( VAR23 === 1'b1 ) && VAR31 ); buf VAR19 (VAR10 , VAR17 ); endmodule
apache-2.0
monotone-RK/FACE
IEICE-Trans/8-way_2-tree/src/ip_pcie/source/PCIeGen2x8If128_qpll_wrapper.v
29,706
module MODULE1 # ( parameter VAR70 = "VAR130", parameter VAR69 = "VAR58", parameter VAR149 = "3.0", parameter VAR150 = "VAR143", parameter VAR139 = 0 ) ( input VAR148, input VAR91, input VAR65, output VAR106, output VAR17, output VAR63, input VAR73, input VAR129, input VAR26, input [ 7:0] VAR60, input VAR9, input [15:0] VAR5, input VAR132, output [15:0] VAR47, output VAR21 ); localparam VAR140 = (VAR139 == 2) && (VAR150 == "VAR56") ? 10'b0010000000 : (VAR139 == 1) && (VAR150 == "VAR56") ? 10'b0100100000 : (VAR139 == 0) && (VAR150 == "VAR56") ? 10'b0101110000 : (VAR139 == 2) && (VAR150 == "VAR143") ? 10'b0001100000 : (VAR139 == 1) && (VAR150 == "VAR143") ? 10'b0011100000 : 10'b0100100000; localparam VAR82 = (VAR139 == 2) ? 3'd2 : (VAR139 == 1) ? 3'd4 : 3'd5; localparam VAR46 = ((VAR149 == "1.0") && (VAR150 == "VAR143")) ? 64'h0000042000001000 : 64'h0000040000001000; wire VAR79; wire VAR10; generate if (VAR69 == "VAR100") begin : VAR135 VAR112 # ( .VAR67 (3'b001), .VAR85 (3'b001), .VAR59 (VAR70), .VAR72 (VAR149), .VAR29 (27'h01F024C), .VAR43 (27'h01F024C), .VAR124 (8'd0), .VAR101 (1'b0), .VAR19 (1'b0), .VAR74 (VAR82), .VAR88 (VAR82), .VAR138 (5), .VAR93 (5), .VAR33 (24'h00001E), .VAR55 (24'h00001E), .VAR36 ( 9'h1E8), .VAR6 ( 9'h1E8), .VAR20 (1), .VAR30 (1), .VAR46 (64'h0000000000050001), .VAR116 (16'd0), .VAR50 (16'd0) ) VAR27 ( .VAR44 ( 1'd0), .VAR110 ( 1'd0), .VAR48 (VAR91), .VAR75 ( 1'd0), .VAR68 ( 1'd0), .VAR52 ( 1'd0), .VAR142 ( 1'd0), .VAR117 ( 1'd0), .VAR1 (VAR65), .VAR83 (VAR65), .VAR123 ( 1'd1), .VAR87 ( 1'd1), .VAR86 ( 3'd1), .VAR28 ( 3'd1), .VAR7 (16'd0), .VAR141 ( 5'd0), .VAR35 (VAR106), .VAR120 (), .VAR105 (VAR17), .VAR151 (), .VAR127 (VAR63), .VAR77 (), .VAR118 (), .VAR34 (), .VAR25 (), .VAR134 (), .VAR97 (), .VAR133 (VAR79 | VAR73), .VAR4 ( 1'd1), .VAR3 (VAR10 | VAR129), .VAR71 ( 1'd1), .VAR147 (VAR26), .VAR145 (VAR60), .VAR23 (VAR9), .VAR92 (VAR5), .VAR45 (VAR132), .VAR16 (VAR47), .VAR53 (VAR21), .VAR2 ( 1'd1), .VAR31 ( 1'd1), .VAR119 ( 1'd1), .VAR61 ( 5'd31), .VAR22 ( 1'd1), .VAR66 ( 8'd0), .VAR103 ( 1'd1), .VAR81 (), .VAR14 (), .VAR136 () ); end else if (VAR69 == "VAR8") begin : VAR104 VAR121 # ( .VAR13 (3'b001), .VAR59 (VAR70), .VAR72 ("2.0"), .VAR18 (27'h04801C7), .VAR41 ( 4'b1111), .VAR108 ( 6'b010000), .VAR90 ( 1'd0), .VAR11 (10'h0FF), .VAR89 ( 1'd0), .VAR144 ( 1'd0), .VAR140 (VAR140), .VAR78 ( 1'd0), .VAR76 ( 1'd1), .VAR131 (24'h000006), .VAR40 (16'h05E8), .VAR115 ( 4'hD), .VAR62 ( 1), .VAR80 ( 1'd0), .VAR24 ( 2'd0), .VAR46 (64'h0000040000001050), .VAR15 (32'd0), .VAR95 ( 2'b00), .VAR116 (16'd0), .VAR50 (16'd0) ) VAR99 ( .VAR39 ( 1'd0), .VAR48 (VAR91), .VAR75 ( 1'd0), .VAR64 ( 1'd0), .VAR42 ( 1'd0), .VAR38 ( 1'd0), .VAR102 ( 1'd0), .VAR51 (VAR65), .VAR98 ( 1'd1), .VAR94 ( 3'd1), .VAR107 (16'd0), .VAR84 ( 5'b11111), .VAR111 (VAR106), .VAR128 (VAR17), .VAR137 (VAR63), .VAR96 (), .VAR146 (), .VAR125 (), .VAR113 (VAR73), .VAR12 (VAR129), .VAR32 ( 1'd0), .VAR147 (VAR26), .VAR145 (VAR60), .VAR23 (VAR9), .VAR92 (VAR5), .VAR45 (VAR132), .VAR16 (VAR47), .VAR53 (VAR21), .VAR2 ( 1'd1), .VAR31 ( 1'd1), .VAR119 ( 1'd1), .VAR61 ( 5'd31), .VAR22 ( 1'd1), .VAR66 ( 8'd0), .VAR103 ( 1'd1), .VAR152 (), .VAR136 () ); end else begin : VAR126 VAR54 # ( .VAR13 ( 3'b001), .VAR59 (VAR70), .VAR72 (VAR149), .VAR18 (27'h06801C1), .VAR108 ( 6'b010000), .VAR90 ( 1'd0), .VAR11 (10'h01F), .VAR89 ( 1'd0), .VAR144 ( 1'd0), .VAR140 (VAR140), .VAR78 ( 1'd0), .VAR76 ( 1'd1), .VAR40 (16'h21E8), .VAR115 ( 4'hD), .VAR62 (1), .VAR46 (VAR46) ) VAR122 ( .VAR39 ( 1'd0), .VAR48 (VAR91), .VAR75 ( 1'd0), .VAR64 ( 1'd0), .VAR42 ( 1'd0), .VAR38 ( 1'd0), .VAR102 ( 1'd0), .VAR51 (VAR65), .VAR98 ( 1'd1), .VAR94 ( 3'd1), .VAR107 (16'd0), .VAR84 ( 5'b11111), .VAR111 (VAR106), .VAR128 (VAR17), .VAR137 (VAR63), .VAR96 (), .VAR146 (), .VAR125 (), .VAR113 (VAR73), .VAR12 (VAR129), .VAR32 ( 1'd0), .VAR147 (VAR26), .VAR145 (VAR60), .VAR23 (VAR9), .VAR92 (VAR5), .VAR45 (VAR132), .VAR16 (VAR47), .VAR53 (VAR21), .VAR2 ( 1'd1), .VAR31 ( 1'd1), .VAR119 ( 1'd1), .VAR61 ( 5'd31), .VAR66 ( 8'd0), .VAR103 ( 1'd1), .VAR152 () ); end endgenerate VAR57 VAR49 ( .VAR37(VAR148), .VAR114(VAR79), .VAR109(VAR10)); endmodule
mit
csturton/wirepatch
system/hardware/cores/fabric/ovl_ported/ovl_frame.v
2,109
module MODULE1 (VAR2, reset, enable, VAR25, VAR21, VAR11); parameter VAR5 = VAR12; parameter VAR7 = 0; parameter VAR17 = 0; parameter VAR23 = VAR6; parameter VAR9 = VAR24; parameter VAR18 = VAR14; parameter VAR13 = VAR8; parameter VAR3 = VAR19; parameter VAR10 = VAR4; parameter VAR1 = VAR16; input VAR2, reset, enable; input VAR25; input VAR21; output [VAR20-1:0] VAR11; parameter VAR15 = "VAR22"; begin
mit
atti92/heterogenhomework
project1/solution1/syn/verilog/fir_hw_coeff_hw_V.v
1,168
module MODULE1 ( VAR6, VAR1, VAR7, clk); parameter VAR5 = 15; parameter VAR4 = 7; parameter VAR3 = 128; input[VAR4-1:0] VAR6; input VAR1; output reg[VAR5-1:0] VAR7; input clk; reg [VAR5-1:0] VAR2[VAR3-1:0]; begin begin
gpl-2.0
GSejas/Dise-o-ASIC-FPGA-FPU
Literature_KOA/Booth_Multipliers-master/Src/Booth_Multiplier_2x.v
11,370
module MODULE1 #( parameter VAR15 = 16 )( input VAR16, input VAR8, input VAR14, input [(VAR15 - 1):0] VAR1, input [(VAR15 - 1):0] VAR6, output reg VAR3, output reg [((2*VAR15) - 1):0] VAR7 ); localparam VAR9 = ((VAR15 + 1)/2); reg [4:0] VAR2; reg [2:0] VAR4; reg VAR5; reg [(VAR15 + 1):0] VAR12; reg [(VAR15 + 1):0] VAR10; wire [(VAR15 + 1):0] VAR11; reg [((2*VAR15) + 1):0] VAR13; always @(posedge VAR8) begin if(VAR16) VAR2 <= 0; end else if(VAR14) VAR2 <= VAR9; else if(|VAR2) VAR2 <= (VAR2 - 1); end always @(posedge VAR8) begin if(VAR16) VAR12 <= 0; end else if(VAR14) VAR12 <= {{2{VAR1[(VAR15 - 1)]}}, VAR1}; end always @ begin case(VAR4) 3'b000 : VAR10 <= VAR11; 3'b001 : VAR10 <= VAR11 + VAR12; 3'b010 : VAR10 <= VAR11 + VAR12; 3'b011 : VAR10 <= VAR11 + {VAR12, 1'b0}; 3'b100 : VAR10 <= VAR11 - {VAR12, 1'b0}; 3'b101 : VAR10 <= VAR11 - VAR12; 3'b110 : VAR10 <= VAR11 - VAR12; 3'b111 : VAR10 <= VAR11; endcase end always @(posedge VAR8) begin if(VAR16) VAR13 <= 0; end else if(VAR14) VAR13 <= VAR6; else if(|VAR2) VAR13 <= {{2{VAR10[(VAR15 + 1)]}}, VAR10, VAR13[(VAR15 - 1):2]}; end always @(posedge VAR8) begin if(VAR16) VAR5 <= 0; end else if(VAR14) VAR5 <= 0; else if(|VAR2) VAR5 <= VAR13[1]; end always @(posedge VAR8) begin if(VAR16) VAR7 <= 0; end else if(VAR2 == 1) VAR7 <= {VAR10, VAR13[(VAR15 - 1):2]}; end always @(posedge VAR8) begin if(VAR16) VAR3 <= 0; end else VAR3 <= (VAR2 == 1); end endmodule
gpl-3.0
iamllama/EE2020
ee2020.cache/ip/3d845bac08f84459/dds_compiler_0_stub.v
1,539
module MODULE1(VAR4, VAR3, VAR1, VAR5, VAR2) ; input VAR4; input VAR3; input [23:0]VAR1; output VAR5; output [15:0]VAR2; endmodule
gpl-3.0
CeesWolfs/ceespu
src/gpu/primitives/async_fifo.v
2,718
module MODULE1 ( input VAR6, input VAR9, input [29:0] din, input VAR16, output reg VAR18, input VAR37, input VAR12, output reg [29:0] dout, input VAR33, output reg VAR26 ); localparam VAR7 = 5'h1e; localparam VAR24 = 5'h10; localparam VAR34 = 3'h4; reg [3:0] VAR3, VAR35 = 1'h0; reg [7:0] VAR36, VAR29 = 1'h0; reg [3:0] VAR32, VAR25 = 1'h0; reg [7:0] VAR21, VAR20 = 1'h0; wire [30-1:0] VAR19; reg [1-1:0] VAR31; reg [4-1:0] VAR13; reg [30-1:0] VAR38; reg [1-1:0] VAR15; reg [1-1:0] VAR22; reg [4-1:0] VAR1; VAR28 #(.VAR7(5'h1e), .VAR24(5'h10)) VAR14 ( .VAR6(VAR31), .VAR5(VAR13), .VAR8(VAR38), .VAR30(VAR15), .VAR37(VAR22), .VAR2(VAR1), .VAR17(VAR19) ); reg [3:0] VAR11; reg [3:0] VAR23; reg [3:0] VAR27; reg VAR10; reg VAR4; always @* begin VAR21 = VAR20; VAR36 = VAR29; VAR32 = VAR25; VAR3 = VAR35; VAR31 = VAR6; VAR22 = VAR37; VAR15 = 1'h0; VAR11 = (VAR35 >> 1'h1) ^ VAR35; VAR23 = ((VAR35 + 1'h1) >> 1'h1) ^ (VAR35 + 1'h1); VAR27 = (VAR25 >> 1'h1) ^ VAR25; VAR21 = {VAR20[0+3-:4], VAR11}; VAR36 = {VAR29[0+3-:4], VAR27}; VAR10 = VAR23 != VAR29[4+3-:4]; VAR4 = VAR27 != VAR20[4+3-:4]; VAR18 = !VAR10; VAR26 = !VAR4; VAR13 = VAR35; VAR1 = VAR25; VAR38 = din; if (VAR16 && VAR10) begin VAR3 = VAR35 + 1'h1; VAR15 = 1'h1; end if (VAR33 && VAR4) begin VAR32 = VAR25 + 1'h1; VAR1 = VAR25 + 1'h1; end dout = VAR19; end always @(posedge VAR37) begin if (VAR12 == 1'b1) begin VAR25 <= 1'h0; VAR20 <= 1'h0; end else begin VAR25 <= VAR32; VAR20 <= VAR21; end end always @(posedge VAR6) begin if (VAR9 == 1'b1) begin VAR35 <= 1'h0; VAR29 <= 1'h0; end else begin VAR35 <= VAR3; VAR29 <= VAR36; end end endmodule
mit
TheMadSocrates/vercpu-project
rtl/fpga/memory_decoder.v
2,224
module MODULE1( input wire [ 7 : 0] address, input wire [ 7 : 0] VAR18, input wire [ 7 : 0] VAR12, input wire clk, input wire VAR16, input wire VAR4, output wire [ 7 : 0] VAR1, output wire [ 7 : 0] VAR13 ); wire [ 7 : 0] VAR11; wire [ 7 : 0] VAR2; wire VAR6, VAR9; assign VAR6 = VAR4 & (~&address); VAR17 VAR3 ( .address(address), .VAR18(VAR18), .clk(clk), .VAR4(VAR6), .VAR13(VAR11) ); assign VAR9 = VAR4 & (&address); VAR5 #(.VAR8(8)) VAR7 ( .VAR14(VAR18), .en(VAR9), .clk(clk), .VAR16(VAR16), .VAR15(VAR1) ); VAR5 #(.VAR8(8)) VAR10 ( .VAR14(VAR12), .en(1'b1), .clk(clk), .VAR16(VAR16), .VAR15(VAR2) ); assign VAR13 = (~&address) ? VAR11 : VAR2; endmodule
gpl-3.0
ShepardSiegel/ocpi
libsrc/hdl/ocpi/fpgaTop_kc705.v
5,480
module MODULE1 ( input wire VAR23, input wire VAR22, input wire VAR5, input wire VAR29, input wire VAR6, input wire VAR15, input wire VAR26, input wire VAR27, output wire [3:0] VAR14, output wire [3:0] VAR31, input wire [3:0] VAR40, input wire [3:0] VAR24, input wire [ 7:0] VAR3, output wire [ 7:0] VAR30, output wire [ 3:0] VAR8, output wire VAR2, output wire VAR17, output wire VAR34, output wire [15:0] VAR44, output wire VAR41, output wire VAR36, output wire [7:0] VAR12, output wire VAR39, output wire VAR33, input wire VAR37, input wire [7:0] VAR28, input wire VAR38, input wire VAR1, output wire VAR16, inout wire VAR43 ); VAR42 VAR13( .VAR23 (VAR23), .VAR22 (VAR22), .VAR20 (!VAR5), .VAR29 (VAR29), .VAR6 (VAR6), .VAR15 (VAR15), .VAR26 (VAR26), .VAR4 (VAR27), .VAR10 (VAR40), .VAR18 (VAR24), .VAR25 (VAR14), .VAR45 (VAR31), .VAR30 (VAR30), .VAR8 (VAR8), .VAR2 (VAR2), .VAR17 (VAR17), .VAR34 (VAR34), .VAR35 (VAR3), .VAR44 (VAR44), .VAR41 (VAR41), .VAR21 (VAR12), .VAR46 (VAR39), .VAR11 (VAR33), .VAR32 (VAR28), .VAR9 (VAR38), .VAR7 (VAR1), .VAR19 (VAR36), .VAR37 (VAR37), .VAR16 (VAR16), .VAR43 (VAR43) ); endmodule
lgpl-3.0
MarkBlanco/FPGA_Sandbox
FPGA1/DE1_SOC_golden_top.v
7,630
module MODULE1( output VAR102, output VAR33, input VAR9, output VAR96, input VAR101, inout VAR50, inout VAR83, output VAR100, inout VAR106, output VAR37, input VAR2, input VAR47, input VAR90, input VAR91, output [12:0] VAR52, output [1:0] VAR62, output VAR56, output VAR36, output VAR30, output VAR27, inout [15:0] VAR61, output VAR79, output VAR21, output VAR81, output VAR54, output VAR75, output VAR73, inout VAR13, inout [35:0] VAR57, inout [35:0] VAR45, output [6:0] VAR23, output [6:0] VAR6, output [6:0] VAR64, output [6:0] VAR8, output [6:0] VAR51, output [6:0] VAR35, inout VAR16, output [14:0] VAR95, output [2:0] VAR4, output VAR99, output VAR71, output VAR97, output VAR53, output VAR86, output [3:0] VAR110, inout [31:0] VAR1, inout [3:0] VAR104, inout [3:0] VAR43, output VAR29, output VAR18, output VAR60, input VAR20, output VAR15, output VAR58, inout VAR40, output VAR38, inout VAR109, input VAR69, input [3:0] VAR92, input VAR34, output [3:0] VAR12, output VAR89, inout [3:0] VAR32, output VAR3, output VAR77, inout VAR76, inout VAR67, inout VAR49, inout VAR93, inout VAR55, inout VAR25, inout VAR24, inout VAR80, inout VAR11, output VAR59, inout VAR28, inout [3:0] VAR10, output VAR14, input VAR94, output VAR31, inout VAR48, input VAR87, output VAR78, input VAR17, inout [7:0] VAR7, input VAR26, input VAR41, output VAR107, input VAR44, output VAR63, input [3:0] VAR74, output [9:0] VAR39, inout VAR46, inout VAR22, inout VAR84, inout VAR103, input [9:0] VAR105, input VAR68, input [7:0] VAR19, input VAR72, output VAR85, input VAR88, output [7:0] VAR70, output VAR108, output VAR66, output [7:0] VAR42, output VAR82, output [7:0] VAR5, output VAR98, output VAR65 ); endmodule
mit
combinatorylogic/soc
backends/c2/hw/ice/3rdparty.v
6,596
module MODULE3( input wire clk, output wire VAR12); localparam VAR21 = (VAR40 / VAR26) - 1; localparam VAR16 = VAR10(VAR21); wire [VAR16-1:0] VAR19 = VAR21; reg [VAR16-1:0] counter; assign VAR12 = (counter == VAR19); always @(posedge clk) counter <= VAR12 ? 0 : (counter + 1); endmodule module MODULE1( input wire clk, input wire VAR34, output wire VAR12); localparam VAR21 = (VAR40 / (2 * VAR26)) - 1; localparam VAR16 = VAR10(VAR21); wire [VAR16-1:0] VAR19 = VAR21; reg [VAR16-1:0] counter; assign VAR12 = (counter == VAR19); always @(posedge clk) if (VAR34) counter <= 0; else counter <= VAR12 ? 0 : (counter + 1); endmodule module MODULE5( input wire clk, input wire VAR33, output wire VAR29, output reg VAR35, input wire VAR5, input wire [7:0] VAR20 ); reg [3:0] VAR32; reg [8:0] VAR2; assign VAR29 = |VAR32; wire VAR4 = |VAR32; wire VAR12; MODULE3 MODULE3( .clk(clk), .VAR12(VAR12)); always @(negedge VAR33 or posedge clk) begin if (!VAR33) begin VAR35 <= 1; VAR32 <= 0; VAR2 <= 0; end else begin if (VAR5) begin { VAR2, VAR35 } <= { VAR20[7:0], 1'b0, 1'b1 }; VAR32 <= 1 + 8 + 1; end else if (VAR12 & VAR4) begin { VAR2, VAR35 } <= { 1'b1, VAR2 }; VAR32 <= VAR32 - 4'd1; end end end endmodule module MODULE4( input wire clk, input wire VAR33, input wire VAR17, input wire rd, output wire valid, output wire [7:0] VAR9); reg [4:0] VAR32; reg [7:0] VAR2; wire VAR7 = &VAR32; assign valid = (VAR32 == 18); wire VAR27; reg [2:0] VAR6 = 3'b111; wire [2:0] VAR38 = {VAR6[1:0], VAR17}; wire VAR25 = VAR7 & (VAR38[2:1] == 2'b10); wire [7:0] VAR8 = VAR27 ? {VAR6[1], VAR2[7:1]} : VAR2; wire VAR12; MODULE1 MODULE3( .clk(clk), .VAR34(VAR25), .VAR12(VAR12)); reg [4:0] VAR13; always @* if (VAR25) VAR13 = 0; end else if (!VAR7 & !valid & VAR12) VAR13 = VAR32 + 5'd1; end else if (valid & rd) VAR13 = 5'b11111; else VAR13 = VAR32; assign VAR27 = (|VAR32[4:1]) & VAR32[0] & VAR12; assign VAR9 = VAR2; always @(negedge VAR33 or posedge clk) begin if (!VAR33) begin VAR6 <= 3'b111; VAR32 <= 5'b11111; VAR2 <= 0; end else begin VAR6 <= VAR38; VAR32 <= VAR13; VAR2 <= VAR8; end end endmodule module MODULE7( input wire clk, input wire VAR33, input wire MODULE2, output wire MODULE3, input wire rd, input wire wr, output wire valid, output wire VAR39, input wire [7:0] VAR36, output wire [7:0] VAR30 ); MODULE4 MODULE2 ( .clk(clk), .VAR33(VAR33), .VAR17(MODULE2), .rd(rd), .valid(valid), .VAR9(VAR30)); MODULE5 MODULE3 ( .clk(clk), .VAR33(VAR33), .VAR29(VAR39), .VAR35(MODULE3), .VAR5(wr), .VAR20(VAR36)); endmodule module MODULE2( input clk, input VAR15, output rd); VAR14 #(.VAR18(6'b000000)) VAR22 ( .VAR42(VAR15), .VAR24(clk), .VAR37(rd)); endmodule module MODULE6( input clk, output VAR15, input VAR28, input VAR11, output rd); VAR14 #(.VAR18(6'b010101)) VAR22 ( .VAR42(VAR15), .VAR3(VAR28), .VAR41(clk), .VAR31(VAR11), .VAR37(rd)); endmodule
mit
aospan/NetUP_Dual_Universal_CI-fpga
ip_compiler_for_pci_express-library/altpcie_serdes_1sgx_x4_12500.v
19,870
module MODULE1 ( VAR92, VAR43, VAR104, VAR47, VAR67, VAR109, VAR35, VAR84, VAR101, VAR52, VAR9, VAR80, VAR33, VAR7, VAR99, VAR85, VAR68, VAR13, VAR14, VAR73); input [0:0] VAR92; input [0:0] VAR43; input [0:0] VAR104; input [0:0] VAR47; input [3:0] VAR67; input [3:0] VAR109; input [3:0] VAR35; input [3:0] VAR84; input [3:0] VAR101; input [79:0] VAR52; input [3:0] VAR9; output [0:0] VAR80; output [0:0] VAR33; output [3:0] VAR7; output [3:0] VAR99; output [3:0] VAR85; output [79:0] VAR68; output [7:0] VAR13; output [7:0] VAR14; output [3:0] VAR73; wire [7:0] VAR112; wire [3:0] VAR26; wire [79:0] VAR89; wire [0:0] VAR79; wire [3:0] VAR98; wire [3:0] VAR22; wire [3:0] VAR57; wire [7:0] VAR6; wire [0:0] VAR38; wire [7:0] VAR13 = VAR112[7:0]; wire [3:0] VAR73 = VAR26[3:0]; wire [79:0] VAR68 = VAR89[79:0]; wire [0:0] VAR80 = VAR79[0:0]; wire [3:0] VAR85 = VAR98[3:0]; wire [3:0] VAR99 = VAR22[3:0]; wire [3:0] VAR7 = VAR57[3:0]; wire [7:0] VAR14 = VAR6[7:0]; wire [0:0] VAR33 = VAR38[0:0]; VAR103 VAR122 ( .VAR43 (VAR43), .VAR67 (VAR67), .VAR47 (VAR47), .VAR104 (VAR104), .VAR92 (VAR92), .VAR109 (VAR109), .VAR52 (VAR52), .VAR35 (VAR35), .VAR101 (VAR101), .VAR84 (VAR84), .VAR9 (VAR9), .VAR13 (VAR112), .VAR73 (VAR26), .VAR68 (VAR89), .VAR80 (VAR79), .VAR85 (VAR98), .VAR99 (VAR22), .VAR7 (VAR57), .VAR14 (VAR6), .VAR33 (VAR38) , .VAR61 (), .VAR94 (), .VAR55 (), .VAR10 (), .VAR3 (), .VAR69 (), .VAR32 (), .VAR20 (), .VAR34 (), .VAR78 (), .VAR65 (), .VAR29 (), .VAR58 (), .VAR48 (), .VAR24 (), .VAR105 (), .VAR42 (), .VAR90 (), .VAR114 (), .VAR15 (), .VAR40 (), .VAR51 (), .VAR36 (), .VAR100 (), .VAR54 (), .VAR86 (), .VAR53 () ); VAR122.VAR62 = "VAR21", VAR122.VAR82 = 10, VAR122.VAR96 = "VAR31", VAR122.VAR72 = 20, VAR122.VAR115 = "VAR23", VAR122.VAR95 = "VAR23", VAR122.VAR116 = "VAR23", VAR122.VAR63 = 8000, VAR122.VAR117 = 2500, VAR122.VAR123 = 0, VAR122.VAR111 = "VAR23", VAR122.VAR41 = 2, VAR122.VAR106 = "VAR31", VAR122.VAR74 = 20, VAR122.VAR49 = "VAR31", VAR122.VAR45 = "VAR31", VAR122.VAR118 = "VAR31", VAR122.VAR18 = "VAR31", VAR122.VAR97 = "VAR23", VAR122.VAR8 = "VAR12 VAR66", VAR122.VAR46 = "VAR25", VAR122.VAR76 = "VAR103", VAR122.VAR11 = 4, VAR122.VAR17 = 1, VAR122.VAR88 = "VAR16", VAR122.VAR56 = "VAR93", VAR122.VAR81 = 8000, VAR122.VAR39 = 10, VAR122.VAR30 = "VAR44", VAR122.VAR70 = "VAR25", VAR122.VAR107 = "VAR31", VAR122.VAR75 = "VAR19", VAR122.VAR59 = 2500, VAR122.VAR108 = 0, VAR122.VAR102 = "VAR31", VAR122.VAR4 = "VAR23", VAR122.VAR71 = 1000, VAR122.VAR50 = 530, VAR122.VAR113 = 2, VAR122.VAR120 = "VAR31", VAR122.VAR77 = "VAR23", VAR122.VAR28 = "VAR31", VAR122.VAR27 = "VAR23", VAR122.VAR1 = "VAR31", VAR122.VAR64 = "VAR31", VAR122.VAR121 = "VAR31", VAR122.VAR83 = "VAR31", VAR122.VAR37 = "VAR23", VAR122.VAR60 = "VAR31", VAR122.VAR87 = "VAR23", VAR122.VAR119 = "VAR31", VAR122.VAR2 = "VAR23", VAR122.VAR91 = "VAR23", VAR122.VAR5 = "VAR31", VAR122.VAR110 = 800; endmodule
gpl-3.0
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy/rw_manager_di_buffer.v
9,896
module MODULE1 ( VAR49, VAR59, VAR24, VAR13, VAR27, VAR56); input VAR49; input [35:0] VAR59; input [1:0] VAR24; input [1:0] VAR13; input VAR27; output [35:0] VAR56; tri1 VAR49; tri0 VAR27; wire [35:0] VAR18; wire [35:0] VAR56 = VAR18[35:0]; VAR28 VAR1 ( .VAR2 (VAR13), .VAR55 (VAR49), .VAR16 (VAR59), .VAR10 (VAR27), .VAR51 (VAR24), .VAR4 (VAR18), .VAR35 (1'b0), .VAR26 (1'b0), .VAR33 (1'b0), .VAR39 (1'b0), .VAR32 (1'b1), .VAR6 (1'b1), .VAR42 (1'b1), .VAR36 (1'b1), .VAR34 (1'b1), .VAR19 (1'b1), .VAR22 (1'b1), .VAR15 ({36{1'b1}}), .VAR53 (), .VAR11 (), .VAR30 (1'b1), .VAR40 (1'b1), .VAR31 (1'b0)); VAR1.VAR48 = "VAR9", VAR1.VAR20 = "VAR47", VAR1.VAR12 = "VAR5", VAR1.VAR37 = "VAR5", VAR1.VAR17 = "VAR5", VAR1.VAR7 = "VAR29 VAR46", VAR1.VAR54 = "VAR28", VAR1.VAR23 = 4, VAR1.VAR8 = 4, VAR1.VAR44 = "VAR41", VAR1.VAR60 = "VAR9", VAR1.VAR43 = "VAR38", VAR1.VAR45 = "VAR52", VAR1.VAR58 = "VAR14", VAR1.VAR3 = 2, VAR1.VAR25 = 2, VAR1.VAR50 = 36, VAR1.VAR21 = 36, VAR1.VAR57 = 1; endmodule
lgpl-3.0
ultraembedded/riscv
core/riscv/riscv_pipe_ctrl.v
15,768
module MODULE1 parameter VAR29 = 1 ,parameter VAR65 = 1 ) ( input VAR18 ,input VAR72 ,input VAR10 ,input VAR22 ,input VAR32 ,input VAR50 ,input VAR56 ,input VAR1 ,input VAR111 ,input VAR33 ,input VAR103 ,input [4:0] VAR8 ,input [5:0] VAR109 ,input VAR15 ,input VAR116 ,input [31:0] VAR119 ,input [31:0] VAR27 ,input [31:0] VAR71 ,input [31:0] VAR43 ,input [31:0] VAR21 ,input [31:0] VAR57 ,input [ 31:0] VAR97 ,input VAR104 ,input [ 31:0] VAR88 ,input [ 5:0] VAR9 ,output VAR17 ,output VAR2 ,output VAR16 ,output VAR3 ,output [ 4:0] VAR44 ,output [31:0] VAR101 ,output [31:0] VAR26 ,output [31:0] VAR91 ,output [31:0] VAR92 ,input VAR95 ,input [31:0] VAR49 ,input [5:0] VAR47 ,input [31:0] VAR112 ,output VAR34 ,output VAR30 ,output [ 4:0] VAR113 ,output [31:0] VAR58 ,input VAR4 ,input [31:0] VAR60 ,output VAR80 ,output VAR53 ,output [ 4:0] VAR67 ,output [31:0] VAR85 ,output [31:0] VAR7 ,output [31:0] VAR63 ,output [31:0] VAR110 ,output [31:0] VAR54 ,output [5:0] VAR62 ,output VAR82 ,output [11:0] VAR52 ,output [31:0] VAR99 ,output VAR6 ,output VAR117 ,input VAR51 ,input VAR41 ); wire VAR84; wire VAR20 = (VAR116 && VAR119[1:0] != 2'b0); reg VAR115; reg [VAR39-1:0] VAR107; reg [31:0] VAR79; reg [31:0] VAR106; reg [31:0] VAR61; reg [31:0] VAR45; reg [31:0] VAR36; reg [VAR55-1:0] VAR46; always @ (posedge VAR18 or posedge VAR72) if (VAR72) begin VAR115 <= 1'b0; VAR107 <= VAR39'b0; VAR79 <= 32'b0; VAR106 <= 32'b0; VAR61 <= 32'b0; VAR45 <= 32'b0; VAR36 <= 32'b0; VAR46 <= VAR55'b0; end else if (VAR32) ; else if ((VAR10 && VAR22) && ~(VAR117 || VAR51)) begin VAR115 <= 1'b1; VAR107[VAR59] <= ~(VAR50 | VAR56 | VAR1 | VAR111); VAR107[VAR75] <= VAR50 & VAR103 & ~VAR15; VAR107[VAR77] <= VAR50 & ~VAR103 & ~VAR15; VAR107[VAR73] <= VAR56 & ~VAR15; VAR107[VAR108] <= VAR1 & ~VAR15; VAR107[VAR102] <= VAR111 & ~VAR15; VAR107[VAR90] <= VAR33 & ~VAR15; VAR107[VAR66] <= VAR103 & ~VAR15; VAR107[VAR89] <= VAR15; VAR107[VAR40] <= 1'b1; VAR79 <= VAR27; VAR106 <= VAR116 ? VAR119 : VAR27 + 32'd4; VAR61 <= VAR71; VAR45 <= VAR43; VAR36 <= VAR21; VAR46 <= (|VAR109) ? VAR109 : VAR20 ? VAR42 : VAR55'b0; end else begin VAR115 <= 1'b0; VAR107 <= VAR39'b0; VAR79 <= 32'b0; VAR106 <= 32'b0; VAR61 <= 32'b0; VAR45 <= 32'b0; VAR36 <= 32'b0; VAR46 <= VAR55'b0; end wire VAR98 = VAR107[VAR59]; assign VAR17 = VAR107[VAR75]; assign VAR2 = VAR107[VAR77]; wire VAR19 = VAR107[VAR73]; wire VAR121 = VAR107[VAR108]; assign VAR16 = VAR107[VAR102]; assign VAR3 = VAR107[VAR90]; assign VAR44 = {5{VAR107[VAR66]}} & VAR61[VAR35]; assign VAR101 = VAR79; assign VAR26 = VAR61; assign VAR91 = VAR45; assign VAR92 = VAR36; reg VAR83; reg [VAR39-1:0] VAR87; reg VAR14; reg [31:0] VAR37; reg [31:0] VAR12; reg [31:0] VAR64; reg [31:0] VAR124; reg [31:0] VAR24; reg [31:0] VAR11; reg [31:0] VAR23; reg [VAR55-1:0] VAR96; always @ (posedge VAR18 or posedge VAR72) if (VAR72) begin VAR83 <= 1'b0; VAR87 <= VAR39'b0; VAR14 <= 1'b0; VAR37 <= 32'b0; VAR64 <= 32'b0; VAR124 <= 32'b0; VAR24 <= 32'b0; VAR11 <= 32'b0; VAR23 <= 32'b0; VAR12 <= 32'b0; VAR96 <= VAR55'b0; end else if (VAR32) ; else if (VAR117 || VAR51) begin VAR83 <= 1'b0; VAR87 <= VAR39'b0; VAR14 <= 1'b0; VAR37 <= 32'b0; VAR64 <= 32'b0; VAR124 <= 32'b0; VAR24 <= 32'b0; VAR11 <= 32'b0; VAR23 <= 32'b0; VAR12 <= 32'b0; VAR96 <= VAR55'b0; end else begin VAR83 <= VAR115; VAR87 <= VAR107; VAR14 <= VAR104; VAR37 <= VAR88; VAR64 <= VAR79; VAR124 <= VAR106; VAR24 <= VAR61; VAR11 <= VAR45; VAR23 <= VAR36; if (VAR107[VAR89]) VAR96 <= VAR114; end else if (|VAR46) begin VAR83 <= 1'b0; VAR96 <= VAR46; end else VAR96 <= VAR9; if (VAR107[VAR108]) VAR12 <= VAR60; else if (VAR107[VAR73]) VAR12 <= VAR97; else VAR12 <= VAR57; end reg [31:0] VAR93; wire VAR31 = VAR83 & ~VAR32; always @ * begin VAR93 = VAR12; if (VAR29 && VAR31 && (VAR87[VAR75] || VAR87[VAR77])) VAR93 = VAR49; end else if (VAR65 && VAR31 && VAR87[VAR102]) VAR93 = VAR112; end wire VAR28 = VAR87[VAR75] | VAR87[VAR77]; assign VAR34 = VAR87[VAR75]; assign VAR30 = VAR87[VAR102]; assign VAR113 = {5{(VAR31 && VAR87[VAR66] && ~VAR6)}} & VAR24[VAR35]; assign VAR58 = VAR93; assign VAR6 = (VAR107[VAR108] && ~VAR4) || ((VAR87[VAR75] | VAR87[VAR77]) & ~VAR95); reg [VAR55-1:0] VAR81; always @ * begin if (VAR83 && (VAR87[VAR75] || VAR87[VAR77]) && VAR95) VAR81 = VAR47; end else VAR81 = VAR96; end assign VAR84 = |VAR81; reg VAR86; always @ (posedge VAR18 or posedge VAR72) if (VAR72) VAR86 <= 1'b0; else if (~VAR32) VAR86 <= VAR84; assign VAR117 = VAR84 | VAR86; reg VAR13; reg [VAR39-1:0] VAR69; reg VAR123; reg [31:0] VAR25; reg [31:0] VAR105; reg [31:0] VAR120; reg [31:0] VAR74; reg [31:0] VAR76; reg [31:0] VAR78; reg [31:0] VAR100; reg [VAR55-1:0] VAR5; always @ (posedge VAR18 or posedge VAR72) if (VAR72) begin VAR13 <= 1'b0; VAR69 <= VAR39'b0; VAR123 <= 1'b0; VAR25 <= 32'b0; VAR120 <= 32'b0; VAR74 <= 32'b0; VAR76 <= 32'b0; VAR78 <= 32'b0; VAR100 <= 32'b0; VAR105 <= 32'b0; VAR5 <= VAR55'b0; end else if (VAR32) ; else if (VAR41) begin VAR13 <= 1'b0; VAR69 <= VAR39'b0; VAR123 <= 1'b0; VAR25 <= 32'b0; VAR120 <= 32'b0; VAR74 <= 32'b0; VAR76 <= 32'b0; VAR78 <= 32'b0; VAR100 <= 32'b0; VAR105 <= 32'b0; VAR5 <= VAR55'b0; end else begin case (VAR81) VAR13 <= 1'b0; default: VAR13 <= VAR83; endcase VAR123 <= VAR14; VAR25 <= VAR37; if (|VAR81) VAR69 <= VAR87 & ~(1 << VAR66); end else VAR69 <= VAR87; VAR120 <= VAR64; VAR74 <= VAR124; VAR76 <= VAR24; VAR78 <= VAR11; VAR100 <= VAR23; VAR5 <= VAR81; if (VAR31 && (VAR87[VAR75] || VAR87[VAR77])) VAR105 <= VAR49; else if (VAR31 && VAR87[VAR102]) VAR105 <= VAR112; else VAR105 <= VAR12; end wire VAR48 = VAR69[VAR40] & ~VAR32; assign VAR80 = VAR13 & ~VAR32; assign VAR53 = VAR69[VAR73] & ~VAR32; assign VAR67 = {5{(VAR80 && VAR69[VAR66] && ~VAR6)}} & VAR76[VAR35]; assign VAR85 = VAR105; assign VAR7 = VAR120; assign VAR63 = VAR76; assign VAR110 = VAR78; assign VAR54 = VAR100; assign VAR62 = VAR5; assign VAR82 = VAR123; assign VAR52 = VAR76[31:20]; assign VAR99 = VAR25; VAR94 VAR38 ( .VAR70(VAR10) ,.VAR122(VAR27) ,.VAR118(VAR71) ); VAR94 VAR68 ( .VAR70(VAR80) ,.VAR122(VAR7) ,.VAR118(VAR63) ); endmodule
bsd-3-clause
GSejas/Aproximate-Arithmetic-Operators
src_lib/addlib/GeAr_N20_R5_P5_with_recovery.v
2,323
module MODULE1( input clk, input [19:0] VAR6, input [19:0] VAR19, output reg [20:0] VAR1, output VAR36, output reg VAR13, output reg VAR8, output reg VAR52 ); reg VAR22, VAR37; wire VAR28,VAR35,VAR30,VAR16,VAR10; wire VAR15,VAR12,VAR7,VAR31,VAR51,VAR33,VAR44,VAR14; wire VAR49,VAR39,VAR50,VAR4,VAR41,VAR29,VAR17,VAR2; reg [9:0] VAR26,VAR34,VAR3; wire [9:0] VAR53,VAR38,VAR45,VAR24; or VAR32 (VAR15,VAR6[5],VAR19[5]); or VAR18 (VAR12,VAR6[6],VAR19[6]); or VAR9 (VAR7,VAR6[7],VAR19[7]); or VAR20 (VAR31,VAR6[8],VAR19[8]); or VAR55 (VAR51,VAR6[9],VAR19[9]); and VAR43(VAR33,VAR15,VAR12); and VAR58(VAR44,VAR7,VAR31); and VAR5(VAR14,VAR44,VAR51); and VAR42(VAR28,VAR33,VAR14); and VAR46(VAR16,VAR28,VAR13); always @ (posedge clk) begin VAR22 <= VAR16; end or VAR23 (VAR49,VAR6[10],VAR19[10]); or VAR21 (VAR39,VAR6[11],VAR19[11]); or VAR48 (VAR50,VAR6[12],VAR19[12]); or VAR54 (VAR4,VAR6[13],VAR19[13]); or VAR57 (VAR41,VAR6[14],VAR19[14]); and VAR11(VAR29,VAR49,VAR39); and VAR56(VAR17,VAR50,VAR4); and VAR25(VAR2,VAR17,VAR41); and VAR40(VAR35,VAR29,VAR2); and VAR47(VAR10,VAR35,VAR8); always @ (posedge clk) begin VAR37 <= VAR10; end or VAR27 (VAR36,VAR22,VAR37); assign VAR53[9:0] = {VAR6[14:6],VAR22?1'b1:VAR6[5]}; assign VAR38[9:0] = {VAR19[14:6],VAR22?1'b1:VAR19[5]}; assign VAR45[9:0] = {VAR6[19:11],VAR37?1'b1:VAR6[10]}; assign VAR24[9:0] = {VAR19[19:11],VAR37?1'b1:VAR19[10]}; always @ (*) begin {VAR13,VAR26[9:0]} = VAR6[ 9:0] + VAR19[ 9:0]; {VAR8,VAR34[9:0]} = VAR53[9:0] + VAR38[9:0]; {VAR52,VAR3[9:0]} = VAR45[9:0] + VAR24[9:0]; VAR1[20:0] = {VAR52,VAR3[9:5],VAR34[9:5],VAR26[9:0]}; end endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/cmp/rtl/dram_l2_buf2.v
5,326
module MODULE1( VAR17, VAR18, VAR4, VAR21, VAR26, VAR22, VAR20, VAR28, VAR16, VAR32, VAR31, VAR37, VAR33, VAR34, VAR19, VAR6, VAR14, VAR29, VAR25, VAR24, VAR12, VAR2, VAR27, VAR15, VAR11, VAR38, VAR9, VAR5, VAR36, VAR23, VAR35, VAR1, VAR8, VAR3, VAR10, VAR13, VAR30, VAR7 ); input [127:0] VAR24; input [27:0] VAR12; output [127:0] VAR17; output [27:0] VAR18; input [63:0] VAR2; input VAR27; input VAR15; output [63:0] VAR4; output VAR21; output VAR26; input VAR11; input VAR38; input [2:0] VAR9; input [39:5] VAR5; input VAR36; output VAR22; output VAR20; output [2:0] VAR28; output [39:5] VAR16; output VAR32; input VAR23; input VAR35; input [1:0] VAR1; input VAR8; input [2:0] VAR3; input VAR10 ; input VAR13 ; input VAR30; input VAR7; output VAR31; output VAR37; output [1:0] VAR33; output VAR34; output [2:0] VAR19; output VAR6 ; output VAR14 ; output VAR29; output VAR25; assign VAR17 = VAR24 ; assign VAR18 = VAR12 ; assign VAR4 = VAR2 ; assign VAR21 = VAR27 ; assign VAR26 = VAR15 ; assign VAR31 = VAR23 ; assign VAR37 = VAR35 ; assign VAR33 = VAR1 ; assign VAR34 = VAR8; assign VAR19 = VAR3; assign VAR6 = VAR10; assign VAR14 = VAR13; assign VAR29 = VAR30; assign VAR25 = VAR7; assign VAR22 = VAR11 ; assign VAR20 = VAR38 ; assign VAR28 = VAR9 ; assign VAR16 = VAR5 ; assign VAR32 = VAR36 ; endmodule
gpl-2.0
cheehieu/qm-fir-digital-filter-core
ISAAC/qmfir/qmfir_uart/qmfir_240MHz/ISE_project/firdecim_m5_n25.v
10,844
module MODULE1 ( VAR26, VAR4, VAR31, VAR22, VAR33, VAR30 ); parameter VAR38 = 16; parameter VAR5 = 32; parameter VAR14 = 32; output reg signed [(VAR5-1):0] VAR26; output reg VAR4; input VAR31; input VAR22; input VAR33; input signed [(VAR38-1):0] VAR30; reg [4:0] VAR29; reg [2:0] VAR27; reg signed [(VAR38-1):0] VAR1; reg signed [(VAR38-1):0] VAR25; reg VAR8; reg signed [(VAR14-1):0] VAR6; reg signed [(VAR14-1):0] VAR3; reg signed [(VAR14-1):0] VAR10; reg signed [(VAR14-1):0] VAR23; reg signed [(VAR14-1):0] VAR7; reg signed [(VAR14-1):0] VAR39; reg signed [15:0] VAR24; wire valid; wire VAR16; wire VAR34; wire VAR13; wire VAR12; wire VAR32; wire [4:0] VAR37; always @ (posedge VAR31 or posedge VAR22) if (VAR22) begin VAR8 <= 1'b0 ; VAR1[(VAR38-1):0] <= {(VAR38){1'b0}}; VAR25[(VAR38-1):0] <= {(VAR38){1'b0}}; end else begin VAR8 <= VAR33 ; VAR1[(VAR38-1):0] <= VAR30[(VAR38-1):0]; VAR25[(VAR38-1):0] <= VAR1[(VAR38-1):0]; end always @ (posedge VAR31 or posedge VAR22) if (VAR22) begin VAR24[15:0] <= 16'b0; end else begin case (VAR37[4:0]) 5'b00000: VAR24[15:0] <= 16'VAR20; 5'b00001: VAR24[15:0] <= 16'VAR17; 5'b00010: VAR24[15:0] <= 16'VAR28; 5'b00011: VAR24[15:0] <= 16'VAR9; 5'b00100: VAR24[15:0] <= 16'VAR21; 5'b00101: VAR24[15:0] <= 16'VAR2; 5'b00110: VAR24[15:0] <= 16'VAR36; 5'b00111: VAR24[15:0] <= 16'VAR11; 5'b01000: VAR24[15:0] <= 16'VAR40; 5'b01001: VAR24[15:0] <= 16'VAR15; 5'b01010: VAR24[15:0] <= 16'VAR19; 5'b01011: VAR24[15:0] <= 16'VAR35; 5'b01100: VAR24[15:0] <= 16'VAR18; 5'b01101: VAR24[15:0] <= 16'VAR35; 5'b01110: VAR24[15:0] <= 16'VAR19; 5'b01111: VAR24[15:0] <= 16'VAR15; 5'b10000: VAR24[15:0] <= 16'VAR40; 5'b10001: VAR24[15:0] <= 16'VAR11; 5'b10010: VAR24[15:0] <= 16'VAR36; 5'b10011: VAR24[15:0] <= 16'VAR2; 5'b10100: VAR24[15:0] <= 16'VAR21; 5'b10101: VAR24[15:0] <= 16'VAR9; 5'b10110: VAR24[15:0] <= 16'VAR28; 5'b10111: VAR24[15:0] <= 16'VAR17; 5'b11000: VAR24[15:0] <= 16'VAR20; default: VAR24[15:0] <= 16'VAR20; endcase end always @ (posedge VAR31 or posedge VAR22) if (VAR22) begin VAR27[2:0] <= {(3){1'b0}} ; end else begin VAR27[2:0] <= (VAR33 & ~VAR8) ? 0: (VAR27 == 5) ? (5) : VAR27[2:0] + 1 ; end always @ (posedge VAR31 or posedge VAR22) if (VAR22) begin VAR29[4:0] <= {(5){1'b0}} ; end else if (VAR33 & ~VAR8) begin VAR29[4:0] <= (VAR29[4:0] == 24) ? 0 : VAR29[4:0] + 1 ; end assign VAR37[4:0] = VAR29[4:0] == 0 & VAR27[2:0] == 0 ? 24 : (VAR29[4:0] + 5*VAR27[2:0] - 1) > 24 ? VAR29[4:0] + 5*VAR27[2:0] - 26 : VAR29[4:0] + 5*VAR27[2:0] - 1; always @ (posedge VAR31 or posedge VAR22) if (VAR22) begin VAR6[(VAR14-1):0] <= {(VAR14){1'b0}} ; end else begin VAR6[(VAR14-1):0] <= VAR24* VAR25 ; end always @ (posedge VAR31 or posedge VAR22) if (VAR22) VAR3[(VAR14-1):0] <= {(VAR14){1'b0}} ; else if (VAR27[2:0] == 2) VAR3[(VAR14-1):0] <= (VAR29 == 1) ? VAR6 : VAR6[(VAR14-1):0] + VAR3[(VAR14-1):0] ; always @ (posedge VAR31 or posedge VAR22) if (VAR22) VAR10[(VAR14-1):0] <= {(VAR14){1'b0}} ; else if (VAR27[2:0] == 3) VAR10[(VAR14-1):0] <= (VAR29 == 21) ? VAR6 : VAR6[(VAR14-1):0] + VAR10[(VAR14-1):0] ; always @ (posedge VAR31 or posedge VAR22) if (VAR22) VAR23[(VAR14-1):0] <= {(VAR14){1'b0}} ; else if (VAR27[2:0] == 4) VAR23[(VAR14-1):0] <= (VAR29 == 16) ? VAR6 : VAR6[(VAR14-1):0] + VAR23[(VAR14-1):0] ; always @ (posedge VAR31 or posedge VAR22) if (VAR22) VAR7[(VAR14-1):0] <= {(VAR14){1'b0}} ; else if (VAR27[2:0] == 0) VAR7[(VAR14-1):0] <= (VAR29 == 12) ? VAR6 : VAR6[(VAR14-1):0] + VAR7[(VAR14-1):0] ; always @ (posedge VAR31 or posedge VAR22) if (VAR22) VAR39[(VAR14-1):0] <= {(VAR14){1'b0}} ; else if (VAR27[2:0] == 1) VAR39[(VAR14-1):0] <= (VAR29 ==7) ? VAR6 : VAR6[(VAR14-1):0] + VAR39[(VAR14-1):0] ; assign VAR16 = (VAR29[4:0] == 1) & (VAR27 == 2) ; assign VAR34 = (VAR29[4:0] == 21) & (VAR27 == 2) ; assign VAR13 = (VAR29[4:0] == 16) & (VAR27 == 2) ; assign VAR12 = (VAR29[4:0] == 11) & (VAR27 == 2) ; assign VAR32 = (VAR29[4:0] == 6) & (VAR27 == 2) ; assign valid = VAR16 | VAR34 | VAR13 | VAR12 | VAR32 ; always @ (posedge VAR31 or posedge VAR22) if (VAR22) begin VAR26[(VAR5-1):0] <= {(VAR5){1'b0}} ; end else if (valid) begin VAR26[(VAR5-1):0] <= (VAR3[(VAR14-1):0] & {(VAR5){ VAR16 }}) | (VAR10[(VAR14-1):0] & {(VAR5){ VAR34 }}) | (VAR23[(VAR14-1):0] & {(VAR5){ VAR13 }}) | (VAR7[(VAR14-1):0] & {(VAR5){ VAR12 }}) | (VAR39[(VAR14-1):0] & {(VAR5){ VAR32 }}) ; end always @ (posedge VAR31 or posedge VAR22) if (VAR22) begin VAR4 <= 1'b0 ; end else begin VAR4 <= valid; end endmodule MODULE1
gpl-2.0
alexforencich/verilog-ethernet
example/AU50/fpga_10g/rtl/fpga.v
12,042
module MODULE1 ( output wire VAR25, output wire VAR148, output wire VAR60, output wire VAR125, output wire VAR53, output wire VAR31, input wire VAR40, input wire VAR86, output wire VAR17, output wire VAR116, input wire VAR188, input wire VAR191, output wire VAR207, output wire VAR38, input wire VAR185, input wire VAR147, output wire VAR79, output wire VAR34, input wire VAR109, input wire VAR137, input wire VAR141, input wire VAR192 ); wire VAR4; wire VAR117; wire VAR202; wire VAR78; wire VAR200; wire VAR110; wire VAR169 = 1'b0; wire VAR84; wire VAR46; VAR174 #( .VAR11("VAR80"), .VAR59(7.5), .VAR88(0.5), .VAR5(0), .VAR196(1), .VAR12(0.5), .VAR153(0), .VAR193(1), .VAR6(0.5), .VAR209(0), .VAR132(1), .VAR39(0.5), .VAR197(0), .VAR14(1), .VAR23(0.5), .VAR150(0), .VAR206(1), .VAR124(0.5), .VAR1(0), .VAR121(1), .VAR154(0.5), .VAR164(0), .VAR136(64), .VAR175(0), .VAR56(11), .VAR179(0.010), .VAR133(6.206), .VAR76("VAR115"), .VAR62("VAR115") ) VAR36 ( .VAR131(VAR4), .VAR24(VAR46), .VAR97(VAR169), .VAR70(1'b0), .VAR205(VAR117), .VAR37(), .VAR83(), .VAR100(), .VAR54(), .VAR168(), .VAR180(), .VAR167(), .VAR178(), .VAR73(), .VAR190(), .VAR90(VAR46), .VAR173(), .VAR161(VAR84) ); VAR102 VAR22 ( .VAR187(VAR117), .VAR203(VAR202) ); VAR142 #( .VAR66(4) ) VAR146 ( .clk(VAR202), .rst(~VAR84), .out(VAR78) ); assign VAR125 = 1'b0; wire VAR194; wire VAR32; wire [63:0] VAR120; wire [7:0] VAR93; wire VAR91; wire VAR20; wire [63:0] VAR65; wire [7:0] VAR129; wire VAR69; wire VAR130; wire [63:0] VAR151; wire [7:0] VAR92; wire VAR85; wire VAR140; wire [63:0] VAR171; wire [7:0] VAR71; wire VAR199; wire VAR181; wire [63:0] VAR107; wire [7:0] VAR9; wire VAR163; wire VAR123; wire [63:0] VAR101; wire [7:0] VAR204; wire VAR35; wire VAR159; wire [63:0] VAR52; wire [7:0] VAR118; wire VAR134; wire VAR57; wire [63:0] VAR172; wire [7:0] VAR104; assign VAR200 = VAR194; assign VAR110 = VAR32; wire VAR212; wire VAR176; wire VAR44; wire VAR144; wire VAR215; wire VAR81; wire VAR182; wire VAR47; assign VAR4 = VAR47; VAR33 VAR89 ( .VAR187 (VAR141), .VAR16 (VAR192), .VAR96 (1'b0), .VAR203 (VAR81), .VAR195 (VAR182) ); VAR177 VAR74 ( .VAR158 (VAR215), .VAR87 (1'b1), .VAR112 (1'b0), .VAR94 (1'b1), .VAR77 (3'd0), .VAR187 (VAR182), .VAR203 (VAR47) ); wire VAR28; wire VAR19; wire VAR50; VAR7 #( .VAR145(1) ) VAR170 ( .VAR105(VAR202), .VAR143(VAR78), .VAR189(VAR215), .VAR149(VAR81), .VAR157(VAR28), .VAR166(VAR19), .VAR213(VAR50), .VAR128(1'b0), .VAR126(), .VAR95(1'b0), .VAR26(1'b0), .VAR186(VAR53), .VAR27(VAR31), .VAR72(VAR40), .VAR51(VAR86), .VAR58(VAR194), .VAR135(VAR32), .VAR114(VAR120), .VAR49(VAR93), .VAR127(VAR91), .VAR63(VAR20), .VAR64(VAR65), .VAR30(VAR129), .VAR184(), .VAR43(), .VAR155(), .VAR21(), .VAR68(VAR212), .VAR41(), .VAR29(), .VAR139() ); VAR7 #( .VAR145(0) ) VAR3 ( .VAR105(VAR202), .VAR143(VAR78), .VAR189(), .VAR149(1'b0), .VAR157(), .VAR166(), .VAR213(), .VAR128(VAR28), .VAR126(), .VAR95(VAR19), .VAR26(VAR50), .VAR186(VAR17), .VAR27(VAR116), .VAR72(VAR188), .VAR51(VAR191), .VAR58(VAR69), .VAR135(VAR130), .VAR114(VAR151), .VAR49(VAR92), .VAR127(VAR85), .VAR63(VAR140), .VAR64(VAR171), .VAR30(VAR71), .VAR184(), .VAR43(), .VAR155(), .VAR21(), .VAR68(VAR176), .VAR41(), .VAR29(), .VAR139() ); VAR7 #( .VAR145(0) ) VAR48 ( .VAR105(VAR202), .VAR143(VAR78), .VAR189(), .VAR149(1'b0), .VAR157(), .VAR166(), .VAR213(), .VAR128(VAR28), .VAR126(), .VAR95(VAR19), .VAR26(VAR50), .VAR186(VAR207), .VAR27(VAR38), .VAR72(VAR185), .VAR51(VAR147), .VAR58(VAR199), .VAR135(VAR181), .VAR114(VAR107), .VAR49(VAR9), .VAR127(VAR163), .VAR63(VAR123), .VAR64(VAR101), .VAR30(VAR204), .VAR184(), .VAR43(), .VAR155(), .VAR21(), .VAR68(VAR44), .VAR41(), .VAR29(), .VAR139() ); VAR7 #( .VAR145(0) ) VAR113 ( .VAR105(VAR202), .VAR143(VAR78), .VAR189(), .VAR149(1'b0), .VAR157(), .VAR166(), .VAR213(), .VAR128(VAR28), .VAR126(), .VAR95(VAR19), .VAR26(VAR50), .VAR186(VAR79), .VAR27(VAR34), .VAR72(VAR109), .VAR51(VAR137), .VAR58(VAR35), .VAR135(VAR159), .VAR114(VAR52), .VAR49(VAR118), .VAR127(VAR134), .VAR63(VAR57), .VAR64(VAR172), .VAR30(VAR104), .VAR184(), .VAR43(), .VAR155(), .VAR21(), .VAR68(VAR144), .VAR41(), .VAR29(), .VAR139() ); VAR106 VAR75 ( .clk(VAR200), .rst(VAR110), .VAR25(VAR25), .VAR148(VAR148), .VAR60(VAR60), .VAR10(VAR194), .VAR45(VAR32), .VAR119(VAR120), .VAR98(VAR93), .VAR82(VAR91), .VAR201(VAR20), .VAR183(VAR65), .VAR111(VAR129), .VAR165(VAR69), .VAR160(VAR130), .VAR162(VAR151), .VAR103(VAR92), .VAR61(VAR85), .VAR214(VAR140), .VAR208(VAR171), .VAR2(VAR71), .VAR198(VAR199), .VAR152(VAR181), .VAR138(VAR107), .VAR99(VAR9), .VAR211(VAR163), .VAR108(VAR123), .VAR8(VAR101), .VAR15(VAR204), .VAR42(VAR35), .VAR122(VAR159), .VAR13(VAR52), .VAR156(VAR118), .VAR67(VAR134), .VAR55(VAR57), .VAR18(VAR172), .VAR210(VAR104) ); endmodule
mit
arthurafarias/UFCG-EE-LASD-2014.1-Experiments
experimento-1.stable/RegisterFile.v
1,092
module MODULE1( output reg [15:0] VAR3, VAR5, input [15:0] VAR1, input [2:0] VAR10, VAR4, VAR9, input VAR7, VAR2 ); reg [15:0] VAR8[7:0]; always@(posedge VAR2) if(VAR7) case(VAR9) 3'VAR6 000 : VAR8[0] = VAR1; 3'VAR6 001 : VAR8[1] = VAR1; 3'VAR6 010 : VAR8[2] = VAR1; 3'VAR6 011 : VAR8[3] = VAR1; 3'VAR6 100 : VAR8[4] = VAR1; 3'VAR6 101 : VAR8[5] = VAR1; 3'VAR6 110 : VAR8[6] = VAR1; 3'VAR6 111 : VAR8[7] = VAR1; endcase always@(*) begin case(VAR10) 3'VAR6 000 : VAR3 = VAR8[0]; 3'VAR6 001 : VAR3 = VAR8[1]; 3'VAR6 010 : VAR3 = VAR8[2]; 3'VAR6 011 : VAR3 = VAR8[3]; 3'VAR6 100 : VAR3 = VAR8[4]; 3'VAR6 101 : VAR3 = VAR8[5]; 3'VAR6 110 : VAR3 = VAR8[6]; 3'VAR6 111 : VAR3 = VAR8[7]; endcase case(VAR4) 3'VAR6 000 : VAR5 = VAR8[0]; 3'VAR6 001 : VAR5 = VAR8[1]; 3'VAR6 010 : VAR5 = VAR8[2]; 3'VAR6 011 : VAR5 = VAR8[3]; 3'VAR6 100 : VAR5 = VAR8[4]; 3'VAR6 101 : VAR5 = VAR8[5]; 3'VAR6 110 : VAR5 = VAR8[6]; 3'VAR6 111 : VAR5 = VAR8[7]; endcase end endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/isobufsrc/sky130_fd_sc_hdll__isobufsrc_2.v
2,258
module MODULE1 ( VAR3 , VAR2, VAR7 , VAR5 , VAR4 , VAR6 , VAR9 ); output VAR3 ; input VAR2; input VAR7 ; input VAR5 ; input VAR4 ; input VAR6 ; input VAR9 ; VAR8 VAR1 ( .VAR3(VAR3), .VAR2(VAR2), .VAR7(VAR7), .VAR5(VAR5), .VAR4(VAR4), .VAR6(VAR6), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR3 , VAR2, VAR7 ); output VAR3 ; input VAR2; input VAR7 ; supply1 VAR5; supply0 VAR4; supply1 VAR6 ; supply0 VAR9 ; VAR8 VAR1 ( .VAR3(VAR3), .VAR2(VAR2), .VAR7(VAR7) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nand4b/sky130_fd_sc_lp__nand4b.functional.pp.v
1,998
module MODULE1 ( VAR17 , VAR12 , VAR8 , VAR7 , VAR3 , VAR15, VAR6, VAR16 , VAR13 ); output VAR17 ; input VAR12 ; input VAR8 ; input VAR7 ; input VAR3 ; input VAR15; input VAR6; input VAR16 ; input VAR13 ; wire VAR14 ; wire VAR11 ; wire VAR10; not VAR1 (VAR14 , VAR12 ); nand VAR2 (VAR11 , VAR3, VAR7, VAR8, VAR14 ); VAR5 VAR4 (VAR10, VAR11, VAR15, VAR6); buf VAR9 (VAR17 , VAR10 ); endmodule
apache-2.0
freecores/sha3
high_throughput_core/rtl/round2in1.v
8,634
module MODULE1(in, VAR1, VAR34, out); input [1599:0] in; input [63:0] VAR1, VAR34; output [1599:0] out; wire [63:0] VAR33[4:0][4:0]; wire [63:0] VAR28[4:0]; wire [63:0] VAR10[4:0][4:0], VAR31[4:0][4:0], VAR7[4:0][4:0], VAR39[4:0][4:0], VAR38[4:0][4:0]; wire [63:0] VAR42[4:0]; wire [63:0] VAR43[4:0][4:0], VAR40[4:0][4:0], VAR11[4:0][4:0], VAR29[4:0][4:0], VAR6[4:0][4:0]; genvar VAR2, VAR35; generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR23 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR8 assign VAR33[VAR2][VAR35] = in[VAR21(VAR2,VAR35) : VAR27(VAR2,VAR35)]; end end endgenerate generate for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR44 assign VAR28[VAR2] = VAR33[VAR2][0] ^ VAR33[VAR2][1] ^ VAR33[VAR2][2] ^ VAR33[VAR2][3] ^ VAR33[VAR2][4]; end endgenerate generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR17 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR5 assign VAR10[VAR2][VAR35] = VAR33[VAR2][VAR35] ^ VAR28[VAR30(VAR2)] ^ VAR22(VAR28[VAR4(VAR2)]); end end endgenerate assign VAR31[0][0] = VAR10[0][0]; assign VAR31[1][0] = VAR22(VAR10[1][0]); assign VAR31[2][0] = VAR36(VAR10[2][0], 62); assign VAR31[3][0] = VAR36(VAR10[3][0], 28); assign VAR31[4][0] = VAR36(VAR10[4][0], 27); assign VAR31[0][1] = VAR36(VAR10[0][1], 36); assign VAR31[1][1] = VAR36(VAR10[1][1], 44); assign VAR31[2][1] = VAR36(VAR10[2][1], 6); assign VAR31[3][1] = VAR36(VAR10[3][1], 55); assign VAR31[4][1] = VAR36(VAR10[4][1], 20); assign VAR31[0][2] = VAR36(VAR10[0][2], 3); assign VAR31[1][2] = VAR36(VAR10[1][2], 10); assign VAR31[2][2] = VAR36(VAR10[2][2], 43); assign VAR31[3][2] = VAR36(VAR10[3][2], 25); assign VAR31[4][2] = VAR36(VAR10[4][2], 39); assign VAR31[0][3] = VAR36(VAR10[0][3], 41); assign VAR31[1][3] = VAR36(VAR10[1][3], 45); assign VAR31[2][3] = VAR36(VAR10[2][3], 15); assign VAR31[3][3] = VAR36(VAR10[3][3], 21); assign VAR31[4][3] = VAR36(VAR10[4][3], 8); assign VAR31[0][4] = VAR36(VAR10[0][4], 18); assign VAR31[1][4] = VAR36(VAR10[1][4], 2); assign VAR31[2][4] = VAR36(VAR10[2][4], 61); assign VAR31[3][4] = VAR36(VAR10[3][4], 56); assign VAR31[4][4] = VAR36(VAR10[4][4], 14); assign VAR7[0][0] = VAR31[0][0]; assign VAR7[0][2] = VAR31[1][0]; assign VAR7[0][4] = VAR31[2][0]; assign VAR7[0][1] = VAR31[3][0]; assign VAR7[0][3] = VAR31[4][0]; assign VAR7[1][3] = VAR31[0][1]; assign VAR7[1][0] = VAR31[1][1]; assign VAR7[1][2] = VAR31[2][1]; assign VAR7[1][4] = VAR31[3][1]; assign VAR7[1][1] = VAR31[4][1]; assign VAR7[2][1] = VAR31[0][2]; assign VAR7[2][3] = VAR31[1][2]; assign VAR7[2][0] = VAR31[2][2]; assign VAR7[2][2] = VAR31[3][2]; assign VAR7[2][4] = VAR31[4][2]; assign VAR7[3][4] = VAR31[0][3]; assign VAR7[3][1] = VAR31[1][3]; assign VAR7[3][3] = VAR31[2][3]; assign VAR7[3][0] = VAR31[3][3]; assign VAR7[3][2] = VAR31[4][3]; assign VAR7[4][2] = VAR31[0][4]; assign VAR7[4][4] = VAR31[1][4]; assign VAR7[4][1] = VAR31[2][4]; assign VAR7[4][3] = VAR31[3][4]; assign VAR7[4][0] = VAR31[4][4]; generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR13 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR41 assign VAR39[VAR2][VAR35] = VAR7[VAR2][VAR35] ^ ((~ VAR7[VAR4(VAR2)][VAR35]) & VAR7[VAR20(VAR2)][VAR35]); end end endgenerate generate for(VAR2=0; VAR2<64; VAR2=VAR2+1) begin : VAR16 if(VAR2==0 || VAR2==1 || VAR2==3 || VAR2==7 || VAR2==15 || VAR2==31 || VAR2==63) assign VAR38[0][0][VAR2] = VAR39[0][0][VAR2] ^ VAR1[VAR2]; end else assign VAR38[0][0][VAR2] = VAR39[0][0][VAR2]; end endgenerate generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR25 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR32 if(VAR2!=0 || VAR35!=0) assign VAR38[VAR2][VAR35] = VAR39[VAR2][VAR35]; end end endgenerate generate for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR26 assign VAR42[VAR2] = VAR38[VAR2][0] ^ VAR38[VAR2][1] ^ VAR38[VAR2][2] ^ VAR38[VAR2][3] ^ VAR38[VAR2][4]; end endgenerate generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR3 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR19 assign VAR43[VAR2][VAR35] = VAR38[VAR2][VAR35] ^ VAR42[VAR30(VAR2)] ^ VAR22(VAR42[VAR4(VAR2)]); end end endgenerate assign VAR40[0][0] = VAR43[0][0]; assign VAR40[1][0] = VAR22(VAR43[1][0]); assign VAR40[2][0] = VAR36(VAR43[2][0], 62); assign VAR40[3][0] = VAR36(VAR43[3][0], 28); assign VAR40[4][0] = VAR36(VAR43[4][0], 27); assign VAR40[0][1] = VAR36(VAR43[0][1], 36); assign VAR40[1][1] = VAR36(VAR43[1][1], 44); assign VAR40[2][1] = VAR36(VAR43[2][1], 6); assign VAR40[3][1] = VAR36(VAR43[3][1], 55); assign VAR40[4][1] = VAR36(VAR43[4][1], 20); assign VAR40[0][2] = VAR36(VAR43[0][2], 3); assign VAR40[1][2] = VAR36(VAR43[1][2], 10); assign VAR40[2][2] = VAR36(VAR43[2][2], 43); assign VAR40[3][2] = VAR36(VAR43[3][2], 25); assign VAR40[4][2] = VAR36(VAR43[4][2], 39); assign VAR40[0][3] = VAR36(VAR43[0][3], 41); assign VAR40[1][3] = VAR36(VAR43[1][3], 45); assign VAR40[2][3] = VAR36(VAR43[2][3], 15); assign VAR40[3][3] = VAR36(VAR43[3][3], 21); assign VAR40[4][3] = VAR36(VAR43[4][3], 8); assign VAR40[0][4] = VAR36(VAR43[0][4], 18); assign VAR40[1][4] = VAR36(VAR43[1][4], 2); assign VAR40[2][4] = VAR36(VAR43[2][4], 61); assign VAR40[3][4] = VAR36(VAR43[3][4], 56); assign VAR40[4][4] = VAR36(VAR43[4][4], 14); assign VAR11[0][0] = VAR40[0][0]; assign VAR11[0][2] = VAR40[1][0]; assign VAR11[0][4] = VAR40[2][0]; assign VAR11[0][1] = VAR40[3][0]; assign VAR11[0][3] = VAR40[4][0]; assign VAR11[1][3] = VAR40[0][1]; assign VAR11[1][0] = VAR40[1][1]; assign VAR11[1][2] = VAR40[2][1]; assign VAR11[1][4] = VAR40[3][1]; assign VAR11[1][1] = VAR40[4][1]; assign VAR11[2][1] = VAR40[0][2]; assign VAR11[2][3] = VAR40[1][2]; assign VAR11[2][0] = VAR40[2][2]; assign VAR11[2][2] = VAR40[3][2]; assign VAR11[2][4] = VAR40[4][2]; assign VAR11[3][4] = VAR40[0][3]; assign VAR11[3][1] = VAR40[1][3]; assign VAR11[3][3] = VAR40[2][3]; assign VAR11[3][0] = VAR40[3][3]; assign VAR11[3][2] = VAR40[4][3]; assign VAR11[4][2] = VAR40[0][4]; assign VAR11[4][4] = VAR40[1][4]; assign VAR11[4][1] = VAR40[2][4]; assign VAR11[4][3] = VAR40[3][4]; assign VAR11[4][0] = VAR40[4][4]; generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR12 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR24 assign VAR29[VAR2][VAR35] = VAR11[VAR2][VAR35] ^ ((~ VAR11[VAR4(VAR2)][VAR35]) & VAR11[VAR20(VAR2)][VAR35]); end end endgenerate generate for(VAR2=0; VAR2<64; VAR2=VAR2+1) begin : VAR14 if(VAR2==0 || VAR2==1 || VAR2==3 || VAR2==7 || VAR2==15 || VAR2==31 || VAR2==63) assign VAR6[0][0][VAR2] = VAR29[0][0][VAR2] ^ VAR34[VAR2]; end else assign VAR6[0][0][VAR2] = VAR29[0][0][VAR2]; end endgenerate generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR37 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR15 if(VAR2!=0 || VAR35!=0) assign VAR6[VAR2][VAR35] = VAR29[VAR2][VAR35]; end end endgenerate generate for(VAR35=0; VAR35<5; VAR35=VAR35+1) begin : VAR18 for(VAR2=0; VAR2<5; VAR2=VAR2+1) begin : VAR9 assign out[VAR21(VAR2,VAR35) : VAR27(VAR2,VAR35)] = VAR6[VAR2][VAR35]; end end endgenerate endmodule
apache-2.0
gajjanag/6111_Project
src/BCD.v
5,969
module MODULE1( input wire [7:0] VAR4, output reg [3:0] VAR2, output reg [3:0] VAR1, output reg [3:0] VAR3); always @ (VAR4) begin case(VAR4) 0: begin VAR3 <= 0; VAR1 <= 0; end 1: begin VAR3 <= 1; VAR1 <= 0; end 2: begin VAR3 <= 2; VAR1 <= 0; end 3: begin VAR3 <= 3; VAR1 <= 0; end 4: begin VAR3 <= 4; VAR1 <= 0; end 5: begin VAR3 <= 5; VAR1 <= 0; end 6: begin VAR3 <= 6; VAR1 <= 0; end 7: begin VAR3 <= 7; VAR1 <= 0; end 8: begin VAR3 <= 8; VAR1 <= 0; end 9: begin VAR3 <= 9; VAR1 <= 0; end 10: begin VAR3 <= 0; VAR1 <= 1; end 11: begin VAR3 <= 1; VAR1 <= 1; end 12: begin VAR3 <= 2; VAR1 <= 1; end 13: begin VAR3 <= 3; VAR1 <= 1; end 14: begin VAR3 <= 4; VAR1 <= 1; end 15: begin VAR3 <= 5; VAR1 <= 1; end 16: begin VAR3 <= 6; VAR1 <= 1; end 17: begin VAR3 <= 7; VAR1 <= 1; end 18: begin VAR3 <= 8; VAR1 <= 1; end 19: begin VAR3 <= 9; VAR1 <= 1; end 20: begin VAR3 <= 0; VAR1 <= 2; end 21: begin VAR3 <= 1; VAR1 <= 2; end 22: begin VAR3 <= 2; VAR1 <= 2; end 23: begin VAR3 <= 3; VAR1 <= 2; end 24: begin VAR3 <= 4; VAR1 <= 2; end 25: begin VAR3 <= 5; VAR1 <= 2; end 26: begin VAR3 <= 6; VAR1 <= 2; end 27: begin VAR3 <= 7; VAR1 <= 2; end 28: begin VAR3 <= 8; VAR1 <= 2; end 29: begin VAR3 <= 9; VAR1 <= 2; end 30: begin VAR3 <= 0; VAR1 <= 3; end 31: begin VAR3 <= 1; VAR1 <= 3; end 32: begin VAR3 <= 2; VAR1 <= 3; end 33: begin VAR3 <= 3; VAR1 <= 3; end 34: begin VAR3 <= 4; VAR1 <= 3; end 35: begin VAR3 <= 5; VAR1 <= 3; end 36: begin VAR3 <= 6; VAR1 <= 3; end 37: begin VAR3 <= 7; VAR1 <= 3; end 38: begin VAR3 <= 8; VAR1 <= 3; end 39: begin VAR3 <= 9; VAR1 <= 3; end 40: begin VAR3 <= 0; VAR1 <= 4; end 41: begin VAR3 <= 1; VAR1 <= 4; end 42: begin VAR3 <= 2; VAR1 <= 4; end 43: begin VAR3 <= 3; VAR1 <= 4; end 44: begin VAR3 <= 4; VAR1 <= 4; end 45: begin VAR3 <= 5; VAR1 <= 4; end 46: begin VAR3 <= 6; VAR1 <= 4; end 47: begin VAR3 <= 7; VAR1 <= 4; end 48: begin VAR3 <= 8; VAR1 <= 4; end 49: begin VAR3 <= 9; VAR1 <= 4; end 50: begin VAR3 <= 0; VAR1 <= 5; end 51: begin VAR3 <= 1; VAR1 <= 5; end 52: begin VAR3 <= 2; VAR1 <= 5; end 53: begin VAR3 <= 3; VAR1 <= 5; end 54: begin VAR3 <= 4; VAR1 <= 5; end 55: begin VAR3 <= 5; VAR1 <= 5; end 56: begin VAR3 <= 6; VAR1 <= 5; end 57: begin VAR3 <= 7; VAR1 <= 5; end 58: begin VAR3 <= 8; VAR1 <= 5; end 59: begin VAR3 <= 9; VAR1 <= 5; end 60: begin VAR3 <= 0; VAR1 <= 6; end 61: begin VAR3 <= 1; VAR1 <= 6; end 62: begin VAR3 <= 2; VAR1 <= 6; end 63: begin VAR3 <= 3; VAR1 <= 6; end 64: begin VAR3 <= 4; VAR1 <= 6; end 65: begin VAR3 <= 5; VAR1 <= 6; end 66: begin VAR3 <= 6; VAR1 <= 6; end 67: begin VAR3 <= 7; VAR1 <= 6; end 68: begin VAR3 <= 8; VAR1 <= 6; end 69: begin VAR3 <= 9; VAR1 <= 6; end 70: begin VAR3 <= 0; VAR1 <= 7; end 71: begin VAR3 <= 1; VAR1 <= 7; end 72: begin VAR3 <= 2; VAR1 <= 7; end 73: begin VAR3 <= 3; VAR1 <= 7; end 74: begin VAR3 <= 4; VAR1 <= 7; end 75: begin VAR3 <= 5; VAR1 <= 7; end 76: begin VAR3 <= 6; VAR1 <= 7; end 77: begin VAR3 <= 7; VAR1 <= 7; end 78: begin VAR3 <= 8; VAR1 <= 7; end 79: begin VAR3 <= 9; VAR1 <= 7; end 80: begin VAR3 <= 0; VAR1 <= 8; end 81: begin VAR3 <= 1; VAR1 <= 8; end 82: begin VAR3 <= 2; VAR1 <= 8; end 83: begin VAR3 <= 3; VAR1 <= 8; end 84: begin VAR3 <= 4; VAR1 <= 8; end 85: begin VAR3 <= 5; VAR1 <= 8; end 86: begin VAR3 <= 6; VAR1 <= 8; end 87: begin VAR3 <= 7; VAR1 <= 8; end 88: begin VAR3 <= 8; VAR1 <= 8; end 89: begin VAR3 <= 9; VAR1 <= 8; end 90: begin VAR3 <= 0; VAR1 <= 9; end 91: begin VAR3 <= 1; VAR1 <= 9; end 92: begin VAR3 <= 2; VAR1 <= 9; end 93: begin VAR3 <= 3; VAR1 <= 9; end 94: begin VAR3 <= 4; VAR1 <= 9; end 95: begin VAR3 <= 5; VAR1 <= 9; end 96: begin VAR3 <= 6; VAR1 <= 9; end 97: begin VAR3 <= 7; VAR1 <= 9; end 98: begin VAR3 <= 8; VAR1 <= 9; end 99: begin VAR3 <= 9; VAR1 <= 9; end default: begin VAR3 <= 0; VAR1 <= 0; end endcase VAR2 <= 0; end endmodule module MODULE2; reg [7:0] VAR4 = 8'd65; wire [3:0] VAR2; wire [3:0] VAR1; wire [3:0] VAR3; MODULE1 MODULE1(VAR4, VAR2, VAR1, VAR3);
gpl-3.0
Triple-Z/COExperiment_Repo
Project_Assignment_OnBoard/rf.v
1,375
module MODULE1 (VAR4, clk, VAR1, VAR9, VAR3, VAR5, VAR6, VAR7, VAR8, VAR2, rst); input [31:0] VAR4; input [4:0] VAR9, VAR3, VAR5; input clk; input [1:0] VAR1; output [31:0] VAR6, VAR7; input rst; input [4 :0] VAR8; output [31:0] VAR2; reg [31:0] register[0:31];
mit
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.3/IPRepo-1.0.3/NVMeHostController4L/src/pcie_hcmd_slot_mgt.v
7,150
module MODULE1 ( input VAR22, input VAR6, output VAR25, output [6:0] VAR17, input VAR21, input VAR5, input [6:0] VAR19 ); localparam VAR30 = 5'b00001; localparam VAR16 = 5'b00010; localparam VAR18 = 5'b00100; localparam VAR4 = 5'b01000; localparam VAR1 = 5'b10000; reg [4:0] VAR9; reg [4:0] VAR24; reg [127:0] VAR2; reg [127:0] VAR3; reg [127:0] VAR14; reg [6:0] VAR10; reg VAR13; reg [15:0] VAR7; wire [7:0] VAR26; wire VAR12; wire [127:0] VAR29; wire VAR11; reg VAR15; reg [6:0] VAR23; reg [127:0] VAR27; wire [127:0] VAR28; assign VAR25 = VAR13; assign VAR17 = VAR10; assign VAR26 = { VAR3[95], VAR3[79], VAR3[63], VAR3[47], VAR3[31], VAR3[15], VAR3[127], VAR3[111]}; always @ begin case(VAR9) VAR30: begin VAR24 <= VAR16; end VAR16: begin if(VAR12 == 1) VAR24 <= VAR18; end else VAR24 <= VAR16; end VAR18: begin if(VAR11 == 1) VAR24 <= VAR4; end else VAR24 <= VAR18; end VAR4: begin if(VAR21 == 1) VAR24 <= VAR1; end else VAR24 <= VAR4; end VAR1: begin VAR24 <= VAR30; end default: begin VAR24 <= VAR30; end endcase end always @ (posedge VAR22) begin case(VAR9) VAR30: begin VAR3[127:112] <= 0; VAR3[111] <= 1'b1; VAR3[110:0] <= 0; VAR10 <= 7'h6F; end VAR16: begin VAR3[111] <= VAR26[7]; VAR3[95] <= VAR26[6]; VAR3[79] <= VAR26[5]; VAR3[63] <= VAR26[4]; VAR3[47] <= VAR26[3]; VAR3[31] <= VAR26[2]; VAR3[15] <= VAR26[1]; VAR3[127] <= VAR26[0]; VAR10 <= VAR10 + 16; end VAR18: begin VAR3 <= VAR29; VAR10 <= VAR10 + 1; end VAR4: begin end VAR1: begin end default: begin end endcase end always @ (posedge VAR22 or negedge VAR6) begin if(VAR6 == 0) begin VAR2 <= 0; end else begin VAR2 <= (VAR2 | VAR14) & VAR27; end end always @ (*) begin case(VAR9) VAR30: begin VAR13 <= 0; VAR14 <= 0; end VAR16: begin VAR13 <= 0; VAR14 <= 0; end VAR18: begin VAR13 <= 0; VAR14 <= 0; end VAR4: begin VAR13 <= 1; VAR14 <= 0; end VAR1: begin VAR13 <= 0; VAR14 <= VAR3; end default: begin VAR13 <= 0; VAR14 <= 0; end endcase end always @ (posedge VAR22) begin VAR15 <= VAR5; VAR23 <= VAR19; if(VAR15 == 1) VAR27 <= VAR28; end else VAR27 <= {128{1'b1}}; end genvar VAR8; generate for(VAR8 = 0; VAR8 < 128; VAR8 = VAR8 + 1) begin : VAR20 assign VAR28[VAR8] = (VAR23 != VAR8); end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a221oi/sky130_fd_sc_hdll__a221oi_2.v
2,473
module MODULE1 ( VAR12 , VAR7 , VAR1 , VAR11 , VAR2 , VAR6 , VAR8, VAR3, VAR9 , VAR10 ); output VAR12 ; input VAR7 ; input VAR1 ; input VAR11 ; input VAR2 ; input VAR6 ; input VAR8; input VAR3; input VAR9 ; input VAR10 ; VAR4 VAR5 ( .VAR12(VAR12), .VAR7(VAR7), .VAR1(VAR1), .VAR11(VAR11), .VAR2(VAR2), .VAR6(VAR6), .VAR8(VAR8), .VAR3(VAR3), .VAR9(VAR9), .VAR10(VAR10) ); endmodule module MODULE1 ( VAR12 , VAR7, VAR1, VAR11, VAR2, VAR6 ); output VAR12 ; input VAR7; input VAR1; input VAR11; input VAR2; input VAR6; supply1 VAR8; supply0 VAR3; supply1 VAR9 ; supply0 VAR10 ; VAR4 VAR5 ( .VAR12(VAR12), .VAR7(VAR7), .VAR1(VAR1), .VAR11(VAR11), .VAR2(VAR2), .VAR6(VAR6) ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/invz/gf180mcu_fd_sc_mcu7t5v0__invz_1.behavioral.v
1,180
module MODULE1( VAR5, VAR6, VAR1 ); input VAR5, VAR1; output VAR6; VAR3 VAR4(.VAR5(VAR5),.VAR6(VAR6),.VAR1(VAR1)); VAR3 VAR2(.VAR5(VAR5),.VAR6(VAR6),.VAR1(VAR1));
apache-2.0
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
bin_Erosion_Operation/system/synthesis/submodules/system_acl_iface_mm_interconnect_2.v
56,935
module MODULE1 ( input wire VAR112, input wire VAR29, input wire VAR102, input wire [31:0] VAR121, output wire VAR17, input wire [4:0] VAR83, input wire [31:0] VAR141, input wire VAR251, output wire [255:0] VAR130, output wire VAR233, input wire VAR287, input wire [255:0] VAR252, output wire [26:0] VAR274, output wire VAR296, output wire VAR105, input wire [255:0] VAR166, output wire [255:0] VAR289, output wire [7:0] VAR71, output wire [31:0] VAR93, input wire VAR34, input wire VAR163 ); wire VAR212; wire [9:0] VAR55; wire [255:0] VAR153; wire [31:0] VAR320; wire VAR253; wire VAR133; wire VAR333; wire [255:0] VAR206; wire VAR159; wire [31:0] VAR156; wire VAR87; wire VAR247; wire VAR231; wire VAR222; wire [363:0] VAR298; wire [0:0] VAR176; wire VAR51; wire VAR175; wire [12:0] VAR342; wire [255:0] VAR337; wire [31:0] VAR44; wire VAR151; wire VAR94; wire VAR265; wire [255:0] VAR238; wire VAR329; wire VAR292; wire [31:0] VAR258; wire VAR299; wire VAR271; wire VAR106; wire [364:0] VAR8; wire VAR179; wire VAR192; wire VAR211; wire VAR31; wire [364:0] VAR58; wire VAR286; wire VAR75; wire [257:0] VAR1; wire VAR61; wire VAR72; wire VAR325; wire VAR303; wire [363:0] VAR226; wire [0:0] VAR263; wire VAR18; wire VAR124; wire VAR172; wire VAR178; wire [363:0] VAR245; wire VAR52; wire VAR59; wire VAR86; wire VAR162; wire [363:0] VAR45; wire [0:0] VAR321; wire VAR89; wire VAR26; wire VAR36; wire VAR285; wire [363:0] VAR309; wire VAR235; wire VAR109; wire VAR246; wire VAR12; wire [363:0] VAR208; wire [0:0] VAR343; wire VAR70; wire VAR57; wire VAR154; wire VAR249; wire [363:0] VAR219; wire [0:0] VAR331; wire VAR193; wire VAR267; wire VAR117; wire VAR210; wire [363:0] VAR81; wire [0:0] VAR198; wire VAR257; VAR148 #( .VAR216 (32), .VAR324 (256), .VAR276 (5), .VAR228 (32), .VAR196 (32), .VAR60 (10), .VAR169 (1), .VAR167 (1), .VAR144 (0), .VAR54 (0), .VAR119 (0), .VAR28 (1), .VAR294 (1), .VAR341 (1), .VAR77 (0), .VAR39 (0), .VAR53 (32), .VAR15 (1), .VAR40 (0), .VAR160 (1), .VAR262 (0), .VAR316 (0), .VAR30 (0) ) VAR266 ( .clk (VAR112), .reset (VAR29), .VAR339 (VAR320), .VAR138 (VAR55), .VAR99 (VAR333), .VAR186 (VAR133), .VAR69 (VAR212), .VAR250 (VAR87), .VAR261 (VAR156), .VAR334 (VAR206), .VAR164 (VAR153), .VAR236 (VAR253), .VAR63 (VAR159), .VAR146 (VAR121), .VAR259 (VAR17), .VAR326 (VAR83), .VAR127 (VAR141), .VAR297 (VAR251), .VAR290 (VAR130), .VAR195 (VAR233), .VAR318 (VAR287), .VAR62 (VAR252), .VAR264 (1'b0), .VAR203 (1'b0), .VAR244 (1'b0), .VAR13 (1'b0), .VAR280 (1'b0), .VAR85 (), .VAR41 (1'b1), .VAR38 (2'b00), .VAR234 (), .VAR181 (), .VAR217 (1'b0), .VAR279 (1'b0), .VAR66 () ); VAR242 #( .VAR216 (27), .VAR324 (256), .VAR5 (256), .VAR276 (8), .VAR228 (32), .VAR134 (32), .VAR196 (32), .VAR60 (13), .VAR11 (0), .VAR294 (1), .VAR341 (1), .VAR2 (0), .VAR77 (0), .VAR39 (0), .VAR53 (32), .VAR15 (0), .VAR40 (0), .VAR160 (0), .VAR262 (0), .VAR189 (0), .VAR47 (0), .VAR322 (1), .VAR184 (0), .VAR76 (0), .VAR272 (0) ) VAR111 ( .clk (VAR112), .reset (VAR102), .VAR339 (VAR44), .VAR138 (VAR342), .VAR99 (VAR265), .VAR186 (VAR151), .VAR69 (VAR175), .VAR250 (VAR329), .VAR261 (VAR258), .VAR334 (VAR238), .VAR164 (VAR337), .VAR236 (VAR94), .VAR63 (VAR292), .VAR146 (VAR274), .VAR318 (VAR296), .VAR297 (VAR105), .VAR290 (VAR166), .VAR62 (VAR289), .VAR326 (VAR71), .VAR127 (VAR93), .VAR195 (VAR34), .VAR259 (VAR163), .VAR203 (), .VAR264 (), .VAR170 (), .VAR13 (), .VAR244 (), .VAR41 (), .VAR85 (1'b0), .VAR280 (), .VAR220 (), .VAR38 (), .VAR234 (2'b00), .VAR181 (1'b0), .VAR217 (), .VAR279 (), .VAR66 (1'b0) ); VAR256 #( .VAR64 (354), .VAR275 (352), .VAR68 (347), .VAR143 (339), .VAR232 (339), .VAR128 (342), .VAR202 (340), .VAR7 (344), .VAR255 (343), .VAR307 (338), .VAR145 (326), .VAR103 (319), .VAR152 (288), .VAR223 (320), .VAR301 (321), .VAR25 (322), .VAR65 (323), .VAR43 (324), .VAR6 (325), .VAR42 (255), .VAR308 (0), .VAR118 (287), .VAR197 (256), .VAR295 (349), .VAR91 (349), .VAR92 (350), .VAR310 (350), .VAR213 (351), .VAR84 (351), .VAR161 (358), .VAR22 (355), .VAR288 (346), .VAR171 (346), .VAR113 (348), .VAR140 (348), .VAR314 (345), .VAR323 (345), .VAR3 (360), .VAR240 (359), .VAR327 (361), .VAR149 (363), .VAR114 (364), .VAR277 (1), .VAR276 (10), .VAR205 (0), .VAR229 (0), .VAR173 (1), .VAR221 (0), .VAR23 (1), .VAR77 (0), .VAR39 (0) ) VAR101 ( .clk (VAR112), .reset (VAR29), .VAR146 (VAR320), .VAR318 (VAR133), .VAR297 (VAR333), .VAR62 (VAR153), .VAR290 (VAR206), .VAR259 (VAR212), .VAR195 (VAR87), .VAR127 (VAR156), .VAR326 (VAR55), .VAR280 (VAR159), .VAR13 (VAR253), .VAR191 (VAR172), .VAR82 (VAR245), .VAR21 (VAR178), .VAR306 (VAR124), .VAR260 (VAR52), .VAR88 (VAR231), .VAR107 (VAR298), .VAR243 (VAR176), .VAR304 (VAR222), .VAR35 (VAR247), .VAR37 (VAR51), .VAR234 (), .VAR279 (1'b0), .VAR66 () ); VAR125 #( .VAR42 (255), .VAR308 (0), .VAR68 (347), .VAR315 (8), .VAR118 (287), .VAR197 (256), .VAR103 (319), .VAR152 (288), .VAR223 (320), .VAR301 (321), .VAR25 (322), .VAR65 (323), .VAR43 (324), .VAR295 (349), .VAR91 (349), .VAR92 (350), .VAR310 (350), .VAR143 (339), .VAR232 (339), .VAR307 (338), .VAR145 (326), .VAR64 (354), .VAR275 (352), .VAR3 (360), .VAR240 (359), .VAR128 (342), .VAR202 (340), .VAR327 (361), .VAR149 (363), .VAR277 (1), .VAR114 (364), .VAR336 (13), .VAR74 (0), .VAR180 (1), .VAR77 (0), .VAR39 (0) ) VAR27 ( .clk (VAR112), .reset (VAR102), .VAR95 (VAR44), .VAR32 (VAR342), .VAR239 (VAR258), .VAR73 (VAR292), .VAR207 (VAR94), .VAR204 (VAR238), .VAR137 (VAR329), .VAR108 (VAR265), .VAR268 (VAR175), .VAR50 (VAR337), .VAR16 (VAR151), .VAR35 (VAR26), .VAR37 (VAR235), .VAR88 (VAR36), .VAR107 (VAR309), .VAR304 (VAR285), .VAR260 (VAR18), .VAR191 (VAR325), .VAR82 (VAR226), .VAR21 (VAR303), .VAR306 (VAR72), .VAR97 (VAR263), .VAR254 (VAR286), .VAR177 (VAR211), .VAR80 (VAR31), .VAR67 (VAR192), .VAR284 (VAR58), .VAR129 (VAR179), .VAR319 (VAR271), .VAR142 (VAR106), .VAR90 (VAR299), .VAR4 (VAR8), .VAR302 (VAR61), .VAR78 (VAR75), .VAR248 (VAR1), .VAR9 (VAR61), .VAR110 (VAR75), .VAR126 (VAR1), .VAR98 (2'b00), .VAR10 (), .VAR273 (1'b0) ); VAR116 #( .VAR330 (1), .VAR132 (365), .VAR227 (15), .VAR328 (0), .VAR215 (0), .VAR230 (1), .VAR344 (0), .VAR282 (1), .VAR104 (0), .VAR46 (0), .VAR79 (0), .VAR96 (0) ) VAR135 ( .clk (VAR112), .reset (VAR102), .VAR270 (VAR8), .VAR283 (VAR271), .VAR174 (VAR179), .VAR190 (VAR106), .VAR291 (VAR299), .VAR183 (VAR58), .VAR218 (VAR211), .VAR332 (VAR286), .VAR185 (VAR31), .VAR136 (VAR192), .VAR165 (2'b00), .VAR155 (1'b0), .VAR214 (1'b0), .VAR335 (), .VAR300 (32'b00000000000000000000000000000000), .VAR281 (), .VAR20 (), .VAR200 (1'b0), .VAR33 (), .VAR157 (1'b0), .VAR199 (), .VAR278 (1'b0), .VAR120 () ); VAR209 VAR182 ( .VAR19 (VAR52), .VAR187 (VAR172), .VAR139 (VAR245), .VAR14 (VAR178), .VAR311 (VAR124), .clk (VAR112), .reset (VAR29), .VAR201 (VAR89), .VAR305 (VAR86), .VAR115 (VAR45), .VAR56 (VAR321), .VAR49 (VAR162), .VAR241 (VAR59) ); VAR225 VAR317 ( .VAR19 (VAR235), .VAR187 (VAR36), .VAR139 (VAR309), .VAR14 (VAR285), .VAR311 (VAR26), .clk (VAR112), .reset (VAR102), .VAR201 (VAR70), .VAR305 (VAR246), .VAR115 (VAR208), .VAR56 (VAR343), .VAR49 (VAR12), .VAR241 (VAR109) ); VAR123 VAR48 ( .clk (VAR112), .reset (VAR29), .VAR19 (VAR89), .VAR150 (VAR321), .VAR139 (VAR45), .VAR14 (VAR162), .VAR311 (VAR59), .VAR187 (VAR86), .VAR168 (VAR193), .VAR338 (VAR154), .VAR269 (VAR219), .VAR24 (VAR331), .VAR194 (VAR249), .VAR237 (VAR57) ); VAR224 VAR100 ( .clk (VAR112), .reset (VAR102), .VAR201 (VAR18), .VAR305 (VAR325), .VAR115 (VAR226), .VAR56 (VAR263), .VAR49 (VAR303), .VAR241 (VAR72), .VAR122 (VAR193), .VAR312 (VAR154), .VAR131 (VAR331), .VAR188 (VAR219), .VAR158 (VAR249), .VAR340 (VAR57) ); VAR123 VAR313 ( .clk (VAR112), .reset (VAR102), .VAR19 (VAR70), .VAR150 (VAR343), .VAR139 (VAR208), .VAR14 (VAR12), .VAR311 (VAR109), .VAR187 (VAR246), .VAR168 (VAR257), .VAR338 (VAR117), .VAR269 (VAR81), .VAR24 (VAR198), .VAR194 (VAR210), .VAR237 (VAR267) ); VAR293 VAR147 ( .clk (VAR112), .reset (VAR29), .VAR201 (VAR51), .VAR305 (VAR231), .VAR115 (VAR298), .VAR56 (VAR176), .VAR49 (VAR222), .VAR241 (VAR247), .VAR122 (VAR257), .VAR312 (VAR117), .VAR131 (VAR198), .VAR188 (VAR81), .VAR158 (VAR210), .VAR340 (VAR267) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/tapvpwrvgnd/sky130_fd_sc_ms__tapvpwrvgnd.functional.pp.v
1,200
module MODULE1 ( VAR2, VAR4, VAR3 , VAR1 ); input VAR2; input VAR4; input VAR3 ; input VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlrbp/sky130_fd_sc_hd__dlrbp.behavioral.v
2,446
module MODULE1 ( VAR9 , VAR15 , VAR23, VAR14 , VAR22 ); output VAR9 ; output VAR15 ; input VAR23; input VAR14 ; input VAR22 ; supply1 VAR13; supply0 VAR10; supply1 VAR2 ; supply0 VAR19 ; wire VAR3 ; reg VAR12 ; wire VAR1 ; wire VAR4 ; wire VAR6 ; wire VAR24; wire VAR21 ; wire VAR20 ; wire VAR16 ; wire VAR17 ; not VAR5 (VAR3 , VAR24 ); VAR7 VAR8 (VAR21 , VAR1, VAR4, VAR3, VAR12, VAR13, VAR10); assign VAR20 = ( VAR13 === 1'b1 ); assign VAR16 = ( VAR20 && ( VAR24 === 1'b1 ) ); assign VAR17 = ( VAR20 && ( VAR23 === 1'b1 ) ); buf VAR18 (VAR9 , VAR21 ); not VAR11 (VAR15 , VAR21 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inputiso0n/sky130_fd_sc_lp__inputiso0n.symbol.v
1,393
module MODULE1 ( input VAR4 , output VAR6 , input VAR7 ); supply1 VAR2; supply0 VAR1; supply1 VAR3 ; supply0 VAR5 ; endmodule
apache-2.0
piranna/wasmachine
src/SuperStack.v
4,551
module MODULE1 parameter VAR9 = 8, parameter VAR19 = 3, parameter VAR22 = 0 ) ( input clk, input reset, input [ 2:0] VAR10, input [VAR9-1:0] VAR14, input [VAR19 :0] VAR13, input [VAR19 :0] VAR21, input [VAR19 :0] VAR30, input [VAR19 :0] VAR23, input VAR3, output reg [VAR19 :0] VAR25 = 0, output [VAR9-1:0] out, output [VAR9-1:0] VAR17, output [VAR9-1:0] VAR1, output reg [VAR9-1:0] VAR18, output reg [1:0] VAR20 = VAR28, output reg [1:0] VAR2 = VAR29 ); localparam VAR26 = (1 << VAR19+1) - 1; reg [VAR9-1:0] VAR11 [0:VAR26-1]; assign out = VAR11[VAR25-1]; assign VAR17 = VAR11[VAR25-2]; assign VAR1 = VAR11[VAR25-3]; always @* begin if(VAR25 == VAR26) VAR20 <= VAR5; end else if(VAR25 == VAR21) VAR20 <= VAR28; else if(VAR25 < VAR21) VAR20 <= VAR15; else VAR20 <= VAR29; end task VAR12; reg [VAR24(VAR19+1):0] VAR4; reg [ VAR19 :0] VAR16; reg [ VAR19 :0] VAR27 = 0; reg [ VAR19 :0] VAR8; if(VAR22 && VAR25 < VAR13) begin VAR8 = VAR13 - VAR25; for(VAR4=0; VAR4 < VAR19+1; VAR4 = VAR4 + 1) if(VAR8[VAR4]) for(VAR16=0; VAR16 < 2**VAR4; VAR16 = VAR16 + 1) begin VAR11[VAR25+VAR27] = 0; VAR27 = VAR27 + 1; end end endtask always @(posedge clk) begin VAR2 <= VAR29; if(reset) VAR25 <= 0; end else case(VAR10) begin if (VAR25 == VAR26) VAR2 <= VAR7; end else begin VAR11[VAR25] <= VAR14; VAR25 <= VAR25 + 1; end end begin if (VAR25-VAR14 <= VAR21) VAR2 <= VAR15; end else VAR25 <= VAR25 - (1+VAR14); end begin if (VAR25 <= VAR21) VAR2 <= VAR15; end else VAR11[VAR25-1] <= VAR14; end begin VAR12(); VAR25 <= VAR13; end begin if (VAR13 == VAR26) VAR2 <= VAR7; end else begin VAR12(); VAR11[VAR13] <= VAR14; VAR25 <= VAR13+1; end end begin if (VAR30 - VAR23 <= VAR13) VAR2 <= VAR6; end else VAR18 <= VAR11[VAR23 + VAR13]; end begin if (VAR30 - VAR23 <= VAR13) VAR2 <= VAR6; end else if(VAR3 && VAR25 == VAR21) VAR2 <= VAR15; else begin VAR11[VAR23 + VAR13] <= VAR14; if(VAR3) VAR25 <= VAR25 - 1; end end endcase end endmodule
gpl-3.0
ShepardSiegel/ocpi
coregen/pcie_4243_trn_v5_gtp_x8_125/source/pcie_blk_cf.v
18,731
module MODULE1 ( input wire clk, input wire VAR96, output VAR25, input wire VAR135, input wire [3:0] VAR73, input wire [7:0] VAR155, input wire VAR151, input wire VAR27, input wire VAR150, input wire VAR50, input wire VAR137, input wire VAR124, input wire [12:0] VAR8, input wire [2:0] VAR87, input wire [2:0] VAR118, output VAR91, output VAR53, output [3:0] VAR42, input VAR139, input [7:0] VAR28, output [2:0] VAR37, output VAR111, output [7:0] VAR98, input VAR29, output wire [10:0] VAR74, output wire VAR54, output wire VAR134, output wire [31:0] VAR85, output wire [3:0] VAR65, input wire [31:0] VAR106, input wire [16:0] VAR109, input wire [63:0] VAR45, input wire VAR80, input wire VAR122, input wire VAR71, output wire [31:0] VAR24, input wire [31:0] VAR95, input wire [63:0] VAR104, input wire [3:0] VAR102, input wire [11:0] VAR105, output wire VAR30, input wire VAR32, input wire VAR138, input wire VAR20, input wire VAR64, input wire VAR51, input wire VAR41, input wire VAR9, input wire VAR125, input wire VAR123, input wire VAR44, input wire VAR127, output wire VAR61, input wire VAR2, output wire VAR157, input wire VAR86, input wire [47:0] VAR94, output wire VAR68, input wire VAR34, output wire [31:0] VAR3, output wire [31:0] VAR47, output wire [31:0] VAR58, output wire [31:0] VAR35, output wire [31:0] VAR140, output wire [31:0] VAR146, output wire [31:0] VAR36, output wire [15:0] VAR153, output wire [15:0] VAR16, output wire [15:0] VAR92, output wire [15:0] VAR108, output wire [15:0] VAR48, output wire [15:0] VAR147, output wire [31:0] VAR46, output wire [31:0] VAR100, output wire [7:0] VAR113, output wire [4:0] VAR69, output wire [2:0] VAR70, output wire [2:0] VAR107, input VAR81, input VAR142, input VAR110, input VAR82, input VAR63, input VAR14, input VAR10, input VAR23, input VAR120, input VAR15, input [47:0] VAR33, output wire [63:0] VAR149, output wire [7:0] VAR129, output wire VAR144, output wire VAR66, output wire VAR19, input VAR89, input [6:0] VAR56, input [1:0] VAR79, output wire VAR21, output wire VAR26, output wire VAR88, output wire VAR154, output wire VAR121, output wire VAR7, output wire VAR77, output wire VAR131, output wire VAR11, output wire VAR143, input [3:0] VAR76, input VAR145, output wire VAR117, input VAR55 ); assign VAR53 = ~VAR34; assign VAR107 = {~(VAR76 == 4'b0110), ~(VAR76 == 4'b0101), ~(VAR76 == 4'b0100)}; wire [49:0] VAR52; wire [49:0] VAR84; wire [49:0] VAR78; wire [15:0] VAR12; wire [31:0] VAR31; wire [31:0] VAR40; wire [15:0] VAR152; wire VAR83; wire VAR18; wire VAR103; wire VAR60; wire VAR126; wire VAR136; wire VAR90; wire VAR115; wire VAR99; wire VAR22; wire VAR156; wire VAR17; wire VAR4; wire VAR5; wire VAR97; wire VAR6; reg [12:0] VAR119 = 0; reg VAR1; wire [31:0] VAR57; wire [31:0] VAR141; wire [7:0] VAR132; wire [1:0] VAR114; always @(posedge clk) begin VAR119 <= #VAR128 VAR8; VAR1 <= #VAR128 VAR155[0]; end assign VAR25 = ~VAR1; VAR59 VAR13 ( .clk ( clk ), .VAR96 ( VAR96 ), .VAR8 ( VAR119 ), .VAR74 ( VAR74 ), .VAR54 ( VAR54 ), .VAR134 ( VAR134 ), .VAR85 ( VAR85 ), .VAR65 ( VAR65 ), .VAR106 ( VAR106 ), .VAR109 ( VAR109 ), .VAR104 ( VAR104 ), .VAR24 ( VAR24 ), .VAR30 ( VAR30 ), .VAR105 ( VAR105 ), .VAR138 ( VAR138 ), .VAR3 ( VAR3 ), .VAR47 ( VAR47 ), .VAR58 ( VAR58 ), .VAR35 ( VAR35 ), .VAR140 ( VAR140 ), .VAR146 ( VAR146 ), .VAR36 ( VAR36 ), .VAR153 ( VAR153 ), .VAR16 ( VAR16 ), .VAR92 ( VAR92 ), .VAR108 ( VAR108 ), .VAR48 ( VAR48 ), .VAR147 ( VAR147 ), .VAR46 ( VAR46 ), .VAR100 ( VAR100 ), .VAR12 ( VAR12 ), .VAR31 ( VAR31 ), .VAR40 ( VAR40 ), .VAR152 ( VAR152 ), .VAR113 ( VAR113 ), .VAR69 ( VAR69 ), .VAR70 ( VAR70 ), .VAR45 ( VAR45 ), .VAR80 ( VAR80 ), .VAR56 ( VAR56 ), .VAR79 ( VAR79 ), .VAR122 ( VAR122 ), .VAR71 ( VAR71 ), .VAR21( VAR21 ), .VAR154 ( VAR154 ) ); VAR101 VAR49 ( .clk ( clk ), .VAR96 ( VAR96 ), .VAR20 ( VAR20 ), .VAR64 ( VAR64 ), .VAR51 ( VAR51 ), .VAR41 ( VAR41 ), .VAR9 ( VAR9 ), .VAR125 ( VAR125 ), .VAR123 ( VAR123 ), .VAR44 ( VAR44 ), .VAR94 ( VAR94 ), .VAR68 ( VAR68 ), .VAR81 ( VAR81 ), .VAR142 ( VAR142 ), .VAR110 ( VAR110 ), .VAR82 ( VAR82 ), .VAR63 ( VAR63 ), .VAR14 ( VAR14 ), .VAR10 ( VAR10 ), .VAR23 ( VAR23 ), .VAR120 ( VAR120 ), .VAR15 ( VAR15 ), .VAR33 ( VAR33 ), .VAR83 ( VAR83 ), .VAR18 ( VAR18 ), .VAR103 ( VAR103 ), .VAR60 ( VAR60 ), .VAR126 ( VAR126 ), .VAR52 ( VAR52 ), .VAR84 ( VAR84 ), .VAR78 ( VAR78 ), .VAR99 ( VAR99 ), .VAR22 ( VAR22 ), .VAR156 ( VAR156 ), .VAR17 ( VAR17 ), .VAR4 ( VAR4 ), .VAR5 ( VAR5 ), .VAR56 ( VAR56 ), .VAR79 ( VAR79 ), .VAR93 ( VAR135 ), .VAR21( VAR21 ), .VAR26 ( VAR26 ), .VAR88 ( VAR88 ), .VAR154 ( VAR154 ), .VAR121 ( VAR121 ), .VAR7 ( VAR7 ), .VAR77 ( VAR77 ), .VAR131 ( VAR131 ), .VAR11 ( VAR11 ), .VAR143 ( VAR143 ), .VAR108 ( VAR108 ), .VAR16 ( VAR16 ), .VAR38 ( VAR137 ) ); VAR148 VAR72 ( .clk ( clk ), .VAR96 ( VAR96 ), .VAR113 ( VAR113 ), .VAR69 ( VAR69 ), .VAR70 ( VAR70 ), .VAR39 ( VAR152 ), .VAR43 ( VAR31 ), .VAR62 ( VAR40 ), .VAR83 ( VAR83 ), .VAR18 ( VAR18 ), .VAR103 ( VAR103 ), .VAR60 ( VAR60 ), .VAR126 ( VAR126 ), .VAR136 ( VAR136 ), .VAR52 ( VAR52 ), .VAR84 ( VAR84 ), .VAR78 ( VAR78 ), .VAR99 ( VAR99 ), .VAR22 ( VAR22 ), .VAR156 ( VAR156 ), .VAR17 ( VAR17 ), .VAR4 ( VAR4 ), .VAR5 ( VAR5 ), .VAR97 ( VAR97 ), .VAR6 ( VAR6 ), .VAR132( VAR132 ), .VAR114( VAR114 ), .VAR112 ( VAR112 ), .VAR149 ( VAR149 ), .VAR129 ( VAR129 ), .VAR144 ( VAR144 ), .VAR66 ( VAR66 ), .VAR19 ( VAR19 ), .VAR89 ( VAR89 ) ); VAR130 VAR116 ( .clk ( clk ), .VAR96 ( VAR96 ), .VAR2 ( VAR2 ), .VAR157 ( VAR157 ), .VAR86 ( VAR86 ), .VAR136 ( VAR136 ), .VAR97 ( VAR97 ), .VAR99 ( VAR99 ), .VAR145 ( VAR145 ), .VAR117 ( VAR117 ), .VAR55 ( VAR55 ) ); VAR67 VAR133 ( .clk ( clk ), .VAR96 ( VAR96 ), .VAR6 ( VAR6 ), .VAR99 ( VAR99 ), .VAR40 ( VAR40 ), .VAR124 ( VAR124 ), .VAR42 ( VAR42 ), .VAR91 ( VAR91 ), .VAR127 ( VAR127 ), .VAR61 ( VAR61 ), .VAR139(VAR139), .VAR28(VAR28), .VAR37(VAR37), .VAR98(VAR98), .VAR111(VAR111), .VAR132(VAR132), .VAR29(VAR29), .VAR43(VAR31), .VAR62(VAR40), .VAR16(VAR16), .VAR12(VAR12), .VAR152(VAR152), .VAR75(VAR75), .VAR112(VAR112), .VAR114(VAR114) ); endmodule
lgpl-3.0
ShepardSiegel/ocpi
libsrc/hdl/ocpi/xilinx_s6_pcie_wrapper.v
15,118
module MODULE1 ( VAR51, VAR2, VAR32, VAR14, VAR46, VAR20, VAR77, VAR13, VAR87, VAR95, VAR60, VAR44, VAR10, VAR85, VAR98, VAR38, VAR96, VAR11, VAR83, VAR31, VAR1, VAR71, VAR9, VAR16, VAR48, VAR6, VAR35, VAR80, VAR75, VAR101, VAR59, VAR27, VAR18, VAR33, VAR25, VAR69, VAR65, VAR26, VAR62, VAR90, VAR30, VAR47, VAR67, VAR79, VAR54, VAR36, VAR49, VAR52, VAR24, VAR7, VAR64, VAR103, VAR81, VAR28, VAR92, VAR5, VAR34, VAR50, VAR104, VAR3, VAR43, VAR78, VAR102, VAR93, VAR57, VAR17, VAR74, VAR66, VAR8, VAR68, VAR55, VAR70, VAR29, VAR56, VAR42, VAR97, VAR88, VAR39, VAR12, VAR89, VAR45, VAR4, VAR91, VAR82, VAR86, VAR37, VAR41, VAR72, VAR94, VAR61, VAR19, VAR76, VAR84, VAR22, VAR53, VAR23, VAR58, VAR100, VAR21 ); parameter VAR99 = "VAR73"; input [3:0] VAR21; input [31:0] VAR100; input [63:0] VAR58; input [9:0] VAR23; input VAR53; input VAR22; input VAR84; input VAR76; input VAR19; input VAR61; input VAR94; input [47:0] VAR72; input VAR41; input VAR37; input [7:0] VAR86; input VAR82; input VAR91; input VAR4; input VAR45; input VAR89; input VAR12; input [3:0] VAR39; input [3:0] VAR88; input VAR97; input [1:0] VAR42; input VAR56; input [1:0] VAR29; input VAR70; input VAR55; input VAR68; input [2:0] VAR8; input VAR66; input VAR74; input VAR17; input [63:0] VAR57; input VAR93; input VAR102; input VAR78; input VAR43; input VAR3; input VAR104; input VAR50; output [7:0] VAR34; output [15:0] VAR5; output [15:0] VAR92; output [15:0] VAR28; output [4:0] VAR81; output [31:0] VAR103; output [15:0] VAR64; output VAR7; output [2:0] VAR24; output [7:0] VAR52; output [2:0] VAR49; output VAR36; output VAR54; output VAR79; output VAR67; output [15:0] VAR47; output [15:0] VAR30; output [2:0] VAR90; output VAR62; output VAR26; output [1:0] VAR65; output VAR69; output [15:0] VAR25; output VAR33; output [3:0] VAR27; output [3:0] VAR18; output [2:0] VAR59; output [1:0] VAR101; output VAR75; output VAR80; output VAR35; output [5:0] VAR6; output VAR48; output VAR16; output [1:0] VAR9; output VAR1; output VAR71; output [11:0] VAR31; output [7:0] VAR83; output [11:0] VAR11; output [7:0] VAR96; output [11:0] VAR38; output [7:0] VAR98; output VAR85; output [6:0] VAR10; output [63:0] VAR44; output VAR60; output VAR95; output VAR87; output VAR13; output VAR77; output VAR20; output VAR46; output [5:0] VAR14; output VAR32; output VAR2; output VAR51; VAR15 #( .VAR99 ( VAR99 ) ) VAR63 ( .VAR27 (VAR27), .VAR18 (VAR18), .VAR1 (VAR1), .VAR40 (VAR71) , .VAR87 (VAR87), .VAR85 (VAR85), .VAR14 (VAR14), .VAR32 (VAR32), .VAR51 (VAR51), .VAR2 (VAR2), .VAR44 (VAR44), .VAR13 (VAR13), .VAR77 (VAR77), .VAR60 (VAR60), .VAR46 (VAR46), .VAR20 (VAR20), .VAR95 (VAR95), .VAR10 (VAR10), .VAR31 (VAR31), .VAR83 (VAR83), .VAR11 (VAR11), .VAR96 (VAR96), .VAR38 (VAR38), .VAR98 (VAR98), .VAR103 (VAR103), .VAR69 (VAR69), .VAR7 (VAR7), .VAR67 (VAR67), .VAR52 (VAR52), .VAR49 (VAR49), .VAR36 (VAR36), .VAR54 (VAR54), .VAR79 (VAR79), .VAR33 (VAR33), .VAR34 (VAR34), .VAR81 (VAR81), .VAR24 (VAR24), .VAR25 (VAR25), .VAR5 (VAR5), .VAR64 (VAR64), .VAR92 (VAR92), .VAR30 (VAR30), .VAR47 (VAR47), .VAR28 (VAR28), .VAR90 (VAR90), .VAR62 (VAR62), .VAR26 (VAR26), .VAR65 (VAR65), .VAR59 (VAR59), .VAR101 (VAR101), .VAR75 (VAR75), .VAR80 (VAR80), .VAR35 (VAR35), .VAR6 (VAR6), .VAR48 (VAR48), .VAR16 (VAR16), .VAR9 (VAR9), .VAR88 (VAR88), .VAR39 (VAR39), .VAR57 (VAR57), .VAR78 (VAR78), .VAR43 (VAR43), .VAR93 (VAR93), .VAR104 (VAR104), .VAR3 (VAR3), .VAR102 (VAR102), .VAR17 (VAR17), .VAR50 (VAR50), .VAR66 (VAR66), .VAR74 (VAR74), .VAR8 (VAR8), .VAR100 (VAR100), .VAR21 (VAR21), .VAR23 (VAR23), .VAR12 (VAR12), .VAR4 (VAR4), .VAR53 (VAR53), .VAR41 (VAR41), .VAR19 (VAR19), .VAR84 (VAR84), .VAR22 (VAR22), .VAR76 (VAR76), .VAR94 (VAR94), .VAR61 (VAR61), .VAR72 (VAR72), .VAR82 (VAR82), .VAR37 (VAR37), .VAR86 (VAR86), .VAR89 (VAR89), .VAR45 (VAR45), .VAR91 (VAR91), .VAR58 (VAR58), .VAR97 (VAR97), .VAR42 (VAR42), .VAR56 (VAR56), .VAR29 (VAR29), .VAR70 (VAR70), .VAR55 (VAR55), .VAR68 (VAR68)); endmodule
lgpl-3.0
alexforencich/verilog-ethernet
rtl/eth_mac_mii_fifo.v
10,122
module MODULE1 # ( parameter VAR112 = "VAR109", parameter VAR21 = "VAR47", parameter VAR75 = 8, parameter VAR104 = (VAR75>8), parameter VAR90 = (VAR75/8), parameter VAR95 = 1, parameter VAR92 = 64, parameter VAR117 = 4096, parameter VAR76 = 1, parameter VAR70 = 1, parameter VAR10 = VAR70, parameter VAR7 = VAR10, parameter VAR120 = 0, parameter VAR30 = 4096, parameter VAR3 = 1, parameter VAR66 = 1, parameter VAR39 = VAR66, parameter VAR23 = VAR39, parameter VAR45 = VAR39 ) ( input wire rst, input wire VAR36, input wire VAR13, input wire [VAR75-1:0] VAR5, input wire [VAR90-1:0] VAR85, input wire VAR17, output wire VAR59, input wire VAR98, input wire VAR34, output wire [VAR75-1:0] VAR19, output wire [VAR90-1:0] VAR106, output wire VAR80, input wire VAR61, output wire VAR44, output wire VAR121, input wire VAR122, input wire [3:0] VAR111, input wire VAR35, input wire VAR48, input wire VAR42, output wire [3:0] VAR93, output wire VAR62, output wire VAR89, output wire VAR46, output wire VAR88, output wire VAR57, output wire VAR50, output wire VAR125, output wire VAR37, output wire VAR73, output wire VAR22, output wire VAR116, input wire [7:0] VAR74 ); wire VAR119; wire VAR41; wire VAR64; wire VAR79; wire [7:0] VAR94; wire VAR56; wire VAR52; wire VAR53; wire VAR18; wire [7:0] VAR78; wire VAR20; wire VAR8; wire VAR29; wire VAR83; reg [0:0] VAR87 = 1'b0; reg [0:0] VAR2 = 1'b0; reg [0:0] VAR43 = 1'b0; reg [0:0] VAR27 = 1'b0; assign VAR46 = VAR43[0] ^ VAR27[0]; always @(posedge VAR119 or posedge VAR64) begin if (VAR64) begin VAR87 <= 1'b0; end else begin VAR87 <= VAR87 ^ {VAR83}; end end always @(posedge VAR36 or posedge VAR13) begin if (VAR13) begin VAR2 <= 1'b0; VAR43 <= 1'b0; VAR27 <= 1'b0; end else begin VAR2 <= VAR87; VAR43 <= VAR2; VAR27 <= VAR43; end end wire VAR26; wire VAR15; reg [1:0] VAR25 = 2'd0; reg [1:0] VAR91 = 2'd0; reg [1:0] VAR68 = 2'd0; reg [1:0] VAR71 = 2'd0; assign VAR125 = VAR68[0] ^ VAR71[0]; assign VAR37 = VAR68[1] ^ VAR71[1]; always @(posedge VAR41 or posedge VAR79) begin if (VAR79) begin VAR25 <= 2'd0; end else begin VAR25 <= VAR25 ^ {VAR15, VAR26}; end end always @(posedge VAR36 or posedge VAR13) begin if (VAR13) begin VAR91 <= 2'd0; VAR68 <= 2'd0; VAR71 <= 2'd0; end else begin VAR91 <= VAR25; VAR68 <= VAR91; VAR71 <= VAR68; end end VAR124 #( .VAR112(VAR112), .VAR21(VAR21), .VAR95(VAR95), .VAR92(VAR92) ) VAR16 ( .rst(rst), .VAR119(VAR119), .VAR64(VAR64), .VAR41(VAR41), .VAR79(VAR79), .VAR5(VAR94), .VAR17(VAR56), .VAR59(VAR52), .VAR98(VAR53), .VAR34(VAR18), .VAR19(VAR78), .VAR80(VAR20), .VAR44(VAR8), .VAR121(VAR29), .VAR122(VAR122), .VAR111(VAR111), .VAR35(VAR35), .VAR48(VAR48), .VAR42(VAR42), .VAR93(VAR93), .VAR62(VAR62), .VAR89(VAR89), .VAR46(VAR83), .VAR125(VAR26), .VAR37(VAR15), .VAR74(VAR74) ); VAR63 #( .VAR82(VAR117), .VAR51(VAR75), .VAR86(VAR104), .VAR40(VAR90), .VAR115(8), .VAR54(0), .VAR1(0), .VAR31(0), .VAR113(1), .VAR105(1), .VAR60(VAR76), .VAR81(VAR70), .VAR126(1'b1), .VAR110(1'b1), .VAR65(VAR10), .VAR55(VAR7), .VAR67(VAR120) ) VAR96 ( .VAR118(VAR36), .VAR49(VAR13), .VAR84(VAR5), .VAR38(VAR85), .VAR12(VAR17), .VAR4(VAR59), .VAR108(VAR98), .VAR69(0), .VAR123(0), .VAR28(VAR34), .VAR100(VAR119), .VAR58(VAR64), .VAR107(VAR94), .VAR24(), .VAR97(VAR56), .VAR33(VAR52), .VAR77(VAR53), .VAR14(), .VAR9(), .VAR6(VAR18), .VAR103(VAR88), .VAR72(VAR57), .VAR99(VAR50), .VAR32(), .VAR102(), .VAR114() ); VAR63 #( .VAR82(VAR30), .VAR51(8), .VAR86(0), .VAR115(VAR75), .VAR54(VAR104), .VAR11(VAR90), .VAR1(0), .VAR31(0), .VAR113(1), .VAR105(1), .VAR60(VAR3), .VAR81(VAR66), .VAR126(1'b1), .VAR110(1'b1), .VAR65(VAR39), .VAR55(VAR23), .VAR67(VAR45) ) VAR101 ( .VAR118(VAR41), .VAR49(VAR79), .VAR84(VAR78), .VAR38(0), .VAR12(VAR20), .VAR4(), .VAR108(VAR8), .VAR69(0), .VAR123(0), .VAR28(VAR29), .VAR100(VAR36), .VAR58(VAR13), .VAR107(VAR19), .VAR24(VAR106), .VAR97(VAR80), .VAR33(VAR61), .VAR77(VAR44), .VAR14(), .VAR9(), .VAR6(VAR121), .VAR103(), .VAR72(), .VAR99(), .VAR32(VAR73), .VAR102(VAR22), .VAR114(VAR116) ); endmodule
mit
mrehkopf/sd2snes
verilog/sd2snes_cx4/address.v
2,839
module MODULE1( input VAR1, input [15:0] VAR25, input [2:0] VAR5, input [23:0] VAR24, input [7:0] VAR15, input VAR6, output [23:0] VAR7, output VAR10, output VAR19, output VAR3, output VAR18, input [23:0] VAR29, input [23:0] VAR30, output VAR9, output VAR4, output VAR14, output VAR12, output VAR28, output VAR20, output VAR27, output VAR16, output VAR8, output VAR22, output VAR11 ); parameter [2:0] VAR2 = 3, VAR17 = 4, VAR26 = 6 ; wire [23:0] VAR23; assign VAR3 = ~VAR6; assign VAR19 = |VAR29 & (~VAR24[23] & &VAR24[22:20] & ~VAR24[19] & ~VAR24[15]); assign VAR23 = VAR19 ? (24'hE00000 | ({VAR24[19:16], VAR24[14:0]} & VAR29)) : ({2'b00, VAR24[22:16], VAR24[14:0]} & VAR30); assign VAR7 = VAR23; assign VAR18 = VAR19; assign VAR10 = VAR3 | VAR18; wire VAR13 = VAR25[VAR2] & (!VAR24[22] && ((VAR24[15:0] & 16'hfff8) == 16'h2000)); assign VAR9 = VAR13; wire VAR21 = (!VAR24[22] && (VAR24[15:13] == 3'b011)); assign VAR4 = VAR21; assign VAR14 = &VAR24[15:5]; assign VAR12 = VAR25[VAR17] & (VAR15 == 8'h3f); assign VAR28 = (VAR15 == 8'h00); assign VAR20 = ({VAR24[22], VAR24[15:9]} == 8'b00010101); assign VAR27 = (VAR24 == 24'h002BF2); assign VAR16 = (VAR24 == 24'h002A6C); assign VAR8 = (VAR24 == 24'h002A1F); assign VAR22 = (VAR24 == 24'h002A59); assign VAR11 = (VAR24 == 24'h002A5E); endmodule
gpl-2.0
marcv81/proxmark3
fpga/lo_read.v
3,656
module MODULE1( VAR14, VAR8, VAR1, VAR11, VAR23, VAR6, VAR16, VAR18, VAR13, VAR20, VAR12, VAR21, VAR5, VAR2, VAR15, VAR4, VAR10, VAR3, VAR9, VAR19 ); input VAR14, VAR8, VAR1; output VAR11, VAR23, VAR6, VAR16, VAR18, VAR13; input [7:0] VAR20; output VAR12; input VAR2; output VAR21, VAR5, VAR15; input VAR4, VAR10; output VAR3; input VAR9; input [7:0] VAR19; reg [7:0] VAR17; reg [7:0] VAR7; reg VAR22; always @(posedge VAR14) begin if(VAR7 == VAR19[7:0]) begin VAR7 <= 8'd0; VAR22 = !VAR22; end else begin VAR7 <= VAR7 + 1; end end always @(posedge VAR14) begin if((VAR7 == 8'd7) && !VAR22) VAR17 <= VAR20; end else begin VAR17[7:1] <= VAR17[6:0]; VAR17[0] <= 1'b0; end end assign VAR5 = VAR17[7] && !VAR22; assign VAR15 = VAR14; assign VAR21 = (VAR7[7:3] == 5'd1) && !VAR22; assign VAR23 = 1'b0; assign VAR6 = 1'b0; assign VAR16 = 1'b0; assign VAR18 = 1'b0; assign VAR13 = 1'b0; assign VAR11 = VAR22; assign VAR12 = ~VAR22; assign VAR3 = VAR12; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and2b/sky130_fd_sc_lp__and2b.functional.pp.v
1,934
module MODULE1 ( VAR10 , VAR8 , VAR4 , VAR3, VAR11, VAR15 , VAR13 ); output VAR10 ; input VAR8 ; input VAR4 ; input VAR3; input VAR11; input VAR15 ; input VAR13 ; wire VAR1 ; wire VAR2 ; wire VAR6; not VAR7 (VAR1 , VAR8 ); and VAR5 (VAR2 , VAR1, VAR4 ); VAR9 VAR12 (VAR6, VAR2, VAR3, VAR11); buf VAR14 (VAR10 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/lsbufiso0p/sky130_fd_sc_lp__lsbufiso0p.pp.symbol.v
1,344
module MODULE1 ( input VAR5 , output VAR1 , input VAR3 , input VAR7, input VAR8, input VAR2 , input VAR4 , input VAR6 , input VAR9 ); endmodule
apache-2.0
Triple-Z/COExperiment_Repo
Project_2_OC/MemoryOnBoard/data_ram_display.v
5,465
module MODULE1( input clk, input VAR28, input [3:0] VAR44, input [1:0] VAR38, output [3:0] VAR41, output VAR25, output VAR35, output VAR43, output VAR30, output VAR14, output VAR22, output VAR31, output VAR16, inout[15:0] VAR37, output VAR19, inout VAR15, inout VAR23, output VAR6, output VAR11 ); assign VAR41 = VAR44; assign VAR25 = (VAR38==2'd0); assign VAR35 = (VAR38==2'd1); assign VAR43 = (VAR38==2'd2); reg [31:0] addr; reg [31:0] VAR10; wire [31:0] VAR7; reg [31:0] VAR12; wire [31:0] VAR2; VAR13 VAR33( .VAR21 (clk ), .VAR3 (VAR44 ), .VAR24 (addr[9:2] ), .VAR4 (VAR10 ), .VAR18 (VAR7 ), .VAR27 (clk ), .VAR34 (4'd0 ), .VAR45 (VAR12[9:2]), .VAR36 (VAR2 ), .VAR39 (32'd0 ) ); reg VAR8; reg [39:0] VAR9; reg [31:0] VAR17; wire [5 :0] VAR20; wire VAR1; wire [31:0] VAR26; VAR32 VAR32( .clk (clk ), .VAR28 (VAR28 ), .VAR8 (VAR8 ), .VAR9 (VAR9 ), .VAR17 (VAR17 ), .VAR20 (VAR20), .VAR1 (VAR1 ), .VAR26 (VAR26 ), .VAR30 (VAR30 ), .VAR14 (VAR14 ), .VAR22 (VAR22 ), .VAR31 (VAR31 ), .VAR16 (VAR16 ), .VAR37 (VAR37 ), .VAR19 (VAR19 ), .VAR15 (VAR15 ), .VAR23 (VAR23 ), .VAR6 (VAR6 ), .VAR11 (VAR11 ) ); always @(posedge clk) begin if (!VAR28) begin addr <= 32'd0; end else if (VAR1 && VAR38==2'd0) begin addr[31:2] <= VAR26[31:2]; end end always @(posedge clk) begin if (!VAR28) begin VAR10 <= 32'd0; end else if (VAR1 && VAR38==2'd1) begin VAR10 <= VAR26; end end always @(posedge clk) begin if (!VAR28) begin VAR12 <= 32'd0; end else if (VAR1 && VAR38==2'd2) begin VAR12[31:2] <= VAR26[31:2]; end end always @(posedge clk) begin case(VAR20) 6'd1: begin VAR8 <= 1'b1; VAR9 <= "VAR46 "; VAR17 <= addr; end 6'd2: begin VAR8 <= 1'b1; VAR9 <= "VAR42"; VAR17 <= VAR10; end 6'd3: begin VAR8 <= 1'b1; VAR9 <= "VAR29"; VAR17 <= VAR7; end 6'd5: begin VAR8 <= 1'b1; VAR9 <= "VAR40"; VAR17 <= VAR12; end 6'd6: begin VAR8 <= 1'b1; VAR9 <= "VAR5"; VAR17 <= VAR2; end default : begin VAR8 <= 1'b0; VAR9 <= 40'd0; VAR17 <= 32'd0; end endcase end endmodule
mit
ShepardSiegel/ocpi
coregen/temac_axi_v5_2/example_design/pat_gen/axi_mux.v
3,664
module MODULE1 ( input VAR7, input [7:0] VAR10, input VAR2, input VAR12, output reg VAR5, input [7:0] VAR9, input VAR6, input VAR1, output reg VAR11, output reg [7:0] VAR13, output reg VAR4, output reg VAR8, input VAR3 ); always @(VAR7 or VAR10 or VAR2 or VAR12 or VAR9 or VAR6 or VAR1) begin if (VAR7) begin VAR13 = VAR9; VAR4 = VAR6; VAR8 = VAR1; end else begin VAR13 = VAR10; VAR4 = VAR2; VAR8 = VAR12; end end always @(VAR7 or VAR3) begin if (VAR7) begin VAR5 = 1'b1; end else begin VAR5 = VAR3; end VAR11 = VAR3; end endmodule
lgpl-3.0
MartinMosbeck/NoCMonitor
buildCONNECT4x4/mkOutputArbiter.v
8,271
module MODULE1(VAR38, VAR4, VAR51, select, VAR28); input VAR38; input VAR4; input [4 : 0] VAR51; output [4 : 0] select; input VAR28; wire [4 : 0] select; reg [4 : 0] VAR11; wire [4 : 0] VAR32; wire VAR31; wire [1 : 0] VAR30, VAR13, VAR16, VAR12, VAR34, VAR42, VAR26, VAR18, VAR17, VAR15; wire VAR35, VAR3, VAR1, VAR10, VAR46, VAR49, VAR39, VAR27, VAR19, VAR41, VAR25, VAR21, VAR20, VAR50, VAR33, VAR48, VAR9, VAR44; assign select = { VAR30[1] || VAR42[1], !VAR30[1] && !VAR42[1] && (VAR13[1] || VAR26[1]), VAR35, !VAR30[1] && !VAR42[1] && VAR10, VAR3 } ; assign VAR32 = { VAR11[0], VAR11[4:1] } ; assign VAR31 = VAR28 ; VAR8 VAR22(.VAR37(1'd0), .VAR29(VAR51[0]), .VAR23(VAR50), .VAR24(VAR34)); VAR8 VAR2(.VAR37(VAR46), .VAR29(VAR51[1]), .VAR23(VAR33), .VAR24(VAR12)); VAR8 VAR6(.VAR37(VAR49), .VAR29(VAR51[2]), .VAR23(VAR48), .VAR24(VAR16)); VAR8 VAR14(.VAR37(VAR39), .VAR29(VAR51[3]), .VAR23(VAR9), .VAR24(VAR13)); VAR8 VAR40(.VAR37(VAR27), .VAR29(VAR51[4]), .VAR23(VAR44), .VAR24(VAR30)); VAR8 VAR36(.VAR37(VAR19), .VAR29(VAR51[0]), .VAR23(VAR50), .VAR24(VAR15)); VAR8 VAR5(.VAR37(VAR20), .VAR29(VAR51[1]), .VAR23(VAR33), .VAR24(VAR17)); VAR8 VAR7(.VAR37(VAR21), .VAR29(VAR51[2]), .VAR23(VAR48), .VAR24(VAR18)); VAR8 VAR45(.VAR37(VAR25), .VAR29(VAR51[3]), .VAR23(VAR9), .VAR24(VAR26)); VAR8 VAR47(.VAR37(VAR41), .VAR29(VAR51[4]), .VAR23(VAR44), .VAR24(VAR42)); assign VAR35 = !VAR30[1] && !VAR42[1] && !VAR13[1] && !VAR26[1] && (VAR16[1] || VAR18[1]) ; assign VAR3 = !VAR30[1] && !VAR42[1] && !VAR13[1] && !VAR26[1] && VAR1 ; assign VAR1 = !VAR16[1] && !VAR18[1] && !VAR12[1] && !VAR17[1] && (VAR34[1] || VAR15[1]) ; assign VAR10 = !VAR13[1] && !VAR26[1] && !VAR16[1] && !VAR18[1] && (VAR12[1] || VAR17[1]) ; assign VAR46 = VAR34[0] ; assign VAR49 = VAR12[0] ; assign VAR39 = VAR16[0] ; assign VAR27 = VAR13[0] ; assign VAR19 = VAR30[0] ; assign VAR41 = VAR26[0] ; assign VAR25 = VAR18[0] ; assign VAR21 = VAR17[0] ; assign VAR20 = VAR15[0] ; assign VAR50 = VAR11[0] ; assign VAR33 = VAR11[1] ; assign VAR48 = VAR11[2] ; assign VAR9 = VAR11[3] ; assign VAR44 = VAR11[4] ; always@(posedge VAR38) begin if (!VAR4) begin VAR11 <= VAR43 5'd1; end else begin if (VAR31) VAR11 <= VAR43 VAR32; end end begin VAR11 = 5'h0A; end
gpl-2.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_NVMeHostController_0_0/src/pcie_7x_0_core_top/source/pcie_7x_0_core_top_pcie_brams_7x.v
9,221
module MODULE1 parameter [3:0] VAR10 = 4'h1, parameter [5:0] VAR9 = 6'h08, parameter VAR8 = "VAR13", parameter VAR14 = 0, parameter VAR17 = 1, parameter VAR16 = 1, parameter VAR4 = 1, parameter VAR25 = 1 ) ( input VAR15, input VAR20, input VAR19, input [12:0] VAR22, input [71:0] VAR12, input VAR6, input VAR5, input [12:0] VAR1, output [71:0] VAR18 ); localparam VAR26 = (VAR16 > 1) ? 1 : 0; localparam [6:0] VAR7 = ((VAR14 == 1) ? 72 : (VAR14 == 2) ? 36 : (VAR14 == 4) ? 18 : (VAR14 == 8) ? 9 : 4 ); wire VAR11; wire [12:0] VAR2; wire [71:0] VAR3; wire VAR21; wire [12:0] VAR24; wire [71:0] VAR23; begin begin begin begin begin begin begin begin begin
gpl-3.0
mateuszokulanis/OM_FIREWALL
src/firewall_top.v
2,458
module MODULE1( input VAR10, input VAR11, input VAR12, input VAR23, input VAR1, output reg [7:0] VAR31, output reg VAR53, output reg VAR14, input [7:0] VAR17, input VAR54, input VAR2, output reg [7:0] VAR39, output reg VAR59, output reg VAR22 ); wire VAR4; wire [7:0] VAR15; wire VAR63; wire VAR42; wire VAR52; wire VAR61; wire [7:0] VAR18; wire VAR46; wire VAR57; wire VAR29; wire VAR30; wire [7:0] VAR50; wire VAR7; wire VAR43; wire VAR65; wire VAR45; wire [7:0] VAR5; wire VAR24; wire VAR40; wire VAR28; VAR58 VAR36( .VAR10(VAR10), .VAR11(VAR11), .VAR68(VAR4), .VAR26(VAR15), .VAR38(VAR63), .VAR19(VAR42), .VAR56(VAR52), .VAR3(VAR61), .VAR37(VAR18), .VAR27(VAR46), .VAR33(VAR57), .VAR49(VAR29), .VAR48(VAR30), .VAR66(VAR50), .VAR35(VAR7), .VAR32(VAR43), .VAR55(VAR65), .VAR9(VAR45), .VAR67(VAR5), .VAR60(VAR24), .VAR44(VAR40), .VAR64(VAR28), .VAR20(VAR20), .VAR47(VAR47), .VAR13(VAR13), .VAR6(VAR6), .VAR34(VAR34), .VAR51(VAR51), .VAR41(VAR41), .VAR21(VAR21), .VAR16(VAR16), .VAR62(VAR62), .VAR25(VAR25), .VAR8(VAR8) ); endmodule
mit
mrehkopf/sd2snes
verilog/sd2snes_gsu/gsu.v
93,290
module MODULE1( input VAR422, input VAR248, input [23:0] VAR257, input [23:0] VAR2, input VAR414, input VAR198, input VAR37, input VAR199, input [9:0] VAR270, input [7:0] VAR436, output VAR366, output [7:0] VAR339, input VAR156, output VAR143, output VAR261, output [23:0] VAR363, input [15:0] VAR48, input VAR443, output VAR205, output VAR411, output VAR406, output [18:0] VAR272, input [7:0] VAR181, output [7:0] VAR386, output VAR362, output VAR434, output VAR60, output VAR54, input VAR160, input [9:0] VAR239, output [7:0] VAR228, input [7:0] VAR106, input [7:0] VAR150, input [7:0] VAR158, input [7:0] VAR20, input VAR9, input [7:0] VAR355, output [7:0] VAR67, output VAR327 ); integer VAR290; wire VAR377; wire VAR152; reg [7:0] VAR425; reg [9:0] VAR207; reg VAR95; reg [9:0] VAR298; always @(posedge VAR248) begin VAR425 <= VAR436; VAR207 <= VAR270; VAR95 <= VAR414; VAR298 <= VAR239; end parameter VAR314 = 16; parameter VAR11 = 8'h00, VAR382 = 8'h01, VAR401 = 8'h02, VAR388 = 8'h03, VAR413 = 8'h04, VAR81 = 8'h05, VAR303 = 8'h06, VAR35 = 8'h07, VAR5 = 8'h08, VAR49 = 8'h09, VAR206 = 8'h0A, VAR166 = 8'h0B, VAR437 = 8'h0C, VAR305 = 8'h0D, VAR96 = 8'h0E, VAR242 = 8'h0F ; parameter VAR392 = 8'h00, VAR190 = 8'h02, VAR419 = 8'h04, VAR293 = 8'h06, VAR23 = 8'h08, VAR109 = 8'h0A, VAR322 = 8'h0C, VAR204 = 8'h0E, VAR458 = 8'h10, VAR284 = 8'h12, VAR33 = 8'h14, VAR281 = 8'h16, VAR384 = 8'h18, VAR245 = 8'h1A, VAR215 = 8'h1C, VAR287 = 8'h1E, VAR73 = 8'VAR120, VAR361 = 8'VAR216, VAR424 = 8'h30, VAR61 = 8'h33, VAR208 = 8'h34, VAR249 = 8'h36, VAR91 = 8'h37, VAR193 = 8'h38, VAR258 = 8'h39, VAR180 = 8'h3A, VAR175 = 8'h3B, VAR397 = 8'h3C, VAR212 = 8'h3E, VAR85 = 10'h100 ; reg VAR63; VAR40 VAR63 = 0; reg VAR137; VAR40 VAR137 = 0; reg VAR268; VAR40 VAR268 = 0; reg VAR99; VAR40 VAR99 = 0; reg VAR285; VAR40 VAR285 = 0; reg VAR43; VAR40 VAR43 = 0; reg VAR97; VAR40 VAR97 = 0; reg VAR360; VAR40 VAR360 = 0; parameter VAR127 = 8; reg [7:0] VAR431[VAR127-1:0]; VAR40 for (VAR290 = 0; VAR290 < VAR127; VAR290 = VAR290 + 1) VAR431[VAR290] = 8'h00; always @(posedge VAR248) begin if (VAR422) begin for (VAR290 = 0; VAR290 < VAR127; VAR290 = VAR290 + 1) VAR431[VAR290] <= 8'h00; end else if (VAR9 && (VAR106 == 8'h03)) begin if (VAR150 < VAR127) VAR431[VAR150] <= (VAR431[VAR150] & VAR20) | (VAR158 & ~VAR20); end else begin VAR431[0][0] <= VAR431[0][0] & ~|(VAR431[2] & {VAR360,VAR97,VAR43,VAR285,VAR99,VAR268,VAR137,VAR63}); end end assign VAR67 = VAR431[VAR355]; assign VAR346 = VAR431[0][0]; assign VAR192 = VAR431[0][1]; wire [7:0] VAR135 = VAR431[1]; wire [7:0] VAR148 = VAR431[4]; wire [23:0] VAR259 = {VAR431[7],VAR431[6],VAR431[5]}; wire [7:0] VAR135 = 0; assign VAR346 = 1; assign VAR192 = 0; reg VAR331; VAR40 VAR331 = 0; reg VAR398; VAR40 VAR398 = 0; reg VAR405; VAR40 VAR405 = 0; reg VAR300; VAR40 VAR300 = 0; reg VAR117; VAR40 VAR117 = 0; reg VAR140; VAR40 VAR140 = 0; reg VAR173; VAR40 VAR173 = 0; reg VAR18; VAR40 VAR18 = 0; reg VAR291; reg VAR68; reg VAR92; reg VAR387; reg VAR254; reg VAR237; reg [23:0] VAR238; reg [23:0] VAR164; reg [23:0] VAR301; reg [23:0] VAR274; reg [15:0] VAR102; reg [23:0] VAR195; reg [23:0] VAR142; reg [15:0] VAR174; reg [23:0] VAR218; reg [31:0] VAR126; VAR40 VAR126 = 0; reg [1:0] VAR408; VAR40 VAR408 = 0; reg VAR343; VAR40 VAR343 = 0; reg [7:0] VAR381; VAR40 VAR381 = 0; reg VAR32; VAR40 VAR32 = 0; always @(posedge VAR248) begin if (VAR422) begin VAR408 <= 0; VAR343 <= 0; end else begin VAR408 <= VAR408 + 1; VAR343 <= (VAR408 == 2'b10); end end always @(posedge VAR248) begin if (VAR422) begin VAR126 <= 0; end else if (VAR377) begin VAR126 <= VAR126 + 1; end end reg [15:0] VAR389 [15:0]; VAR40 for (VAR290 = 0; VAR290 < 16; VAR290 = VAR290 + 1) VAR389[VAR290] = 0; reg [15:0] VAR423; reg [7:0] VAR251; reg [7:0] VAR302; reg [7:0] VAR380; reg [7:0] VAR224; reg [7:0] VAR328; reg [7:0] VAR279; reg [7:0] VAR313; reg [7:0] VAR79; reg VAR334; reg [15:0] VAR30; reg [7:0] VAR101; reg [7:0] VAR319; reg [3:0] VAR69; reg [3:0] VAR163; reg [7:0] VAR426; reg [15:0] VAR277; reg [15:0] VAR372; VAR40 VAR423 = 0; VAR40 VAR251 = 0; VAR40 VAR302 = 0; VAR40 VAR380 = 0; VAR40 VAR224 = 0; VAR40 VAR328 = 0; VAR40 VAR279 = 0; VAR40 VAR313 = 0; VAR40 VAR79 = 0; VAR40 VAR334 = 0; VAR40 VAR30 = 0; VAR40 VAR101 = 0; VAR40 VAR319 = 0; VAR40 VAR69 = 0; VAR40 VAR163 = 0; VAR40 VAR426 = 0; VAR40 VAR277 = 0; VAR40 VAR372 = 0; assign VAR187 = VAR423[1]; assign VAR307 = VAR423[2]; assign VAR170 = VAR423[3]; assign VAR214 = VAR423[4]; assign VAR64 = VAR423[5]; assign VAR269 = VAR423[6]; assign VAR444 = VAR423[8]; assign VAR451 = VAR423[9]; assign VAR52 = VAR423[10]; assign VAR457 = VAR423[11]; assign VAR219 = VAR423[12]; assign VAR36 = VAR423[15]; assign VAR211 = VAR251[0]; assign VAR62 = VAR224[5]; assign VAR417 = VAR224[7]; assign VAR430 = VAR279[0]; wire [1:0] VAR432; assign VAR432 = VAR313[1:0]; wire [1:0] VAR147; assign VAR147 = {VAR313[5],VAR313[2]}; assign VAR338 = VAR313[3]; assign VAR168 = VAR313[4]; assign VAR304 = VAR319[0]; assign VAR273 = VAR319[1]; assign VAR369 = VAR319[2]; assign VAR203 = VAR319[3]; assign VAR44 = VAR319[4]; reg [3:0] VAR267; reg [3:0] VAR134; reg [3:0] VAR176; reg [3:0] VAR247; reg [3:0] VAR415; always @(posedge VAR248) begin VAR267 <= VAR160 ? 0 : VAR430 ? 0 : 1; VAR134 <= VAR160 ? 2 : VAR430 ? 5-1 : 6-2; VAR176 <= VAR160 ? 1 : VAR430 ? 5-1 : 6-3; VAR247 <= VAR160 ? 2 : VAR430 ? (VAR62 ? 7 : 7) : (VAR62 ? 7 : 14-6); VAR415 <= VAR160 ? 0 : VAR430 ? (VAR62 ? 0 : 1) : (VAR62 ? 0 : 2-0); end reg VAR452; VAR40 VAR452 = 0; reg VAR78; VAR40 VAR78 = 0; reg [3:0] VAR390; reg [15:0] VAR197; reg [15:0] VAR306; reg [15:0] VAR393; reg [15:0] VAR280; reg VAR396; reg VAR295; reg VAR333; reg VAR260; VAR40 VAR260 = 0; reg [7:0] VAR178; reg [15:0] VAR28; reg VAR226; reg [7:0] VAR278; reg VAR93; reg [7:0] VAR121; reg [1:0] VAR435; reg VAR416; reg VAR59; reg VAR445; reg VAR123; reg VAR233; reg VAR326; reg VAR45; reg [3:0] VAR141; reg [3:0] VAR34; reg VAR122; VAR40 VAR122 = 0; reg [3:0] VAR185; VAR40 VAR185 = 0; reg VAR128; VAR40 VAR128 = 0; reg [3:0] VAR116; VAR40 VAR116 = 0; reg VAR222; VAR40 VAR222 = 0; reg [3:0] VAR292; VAR40 VAR292 = 0; reg VAR15; VAR40 VAR15 = 0; reg VAR442; VAR40 VAR442 = 0; reg [7:0] VAR27; VAR40 VAR27 = 0; reg [15:0] VAR154; VAR40 VAR154 = 0; reg [2:0] VAR332; VAR40 VAR332 = 0; reg VAR201; VAR40 VAR201 = 0; reg VAR66; VAR40 VAR66 = 0; reg VAR241; VAR40 VAR241 = 0; reg VAR337; VAR40 VAR337 = 0; reg VAR374; VAR40 VAR374 = 0; reg VAR418; VAR40 VAR418 = 0; wire VAR438; reg VAR240; VAR40 VAR240 = 0; reg [7:0] VAR420; reg [8:0] VAR318; reg VAR316; VAR40 VAR316 = 0; reg [7:0] VAR12; reg [8:0] VAR459; wire VAR72; wire [8:0] VAR455; wire [7:0] VAR169; wire [7:0] VAR38; wire VAR402; wire [8:0] VAR449; wire [7:0] VAR182; wire [7:0] VAR98; assign VAR72 = VAR64 ? VAR316 : VAR240; assign VAR455 = VAR64 ? VAR459 : VAR318; assign VAR169 = VAR64 ? VAR12 : VAR420; assign VAR402 = 0; assign VAR449 = {~VAR298[8],VAR298[7:0]}; reg [31:0] VAR359; VAR40 VAR359 = 0; VAR308 VAR90 ( .VAR453(VAR248), .VAR266(VAR72), .VAR200(VAR455), .VAR177(VAR169), .VAR379(VAR38) VAR335 VAR262 , .VAR412(VAR248), .VAR282(VAR402), .VAR448(VAR449), .VAR244(VAR182), .VAR24(VAR98) VAR82 ); VAR308 VAR90 ( .VAR410(VAR248), .VAR16(VAR72), .VAR403(VAR455), .VAR6(VAR169), .VAR47(VAR38), .VAR110(VAR402), .VAR252(VAR449), .VAR421(VAR182), .VAR309(VAR98) ); VAR308 VAR90 ( .VAR410(VAR248), .VAR77(VAR72), .address(VAR455), .VAR1(VAR169), .VAR234(VAR38) ); reg VAR155; VAR40 VAR155 = 0; reg [7:0] VAR136; reg [7:0] VAR368; VAR40 VAR368 = 0; reg VAR114; VAR40 VAR114 = 0; reg VAR427; VAR40 VAR427 = 0; reg VAR439; VAR40 VAR439 = 0; reg VAR243; reg VAR188; reg [8:0] VAR231; reg [7:0] VAR364; reg VAR146; VAR40 VAR146 = 0; reg VAR221; VAR40 VAR221 = 0; always @(posedge VAR248) begin if (VAR422) begin for (VAR290 = 0; VAR290 < VAR314; VAR290 = VAR290 + 1) begin VAR389[VAR290] <= 0; end VAR423[15:7] <= 0; VAR423[5:0] <= 0; VAR302 <= 0; VAR328 <= 0; VAR313 <= 0; VAR101 <= 0; VAR319 <= 0; VAR251 <= 0; VAR79 <= 4; VAR224 <= 0; VAR279 <= 0; VAR69 <= 0; VAR163 <= 0; VAR155 <= 0; VAR368 <= 0; VAR439 <= 0; VAR243 <= 0; VAR188 <= 0; VAR146 <= 0; VAR66 <= 0; VAR241 <= 0; VAR240 <= 0; end else begin if (VAR95) begin if (VAR198) begin if (~|VAR207[9:8]) begin casex (VAR207[7:0]) VAR73 : begin VAR136 <= VAR389[VAR207[4:1]][7:0]; if (~VAR64) VAR155 <= 1; end VAR361 : begin VAR136 <= VAR389[VAR207[4:1]][15:8]; if (~VAR64) VAR155 <= 1; end VAR424 : begin VAR136 <= VAR423[7:0]; VAR155 <= 1; end VAR424+1: begin VAR136 <= VAR423[15:8]; VAR155 <= 1; end VAR208 : begin VAR136 <= VAR302; if (~VAR64) VAR155 <= 1; end VAR249: begin VAR136 <= VAR380; if (~VAR64) VAR155 <= 1; end VAR175 : begin VAR136 <= VAR79; VAR155 <= 1; end VAR397: begin VAR136 <= VAR334; if (~VAR64) VAR155 <= 1; end VAR212+0: begin VAR136 <= VAR30[7:0]; if (~VAR64) VAR155 <= 1; end VAR212+1: begin VAR136 <= VAR30[15:8]; if (~VAR64) VAR155 <= 1; end endcase end else begin VAR155 <= 1; VAR318 <= {~VAR207[8],VAR207[7:0]}; end end else if (|VAR207[9:8]) begin VAR136 <= VAR38; end end else begin VAR155 <= 0; end if (VAR199 & VAR95) begin VAR439 <= 1; VAR243 <= ~|VAR207[9:8]; VAR188 <= ~|VAR207[9:5]; VAR231 <= VAR207[8:0]; VAR364 <= VAR425; end else if (VAR439 & ~VAR343) begin VAR439 <= 0; VAR243 <= 0; VAR188 <= 0; end if (VAR198 && VAR95 && VAR207[9:0] == {2'h0,VAR424+1}) begin VAR146 <= 1; end else if (VAR146 & ~VAR343) begin VAR146 <= 0; end if (VAR439 & ~VAR343) begin if (VAR243) begin if (VAR231[7:0] == VAR424) begin if (VAR64 & ~VAR364[5]) begin VAR66 <= 1; VAR241 <= 1; end end else if (VAR231[7:0] == VAR208) begin VAR66 <= 1; end end end else if (VAR221) begin VAR66 <= 0; VAR241 <= 0; end if (VAR439 & ~VAR343) begin if (VAR243) begin case (VAR231[7:0]) VAR287+1: VAR423[5] <= 1; VAR424 : VAR423[5:1] <= VAR364[5:1]; VAR424+1: {VAR423[15],VAR423[12:8]} <= {VAR364[7],VAR364[4:0]}; VAR61: VAR251[0] <= VAR364[0]; VAR208 : VAR302 <= VAR364[6:0]; VAR91 : {VAR224[7],VAR224[5]} <= {VAR364[7],VAR364[5]}; VAR193 : VAR328 <= VAR364; VAR258 : VAR279[0] <= VAR364[0]; VAR180 : VAR313[5:0] <= VAR364[5:0]; endcase end else begin VAR240 <= 1; VAR420 <= VAR364; VAR318 <= {~VAR231[8],VAR231[7:0]}; end end else if (VAR146 & ~VAR343) begin VAR423[15] <= 0; end else if (VAR377 & VAR152) begin VAR423[1] <= VAR416; VAR423[2] <= VAR59; VAR423[3] <= VAR445; VAR423[4] <= VAR123; VAR423[5] <= VAR64 & VAR326; VAR423[9:8] <= VAR435; VAR423[12] <= VAR233; VAR423[15] <= VAR36 | VAR45; VAR69 <= VAR141; VAR163 <= VAR34; if (VAR295) VAR302 <= VAR178[6:0]; if (VAR226) VAR319 <= VAR278; if (VAR93) VAR101 <= VAR121; end else begin VAR240 <= 0; end if (VAR439 & VAR188 & ~VAR343) begin if (VAR231[0]) VAR389[VAR231[5:1]] <= {VAR364,VAR368}; end else VAR368 <= VAR364; end else if (VAR377) begin if (VAR452 & VAR152) begin case (VAR390) VAR11 : begin VAR389[VAR11 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR382 : begin VAR389[VAR382 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR401 : begin VAR389[VAR401 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR388 : begin VAR389[VAR388 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR413 : begin VAR389[VAR413 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR81 : begin VAR389[VAR81 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR303 : begin VAR389[VAR303 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR35 : begin VAR389[VAR35 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR5 : begin VAR389[VAR5 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR49 : begin VAR389[VAR49 ] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR206: begin VAR389[VAR206] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR166: begin VAR389[VAR166] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR437: begin VAR389[VAR437] <= VAR306; VAR389[VAR242] <= (VAR396 ? VAR389[VAR305] : VAR389[VAR242] + 1); end VAR305: begin VAR389[VAR305] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR96: begin VAR389[VAR96] <= VAR306; VAR389[VAR242] <= VAR389[VAR242] + 1; end VAR242: begin VAR389[VAR242] <= VAR306; end endcase end else begin VAR389[VAR242] <= VAR389[VAR242] + 1; end end else if (VAR260 & VAR343) begin VAR389[VAR413] <= VAR280; end end end reg [3:0] VAR179; VAR40 VAR179 = 0; reg [3:0] VAR394; VAR40 VAR394 = 0; always @(posedge VAR248) begin if (VAR422) begin VAR179 <= 0; VAR394 <= 0; VAR418 <= 0; VAR381 <= 0; VAR32 <= 0; end else begin if (VAR122) VAR179 <= VAR185; end else if (VAR343 & |VAR179) VAR179 <= VAR179 - 1; if (VAR128) VAR394 <= VAR116; end else if (VAR343 & |VAR394) VAR394 <= VAR394 - 1; VAR32 <= VAR346 || (!VAR152 & !VAR192) || (VAR381 != VAR135); if (VAR377 & (VAR152 | VAR192)) VAR381 <= VAR135; end end assign VAR438 = ~|VAR179 & ~|VAR394; parameter VAR250 = 8'b00000001, VAR144 = 8'b00000010, VAR153 = 8'b00000100, VAR353 = 8'b00010000, VAR354 = 8'b00100000 ; reg [7:0] VAR84; VAR40 VAR84 = VAR250; reg VAR311; VAR40 VAR311 = 0; reg [23:0] VAR75; reg [15:0] VAR26; reg VAR149; reg VAR132; VAR40 VAR132 = 0; always @(posedge VAR248) begin if (VAR422) begin VAR84 <= VAR250; VAR311 <= 0; VAR132 <= 0; end else begin case (VAR84) VAR250: begin if (VAR168 & VAR156 & VAR64) begin if (VAR331) begin VAR311 <= 1; VAR75 <= VAR238; VAR149 <= VAR291; VAR132 <= 1; VAR84 <= VAR144; end else if (VAR398) begin VAR311 <= 1; VAR75 <= VAR164; VAR149 <= VAR68; VAR132 <= 1; VAR84 <= VAR153; end end end VAR144, VAR153: begin VAR311 <= 0; if (~VAR311 & VAR156) begin VAR26 <= VAR48; VAR84 <= (|(VAR84 & VAR144)) ? VAR353 : VAR354; end end VAR353, VAR354: begin VAR84 <= VAR250; VAR132 <= 0; end endcase end end assign VAR143 = VAR311; assign VAR261 = VAR149; assign VAR363 = VAR75; parameter VAR246 = 8'b00000001, VAR340 = 8'b00000010, VAR294 = 8'b00000100, VAR10 = 8'b00001000, VAR217 = 8'b00010000, VAR42 = 8'b00100000, VAR276 = 8'b01000000 ; reg [7:0] VAR57; VAR40 VAR57 = VAR246; reg [7:0] VAR399; reg VAR264; VAR40 VAR264 = 0; reg VAR7; VAR40 VAR7 = 0; reg [18:0] VAR209; reg [15:0] VAR315; reg VAR271; reg VAR371; VAR40 VAR371 = 0; reg VAR391; VAR40 VAR391 = 0; reg VAR446; VAR40 VAR446 = 0; reg VAR440; VAR40 VAR440 = 0; always @(posedge VAR248) begin if (VAR422) begin VAR57 <= VAR246; VAR264 <= 0; VAR7 <= 0; VAR371 <= 0; end else begin case (VAR57) VAR246: begin if (VAR338 & VAR443 & VAR64) begin if (VAR300) begin VAR264 <= 1; VAR271 <= 0; VAR209 <= VAR301; VAR371 <= 1; VAR391 <= VAR92; VAR446 <= 0; VAR57 <= VAR340; VAR399 <= VAR10; end else if (VAR405) begin VAR264 <= 1; VAR209 <= VAR238; VAR271 <= 0; VAR371 <= 1; VAR391 <= VAR291; VAR446 <= 0; VAR57 <= VAR340; VAR399 <= VAR294; end else if (VAR117) begin VAR7 <= 1; VAR271 <= 0; VAR209 <= VAR274; VAR315 <= VAR102; VAR371 <= 1; VAR391 <= VAR387; VAR446 <= 1; VAR57 <= VAR340; VAR399 <= VAR217; end else if (VAR140) begin VAR264 <= 1; VAR271 <= 0; VAR209 <= VAR195; VAR371 <= 1; VAR391 <= VAR254; VAR446 <= 0; VAR57 <= VAR340; VAR399 <= VAR42; end else if (VAR173) begin VAR264 <= 1; VAR271 <= 0; VAR209 <= VAR142; VAR371 <= 1; VAR391 <= VAR237; VAR446 <= 0; VAR57 <= VAR340; VAR399 <= VAR276; end else if (VAR18) begin VAR7 <= 1; VAR271 <= 0; VAR209 <= VAR142; VAR315 <= VAR174; VAR371 <= 1; VAR391 <= VAR237; VAR446 <= 1; VAR57 <= VAR340; VAR399 <= VAR276; end end end VAR340: begin VAR264 <= 0; VAR7 <= 0; if ((~VAR264 & ~VAR7) & VAR443) begin if (VAR391) begin VAR391 <= 0; VAR440 <= 1; VAR264 <= ~VAR446; VAR7 <= VAR446; VAR209[0] <= ~VAR209[0]; VAR315[7:0] <= VAR446 ? VAR315[15:8] : VAR181[7:0]; end else begin VAR440 <= 0; if (VAR440) VAR315[15:8] <= VAR181[7:0]; end else VAR315[7:0] <= VAR181[7:0]; VAR57 <= VAR399; end end end VAR294, VAR217, VAR10, VAR42, VAR276: begin VAR371 <= 0; VAR57 <= VAR246; end endcase end end assign VAR205 = VAR264; assign VAR411 = VAR7; assign VAR406 = VAR271; assign VAR272 = VAR209; assign VAR386 = VAR315[7:0]; reg [7:0] VAR324[1:0]; reg [15:0] VAR356[1:0]; reg [7:0] VAR358[1:0][7:0]; reg VAR4[1:0]; reg [1:0] VAR159[1:0]; reg [1:0] VAR229[1:0]; reg VAR367; reg VAR433; reg [3:0] VAR124; reg [7:0] VAR115[1:0]; reg [7:0] VAR454[1:0]; reg [15:0] VAR14[1:0]; reg [15:0] VAR325[1:0]; reg [15:0] VAR323; reg [2:0] VAR129; reg [7:0] VAR94; reg [2:0] VAR8; reg [15:0] VAR352; reg [15:0] VAR450; reg [7:0] VAR165; reg [7:0] VAR13; reg [7:0] VAR111; reg [3:0] VAR312; parameter VAR51 = 0; parameter VAR230 = 1; wire VAR41; wire VAR151 = (VAR356[VAR367] == VAR154) || (~|VAR324[VAR367]); parameter VAR167 = 8'b00000001, VAR253 = 8'b00000010, VAR55 = 8'b00000100, VAR76 = 8'b00001000, VAR223 = 8'b10000000 ; reg [7:0] VAR348; VAR40 VAR348 = VAR167; wire VAR288 = (|(VAR348 & VAR223) && VAR433 == VAR51); always @(VAR356[0], VAR356[1], VAR147, VAR44) begin for (VAR290 = 0; VAR290 < 2; VAR290 = VAR290 + 1) begin case (VAR147 | {2{VAR44}}) 0: VAR14[VAR290] = {VAR356[VAR290][4:0],4'b0000} + VAR356[VAR290][12:8]; 1: VAR14[VAR290] = {VAR356[VAR290][4:0],4'b0000} + {VAR356[VAR290][4:0],2'b00} + VAR356[VAR290][12:8]; 2: VAR14[VAR290] = {VAR356[VAR290][4:0],4'b0000} + {VAR356[VAR290][4:0],3'b000} + VAR356[VAR290][12:8]; 3: VAR14[VAR290] = {VAR356[VAR290][12],VAR356[VAR290][4],VAR356[VAR290][11:8],VAR356[VAR290][3:0]}; endcase end end always @(*) begin case (VAR147 | {2{VAR44}}) 0: VAR352 = {VAR154[4:0],4'b0000} + VAR154[12:8]; 1: VAR352 = {VAR154[4:0],4'b0000} + {VAR154[4:0],2'b00} + VAR154[12:8]; 2: VAR352 = {VAR154[4:0],4'b0000} + {VAR154[4:0],3'b000} + VAR154[12:8]; 3: VAR352 = {VAR154[12],VAR154[4],VAR154[11:8],VAR154[3:0]}; endcase end always @(posedge VAR248) begin for (VAR290 = 0; VAR290 < 2; VAR290 = VAR290 + 1) begin VAR115[VAR290] <= {VAR356[VAR290][4:0],3'b000}; VAR454[VAR290] <= VAR356[VAR290][12:5]; case (VAR432) 0: VAR325[VAR290] <= {VAR14[VAR290],4'h0}; 1: VAR325[VAR290] <= {VAR14[VAR290],5'h00}; 2: VAR325[VAR290] <= {VAR14[VAR290],5'h00}; 3: VAR325[VAR290] <= {VAR14[VAR290],6'h00}; endcase end VAR165 <= {VAR154[4:0],3'b000}; VAR13 <= VAR154[12:5]; case (VAR432) 0: VAR450 <= {VAR352,4'h0}; 1: VAR450 <= {VAR352,5'h00}; 2: VAR450 <= {VAR352,5'h00}; 3: VAR450 <= {VAR352,6'h00}; endcase VAR124 <= {&VAR432, |VAR432, 1'b1}; VAR111 <= (8'h01 << VAR332); end always @(posedge VAR248) begin if (VAR422) begin VAR367 <= 0; for (VAR290 = 0; VAR290 < 2; VAR290 = VAR290 + 1) VAR324[VAR290] <= 0; end else begin for (VAR290 = 0; VAR290 < 2; VAR290 = VAR290 + 1) begin if (VAR290 == VAR367) begin if (VAR288) VAR324[VAR290] <= VAR324[VAR290] | VAR111; end else begin if (VAR41) VAR324[VAR290] <= 0; end end if (~|VAR324[~VAR367] & (|(VAR348 & VAR167)) & ( (VAR15 & ~VAR151) | (VAR442 & |VAR324[VAR367]) | (~VAR15 & &VAR324[VAR367]) ) ) begin VAR367 <= ~VAR367; end end end always @(posedge VAR248) begin if (VAR422) begin VAR348 <= VAR167; for (VAR290 = 0; VAR290 < 2; VAR290 = VAR290 + 1) VAR356[VAR290] <= 16'hFFFF; VAR312 <= 0; VAR140 <= 0; end else begin if (VAR343 & |VAR312) VAR312 <= VAR312 - 1; case (VAR348) VAR167: begin if (VAR15) begin VAR433 <= VAR51; VAR94 <= VAR27; VAR323 <= VAR154; VAR129 <= VAR332; if (VAR151) begin VAR348 <= VAR223; end end else if (VAR442) begin VAR433 <= VAR230; VAR323 <= VAR154; VAR129 <= VAR332; VAR8 <= 0; VAR94 <= 0; if (~|VAR324[0] & ~|VAR324[1]) begin VAR348 <= VAR55; end end end VAR55: begin if (VAR312 == 0) begin VAR312 <= VAR176; VAR195 <= 24'hE00000 + VAR450 + {VAR328,10'h000} + {VAR13[2:0],1'b0} + {VAR8[2:1], 3'b000, VAR8[0]}; VAR140 <= 1; VAR254 <= 0; VAR348 <= VAR76; end end VAR76: begin if (|(VAR57 & VAR42)) begin VAR140 <= 0; VAR8 <= VAR8 + 1; VAR94[VAR8] <= VAR315[VAR129]; VAR348 <= VAR8 == VAR124 ? VAR223 : VAR55; end end VAR223: begin if (VAR433 == VAR51) begin VAR356[VAR367] <= VAR323; VAR358[VAR367][VAR129] <= VAR94; end VAR348 <= VAR167; end endcase end end parameter VAR161 = 8'b00000001, VAR171 = 8'b00000010, VAR286 = 8'b00000100, VAR183 = 8'b00001000, VAR194 = 8'b00010000, VAR139 = 8'b10000000 ; reg [7:0] VAR349; VAR40 VAR349 = VAR161; assign VAR41 = |(VAR349 & VAR139); reg [3:0] VAR383; reg [2:0] VAR189; reg VAR58; reg [7:0] VAR202; always @(posedge VAR248) begin if (VAR422) begin VAR349 <= VAR161; VAR383 <= 0; VAR173 <= 0; VAR18 <= 0; VAR189 <= 0; VAR58 <= 0; end else begin if (VAR343 & |VAR383) VAR383 <= VAR383 - 1; case (VAR349) VAR161: begin if (|VAR324[~VAR367]) begin VAR174 <= 0; VAR189 <= 0; VAR58 <= ~&VAR324[~VAR367]; VAR349 <= &VAR324[~VAR367] ? VAR183 : VAR171; end end VAR171: begin if (VAR383 == 0) begin VAR383 <= VAR176; VAR142 <= 24'hE00000 + VAR325[~VAR367] + {VAR328,10'h000} + {VAR454[~VAR367][2:0],1'b0} + {VAR189[2:1], 3'b000, VAR189[0]}; VAR173 <= 1; VAR237 <= 0; VAR349 <= VAR286; end end VAR286: begin if (|(VAR57 & VAR276)) begin VAR173 <= 0; VAR202 <= VAR315[7:0]; VAR349 <= VAR183; end end VAR183: begin if (VAR383 == 0) begin VAR383 <= VAR176; VAR142 <= 24'hE00000 + VAR325[~VAR367] + {VAR328,10'h000} + {VAR454[~VAR367][2:0],1'b0} + {VAR189[2:1], 3'b000, VAR189[0]}; VAR18 <= 1; VAR237 <= 0; VAR174 <= ({VAR358[~VAR367][7][VAR189],VAR358[~VAR367][6][VAR189],VAR358[~VAR367][5][VAR189],VAR358[~VAR367][4][VAR189], VAR358[~VAR367][3][VAR189],VAR358[~VAR367][2][VAR189],VAR358[~VAR367][1][VAR189],VAR358[~VAR367][0][VAR189]} & VAR324[~VAR367]) | (VAR202 & ~VAR324[~VAR367]); VAR349 <= VAR194; end end VAR194: begin if (|(VAR57 & VAR276)) begin VAR18 <= 0; VAR189 <= VAR189 + 1; VAR349 <= (VAR189 == VAR124) ? VAR139 : VAR58 ? VAR171 : VAR183; end end VAR139: begin VAR349 <= VAR161; end endcase end end parameter VAR400 = 8'b00000001, VAR80 = 8'b00000010, VAR232= 8'b00000100, VAR191 = 8'b10000000 ; reg [7:0] VAR25; VAR40 VAR25 = VAR400; reg [3:0] VAR263; reg VAR407; always @(posedge VAR248) begin if (VAR422) begin VAR263 <= 0; VAR117 <= 0; VAR407 <= 0; VAR25 <= VAR400; end else begin if (VAR343 & |VAR263) VAR263 <= VAR263 - 1; case (VAR25) VAR400: begin if (VAR337) begin VAR407 <= 1; VAR263 <= VAR176; VAR117 <= 1; VAR387 <= VAR374; VAR274 <= {4'hE,3'h0,VAR334,VAR372}; VAR102 <= VAR277; VAR25 <= VAR80; end end VAR80: begin if (|(VAR57 & VAR217)) begin VAR117 <= 0; VAR25 <= VAR191; end end VAR191: begin if (VAR343 & ~|VAR263) begin if (VAR387) begin VAR263 <= VAR176; VAR117 <= 1; VAR387 <= 0; VAR274[0] <= ~VAR274[0]; VAR102 <= {VAR102[7:0], VAR102[15:8]}; VAR25 <= VAR80; end else begin VAR407 <= 0; VAR25 <= VAR400; end end end endcase end end parameter VAR145 = 8'b00000001, VAR88 = 8'b00000010, VAR86 = 8'b00000100, VAR441 = 8'b00001000, VAR409 = 8'b00010000, VAR31 = 8'b00100000, VAR65 = 8'b01000000, VAR235 = 8'b10000000 ; reg [7:0] VAR225; VAR40 VAR225 = VAR145; reg VAR456; reg [7:0] VAR131; reg VAR104; reg VAR118; reg [4:0] VAR119; reg VAR395; reg VAR83; reg [7:0] VAR3; reg VAR50; always @(posedge VAR248) begin if (VAR422) begin VAR225 <= VAR145; VAR359 <= 0; VAR122 <= 0; VAR331 <= 0; VAR405 <= 0; VAR456 <= 0; VAR131 <= VAR39; VAR118 <= 0; VAR83 <= 0; VAR30 <= 0; end else begin if ((VAR201 & VAR377) | (VAR66 & VAR221)) begin VAR359 <= 0; end else if (VAR118) begin VAR359[VAR119] <= 1; end else if (VAR240 & &VAR318[3:0]) begin VAR359[VAR318[8:4]] <= 1; end if (VAR66 & VAR241 & VAR221) begin VAR30[15:4] <= 0; end else if (VAR377 & VAR152) begin if (VAR333) VAR30[15:4] <= VAR28[15:4]; end VAR50 <= (VAR302 < 8'h60); case (VAR225) VAR145: begin if (VAR64 & VAR343) begin VAR225 <= VAR88; end VAR118 <= 0; end VAR88: begin VAR395 <= 0; VAR118 <= 0; VAR104 <= (VAR389[VAR242][15:0] - VAR30) < 512; VAR459 <= VAR389[VAR242][8:0]; VAR238 <= (VAR302 < 8'h60) ? ((VAR302[6] ? {VAR302,VAR389[VAR242]} : {VAR302,VAR389[VAR242][14:0]}) & VAR2) : 24'hE00000 + ({VAR302[0],VAR389[VAR242]} & VAR257); VAR225 <= VAR86; end VAR86: begin VAR218 <= VAR238; if (VAR104 & VAR359[VAR459[8:4]]) begin VAR122 <= 1; VAR185 <= VAR267; VAR225 <= VAR441; end else begin if (VAR104) VAR238[3:0] <= 0; VAR459[3:0] <= 0; VAR225 <= VAR409; end end VAR441: begin VAR131 <= VAR38; VAR3 <= VAR38; VAR225 <= VAR235; VAR456 <= 1; end VAR409: begin if (VAR179 == 0) begin if (VAR50) begin VAR331 <= 1; VAR291 <= 1; VAR122 <= 1; VAR185 <= VAR134; VAR225 <= VAR31; end else if (~VAR407) begin VAR405 <= 1; VAR291 <= 1; VAR122 <= 1; VAR185 <= VAR176; VAR225 <= VAR31; end end end VAR31: begin VAR122 <= 0; if (|(VAR84 & VAR353) | |(VAR57 & VAR294)) begin VAR331 <= 0; VAR405 <= 0; VAR131 <= VAR331 ? VAR26 : VAR315; if (~VAR104) begin VAR225 <= VAR235; VAR456 <= 1; end else begin VAR316 <= 1; VAR12 <= VAR331 ? VAR26 : VAR315; VAR225 <= VAR65; end end end VAR65: begin VAR316 <= 0; VAR238[3:0] <= VAR238[3:0] + 1; if (&VAR238[3:0]) begin VAR225 <= VAR88; VAR118 <= 1; VAR119 <= VAR459[8:4]; end else begin VAR225 <= VAR409; VAR459[3:0] <= VAR459[3:0] + 1; end end VAR235: begin VAR122 <= 0; if (VAR395) begin VAR83 <= VAR83 || (VAR3 != VAR131); end if (VAR377) begin VAR456 <= 0; if (VAR326) VAR225 <= VAR88; end else VAR225 <= VAR145; end end endcase end end parameter VAR105 = 8'b00000001, VAR236 = 8'b00000010, VAR71 = 8'b00000100, VAR297 = 8'b00001000 ; reg [7:0] VAR107; VAR40 VAR107 = VAR105; reg [7:0] VAR342; reg [3:0] VAR351; reg VAR289; always @(posedge VAR248) begin if (VAR422) begin VAR342 <= 0; VAR289 <= 0; VAR351 <= 0; VAR398 <= 0; VAR423[6] <= 0; VAR426 <= 0; VAR107 <= VAR105; end else begin if (VAR343 & |VAR351) begin VAR351 <= VAR351 - 1; end if ( (VAR377 & VAR152 & VAR452 & (VAR390 == VAR96)) || (VAR439 & VAR188 & ~VAR343 & (VAR231[4:0] == (VAR215+1))) ) begin VAR289 <= 1; end else if (|(VAR107 & VAR236)) begin VAR289 <= 0; end case (VAR107) VAR105: begin if (VAR289) begin VAR107 <= VAR236; VAR423[6] <= 1; end end VAR236: begin VAR351 <= VAR134; VAR398 <= 1; VAR68 <= 0; VAR164 <= ((VAR380[6] ? {VAR380,VAR389[VAR96]} : {VAR380,VAR389[VAR96][14:0]}) & VAR2); VAR107 <= VAR71; end VAR71: begin if (|(VAR84 & VAR354)) begin VAR398 <= 0; VAR342 <= VAR26[7:0]; VAR107 <= VAR297; end end VAR297: begin if (~VAR343 & ~|VAR351) begin VAR423[6] <= VAR289; VAR426 <= VAR342; VAR107 <= VAR289 ? VAR236 : VAR105; end end endcase end end parameter VAR320 = 8'b00000001, VAR336 = 8'b00000010, VAR357 = 8'b00000100, VAR220 = 8'b00001000, VAR460 = 8'b00010000, VAR317 = 8'b00100000, VAR103 = 8'b01000000 ; reg [7:0] VAR256; VAR40 VAR256 = VAR320; reg VAR404; reg [7:0] VAR428; reg VAR112; reg [15:0] VAR125; reg [1:0] VAR330; reg [15:0] VAR130; reg [15:0] VAR196; reg [15:0] VAR210; reg [3:0] VAR345; reg VAR429; reg VAR87; reg VAR447; reg [15:0] VAR370; reg [15:0] VAR350; reg [7:0] VAR172; reg [7:0] VAR341; reg [7:0] VAR365; reg [7:0] VAR213; reg [7:0] VAR29; reg VAR133; reg [15:0] VAR113; reg [1:0] VAR378; reg [15:0] VAR56; reg [15:0] VAR347; reg VAR283; wire [31:0] VAR70; wire [15:0] VAR296; wire [15:0] VAR53; reg [7:0] VAR275; reg [15:0] VAR89; reg [2:0] VAR157; reg VAR100; reg VAR186; reg VAR74; always @(posedge VAR248) begin if (VAR422) begin VAR256 <= VAR320; VAR435 <= 0; VAR141 <= 0; VAR34 <= 0; VAR416 <= 0; VAR59 <= 0; VAR445 <= 0; VAR123 <= 0; VAR233 <= 0; VAR326 <= 0; VAR45 <= 0; VAR452 <= 0; VAR396 <= 0; VAR295 <= 0; VAR333 <= 0; VAR260 <= 0; VAR226 <= 0; VAR93 <= 0; VAR133 <= 0; VAR306 <= 0; VAR197 <= 0; VAR393 <= 0; VAR280 <= 0; VAR404 <= 0; VAR428 <= VAR39; VAR275 <= 0; VAR330 <= 0; VAR125 <= 0; VAR112 <= 0; VAR301 <= 0; VAR128 <= 0; VAR300 <= 0; VAR15 <= 0; VAR442 <= 0; VAR201 <= 0; VAR337 <= 0; VAR74 <= 0; VAR100 <= 0; VAR186 <= 0; VAR380 <= 0; VAR334 <= 0; VAR277 <= 0; VAR372 <= 0; end else begin case (VAR256) VAR320: begin if (VAR64 & VAR343) begin VAR256 <= VAR336; end end VAR336: begin if (~|VAR330) begin VAR133 <= 0; case (VAR428) default: VAR330 <= 1; endcase case (VAR428) default : begin VAR435 <= 0; VAR141 <= 0; VAR34 <= 0; VAR233 <= 0; end endcase if (VAR428 == VAR265) begin if (~VAR444 & VAR273 & ~&VAR432) begin VAR29 <= {4'h0, ((VAR389[VAR382][0] ^ VAR389[VAR401][0]) ? VAR101[7:4] : VAR101[3:0])}; end else begin VAR29 <= VAR101; end end end else begin VAR112 <= 1; VAR125 <= VAR112 ? {VAR275,VAR125[7:0]} : {8'h00,VAR275}; end VAR416 <= VAR187; VAR59 <= VAR307; VAR445 <= VAR170; VAR123 <= VAR214; VAR326 <= VAR64; VAR45 <= 0; VAR390 <= VAR163; VAR393 <= VAR389[VAR242]; VAR429 <= VAR444; VAR87 <= VAR451; VAR447 <= VAR307; VAR130 <= VAR389[VAR69]; VAR210 <= VAR389[VAR428[3:0]]; VAR345 <= VAR428[3:0]; VAR113 <= VAR389[VAR303]; VAR89 <= {VAR389[VAR401][7:0],5'h00} + VAR389[VAR382][7:3]; VAR157 <= ~VAR389[VAR382][2:0]; VAR256 <= VAR220; end VAR220: begin if (VAR152) begin case (VAR428) if (VAR404) begin VAR452 <= 1; VAR390 <= VAR242; VAR197 <= VAR393 + {{8{VAR125[7]}},VAR125[7:0]}; VAR404 <= 0; end end VAR326 <= 0; VAR45 <= ~VAR417; end if (VAR393[15:4] != VAR30[15:4]) begin VAR333 <= 1; VAR28[15:4] <= VAR393[15:4]; VAR201 <= 1; end end if (VAR219) begin VAR452 <= 1; VAR390 <= VAR345; VAR197 <= VAR130; VAR435 <= 0; VAR141 <= 0; VAR34 <= 0; VAR233 <= 0; end end if (VAR219) begin VAR347 = VAR210; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; VAR123 <= VAR347[7]; VAR435 <= 0; VAR141 <= 0; VAR34 <= 0; VAR233 <= 0; end end VAR347 = VAR389[VAR437] - 1; VAR452 <= 1; VAR390 <= VAR437; VAR197 <= VAR347; VAR133 <= 1; VAR396 <= VAR389[VAR437] != 1; end if (VAR429) begin VAR226 <= 1; VAR278 <= {3'h0,VAR130[4:0]}; end else begin VAR93 <= 1; VAR121 <= {VAR203 ? VAR101[7:4] : VAR130[7:4], VAR369 ? VAR130[7:4] : VAR130[3:0]}; end end if (VAR429) begin VAR452 <= 1; VAR442 <= 1; VAR154 <= VAR89; VAR332 <= VAR157; end else begin VAR452 <= 1; VAR390 <= VAR382; VAR197 <= VAR389[VAR382] + 1; VAR15 <= VAR304 || ((VAR432 != 3 || VAR203) ? (VAR101[3:0] != 0) : (VAR101 != 0)); VAR154 <= VAR89; VAR332 <= VAR157; VAR27 <= VAR29; end end VAR56 = VAR87 ? {12'h000, VAR345} : VAR210; {VAR283,VAR347} = VAR130 + VAR56 + (VAR429 & VAR447); VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; VAR123 <= (VAR130[15] ^ VAR347[15]) & (VAR56[15] ^ VAR347[15]); VAR59 <= VAR283; end VAR56 = (~VAR429 & VAR87) ? {12'h000, VAR345} : VAR210; {VAR283,VAR347} = VAR130 - VAR56 - (VAR429 & ~VAR87 & ~VAR447); VAR452 <= ~(VAR429 & VAR87); VAR197 <= VAR347; VAR133 <= 1; VAR123 <= (VAR130[15] ^ VAR347[15]) & (VAR130[15] ^ VAR56[15]); VAR59 <= ~VAR283; end VAR56 = VAR87 ? {12'h000, VAR345} : VAR210; VAR347 = VAR130 & (VAR429 ? ~VAR56 : VAR56); VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; end VAR56 = VAR87 ? {12'h000, VAR345} : VAR210; VAR347 = VAR429 ? VAR130 ^ VAR56 : VAR130 | VAR56; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; end VAR347 = ~VAR130; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; end VAR347 = {1'b0,VAR130[15:1]}; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; VAR59 <= VAR130[0]; end VAR347 = (VAR429 & (&VAR130))? 0 : {VAR130[15],VAR130[15:1]}; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; VAR59 <= VAR130[0]; end VAR347 = {VAR130[14:0],VAR447}; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; VAR59 <= VAR130[15]; end VAR347 = {VAR447,VAR130[15:1]}; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; VAR59 <= VAR130[0]; end VAR347 = {VAR130[7:0],VAR130[15:8]}; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; end VAR347 = {{8{VAR130[7]}},VAR130[7:0]}; VAR452 <= 1; VAR197 <= VAR347; VAR133 <= 1; end VAR347 = {8'h00,VAR130[7:0]}; VAR452 <= 1; VAR197 <= VAR347; VAR416 <= ~|VAR347; VAR445 <= VAR347[7]; end VAR347 = {8'h00,VAR130[15:8]}; VAR452 <= 1; VAR197 <= VAR347; VAR416 <= ~|VAR347; VAR445 <= VAR347[7]; end VAR347 = {VAR389[VAR35][15:8],VAR389[VAR5][15:8]}; VAR452 <= 1; VAR197 <= VAR347; end VAR370 <= VAR130; VAR350 <= VAR113; VAR128 <= 1; VAR116 <= VAR247; end VAR128 <= 1; VAR116 <= VAR415; end if (VAR429 & VAR87) VAR100 <= 1; else if (VAR87) VAR186 <= 1; end VAR347 = VAR210 + 1; VAR452 <= 1; VAR390 <= VAR345; VAR197 <= VAR347; VAR133 <= 1; end VAR347 = VAR210 - 1; VAR452 <= 1; VAR390 <= VAR345; VAR197 <= VAR347; VAR133 <= 1; end VAR347 = VAR393 + VAR345; VAR452 <= 1; VAR390 <= VAR166; VAR197 <= VAR347; end VAR452 <= 1; VAR390 <= VAR242; if (VAR429) begin VAR197 <= VAR130; VAR295 <= 1; VAR178 <= VAR210; VAR333 <= 1; VAR28[15:4] <= VAR130[15:4]; VAR201 <= 1; end else begin VAR197 <= VAR210; end end endcase end VAR256 <= VAR460; end VAR460: begin if (VAR152) begin case (VAR428) if (~VAR407 & ~VAR269) begin VAR256 <= VAR103; end end VAR306 <= VAR197; if (VAR429 | (VAR15 & ~VAR151)) begin VAR256 <= VAR317; end else begin VAR15 <= 0; VAR256 <= VAR103; end end if (~VAR429 & ~VAR87) begin if (~VAR269) begin VAR93 <= 1; VAR121 <= {VAR203 ? VAR101[7:4] : VAR426[7:4], VAR369 ? VAR426[7:4] : VAR426[3:0]}; VAR256 <= VAR103; end end else if ((VAR429 & ~VAR269) | (~VAR429 & ~VAR407)) begin VAR256 <= VAR103; end end VAR128 <= 0; VAR378 <= 2; VAR256 <= VAR317; end VAR128 <= 0; VAR347 = VAR429 ? VAR53 : VAR296; VAR452 <= 1; VAR306 <= VAR347; VAR416 <= ~|VAR347; VAR445 <= VAR347[15]; VAR256 <= VAR103; end if (VAR429) begin if (~VAR407) begin VAR452 <= 1; VAR390 <= VAR345; VAR128 <= 1; VAR116 <= {VAR176,1'b0}; VAR300 <= 1; VAR92 <= 1; VAR372 <= {7'h00,VAR125[7:0],1'b0}; VAR301 <= {4'hE,3'h0,VAR334,7'h00,VAR125[7:0],1'b0}; VAR256 <= VAR317; end end else if (VAR87) begin if (~VAR407) begin VAR337 <= 1; VAR374 <= 1; VAR277 <= VAR210; VAR372 <= {7'h00,VAR125[7:0],1'b0}; VAR256 <= VAR103; end end else begin VAR452 <= 1; VAR390 <= VAR345; VAR306 <= {{8{VAR125[7]}},VAR125[7:0]}; VAR256 <= VAR103; end end if (VAR429) begin if (~VAR407) begin VAR452 <= 1; VAR390 <= VAR345; VAR128 <= 1; VAR116 <= {VAR176,1'b0}; VAR300 <= 1; VAR92 <= 1; VAR372 <= VAR125; VAR301 <= {4'hE,3'h0,VAR334,VAR125}; VAR256 <= VAR317; end end else if (VAR87) begin if (~VAR407) begin VAR337 <= 1; VAR374 <= 1; VAR277 <= VAR210; VAR372 <= VAR125; VAR256 <= VAR103; end end else begin VAR452 <= 1; VAR390 <= VAR345; VAR306 <= VAR125; VAR256 <= VAR103; end end if (~VAR269) begin VAR452 <= 1; VAR306 <= (VAR429 & VAR87) ? {{8{VAR426[7]}},VAR426[7:0]} : VAR87 ? {VAR130[15:8],VAR426[7:0]} : VAR429 ? {VAR426[7:0],VAR130[7:0]} : {8'h00,VAR426[7:0]}; VAR256 <= VAR103; end end if (~VAR407) begin VAR337 <= 1; VAR374 <= 1; VAR277 <= VAR130; VAR256 <= VAR103; end end if (~VAR407) begin VAR452 <= 1; VAR128 <= 1; VAR116 <= VAR429 ? VAR176 : {VAR176,1'b0}; VAR300 <= 1; VAR92 <= ~VAR429; VAR372 <= VAR210; VAR301 <= {4'hE,3'h0,VAR334,VAR210}; VAR256 <= VAR317; end end if (~VAR407) begin VAR337 <= 1; VAR374 <= ~VAR429; VAR277 <= VAR130; VAR372 <= VAR210; VAR256 <= VAR103; end end VAR416 <= |({VAR197[15:12],VAR197[7:4]}); VAR123 <= |({VAR197[15:14],VAR197[7:6]}); VAR445 <= |({VAR197[15:15],VAR197[7:7]}); VAR59 <= |({VAR197[15:13],VAR197[7:5]}); VAR306 <= VAR197; VAR256 <= VAR103; end default: begin if (VAR133) begin VAR416 <= ~|VAR197; VAR445 <= VAR197[15]; VAR133 <= 0; end VAR306 <= VAR197; VAR256 <= VAR103; end endcase end else begin VAR306 <= VAR197; VAR256 <= VAR103; end end VAR317: begin VAR128 <= 0; case (VAR428) if (|VAR378) begin VAR378 <= VAR378 - 1; end else begin VAR452 <= 1; VAR306 <= VAR70[31:16]; VAR280 <= VAR70[15:0]; VAR260 <= VAR429; VAR416 <= ~|VAR70[31:16]; VAR445 <= VAR70[31]; VAR59 <= VAR70[15]; VAR256 <= VAR103; end end if (|(VAR348 & VAR223)) begin if (VAR429) begin VAR306 <= {8'h00, VAR94}; VAR416 <= ~|VAR94; VAR445 <= 0; end VAR15 <= 0; VAR442 <= 0; VAR256 <= VAR103; end end default: begin if (|(VAR57 & VAR10)) begin VAR300 <= 0; VAR306 <= VAR92 ? VAR315[15:0] : {8'h00,VAR315[7:0]}; VAR256 <= VAR103; end end endcase end VAR103: begin VAR128 <= 0; VAR337 <= 0; if (VAR343) VAR260 <= 0; if (VAR377) begin if (|VAR330) VAR330 <= VAR330 - 1; if (VAR326) VAR256 <= VAR336; end else VAR256 <= VAR320; if (VAR152) begin VAR404 <= 0; VAR428 <= VAR131; VAR125 <= 0; VAR112 <= 0; VAR133 <= 0; VAR100 <= 0; VAR186 <= 0; VAR452 <= 0; VAR396 <= 0; VAR295 <= 0; VAR333 <= 0; VAR226 <= 0; VAR93 <= 0; VAR201 <= 0; if (VAR100) VAR380 <= VAR130[6:0]; if (VAR186) VAR334 <= VAR130[0]; end VAR275 <= VAR131; end end endcase end end reg VAR108; reg VAR162; reg VAR376; reg VAR321; reg VAR21; reg [23:0] VAR22; always @(posedge VAR248) begin if (VAR422) begin VAR108 <= 0; VAR162 <= 0; VAR376 <= 0; VAR321 <= 0; VAR21 <= 0; VAR63 <= 0; VAR137 <= 0; VAR268 <= 0; VAR99 <= 0; VAR285 <= 0; VAR43 <= 0; VAR97 <= 0; VAR360 <= 0; VAR22 <= 0; end else begin VAR99 <= (VAR218 == VAR22); VAR285 <= ( (VAR300 && (VAR301 == VAR22) || (VAR398 && (VAR164 == VAR22))) || (VAR140 && (VAR195 == VAR22) ) ); VAR43 <= ( (VAR117 && (VAR274 == VAR22)) || (VAR18 && (VAR142 == VAR22)) ); VAR97 <= VAR428 == VAR19; VAR360 <= VAR83; VAR22 <= (VAR259[23:16] < 8'h60) ? ((VAR259[22] ? VAR259 : {VAR259[20:16],VAR259[14:0]}) & VAR2) : 24'hE00000 + (VAR259 & VAR257); end end assign VAR377 = VAR343 & VAR438 & |(VAR256 & VAR103) & VAR456 & VAR32; assign VAR152 = VAR330 == 1; VAR299 VAR299( .clk(VAR248), .VAR46(VAR370[15:0]), .VAR375(VAR350[15:0]), .VAR310(VAR70) ); VAR299 VAR299( .VAR410(VAR248), .VAR6(VAR370[15:0]), .VAR421(VAR350[15:0]), .VAR138(VAR70) ); wire [7:0] VAR373 = VAR130; wire [7:0] VAR344 = VAR87 ? {12'h000, VAR345} : VAR210; wire [7:0] VAR255 = VAR130; wire [7:0] VAR227 = VAR87 ? {12'h000, VAR345} : VAR210; VAR17 VAR17( .VAR46(VAR373[7:0]), .VAR375(VAR344[7:0]), .VAR310(VAR296) ); VAR184 VAR184( .VAR46(VAR255[7:0]), .VAR375(VAR227[7:0]), .VAR310(VAR53) ); VAR17 VAR17( .VAR6(VAR373[7:0]), .VAR421(VAR344[7:0]), .VAR138(VAR296) ); VAR184 VAR184( .VAR6(VAR255[7:0]), .VAR421(VAR227[7:0]), .VAR138(VAR53) ); always @(posedge VAR248) VAR221 <= |(VAR225 & VAR145) & |(VAR256 & VAR320); reg [7:0] VAR329[3:0]; reg [7:0] VAR385; always @(posedge VAR248) begin VAR385 <= VAR329[VAR298[9:8]]; case (VAR298[9:8]) 2'h0: casex (VAR298[7:0]) VAR73 : VAR329[0] <= VAR389[VAR298[4:1]][7:0]; VAR361 : VAR329[0] <= VAR389[VAR298[4:1]][15:8]; VAR424 : VAR329[0] <= VAR423[7:0]; VAR424+1 : VAR329[0] <= VAR423[15:8]; VAR61 : VAR329[0] <= VAR251; VAR208 : VAR329[0] <= VAR302; VAR249 : VAR329[0] <= VAR380; VAR91 : VAR329[0] <= VAR224; VAR193 : VAR329[0] <= VAR328; VAR258 : VAR329[0] <= VAR279; VAR180 : VAR329[0] <= VAR313; VAR175 : VAR329[0] <= VAR79; VAR397 : VAR329[0] <= VAR334; VAR212+0 : VAR329[0] <= VAR30[7:0]; VAR212+1 : VAR329[0] <= VAR30[15:8]; 8'h40 : VAR329[0] <= VAR101; 8'h41 : VAR329[0] <= VAR319; 8'h42 : VAR329[0] <= VAR69; 8'h43 : VAR329[0] <= VAR163; 8'h44 : VAR329[0] <= VAR426; 8'h45 : VAR329[0] <= VAR277; 8'h46 : VAR329[0] <= VAR372[7:0]; 8'h47 : VAR329[0] <= VAR372[15:8]; 8'hB2 : VAR329[0] <= VAR428; 8'hB3 : VAR329[0] <= VAR125[7:0]; 8'hB4 : VAR329[0] <= VAR125[15:8]; 8'hB5 : VAR329[0] <= VAR330; 8'hD0 : VAR329[0] <= VAR431[0]; 8'hD1 : VAR329[0] <= VAR431[1]; 8'hD2 : VAR329[0] <= VAR431[2]; 8'hD3 : VAR329[0] <= VAR431[3]; 8'hD4 : VAR329[0] <= VAR431[4]; 8'hD5 : VAR329[0] <= VAR431[5]; 8'hD6 : VAR329[0] <= VAR431[6]; 8'hD7 : VAR329[0] <= VAR431[7]; 8'hE0 : VAR329[0] <= VAR381; 8'hE9 : VAR329[0] <= VAR343; 8'hEB : VAR329[0] <= VAR267; 8'hEC : VAR329[0] <= VAR134; 8'hED : VAR329[0] <= VAR176; 8'hEE : VAR329[0] <= VAR415; 8'hEF : VAR329[0] <= VAR247; 8'hF0 : VAR329[0] <= VAR126[31:24]; 8'hF1 : VAR329[0] <= VAR126[23:16]; 8'hF2 : VAR329[0] <= VAR126[15: 8]; 8'hF3 : VAR329[0] <= VAR126[ 7: 0]; default : VAR329[0] <= 8'hFF; endcase endcase end assign VAR327 = 0; assign VAR228 = VAR385; assign VAR366 = VAR155; assign VAR339 = VAR136; assign VAR434 = VAR64; assign VAR60 = VAR168; assign VAR54 = VAR338; assign VAR362 = VAR36; endmodule
gpl-2.0