repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
mammenx/synesthesia_moksha
wxp/dgn/syn/limbus/limbus_bb.v
1,398
module MODULE1 ( VAR5, VAR1, VAR12, VAR9, VAR7, VAR6, VAR17, VAR8, VAR16, VAR10, VAR14, VAR18, VAR15, VAR13, VAR3, VAR11, VAR2, VAR4, VAR19); input VAR5; input VAR1; inout [15:0] VAR12; output [18:0] VAR9; output [0:0] VAR7; output [0:0] VAR6; output [1:0] VAR17; output [0:0] VAR8; output [17:0] VAR16; output VAR10; input [31:0] VAR14; output VAR18; output [31:0] VAR15; input VAR13; output VAR3; input VAR11; input VAR2; output VAR4; input VAR19; endmodule
gpl-3.0
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_ad9361/axi_ad9361_rx.v
11,552
module MODULE1 ( VAR4, VAR28, VAR91, VAR70, VAR86, VAR78, VAR26, VAR41, VAR17, VAR7, VAR2, VAR62, VAR34, VAR33, VAR61, VAR6, VAR81, VAR73, VAR90, VAR9, VAR38, VAR50, VAR51, VAR94, VAR10, VAR55, VAR93, VAR67, VAR11, VAR77, VAR47, VAR43, VAR18, VAR69, VAR29, VAR74, VAR89, VAR37, VAR31, VAR23); parameter VAR16 = 0; parameter VAR30 = 0; output VAR4; input VAR28; input VAR91; input [47:0] VAR70; input VAR86; output VAR78; output VAR26; input [47:0] VAR41; output [ 6:0] VAR17; output [34:0] VAR7; input [34:0] VAR2; input VAR62; output VAR34; input VAR33; output VAR61; output VAR6; output [15:0] VAR81; output VAR73; output VAR90; output [15:0] VAR9; output VAR38; output VAR50; output [15:0] VAR51; output VAR94; output VAR10; output [15:0] VAR55; input VAR93; input VAR67; input [31:0] VAR11; output [31:0] VAR77; input VAR47; input VAR43; input VAR18; input [13:0] VAR69; input [31:0] VAR29; output VAR74; input VAR89; input [13:0] VAR37; output [31:0] VAR31; output VAR23; reg VAR19 = 'd0; reg VAR40 = 'd0; reg VAR39 = 'd0; reg [31:0] VAR31 = 'd0; reg VAR23 = 'd0; reg VAR74 = 'd0; wire [15:0] VAR44; wire [15:0] VAR85; wire [15:0] VAR58; wire [15:0] VAR82; wire [ 3:0] VAR1; wire [ 3:0] VAR79; wire [ 3:0] VAR56; wire [31:0] VAR42[0:5]; wire VAR68[0:5]; wire VAR88[0:5]; always @(negedge VAR47 or posedge VAR43) begin if (VAR47 == 0) begin VAR19 <= 'd0; VAR40 <= 'd0; VAR39 <= 'd0; VAR31 <= 'd0; VAR23 <= 'd0; VAR74 <= 'd0; end else begin VAR19 <= | VAR1; VAR40 <= | VAR79; VAR39 <= | VAR56; VAR31 <= VAR42[0] | VAR42[1] | VAR42[2] | VAR42[3] | VAR42[4] | VAR42[5]; VAR23 <= VAR68[0] | VAR68[1] | VAR68[2] | VAR68[3] | VAR68[4] | VAR68[5]; VAR74 <= VAR88[0] | VAR88[1] | VAR88[2] | VAR88[3] | VAR88[4] | VAR88[5]; end end VAR22 #( .VAR80(0), .VAR15(0), .VAR16 (VAR16)) VAR87 ( .VAR28 (VAR28), .VAR4 (VAR4), .VAR91 (VAR91), .VAR70 (VAR70[11:0]), .VAR54 (VAR70[23:12]), .VAR36 (1'b0), .VAR41 (VAR41[11:0]), .VAR21 (VAR44), .VAR64 (VAR85), .VAR59 (VAR6), .VAR49 (VAR81), .VAR57 (VAR61), .VAR3 (VAR1[0]), .VAR83 (VAR79[0]), .VAR32 (VAR56[0]), .VAR47 (VAR47), .VAR43 (VAR43), .VAR18 (VAR18), .VAR69 (VAR69), .VAR29 (VAR29), .VAR74 (VAR88[0]), .VAR89 (VAR89), .VAR37 (VAR37), .VAR31 (VAR42[0]), .VAR23 (VAR68[0])); VAR22 #( .VAR80(1), .VAR15(1), .VAR16 (VAR16)) VAR60 ( .VAR28 (VAR28), .VAR4 (VAR4), .VAR91 (VAR91), .VAR70 (VAR70[23:12]), .VAR54 (VAR70[11:0]), .VAR36 (1'b0), .VAR41 (VAR41[23:12]), .VAR21 (VAR85), .VAR64 (VAR44), .VAR59 (VAR90), .VAR49 (VAR9), .VAR57 (VAR73), .VAR3 (VAR1[1]), .VAR83 (VAR79[1]), .VAR32 (VAR56[1]), .VAR47 (VAR47), .VAR43 (VAR43), .VAR18 (VAR18), .VAR69 (VAR69), .VAR29 (VAR29), .VAR74 (VAR88[1]), .VAR89 (VAR89), .VAR37 (VAR37), .VAR31 (VAR42[1]), .VAR23 (VAR68[1])); VAR22 #( .VAR80(0), .VAR15(2), .VAR16 (VAR16)) VAR5 ( .VAR28 (VAR28), .VAR4 (VAR4), .VAR91 (VAR91), .VAR70 (VAR70[35:24]), .VAR54 (VAR70[47:36]), .VAR36 (1'b0), .VAR41 (VAR41[35:24]), .VAR21 (VAR58), .VAR64 (VAR82), .VAR59 (VAR50), .VAR49 (VAR51), .VAR57 (VAR38), .VAR3 (VAR1[2]), .VAR83 (VAR79[2]), .VAR32 (VAR56[2]), .VAR47 (VAR47), .VAR43 (VAR43), .VAR18 (VAR18), .VAR69 (VAR69), .VAR29 (VAR29), .VAR74 (VAR88[2]), .VAR89 (VAR89), .VAR37 (VAR37), .VAR31 (VAR42[2]), .VAR23 (VAR68[2])); VAR22 #( .VAR80(1), .VAR15(3), .VAR16 (VAR16)) VAR45 ( .VAR28 (VAR28), .VAR4 (VAR4), .VAR91 (VAR91), .VAR70 (VAR70[47:36]), .VAR54 (VAR70[35:24]), .VAR36 (1'b0), .VAR41 (VAR41[47:36]), .VAR21 (VAR82), .VAR64 (VAR58), .VAR59 (VAR10), .VAR49 (VAR55), .VAR57 (VAR94), .VAR3 (VAR1[3]), .VAR83 (VAR79[3]), .VAR32 (VAR56[3]), .VAR47 (VAR47), .VAR43 (VAR43), .VAR18 (VAR18), .VAR69 (VAR69), .VAR29 (VAR29), .VAR74 (VAR88[3]), .VAR89 (VAR89), .VAR37 (VAR37), .VAR31 (VAR42[3]), .VAR23 (VAR68[3])); VAR8 #(.VAR30 (VAR30)) VAR53 ( .VAR20 (), .VAR28 (VAR28), .VAR4 (VAR4), .VAR78 (VAR78), .VAR26 (VAR26), .VAR52 (), .VAR86 (VAR86), .VAR92 (1'd0), .VAR27 (VAR93), .VAR71 (VAR67), .VAR76 (32'd1), .VAR72 (), .VAR63 (), .VAR19 (VAR19), .VAR40 (VAR40), .VAR39 (VAR39), .VAR14 (), .VAR24 (), .VAR35 (), .VAR48 (), .VAR13 (16'd0), .VAR25 (1'd0), .VAR65 (1'd1), .VAR66 (), .VAR46 (8'd3), .VAR11 (VAR11), .VAR77 (VAR77), .VAR47 (VAR47), .VAR43 (VAR43), .VAR18 (VAR18), .VAR69 (VAR69), .VAR29 (VAR29), .VAR74 (VAR88[4]), .VAR89 (VAR89), .VAR37 (VAR37), .VAR31 (VAR42[4]), .VAR23 (VAR68[4])); VAR75 #(.VAR95(7), .VAR84(6'h02)) VAR12 ( .VAR62 (VAR62), .VAR34 (VAR34), .VAR33 (VAR33), .VAR17 (VAR17), .VAR7 (VAR7), .VAR2 (VAR2), .VAR47 (VAR47), .VAR43 (VAR43), .VAR18 (VAR18), .VAR69 (VAR69), .VAR29 (VAR29), .VAR74 (VAR88[5]), .VAR89 (VAR89), .VAR37 (VAR37), .VAR31 (VAR42[5]), .VAR23 (VAR68[5])); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor4bb/sky130_fd_sc_hdll__nor4bb_2.v
2,341
module MODULE1 ( VAR11 , VAR9 , VAR1 , VAR8 , VAR5 , VAR2, VAR3, VAR6 , VAR4 ); output VAR11 ; input VAR9 ; input VAR1 ; input VAR8 ; input VAR5 ; input VAR2; input VAR3; input VAR6 ; input VAR4 ; VAR10 VAR7 ( .VAR11(VAR11), .VAR9(VAR9), .VAR1(VAR1), .VAR8(VAR8), .VAR5(VAR5), .VAR2(VAR2), .VAR3(VAR3), .VAR6(VAR6), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR11 , VAR9 , VAR1 , VAR8, VAR5 ); output VAR11 ; input VAR9 ; input VAR1 ; input VAR8; input VAR5; supply1 VAR2; supply0 VAR3; supply1 VAR6 ; supply0 VAR4 ; VAR10 VAR7 ( .VAR11(VAR11), .VAR9(VAR9), .VAR1(VAR1), .VAR8(VAR8), .VAR5(VAR5) ); endmodule
apache-2.0
JeremySavonet/Eurobot-2017-Moon-Village
software/custom_leds/fpga/soc_system/synthesis/submodules/soc_system_button_pio.v
3,962
module MODULE1 ( address, VAR12, clk, VAR7, VAR14, VAR11, VAR3, VAR13 ) ; output [ 31: 0] VAR13; input [ 1: 0] address; input VAR12; input clk; input [ 3: 0] VAR7; input VAR14; input VAR11; input [ 31: 0] VAR3; wire VAR10; reg [ 3: 0] VAR8; reg [ 3: 0] VAR5; wire [ 3: 0] VAR9; reg [ 3: 0] VAR1; wire VAR2; wire [ 3: 0] VAR6; wire [ 3: 0] VAR4; reg [ 31: 0] VAR13; assign VAR10 = 1; assign VAR4 = ({4 {(address == 0)}} & VAR9) | ({4 {(address == 3)}} & VAR1); always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR13 <= 0; end else if (VAR10) VAR13 <= {32'b0 | VAR4}; end assign VAR9 = VAR7; assign VAR2 = VAR12 && ~VAR11 && (address == 3); always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR1[0] <= 0; end else if (VAR10) if (VAR2 && VAR3[0]) VAR1[0] <= 0; else if (VAR6[0]) VAR1[0] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR1[1] <= 0; end else if (VAR10) if (VAR2 && VAR3[1]) VAR1[1] <= 0; else if (VAR6[1]) VAR1[1] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR1[2] <= 0; end else if (VAR10) if (VAR2 && VAR3[2]) VAR1[2] <= 0; else if (VAR6[2]) VAR1[2] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) VAR1[3] <= 0; end else if (VAR10) if (VAR2 && VAR3[3]) VAR1[3] <= 0; else if (VAR6[3]) VAR1[3] <= -1; end always @(posedge clk or negedge VAR14) begin if (VAR14 == 0) begin VAR8 <= 0; VAR5 <= 0; end else if (VAR10) begin VAR8 <= VAR9; VAR5 <= VAR8; end end assign VAR6 = ~VAR8 & VAR5; endmodule
gpl-3.0
Koheron/zynq-sdk
fpga/cores/edge_detector_v1_0/edge_detector.v
1,065
module MODULE1 # ( parameter integer VAR3 = 1 ) ( input wire din, input wire clk, output wire dout ); reg VAR6; always @(posedge clk) begin VAR6 <= din; end generate if (VAR3 == 1) begin : VAR4 assign dout = !VAR6 && din; end if (VAR3 > 1) begin : VAR7 function integer VAR2 (input integer VAR9); for(VAR2 = 0; VAR9 > 0; VAR2 = VAR2 + 1) VAR9 = VAR9 >> 1; endfunction localparam integer VAR1 = VAR2(VAR3); reg [VAR1-1:0] VAR8; reg VAR5; always @(posedge clk) begin if (!VAR6 && din) begin VAR8 <= VAR8 + 1; VAR5 <= 1; end else begin if (VAR5 && VAR8 < VAR3) begin VAR8 <= VAR8 + 1; end else begin VAR5 <= 0; VAR8 <= 0; end end end assign dout = (VAR5 || (!VAR6 && din)); end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/tapvgnd2/sky130_fd_sc_hdll__tapvgnd2.functional.pp.v
1,245
module MODULE1 ( VAR1, VAR3, VAR4 , VAR2 ); input VAR1; input VAR3; input VAR4 ; input VAR2 ; endmodule
apache-2.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/user_data_path/udp_reg_master/src/udp_reg_master.v
4,949
module MODULE1 parameter VAR10 = 0, parameter VAR15 = 127, parameter VAR20 = 'VAR32 VAR26, parameter VAR18 = 2 ) ( input VAR11, output reg VAR9, input VAR19, input [VAR23 - 1:0] VAR6, output reg [VAR13 - 1:0]VAR2, input [VAR13 - 1:0] VAR14, output reg VAR27, output reg VAR30, output reg VAR1, output reg [VAR23 - 1:0] VAR24, output reg [VAR13 - 1:0] VAR25, output reg [VAR18 - 1:0] VAR21, input VAR4, input VAR16, input VAR5, input [VAR23 - 1:0] VAR8, input [VAR13 - 1:0] VAR29, input [VAR18 - 1:0] VAR22, input clk, input reset ); localparam VAR3 = 'd0; localparam VAR31 = 'd1; localparam VAR28 = 'd2; reg [1:0] state; reg [7:0] VAR17; wire VAR12 = VAR4 && VAR22 == VAR10; always @(posedge clk) begin if (reset) begin VAR9 <= 1'b0; VAR2 <= 'h0; state <= VAR3; VAR17 <= 'h0; end else begin case (state) VAR3 : begin if (VAR11 && !VAR4) begin state <= VAR31; VAR17 <= VAR15; end end VAR31 : begin if (!VAR11) begin state <= VAR3; end else if (VAR12 || VAR17 == 'h0) begin state <= VAR28; VAR9 <= 1'b1; if (VAR12 && VAR16) VAR2 <= VAR29; end else if (VAR17 == 'h0) VAR2 <= VAR20; end else VAR2 <= 'VAR32 VAR7; end VAR17 <= VAR17 - 'h1; end VAR28 : begin VAR9 <= 1'b0; if (!VAR11) state <= VAR3; end default : begin if ( > 3000) end endcase end end always @(posedge clk) begin if (reset) begin VAR27 <= 1'b0; VAR30 <= 1'b0; VAR1 <= 1'b0; VAR24 <= 'h0; VAR25 <= 'h0; VAR21 <= 'h0; end else begin if (VAR4 && VAR22 != VAR10) begin VAR27 <= VAR4; VAR30 <= VAR16; VAR1 <= VAR5; VAR24 <= VAR8; VAR25 <= VAR29; VAR21 <= VAR22; end else if (state == VAR3 && VAR11 && !VAR4) begin VAR27 <= 1'b1; VAR30 <= 1'b0; VAR1 <= VAR19; VAR24 <= VAR6; VAR25 <= VAR14; VAR21 <= VAR10; end else begin VAR27 <= 1'b0; VAR30 <= 1'b0; VAR1 <= 1'b0; VAR24 <= 'h0; VAR25 <= 'h0; VAR21 <= 'h0; end end end endmodule
mit
asicguy/gplgpu
hdl/vga/txt_time.v
12,323
module MODULE1 ( input VAR16, input VAR11, input VAR23, input VAR13, input VAR47, input [15:0] VAR69, input [5:0] VAR4, input VAR26, input VAR57, input VAR49, input VAR36, input VAR73, input VAR5, input VAR52, input VAR33, input VAR61, input [7:0] VAR48, output [7:0] VAR9, output reg [7:0] VAR20, output [7:0] VAR40, output [7:0] VAR25, output [7:0] VAR60, output VAR39, output VAR21, output VAR54, output VAR44, output VAR19, output VAR31, output VAR22, output VAR17, output VAR63, output VAR55, output VAR45, output reg [4:0] VAR2, output VAR30 ); reg VAR64; reg VAR6; reg VAR41; reg VAR67; reg VAR70; reg VAR8; reg [6:0] VAR38; reg [5:0] VAR51; reg [6:0] VAR75; reg [6:0] VAR28; reg [1:0] VAR66; reg [1:0] VAR43; reg [1:0] VAR62, VAR27; wire VAR12; wire [4:0] VAR14; wire [4:0] VAR50; wire [4:0] VAR72; wire [4:0] VAR18; wire VAR1; wire [4:0] VAR56; wire VAR74; wire VAR46; wire VAR59; wire VAR37; reg VAR3; reg VAR34; wire VAR42; wire VAR65; wire VAR15; wire VAR10; wire VAR53; reg VAR71; reg VAR24; wire VAR68; wire VAR32; wire VAR29; wire VAR58; reg VAR7; wire VAR35; always @(posedge VAR26 or negedge VAR16) if (~VAR16) VAR71 <= 1'b1; else if (VAR52) VAR71 <= ~VAR71; always @(posedge VAR26 or negedge VAR16) if (!VAR16) VAR24 <= 1'b0; else if (VAR57) VAR24 <= VAR71; always @(VAR71 or VAR24) VAR67 = VAR71 &(~VAR24); always @(posedge VAR26 or negedge VAR16) if (!VAR16) begin VAR62 <= 2'b0; VAR27 <= 2'b0; end else if (VAR57) begin VAR62 <= {VAR62[0], VAR20[7]}; VAR27 <= {VAR27[0], VAR32}; end assign VAR12 = VAR62[1]; assign VAR29 = VAR27[1]; assign VAR68 = VAR12 ? VAR67 : VAR5; assign VAR30 = VAR29 ? VAR68 : VAR35; always @(posedge VAR26 or negedge VAR16) if (!VAR16) VAR66 <= 2'b0; end else if (VAR49) VAR66 <= {VAR66[0], VAR33}; always @(VAR66) VAR70 = VAR66[0] &(~VAR66[1]); always @(posedge VAR26 or negedge VAR16) if (!VAR16) VAR43 <= 2'b0; else if (VAR49) VAR43 <= {VAR43[0], VAR36}; always @(VAR43) VAR8 = VAR43[0] & (~VAR43[1]); assign VAR1 = VAR15 | VAR36 | VAR73 | VAR33; assign VAR56 = ( VAR36 & (~VAR61) ) ? VAR9[4:0] : 5'b0; assign VAR58 = VAR68 | VAR8 | VAR70; always @(posedge VAR26 or negedge VAR16) if (!VAR16) VAR64 <= 1'b0; else VAR64 <= VAR58; assign VAR53 = ~VAR64 & VAR58; always @(posedge VAR26 or negedge VAR16) if (~VAR16) VAR2 <= 5'b0; else if (VAR53) begin if (VAR1) VAR2 <= VAR56; end else VAR2 <= VAR2 + 1; end assign VAR9 = {1'b0, VAR38}; assign VAR40 = {2'b0, VAR51}; assign VAR25 = {1'b0, VAR75}; assign VAR60 = {1'b0, VAR28}; always @(posedge VAR11 or negedge VAR16) if (~VAR16) begin VAR38 <= 7'b0; VAR20 <= 8'b0; VAR51 <= 6'b0; VAR75 <= 7'b0; VAR28 <= 7'b0; end else if (VAR47) begin case (VAR69) 16'h03b4: begin if (!VAR23) if (VAR13) begin case (VAR4[5:0]) 6'h8: VAR38 <= VAR48[6:0]; 6'h9: VAR20 <= VAR48; 6'ha: VAR51 <= VAR48[5:0]; 6'hb: VAR75 <= VAR48[6:0]; 6'h14: VAR28 <= VAR48[6:0]; endcase end end 16'h03b5: begin if (!VAR23) begin case (VAR4[5:0]) 6'h8: VAR38 <= VAR48[6:0]; 6'h9: VAR20 <= VAR48; 6'ha: VAR51 <= VAR48[5:0]; 6'hb: VAR75 <= VAR48[6:0]; 6'h14: VAR28 <= VAR48[6:0]; endcase end end 16'h03d4: begin if (VAR23) if (VAR13) begin case (VAR4[5:0]) 6'h8: VAR38 <= VAR48[6:0]; 6'h9: VAR20 <= VAR48; 6'ha: VAR51 <= VAR48[5:0]; 6'hb: VAR75 <= VAR48[6:0]; 6'h14: VAR28 <= VAR48[6:0]; endcase end end 16'h03d5: begin if (VAR23) begin case (VAR4[5:0]) 6'h8: VAR38 <= VAR48[6:0]; 6'h9: VAR20 <= VAR48; 6'ha: VAR51 <= VAR48[5:0]; 6'hb: VAR75 <= VAR48[6:0]; 6'h14: VAR28 <= VAR48[6:0]; endcase end end endcase end assign VAR14 = VAR20[4:0]; assign VAR50 = VAR40[4:0]; assign VAR72 = VAR25[4:0]; assign VAR18 = VAR60[4:0]; assign VAR74 = (VAR2 == VAR14); assign VAR46 = (VAR2 == VAR50); assign VAR59 = (VAR2 == VAR72); assign VAR37 = (VAR2 == VAR18); assign VAR32 = (VAR14 == 5'b0); always @(posedge VAR26 or negedge VAR16) if (!VAR16) VAR3 <= 1'b0; end else if (VAR53) VAR3 <= VAR59; always @(posedge VAR26 or negedge VAR16) if (!VAR16) VAR34 <= 1'b0; else if (VAR53) VAR34 <= VAR74; assign VAR15 = ( ((~VAR34) & VAR74) | VAR32 ); always @(posedge VAR26 or negedge VAR16) if (!VAR16) VAR7 <= 1'b0; else if (VAR57) VAR7 <= VAR34; assign VAR35 = VAR34 & (~VAR7); assign VAR10 = VAR6 ? (~VAR3) : VAR46; always @(posedge VAR26 or negedge VAR16) if(~VAR16) VAR6 <= 1'b0; else if(VAR58 & VAR57) VAR6 <= VAR10; assign VAR42 = (VAR50 <= VAR72); assign VAR65 = (VAR50 <= VAR14); assign VAR45 = VAR42 & VAR65 & VAR6; always @(posedge VAR26 or negedge VAR16) if(~VAR16) VAR41 <= 1'b0; else if(VAR58 & VAR57) VAR41 <= VAR37; assign VAR55 = VAR41; assign VAR39 = VAR9[5]; assign VAR21 = VAR9[6]; assign VAR54 = VAR20[5]; assign VAR44 = VAR20[6]; assign VAR19 = VAR25[5]; assign VAR31 = VAR25[6]; assign VAR22 = VAR40[5]; assign VAR63 = VAR60[6]; assign VAR17 = VAR60[5]; endmodule
gpl-3.0
sh-chris110/chris
FPGA/Math/Qsys/nios_design/synthesis/submodules/nios_design_sysid_qsys_0.v
1,413
module MODULE1 ( address, VAR2, VAR1, VAR3 ) ; output [ 31: 0] VAR3; input address; input VAR2; input VAR1; wire [ 31: 0] VAR3; assign VAR3 = address ? 1502149608 : 0; endmodule
gpl-2.0
CospanDesign/nysa-verilog
verilog/wishbone/slave/wb_fpga_nes/rtl/ppu/rgb_generator.v
7,627
module MODULE1 #( parameter VAR9 = 32, parameter VAR24 = 32 )( input clk, input rst, output [9:0] VAR8, output [9:0] VAR1, output VAR20, output VAR16, output [2:0] VAR6, output [2:0] VAR11, output [1:0] VAR26, input [5:0] VAR29, output [9:0] VAR4, output [9:0] VAR21, output [9:0] VAR14, output reg VAR19, output VAR2 ); localparam VAR33 = 400; localparam VAR17 = 260; localparam VAR10 = 365; localparam VAR13 = 238; localparam VAR31 = 32; localparam VAR3 = 259; localparam VAR22 = 0; localparam VAR28 = 0; localparam VAR15 = 256; localparam VAR7 = 240; localparam VAR27 = 60; localparam VAR18 = VAR12 / (VAR27 * 400 * 260); reg [8:0] VAR35; reg [8:0] VAR25; reg [7:0] VAR5; wire [8:0] VAR23; wire [8:0] VAR34; wire [31:0] VAR30 = VAR18; reg [7:0] VAR32; assign VAR6 = VAR5[7:5]; assign VAR11 = VAR5[4:2]; assign VAR26 = VAR5[1:0]; always @ (posedge clk) begin if (rst) begin VAR32 <= 4; VAR19 <= 0; end else begin VAR19 <= 0; if (VAR32 < VAR30 - 1) begin VAR32 <= VAR32 + 1; end else begin VAR32 <= 0; VAR19 <= 1; end end end always @ (*) begin if (VAR16 == 0) begin VAR5 = 0; end else begin case (VAR29) 6'h00: VAR5 = { 3'h3, 3'h3, 2'h1 }; 6'h01: VAR5 = { 3'h1, 3'h0, 2'h2 }; 6'h02: VAR5 = { 3'h0, 3'h0, 2'h2 }; 6'h03: VAR5 = { 3'h2, 3'h0, 2'h2 }; 6'h04: VAR5 = { 3'h4, 3'h0, 2'h1 }; 6'h05: VAR5 = { 3'h5, 3'h0, 2'h0 }; 6'h06: VAR5 = { 3'h5, 3'h0, 2'h0 }; 6'h07: VAR5 = { 3'h3, 3'h0, 2'h0 }; 6'h08: VAR5 = { 3'h2, 3'h1, 2'h0 }; 6'h09: VAR5 = { 3'h0, 3'h2, 2'h0 }; 6'h0a: VAR5 = { 3'h0, 3'h2, 2'h0 }; 6'h0b: VAR5 = { 3'h0, 3'h1, 2'h0 }; 6'h0c: VAR5 = { 3'h0, 3'h1, 2'h1 }; 6'h0d: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h0e: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h0f: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h10: VAR5 = { 3'h5, 3'h5, 2'h2 }; 6'h11: VAR5 = { 3'h0, 3'h3, 2'h3 }; 6'h12: VAR5 = { 3'h1, 3'h1, 2'h3 }; 6'h13: VAR5 = { 3'h4, 3'h0, 2'h3 }; 6'h14: VAR5 = { 3'h5, 3'h0, 2'h2 }; 6'h15: VAR5 = { 3'h7, 3'h0, 2'h1 }; 6'h16: VAR5 = { 3'h6, 3'h1, 2'h0 }; 6'h17: VAR5 = { 3'h6, 3'h2, 2'h0 }; 6'h18: VAR5 = { 3'h4, 3'h3, 2'h0 }; 6'h19: VAR5 = { 3'h0, 3'h4, 2'h0 }; 6'h1a: VAR5 = { 3'h0, 3'h5, 2'h0 }; 6'h1b: VAR5 = { 3'h0, 3'h4, 2'h0 }; 6'h1c: VAR5 = { 3'h0, 3'h4, 2'h2 }; 6'h1d: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h1e: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h1f: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h20: VAR5 = { 3'h7, 3'h7, 2'h3 }; 6'h21: VAR5 = { 3'h1, 3'h5, 2'h3 }; 6'h22: VAR5 = { 3'h2, 3'h4, 2'h3 }; 6'h23: VAR5 = { 3'h5, 3'h4, 2'h3 }; 6'h24: VAR5 = { 3'h7, 3'h3, 2'h3 }; 6'h25: VAR5 = { 3'h7, 3'h3, 2'h2 }; 6'h26: VAR5 = { 3'h7, 3'h3, 2'h1 }; 6'h27: VAR5 = { 3'h7, 3'h4, 2'h0 }; 6'h28: VAR5 = { 3'h7, 3'h5, 2'h0 }; 6'h29: VAR5 = { 3'h4, 3'h6, 2'h0 }; 6'h2a: VAR5 = { 3'h2, 3'h6, 2'h1 }; 6'h2b: VAR5 = { 3'h2, 3'h7, 2'h2 }; 6'h2c: VAR5 = { 3'h0, 3'h7, 2'h3 }; 6'h2d: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h2e: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h2f: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h30: VAR5 = { 3'h7, 3'h7, 2'h3 }; 6'h31: VAR5 = { 3'h5, 3'h7, 2'h3 }; 6'h32: VAR5 = { 3'h6, 3'h6, 2'h3 }; 6'h33: VAR5 = { 3'h6, 3'h6, 2'h3 }; 6'h34: VAR5 = { 3'h7, 3'h6, 2'h3 }; 6'h35: VAR5 = { 3'h7, 3'h6, 2'h3 }; 6'h36: VAR5 = { 3'h7, 3'h5, 2'h2 }; 6'h37: VAR5 = { 3'h7, 3'h6, 2'h2 }; 6'h38: VAR5 = { 3'h7, 3'h7, 2'h2 }; 6'h39: VAR5 = { 3'h7, 3'h7, 2'h2 }; 6'h3a: VAR5 = { 3'h5, 3'h7, 2'h2 }; 6'h3b: VAR5 = { 3'h5, 3'h7, 2'h3 }; 6'h3c: VAR5 = { 3'h4, 3'h7, 2'h3 }; 6'h3d: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h3e: VAR5 = { 3'h0, 3'h0, 2'h0 }; 6'h3f: VAR5 = { 3'h0, 3'h0, 2'h0 }; endcase end end assign VAR2 = ((VAR35 == VAR10) && (VAR25 == VAR13)) ? 1 : ((VAR35 == VAR31) && (VAR25 == VAR3)) ? 0 : VAR2; assign VAR23 = VAR35 - VAR9; assign VAR34 = VAR25 - VAR22; assign VAR4 = {1'b0, VAR23}; assign VAR21 = {1'b0, VAR34}; assign VAR14 = (VAR35 == (VAR33 / 2)) ? VAR21 + 1 : !rst ? VAR14 : 10'h000; assign VAR16 = (VAR20) && ((VAR4 >= VAR9) && (VAR4 <= VAR9 + VAR15 + VAR24)); assign VAR20 = ((VAR21 == VAR22) ) ? 1'b1 : ((VAR21 == (VAR22 + VAR7 + VAR28))) ? 1'b0: !rst ? VAR20 : 1'b0; assign VAR8 = (VAR9 + VAR15 + VAR24); assign VAR1 = (VAR22 + VAR7 + VAR28); always @ (posedge clk) begin if (rst) begin VAR35 <= 0; VAR25 <= 0; end else begin if (VAR19) begin if (VAR35 < (VAR33 - 1)) begin VAR35 <= VAR35 + 1; end else begin VAR35 <= 0; if (VAR25 < (VAR17 - 1)) begin VAR25 <= VAR25 + 1; end else begin VAR25 <= 0; end end end end end endmodule
mit
scalable-networks/ext
uhd/fpga/usrp2/sdr_lib/cic_strober.v
1,435
module MODULE1 ( input VAR3, input reset, input enable, input [VAR1-1:0] VAR5, input VAR6, output wire VAR2 ); reg [VAR1-1:0] counter; wire VAR4 = (counter==1); assign VAR2 = VAR4 && enable && VAR6; always @(posedge VAR3) if(reset) counter <= 0; else if (~enable) counter <= VAR5; else if(VAR6) if(VAR4) counter <= VAR5; else counter <= counter - 1; endmodule
gpl-2.0
hoglet67/CoPro6502
src/zet/zet/zet_micro_data.v
3,610
module MODULE1 ( input [VAR14-1:0] VAR22, input [15:0] VAR2, input [15:0] VAR31, input [ 3:0] VAR35, input [ 3:0] VAR41, input [ 3:0] VAR11, input [ 3:0] VAR7, input [ 1:0] VAR3, input [ 2:0] VAR36, output VAR30, output VAR43, output [VAR8-1:0] VAR1, output [15:0] VAR39, output [15:0] VAR13 ); wire [VAR18-1:0] VAR5; wire [ 6:0] VAR17; wire [ 1:0] VAR33; wire VAR25, VAR46; wire [1:0] VAR42, VAR34, VAR29, VAR38; wire [2:0] VAR26; wire [3:0] VAR28, VAR6, VAR23, VAR4; wire [3:0] VAR12, VAR40, VAR16, VAR44; wire [1:0] VAR37, VAR10; wire [2:0] VAR45; wire [2:0] VAR27; wire [2:0] VAR24; wire VAR19; wire VAR9; wire VAR32; wire VAR20; VAR15 VAR21 (VAR22, VAR5); assign VAR10 = VAR5[1:0]; assign VAR12 = VAR5[5:2]; assign VAR40 = VAR5[9:6]; assign VAR16 = VAR5[13:10]; assign VAR44 = VAR5[17:14]; assign VAR19 = VAR5[18]; assign VAR9 = VAR5[19]; assign VAR32 = VAR5[20]; assign VAR33 = VAR5[22:21]; assign VAR45 = VAR5[25:23]; assign VAR24 = VAR5[28:26]; assign VAR17 = VAR5[35:29]; assign VAR25 = VAR5[36]; assign VAR42 = VAR5[38:37]; assign VAR34 = VAR5[40:39]; assign VAR29 = VAR5[42:41]; assign VAR38 = VAR5[44:43]; assign VAR46 = VAR5[45]; assign VAR26 = VAR5[48:46]; assign VAR43 = VAR5[49]; assign VAR13 = VAR26 == 3'd0 ? (16'h0000) : (VAR26 == 3'd1 ? (16'h0002) : (VAR26 == 3'd2 ? (16'h0004) : (VAR26 == 3'd3 ? VAR2 : (VAR26 == 3'd4 ? VAR31 : (VAR26 == 3'd5 ? 16'hffff : (VAR26 == 3'd6 ? 16'b11 : 16'd1)))))); assign VAR39 = VAR46 ? VAR2 : 16'h0000; assign VAR28 = VAR42 == 2'd0 ? VAR12 : (VAR42 == 2'd1 ? VAR11 : (VAR42 == 2'd2 ? VAR41 : VAR35 )); assign VAR6 = VAR34 == 2'd0 ? VAR40 : (VAR34 == 2'd1 ? VAR7 : VAR35); assign VAR23 = VAR29 == 2'd0 ? VAR16 : (VAR29 == 2'd1 ? VAR41 : VAR35); assign VAR4 = VAR38 == 2'd0 ? VAR44 : (VAR38 == 2'd1 ? VAR41 : VAR35); assign VAR37 = VAR25 ? VAR3 : VAR10; assign VAR30 = (VAR45==3'd3 && (VAR24[2]|VAR24[1]) && !VAR32); assign VAR27 = (VAR45==3'd6 && VAR19 || VAR45==3'd5 && VAR32) ? VAR36 : VAR24; assign VAR20 = (VAR45==3'd5 && VAR27==3'd7) ? 1'b0 : VAR32; assign VAR1 = { VAR17, VAR27, VAR45, VAR33, VAR20, VAR9, VAR19, VAR4, VAR23, VAR6, VAR28, VAR37 }; endmodule
gpl-3.0
amrmorsey/Digital-Design-Project
clockdivider.v
1,200
module MODULE1( input clk, input rst, input select, output reg [31:0] VAR3, output reg [31:0] VAR5, output VAR1, output VAR2, output VAR4 ); always @ (posedge clk or posedge rst) begin if (rst) VAR3<=32'd0; end else if (VAR3 == 32'd50000000) VAR3<=32'd0; else VAR3 <= VAR3 + 1; end always @ (posedge clk or posedge rst) begin if (rst) VAR5<=32'd0; end else if (VAR5 == 32'd500000) VAR5<=32'd0; else VAR5 <= VAR5 + 1; end assign VAR1 = (VAR3 == 32'd50000000); assign VAR2 = (VAR5 == 32'd250000); assign VAR4 = VAR2; endmodule
gpl-2.0
DProvinciani/Arquitectura_TPF
Codigo_fuente/ipcore_dir/clk_divider.v
5,635
module MODULE1 ( input VAR47, output VAR4, input VAR34, output VAR35 ); VAR27 VAR44 (.VAR17 (VAR36), .VAR25 (VAR47)); wire VAR1; wire VAR48; wire [7:0] VAR7; wire VAR5; wire VAR28; wire VAR30; VAR21 .VAR18 (1), .VAR41 (4), .VAR11 ("VAR29"), .VAR43 (10.0), .VAR31 ("VAR40"), .VAR8 ("1X"), .VAR6 ("VAR39"), .VAR33 (0), .VAR22 ("VAR29")) VAR9 (.VAR20 (VAR36), .VAR45 (VAR5), .VAR14 (VAR28), .VAR26 (), .VAR49 (), .VAR2 (), .VAR10 (), .VAR42 (), .VAR15 (), .VAR19 (), .VAR13 (VAR30), .VAR38 (1'b0), .VAR12 (1'b0), .VAR3 (1'b0), .VAR37 (), .VAR35 (VAR48), .VAR24 (VAR7), .VAR50 (VAR34), .VAR16 (1'b0)); assign VAR35 = VAR48; VAR23 VAR32 (.VAR17 (VAR5), .VAR25 (VAR28)); VAR23 VAR46 (.VAR17 (VAR4), .VAR25 (VAR30)); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/sdfbbp/sky130_fd_sc_hd__sdfbbp.pp.blackbox.v
1,562
module MODULE1 ( VAR6 , VAR4 , VAR3 , VAR5 , VAR11 , VAR1 , VAR12 , VAR2, VAR8 , VAR10 , VAR7 , VAR9 ); output VAR6 ; output VAR4 ; input VAR3 ; input VAR5 ; input VAR11 ; input VAR1 ; input VAR12 ; input VAR2; input VAR8 ; input VAR10 ; input VAR7 ; input VAR9 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/inputiso0p/sky130_fd_sc_lp__inputiso0p.pp.symbol.v
1,364
module MODULE1 ( input VAR6 , output VAR3 , input VAR7, input VAR5 , input VAR2 , input VAR4 , input VAR1 ); endmodule
apache-2.0
thurday/Sora
FPGA/SISO/rtl/pcie_userapp_wrapper/pcie_dma_engine/non_posted_pkt_gen.v
5,224
module MODULE1( input clk, input rst, input VAR4, input [63:0] VAR24, input [31:0] VAR20, input [31:0] VAR10, input VAR15, input VAR11, input [63:0] VAR27, input [2:0] VAR22, input [15:0] VAR25, output VAR6, output [63:0] VAR14, output VAR13, input VAR19, input [7:0] VAR29, output [4:0] VAR18, output [31:0] VAR1, output VAR17 ); wire [31:0] VAR7; wire [63:0] VAR2; wire [9:0] VAR26; wire ack,VAR16; wire VAR9; VAR12 VAR3( .clk(clk), .rst(rst), .VAR4(VAR4), .VAR11(VAR11), .VAR27(VAR27), .VAR9(VAR9), .VAR21(VAR15), .VAR20(VAR20), .VAR10(VAR10), .VAR24(VAR24), .VAR22(VAR22), .ack(ack), .VAR16(VAR16), .VAR7(VAR7[31:0]), .VAR2(VAR2[63:0]), .VAR26(VAR26[9:0]) ); VAR23 VAR8( .clk(clk), .rst(rst), .VAR25(VAR25[15:0]), .VAR16(VAR16), .ack(ack), .VAR24(VAR2[63:0]), .VAR20(VAR7[31:0]), .VAR26(VAR26[9:0]), .VAR9(VAR9), .VAR29(VAR29[7:0]), .VAR19(VAR19), .VAR13(VAR13), .VAR28(VAR14[63:0]), .VAR5(VAR6), .VAR18(VAR18[4:0]), .VAR1(VAR1[31:0]), .VAR17(VAR17) ); endmodule
bsd-2-clause
Raamakrishnan/MyProc
MyProc2/MEM.v
1,716
module MODULE1 ( input wire clk, input wire VAR11, input wire [VAR17 - 1:0] VAR13, input wire [VAR17 - 3:0] VAR4, input wire [VAR17 - 1:0] VAR5, input wire [VAR17 - 1:0] VAR8, output wire [VAR17 - 1:0] VAR15, output wire [VAR17 - 3:0] VAR3, output wire [VAR17 - 1:0] VAR16 ,input wire VAR21 ); wire [5:0] VAR6; assign VAR6 = VAR13[31:26]; reg [VAR17 - 1:0] addr; wire [VAR17 - 1:0] VAR10; reg [VAR17 - 1:0] VAR1; reg VAR14; reg wr; reg rd; wire VAR19; reg [1:0] VAR18; reg [VAR17 - 1:0] VAR20; assign VAR10 = (VAR14 == 1)? VAR1 : 32'VAR12; assign VAR3 = VAR4; assign VAR15 = VAR13; assign VAR16 = (VAR19 == 1)? VAR10 : VAR5; VAR2 VAR2(.VAR11(VAR11), .VAR9(addr), .VAR10(VAR10), .wr(wr), .rd(rd), .VAR19(VAR19), .VAR18(VAR18) , .VAR21(VAR21) ); always @(VAR13 or VAR4) begin rd = 0; wr = 0; VAR14 = 0; case(VAR6) VAR18 = 0; VAR22(); end VAR18 = 1; VAR22(); end VAR18 = 2; VAR22(); end VAR18 = 0; VAR7(); end VAR18 = 1; VAR7(); end VAR18 = 2; VAR7(); end endcase end task VAR22(); begin addr = VAR8; rd = 1; end endtask task VAR7(); begin VAR1 = VAR5; VAR14 = 1; addr = VAR8; wr = 1; end endtask endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/dlymetal6s6s/sky130_fd_sc_lp__dlymetal6s6s.functional.pp.v
1,868
module MODULE1 ( VAR2 , VAR8 , VAR12, VAR10, VAR9 , VAR3 ); output VAR2 ; input VAR8 ; input VAR12; input VAR10; input VAR9 ; input VAR3 ; wire VAR6 ; wire VAR7; buf VAR11 (VAR6 , VAR8 ); VAR5 VAR1 (VAR7, VAR6, VAR12, VAR10); buf VAR4 (VAR2 , VAR7 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a2bb2o/sky130_fd_sc_ls__a2bb2o.pp.blackbox.v
1,465
module MODULE1 ( VAR7 , VAR3, VAR1, VAR9 , VAR5 , VAR4, VAR6, VAR2 , VAR8 ); output VAR7 ; input VAR3; input VAR1; input VAR9 ; input VAR5 ; input VAR4; input VAR6; input VAR2 ; input VAR8 ; endmodule
apache-2.0
anderson1008/NOCulator
hring/hw/bless/crossbar.v
8,517
module MODULE1 ( input VAR31 VAR46, input VAR31 VAR10, input VAR31 VAR22, input VAR31 VAR14, input VAR31 VAR20, input VAR36 VAR1, input VAR36 VAR4, input VAR36 VAR13, input VAR36 VAR34, input VAR36 VAR27, input VAR18 VAR7, input clk, input rst, output reg VAR31 VAR2, output reg VAR31 VAR28, output reg VAR31 VAR32, output reg VAR31 VAR39, output reg VAR31 VAR30, output reg VAR36 VAR38, output reg VAR36 VAR37, output reg VAR36 VAR16, output reg VAR36 VAR3, output reg VAR36 VAR24); wire [VAR45-1:0] VAR25; wire [VAR45-1:0] VAR47; wire [VAR45-1:0] VAR5; wire [VAR45-1:0] VAR29; wire [VAR45-1:0] VAR8; assign VAR25 = VAR7[VAR23]; assign VAR47 = VAR7[VAR43]; assign VAR5 = VAR7[VAR35]; assign VAR29 = VAR7[VAR26]; assign VAR8 = VAR7[VAR12]; always @(posedge clk) begin if (rst) begin VAR2 <= 0; VAR38 <= 0; VAR28 <= 0; VAR37 <= 0; VAR32 <= 0; VAR16 <= 0; VAR39 <= 0; VAR3 <= 0; VAR30 <= 0; VAR24 <= 0; end else begin VAR41("VAR33: VAR7 = %VAR42, VAR9 = %VAR42, VAR6 = %VAR42, VAR44 = %VAR42, VAR40 = %VAR42, d0 = %032x, VAR17 = %032x, VAR19 = %032x, VAR21 = %032x", VAR7, VAR46, VAR10, VAR22, VAR14, VAR1, VAR4, VAR13, VAR34); case (VAR25) 3'b000: begin VAR2 <= VAR46; VAR38 <= VAR1; end 3'b001: begin VAR2 <= VAR10; VAR38 <= VAR4; end 3'b010: begin VAR2 <= VAR22; VAR38 <= VAR13; end 3'b011: begin VAR2 <= VAR14; VAR38 <= VAR34; end 3'b100: begin VAR2 <= VAR20; VAR38 <= VAR27; end 3'b111: begin VAR2 <= VAR15'd0; VAR38 <= VAR11'd0; end endcase case (VAR47) 3'b000: begin VAR28 <= VAR46; VAR37 <= VAR1; end 3'b001: begin VAR28 <= VAR10; VAR37 <= VAR4; end 3'b010: begin VAR28 <= VAR22; VAR37 <= VAR13; end 3'b011: begin VAR28 <= VAR14; VAR37 <= VAR34; end 3'b100: begin VAR28 <= VAR20; VAR37 <= VAR27; end 3'b111: begin VAR28 <= VAR15'd0; VAR37 <= VAR11'd0; end endcase case (VAR5) 3'b000: begin VAR32 <= VAR46; VAR16 <= VAR1; end 3'b001: begin VAR32 <= VAR10; VAR16 <= VAR4; end 3'b010: begin VAR32 <= VAR22; VAR16 <= VAR13; end 3'b011: begin VAR32 <= VAR14; VAR16 <= VAR34; end 3'b100: begin VAR32 <= VAR20; VAR16 <= VAR27; end 3'b111: begin VAR32 <= VAR15'd0; VAR16 <= VAR11'd0; end endcase case (VAR29) 3'b000: begin VAR39 <= VAR46; VAR3 <= VAR1; end 3'b001: begin VAR39 <= VAR10; VAR3 <= VAR4; end 3'b010: begin VAR39 <= VAR22; VAR3 <= VAR13; end 3'b011: begin VAR39 <= VAR14; VAR3 <= VAR34; end 3'b100: begin VAR39 <= VAR20; VAR3 <= VAR27; end 3'b111: begin VAR39 <= VAR15'd0; VAR3 <= VAR11'd0; end endcase case (VAR8) 3'b000: begin VAR30 <= VAR46; VAR24 <= VAR1; end 3'b001: begin VAR30 <= VAR10; VAR24 <= VAR4; end 3'b010: begin VAR30 <= VAR22; VAR24 <= VAR13; end 3'b011: begin VAR30 <= VAR14; VAR24 <= VAR34; end 3'b100: begin VAR30 <= VAR20; VAR24 <= VAR27; end 3'b111: begin VAR30 <= VAR15'd0; VAR24 <= VAR11'd0; end endcase end end endmodule
mit
Beck-Sisyphus/EE471
Lab3/sourceCode/shiftll.v
1,291
module MODULE1 (VAR9, VAR14, sel); output [31:0] VAR9; input [31:0] VAR14, sel; wire [31:0] VAR5, VAR16; genvar VAR4, VAR18, VAR11; VAR2 VAR3(VAR9[0], VAR5[0], 0, sel[0]); generate for(VAR4 = 1; VAR4 < 32; VAR4 = VAR4+1) begin: VAR21 VAR2 VAR15(VAR9[VAR4], VAR5[VAR4], VAR5[VAR4-1], sel[0]); end endgenerate VAR2 VAR8(VAR5[0], VAR16[0], 0, sel[1]); VAR2 VAR1(VAR5[1], VAR16[1], 0, sel[1]); generate for(VAR18 = 2; VAR18 < 32; VAR18 = VAR18+1) begin: VAR17 VAR2 VAR13(VAR5[VAR18], VAR16[VAR18], VAR16[VAR18-2], sel[1]); end endgenerate VAR2 VAR19(VAR16[0], VAR14[0], 0, sel[2]); VAR2 VAR7(VAR16[1], VAR14[1], 0, sel[2]); VAR2 VAR20(VAR16[2], VAR14[2], 0, sel[2]); VAR2 VAR10(VAR16[3], VAR14[3], 0, sel[2]); generate for(VAR11 = 4; VAR11 < 32; VAR11 = VAR11+1) begin: VAR12 VAR2 VAR6(VAR16[VAR11], VAR14[VAR11], VAR14[VAR11-4], sel[2]); end endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_hdll__udp_dlatch_p_pp_pg_n.symbol.v
1,446
module MODULE1 ( input VAR2 , output VAR6 , input VAR4 , input VAR3, input VAR1 , input VAR5 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfrbp/sky130_fd_sc_ls__dfrbp.functional.v
1,759
module MODULE1 ( VAR2 , VAR5 , VAR10 , VAR11 , VAR12 ); output VAR2 ; output VAR5 ; input VAR10 ; input VAR11 ; input VAR12; wire VAR1; wire VAR9; not VAR4 (VAR9 , VAR12 ); VAR8 VAR6 VAR13 (VAR1 , VAR11, VAR10, VAR9 ); buf VAR3 (VAR2 , VAR1 ); not VAR7 (VAR5 , VAR1 ); endmodule
apache-2.0
mrehkopf/sd2snes
verilog/sd2snes_sgb/wram.v
10,575
module MODULE1 ( VAR29, VAR9, VAR6, VAR36, VAR43, VAR10, VAR40, VAR47, VAR34); input [12:0] VAR29; input [12:0] VAR9; input VAR6; input [7:0] VAR36; input [7:0] VAR43; input VAR10; input VAR40; output [7:0] VAR47; output [7:0] VAR34; tri1 VAR6; tri0 VAR10; tri0 VAR40; wire [7:0] VAR12; wire [7:0] VAR14; wire [7:0] VAR47 = VAR12[7:0]; wire [7:0] VAR34 = VAR14[7:0]; VAR21 VAR15 ( .VAR29 (VAR29), .VAR9 (VAR9), .VAR13 (VAR6), .VAR36 (VAR36), .VAR43 (VAR43), .VAR10 (VAR10), .VAR40 (VAR40), .VAR47 (VAR12), .VAR34 (VAR14), .VAR26 (1'b0), .VAR63 (1'b0), .VAR33 (1'b0), .VAR11 (1'b0), .VAR2 (1'b1), .VAR3 (1'b1), .VAR39 (1'b1), .VAR22 (1'b1), .VAR53 (1'b1), .VAR4 (1'b1), .VAR24 (1'b1), .VAR51 (), .VAR38 (1'b1), .VAR62 (1'b1)); VAR15.VAR57 = "VAR50", VAR15.VAR42 = "VAR35", VAR15.VAR44 = "VAR35", VAR15.VAR17 = "VAR35", VAR15.VAR30 = "VAR35", VAR15.VAR58 = "VAR50", VAR15.VAR8 = "VAR7 VAR54 VAR5", VAR15.VAR49 = "VAR21", VAR15.VAR27 = 8192, VAR15.VAR31 = 8192, VAR15.VAR41 = "VAR61", VAR15.VAR23 = "VAR48", VAR15.VAR20 = "VAR48", VAR15.VAR19 = "VAR56", VAR15.VAR1 = "VAR56", VAR15.VAR60 = "VAR16", VAR15.VAR18 = "VAR52", VAR15.VAR45 = "VAR52", VAR15.VAR55 = "VAR52", VAR15.VAR32 = 13, VAR15.VAR37 = 13, VAR15.VAR28 = 8, VAR15.VAR64 = 8, VAR15.VAR25 = 1, VAR15.VAR46 = 1, VAR15.VAR59 = "VAR50"; endmodule
gpl-2.0
finnball/igloo
infra/hdl/clks.v
1,968
module MODULE1( input VAR24, output VAR13 ); parameter VAR11 = 0; parameter VAR10 = 0; parameter VAR31 = 4'b0000; parameter VAR4 = 7'b0111111; parameter VAR9 = 3'b011; parameter VAR16 = 1; reg VAR20 = 0; wire VAR37; reg [VAR38(VAR16) - 1 : 0] VAR36 = 0; generate if (VAR10 == 1) begin: VAR21 VAR6 VAR33 ( .VAR23(VAR20), .VAR32 (VAR13) ); end else begin: VAR18 assign VAR13 = VAR20; end endgenerate generate if (VAR11 == 1 & VAR3 == 0) begin: VAR2 VAR34 #( .VAR27("VAR5"), .VAR7("VAR15"), .VAR31(VAR31), .VAR4(VAR4), .VAR9(VAR9), .VAR35(3'b001) ) VAR22 ( .VAR29(VAR24), .VAR25(VAR37), .VAR39(1'b1), .VAR28(1'b0), .VAR30(1'b0), .VAR12(8'b00000000), .VAR26(1'b0), .VAR1(1'b0), .VAR17(1'b0) ); always @* begin VAR20 <= VAR37; end end else if (VAR11 == 1) begin localparam VAR19 = (VAR4 + 1) / ( (2**VAR9) * (VAR31 + 1) ); reg VAR14 = 1'b0; always begin end assign VAR37 = VAR14; always @* begin VAR20 <= VAR37; end always @* begin VAR20 <= VAR37; end end else begin: VAR8 always @ (posedge VAR24) begin if (VAR36 == VAR16 - 1) begin VAR36 <= 0; VAR20 <= ~VAR20; end else begin VAR36 <= VAR36 + 1; end end end endgenerate endmodule
gpl-3.0
GLADICOS/SPACEWIRESYSTEMC
altera_work/spw_jaxa/jaxa/synthesis/submodules/jaxa_linkStatus.v
1,842
module MODULE1 ( address, clk, VAR4, VAR3, VAR6 ) ; output [ 31: 0] VAR6; input [ 1: 0] address; input clk; input [ 15: 0] VAR4; input VAR3; wire VAR5; wire [ 15: 0] VAR1; wire [ 15: 0] VAR2; reg [ 31: 0] VAR6; assign VAR5 = 1; assign VAR2 = {16 {(address == 0)}} & VAR1; always @(posedge clk or negedge VAR3) begin if (VAR3 == 0) VAR6 <= 0; end else if (VAR5) VAR6 <= {32'b0 | VAR2}; end assign VAR1 = VAR4; endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/efc/rtl/bw_clk_cl_efc_jbus.v
4,445
module MODULE1 ( VAR12, VAR4, VAR6, VAR9, VAR5, VAR1, VAR10, VAR13, VAR8, VAR7, VAR2, VAR11 ); output VAR12; output VAR4; output VAR6; output VAR9; input VAR5; input VAR1; input VAR10; input VAR13; input VAR8; input VAR7; input VAR2; input VAR11; VAR3 VAR3 ( .VAR12(VAR12), .VAR4(VAR4), .VAR6(VAR6), .VAR9 (VAR9), .VAR5(VAR5), .VAR1(VAR1), .VAR10(VAR10), .VAR13(VAR13), .VAR8(VAR8), .VAR7(VAR7), .VAR2 (VAR2), .VAR11 (VAR11)); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/o211ai/sky130_fd_sc_hd__o211ai_1.v
2,361
module MODULE1 ( VAR10 , VAR6 , VAR5 , VAR4 , VAR11 , VAR1, VAR8, VAR9 , VAR2 ); output VAR10 ; input VAR6 ; input VAR5 ; input VAR4 ; input VAR11 ; input VAR1; input VAR8; input VAR9 ; input VAR2 ; VAR7 VAR3 ( .VAR10(VAR10), .VAR6(VAR6), .VAR5(VAR5), .VAR4(VAR4), .VAR11(VAR11), .VAR1(VAR1), .VAR8(VAR8), .VAR9(VAR9), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR10 , VAR6, VAR5, VAR4, VAR11 ); output VAR10 ; input VAR6; input VAR5; input VAR4; input VAR11; supply1 VAR1; supply0 VAR8; supply1 VAR9 ; supply0 VAR2 ; VAR7 VAR3 ( .VAR10(VAR10), .VAR6(VAR6), .VAR5(VAR5), .VAR4(VAR4), .VAR11(VAR11) ); endmodule
apache-2.0
amrmorsey/Digital-Design-Project
one_iteration.v
1,975
module MODULE1( clk, rst, VAR47, VAR25, VAR5, VAR27, VAR15 ); input clk; input rst; input [32:1] VAR47; input [32:1] VAR25; input [48:1] VAR5; output reg [32:1] VAR27; output reg [32:1] VAR15; wire [48:1] VAR11; VAR21 VAR13 ( VAR25, VAR11); wire [48:1] VAR18; VAR38 VAR48(VAR11, VAR5, VAR18); wire [6:1] b1,VAR37,VAR36,VAR49,VAR28,VAR29,VAR2,VAR34; assign VAR34 = VAR18[6:1]; assign VAR2 = VAR18[12:7]; assign VAR29 = VAR18[18:13]; assign VAR28 = VAR18[24:19]; assign VAR49 = VAR18[30:25]; assign VAR36 = VAR18[36:31]; assign VAR37 = VAR18[42:37]; assign b1 = VAR18[48:43]; wire [4:1] VAR19, VAR22, VAR3,VAR26,VAR16,VAR10, VAR43, VAR35; VAR42 VAR24 (b1, VAR19); VAR12 VAR33 (VAR37, VAR22); VAR46 VAR9 (VAR36, VAR3); VAR17 VAR4 (VAR49, VAR26); VAR32 VAR40 (VAR28, VAR16); VAR14 VAR23 (VAR29, VAR10); VAR6 VAR41 (VAR2, VAR43); VAR31 VAR20 (VAR34, VAR35); wire [32:1] VAR39; assign VAR39 = {VAR19, VAR22,VAR3, VAR26,VAR16,VAR10, VAR43,VAR35}; wire [32:1]VAR8; VAR30 VAR1(VAR39, VAR8); wire [32:1] VAR44; VAR7 VAR45(VAR47,VAR8, VAR44); always@(posedge clk or posedge rst) begin if(rst) begin VAR27 <= 32'b00000000000000000000000000000000; VAR15 <= 32'b00000000000000000000000000000000; end else begin VAR27 <= VAR25; VAR15 <= VAR44; end end endmodule
gpl-2.0
d16-processor/d16
verilog/src/top.v
5,615
module MODULE1(input VAR51, input [1:0] VAR49, output [7:0] VAR43, output VAR31, input VAR37, output [3:0] VAR10, input [3:0] VAR22, output [12:0] VAR36, output [1:0] VAR45, output VAR14, output VAR16, output VAR25, output VAR46, inout [15:0] VAR17, output [1:0] VAR9, output VAR54, output VAR60 ); wire [31:0] VAR1; wire [31:0] VAR69; wire VAR11; wire VAR35; wire VAR56; wire VAR61; wire clk; wire VAR8; wire VAR33; wire [3:0] VAR29; reg [2:0] VAR59 = 3'b000; reg [23:0] counter = 0; wire [23:0] VAR44; wire VAR50, VAR13, VAR58; wire [31:0] VAR62, VAR15; wire [31:0] VAR42; wire [23:0] VAR67, VAR65; wire VAR6, VAR68, VAR23, VAR64; assign clk = VAR51; VAR3 #(.VAR21(4)) VAR52( .VAR27 (VAR10), .VAR61 (VAR61), .clk (VAR33)); VAR38 VAR47( .VAR40(VAR51), .VAR20(VAR33)); VAR34 VAR34( .VAR43 (VAR43[7:0]), .VAR66 (VAR31), .VAR28 (VAR8), .VAR29 (VAR29), .clk (clk), .VAR59 (VAR59[2]), .VAR7 (VAR37), .VAR53 (VAR22[3:0]), .VAR62 (VAR42), .VAR44 (VAR67), .VAR50 (VAR6), .VAR13 (VAR68), .VAR15 (VAR69), .VAR58 (VAR35), .VAR64 (VAR56) ); wire VAR63, VAR5; VAR55 VAR4( .VAR40(VAR51), .VAR20(VAR5), .VAR12(VAR63)); VAR24 VAR19( .VAR32 (VAR62[31:0]), .VAR57 (VAR58), .VAR41 (VAR64), .VAR36 (VAR36[12:0]), .VAR45 (VAR45[1:0]), .VAR25 (VAR25), .VAR14 (VAR14), .VAR16 (VAR16), .VAR46 (VAR46), .VAR9 (VAR9[1:0]), .VAR54 (VAR54), .VAR60 (VAR60), .VAR17 (VAR17[15:0]), .VAR51 (VAR51), .VAR63 (VAR63), .VAR5(VAR5), .rst (rst), .address (VAR44[23:0]), .VAR30 (VAR50), .VAR26 (VAR13), .VAR39 (VAR15[31:0]) ); VAR48 VAR18( .VAR1 (VAR1[31:0]), .VAR11 (VAR11), .VAR69 (VAR69[31:0]), .VAR35 (VAR35), .VAR56 (VAR56), .VAR44 (VAR44[23:0]), .VAR15 (VAR15[31:0]), .VAR50 (VAR50), .VAR13 (VAR13), .clk (clk), .VAR65 (VAR65[23:0]), .VAR23 (VAR23), .VAR67 (VAR67[23:0]), .VAR42 (VAR42[31:0]), .VAR6 (VAR6), .VAR68 (VAR68), .VAR62 (VAR62[31:0]), .VAR2(VAR58), .VAR64(VAR64)); always @(posedge VAR51)begin VAR59 <= {VAR59[1:0],VAR49[0]}; counter <= counter + 1; end endmodule
mit
strigeus/fpganes
src/vga.v
2,111
module MODULE1(input clk, output reg VAR11, output reg VAR4, output reg [3:0] VAR3, output reg[3:0] VAR10, output reg[3:0] VAR21, output [9:0] VAR7, output [9:0] VAR5, output [9:0] VAR8, input [14:0] VAR14, input sync, input VAR2); reg [9:0] VAR18, VAR16; wire VAR22 = (VAR18 < 512); wire VAR9 = (VAR18 == 512 + 23 + 35); wire VAR12 = (VAR18 == 512 + 23 + 35 + 82); wire VAR20 = (VAR18 == 681); wire VAR13 = (VAR16 < 480); wire VAR1 = VAR9 && (VAR16 == 480 + 10); wire VAR17 = VAR9 && (VAR16 == 480 + 12); wire VAR6 = (VAR16 == 523); wire VAR19 = VAR22 && VAR13; assign VAR7 = VAR18; assign VAR5 = VAR16; wire [9:0] VAR15 = (VAR20 || sync) ? 0 : VAR18 + 1; assign VAR8 = {sync ? 1'b0 : VAR20 ? !VAR16[0] : VAR16[0], VAR15[8:0]}; always @(posedge clk) begin VAR18 <= VAR15; if (sync) begin VAR4 <= 1; VAR11 <= 1; VAR16 <= 0; end else begin VAR11 <= VAR9 ? 0 : VAR12 ? 1 : VAR11; if (VAR20) VAR16 <= VAR6 ? 0 : VAR16 + 1; VAR4 <= VAR1 ? 0 : VAR17 ? 1 : VAR4; VAR3 <= VAR14[4:1]; VAR10 <= VAR14[9:6]; VAR21 <= VAR14[14:11]; if (VAR2 && (VAR18 == 0 || VAR18 == 511 || VAR16 == 0 || VAR16 == 479)) begin VAR3 <= 4'b1111; VAR10 <= 4'b1111; VAR21 <= 4'b1111; end if (!VAR19) begin VAR3 <= 4'b0000; VAR10 <= 4'b0000; VAR21 <= 4'b0000; end end end endmodule
gpl-3.0
SymbiFlow/prjxray-experiments-archive-2017
clb_ram/top.v
8,412
module MODULE11(input clk, VAR12, VAR48, output do); localparam integer VAR46 = 256; localparam integer VAR65 = 256; reg [VAR46-1:0] din; wire [VAR65-1:0] dout; reg [VAR46-1:0] VAR30; reg [VAR65-1:0] VAR13; always @(posedge clk) begin VAR30 <= {VAR30, VAR48}; VAR13 <= {VAR13, VAR30[VAR46-1]}; if (VAR12) begin din <= VAR30; VAR13 <= dout; end end assign do = VAR13[VAR65-1]; MODULE4 MODULE4 ( .clk(clk), .din(din), .dout(dout) ); endmodule module MODULE4(input clk, input [255:0] din, output [255:0] dout); MODULE10 #(.VAR23("VAR54")) VAR52(.clk(clk), .din(din[ 0 +: 8]), .dout(dout[ 0 +: 8])); MODULE10 #(.VAR23("VAR7")) VAR69(.clk(clk), .din(din[ 32 +: 8]), .dout(dout[ 32 +: 8])); MODULE10 #(.VAR23("VAR16")) VAR17(.clk(clk), .din(din[ 64 +: 8]), .dout(dout[ 64 +: 8])); MODULE10 #(.VAR23("VAR19")) VAR56(.clk(clk), .din(din[ 128 +: 8]), .dout(dout[ 128 +: 8])); endmodule module MODULE10 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; VAR61 #( .VAR40(64'h0), .VAR1(1'b0) ) VAR64 ( .VAR6(dout[1]), .VAR39(din[0]), .VAR4(clk), .VAR22(din[2]), .VAR18(din[3]), .VAR36(din[4]), .VAR45(din[5]), .VAR60(din[6]), .VAR50(din[7]), .VAR2(din[0]), .VAR49(din[1]), .VAR43(din[2]), .VAR10(din[3]), .VAR38(din[4]), .VAR57(din[5]), .VAR44(din[6])); VAR61 #( .VAR40(64'h0), .VAR1(1'b0) ) VAR5 ( .VAR6(dout[0]), .VAR39(din[0]), .VAR4(clk), .VAR22(din[2]), .VAR18(din[3]), .VAR36(din[4]), .VAR45(din[5]), .VAR60(din[6]), .VAR50(din[7]), .VAR2(din[0]), .VAR49(din[1]), .VAR43(din[2]), .VAR10(din[3]), .VAR38(din[4]), .VAR57(din[5]), .VAR44(din[6])); endmodule module MODULE1 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; parameter VAR55="VAR63"; wire VAR14; VAR42 #( .VAR40(32'h00000000), .VAR11(1'b0) ) lut ( .VAR26(dout[0]), .VAR8(VAR14), .VAR51(din[4:0]), .VAR66(din[5]), .VAR21(din[6]), .VAR39(din[7])); endmodule module MODULE5 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; parameter VAR55="VAR63"; VAR70 #( ) VAR70 ( .VAR26(dout[0]), .VAR18(din[0]), .VAR36(din[1]), .VAR45(din[2]), .VAR60(din[3]), .VAR66(din[4]), .VAR21(din[5]), .VAR39(din[6])); endmodule module MODULE2 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; parameter VAR55="VAR63"; VAR34 #( ) VAR34 ( .VAR53(dout[0]), .VAR9(dout[1]), .VAR27(dout[2]), .VAR3(dout[3]), .VAR58(din[0]), .VAR33(din[1]), .VAR15(din[2]), .VAR25(din[3]), .VAR47(din[4]), .VAR32(din[5]), .VAR37(din[6]), .VAR35(din[7]), .VAR4(clk), .VAR22(din[1])); endmodule module MODULE7 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; parameter VAR55="VAR63"; VAR29 #( ) VAR29 ( .VAR62(dout[0]), .VAR18(din[0]), .VAR36(din[1]), .VAR45(din[2]), .VAR60(din[3]), .VAR50(din[4]), .VAR2(din[5]), .VAR39(din[6]), .VAR4(clk), .VAR22(din[0])); endmodule module MODULE8 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; VAR67 #( ) VAR67 ( .VAR62(dout[0]), .VAR18(din[0]), .VAR36(din[1]), .VAR45(din[2]), .VAR60(din[3]), .VAR50(din[4]), .VAR2(din[5]), .VAR39(din[6]), .VAR4(clk), .VAR22(din[0])); endmodule module MODULE6 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; VAR28 #( ) VAR28 ( .VAR59(dout[0]), .VAR41(dout[1]), .VAR18(din[0]), .VAR36(din[1]), .VAR45(din[2]), .VAR60(din[3]), .VAR50(din[4]), .VAR2(din[5]), .VAR68(din[6]), .VAR20(din[7]), .VAR4(clk), .VAR22(din[1])); endmodule module MODULE9 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; VAR61 #( .VAR40(64'h0), .VAR1(1'b0) ) VAR61 ( .VAR6(dout[0]), .VAR39(din[0]), .VAR4(clk), .VAR22(din[2]), .VAR18(din[3]), .VAR36(din[4]), .VAR45(din[5]), .VAR60(din[6]), .VAR50(din[7]), .VAR2(din[0]), .VAR49(din[1]), .VAR43(din[2]), .VAR10(din[3]), .VAR38(din[4]), .VAR57(din[5]), .VAR44(din[6])); endmodule module MODULE3 (input clk, input [7:0] din, output [7:0] dout); parameter VAR23 = ""; VAR24 #( .VAR40(128'h0), .VAR1(1'b0) ) VAR24 ( .VAR6(dout[0]), .VAR31(dout[1]), .VAR39(din[0]), .VAR4(clk), .VAR22(din[2])); endmodule
isc
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o2bb2ai/sky130_fd_sc_ls__o2bb2ai.blackbox.v
1,397
module MODULE1 ( VAR3 , VAR9, VAR6, VAR5 , VAR1 ); output VAR3 ; input VAR9; input VAR6; input VAR5 ; input VAR1 ; supply1 VAR4; supply0 VAR8; supply1 VAR7 ; supply0 VAR2 ; endmodule
apache-2.0
cpulabs/mist1032sa
src/core/pipeline_control/exception_manager.v
24,182
module MODULE1( input wire VAR142, input wire VAR105, input wire [5:0] VAR24, input wire VAR143, input wire [31:0] VAR4, output wire VAR82, output wire VAR73, output wire [5:0] VAR137, output wire VAR12, output wire [31:0] VAR68, output wire VAR152, output wire [31:0] VAR69, output wire VAR124, output wire VAR3, output wire VAR42, output wire [31:0] VAR106, output wire VAR77, output wire [31:0] VAR56, output wire VAR139, output wire [31:0] VAR46, input wire VAR58, input wire [31:0] VAR115, input wire [31:0] VAR45, input wire [31:0] VAR113, input wire [31:0] VAR153, input wire [31:0] VAR92, input wire [31:0] VAR31, input wire [31:0] VAR47, output wire VAR98, output wire VAR99, input wire VAR59, output wire [1:0] VAR25, output wire VAR107, output wire [13:0] VAR87, output wire [1:0] VAR144, output wire [31:0] VAR126, output wire [31:0] VAR129, output wire [31:0] VAR91, input wire VAR70, input wire [31:0] VAR136, output wire VAR90, output wire [5:0] VAR89, output wire VAR49, output wire VAR21, output wire [1:0] VAR36, output wire VAR16, output wire [5:0] VAR38, output wire VAR32, output wire VAR149, output wire [1:0] VAR65, input wire VAR95, input wire [31:0] VAR6, input wire [5:0] VAR44, input wire VAR76, input wire [31:0] VAR11, input wire [5:0] VAR96, input wire VAR118, input wire [31:0] VAR117, input wire [5:0] VAR5, input wire [31:0] VAR20, input wire VAR52, input wire [31:0] VAR22, output wire VAR108, input wire VAR48, input wire [6:0] VAR34, output wire VAR150, output wire VAR155 ); reg [2:0] VAR10; reg [2:0] VAR102; reg [2:0] VAR26; reg [31:0] VAR97; reg [5:0] VAR13; reg VAR27; reg [1:0] VAR60; reg [31:0] VAR40; reg VAR39; reg [31:0] VAR2; reg VAR55; reg VAR110; reg VAR121; reg VAR134; reg VAR112; reg [31:0] VAR28; reg [31:0] VAR125; reg [6:0] VAR80; reg [6:0] VAR43; reg [6:0] VAR119; reg VAR135; reg VAR120; reg VAR104; reg [31:0] VAR71; wire [31:0] VAR86 = VAR115 + {VAR119, 3'h0}; wire VAR132 = (VAR120 == VAR84) && (VAR43 < (7'd64 + 7'h1)) && !VAR59; wire [31:0] VAR72 = VAR115 + {VAR80, 3'h0} + 32'h4; reg VAR18; reg VAR8; reg VAR123; reg [31:0] VAR54; reg VAR133; reg VAR122; reg VAR93; reg [31:0] VAR75; wire [31:0] VAR138 = VAR45 + {VAR113[13:0], 8'h0} + VAR29; reg VAR140; reg VAR146; reg VAR57; reg [31:0] VAR103; wire [31:0] VAR19 = VAR45 + {VAR113[13:0], 8'h0} + VAR23; reg [31:0] VAR66; wire VAR130 = VAR58; wire VAR41 = VAR143 && (VAR10 != VAR53); always@(posedge VAR142 or negedge VAR105)begin if(!VAR105)begin VAR10 <= VAR53; VAR102 <= 3'h0; VAR27 <= 1'b0; VAR40 <= 32'h0; VAR39 <= 1'b0; VAR112 <= 1'b0; if(VAR37)begin VAR66 <= 32'h0; VAR26 <= VAR53; VAR97 <= {32{1'b0}}; VAR13 <= 6'h0; VAR2 <= {32{1'b0}}; VAR60 <= VAR9; VAR28 <= 32'h0; VAR125 <= 32'h0; end VAR55 <= 1'b0; VAR110 <= 1'b0; VAR121 <= 1'b0; VAR80 <= 7'h00; end else begin case(VAR10) begin VAR27 <= 1'b0; VAR39 <= 1'b0; VAR102 <= 3'h0; VAR112 <= 1'b0; if(VAR95)begin VAR10 <= VAR14; VAR26 <= VAR14; VAR97 <= VAR6; VAR13 <= VAR44; VAR60 <= VAR9; VAR66 <= 32'h1 + VAR66; end else if(VAR118)begin VAR10 <= VAR81; VAR26 <= VAR81; VAR97 <= VAR117; VAR13 <= VAR5; VAR60 <= VAR145; end else if(VAR76)begin VAR10 <= VAR50; VAR26 <= VAR50; VAR13 <= VAR96; VAR60 <= VAR145; end else if(VAR52 && !VAR41)begin VAR10 <= VAR79; VAR26 <= VAR79; end else if(VAR48 && !VAR41)begin VAR10 <= VAR33; VAR26 <= VAR33; VAR60 <= VAR128; VAR80 <= VAR34; VAR13 <= VAR24; end end begin case(VAR102) begin if(VAR24 == VAR13)begin VAR10 <= VAR53; VAR102 <= 3'h0; VAR27 <= 1'b1; VAR60 <= VAR9; end end default: begin VAR148("VAR151 : MODULE1.VAR61 VAR74 VAR1"); VAR102 <= VAR30; end endcase end begin case(VAR102) begin if(!VAR143)begin VAR102 <= VAR51; end end begin VAR110 <= 1'b1; VAR102 <= VAR154; end begin VAR110 <= 1'b0; if(VAR123)begin VAR97 <= VAR54; if(VAR153[6:5] == VAR88)begin VAR102 <= VAR64; end else begin VAR102 <= VAR127; end end end begin if(!VAR59)begin VAR102 <= VAR114; VAR112 <= 1'b1; VAR28 <= VAR45 + {VAR113[13:0], 8'h0} + VAR23; VAR125 <= VAR47; end end begin VAR112 <= 1'b0; VAR121 <= 1'b1; VAR102 <= VAR85; end begin VAR121 <= 1'b0; if(VAR93)begin VAR102 <= VAR64; VAR39 <= 1'b1; VAR2 <= VAR75; end end begin VAR10 <= VAR53; VAR102 <= 3'h0; VAR27 <= 1'b1; VAR60 <= VAR128; end default: begin VAR102 <= VAR116; end endcase end begin case(VAR102) begin if(VAR24 == VAR13)begin VAR97 <= VAR31; if(VAR92[6:5] == VAR7)begin VAR102 <= VAR35; end else begin VAR102 <= VAR62; end end end begin if(!VAR59)begin VAR102 <= VAR131; VAR112 <= 1'b1; VAR28 <= VAR45 + {VAR113[13:0], 8'h0} + VAR29; VAR125 <= VAR47; end end begin VAR112 <= 1'b0; VAR134 <= 1'b1; VAR102 <= VAR101; end begin VAR134 <= 1'b0; if(VAR57)begin VAR102 <= VAR62; VAR39 <= 1'b1; VAR2 <= VAR103; end end begin VAR10 <= VAR53; VAR102 <= 3'h0; VAR27 <= 1'b1; VAR60 <= VAR145; end default: begin VAR148("VAR151 : MODULE1.VAR61 VAR63 VAR1"); VAR102 <= VAR67; end endcase end begin case(VAR102) begin if(VAR24 == VAR13)begin VAR102 <= VAR94; end end begin VAR102 <= VAR111; VAR55 <= 1'b1; end begin VAR55 <= 1'b0; if(VAR135)begin VAR10 <= VAR53; VAR102 <= 3'h0; VAR27 <= 1'b1; VAR60 <= VAR9; end end endcase end endcase end end always@(posedge VAR142 or negedge VAR105)begin if(!VAR105)begin VAR120 <= VAR100; VAR43 <= 7'h0; VAR119 <= 7'h0; VAR135 <= 1'b0; VAR104 <= 1'b0; VAR71 <= {32{1'b0}}; end else begin case(VAR120) begin if(VAR55)begin VAR120 <= VAR84; VAR43 <= 7'h0; VAR119 <= 7'h0; end VAR135 <= 1'b0; VAR104 <= 1'b0; VAR71 <= {32{1'b0}}; end begin if(VAR43 < 7'd64 + 7'h1)begin if(!VAR59)begin VAR43 <= VAR43 + 7'h1; end end if(VAR119 < 7'd64 + 7'h1)begin if(VAR70)begin VAR119 <= VAR119 + 7'h1; VAR104 <= VAR70; VAR71 <= VAR136; end end else begin VAR135 <= 1'b1; VAR120 <= VAR100; end end endcase end end always@(posedge VAR142 or negedge VAR105)begin if(!VAR105)begin VAR18 <= VAR109; VAR8 <= 1'b0; VAR123 <= 1'b0; VAR54 <= 32'h0; end else begin case(VAR18) begin if(VAR110)begin VAR18 <= VAR83; VAR8 <= 1'b1; end else begin VAR8 <= 1'b0; end VAR123 <= 1'b0; end begin if(!VAR59 && VAR8)begin VAR8 <= 1'b0; end if(VAR70)begin VAR123 <= 1'b1; VAR18 <= VAR109; VAR54 <= VAR136; end end endcase end end always@(posedge VAR142 or negedge VAR105)begin if(!VAR105)begin VAR133 <= VAR147; VAR122 <= 1'b0; VAR93 <= 1'b0; VAR75 <= 32'h0; end else begin case(VAR133) begin if(VAR121)begin VAR133 <= VAR141; VAR122 <= 1'b1; end else begin VAR122 <= 1'b0; end VAR93 <= 1'b0; VAR75 <= {32{1'b0}}; end begin if(!VAR59 && VAR122)begin VAR122 <= 1'b0; end if(VAR70)begin VAR93 <= 1'b1; VAR133 <= VAR147; VAR75 <= VAR136; end end endcase end end always@(posedge VAR142 or negedge VAR105)begin if(!VAR105)begin VAR140 <= VAR17; VAR146 <= 1'b0; VAR57 <= 1'b0; VAR103 <= 32'h0; end else begin case(VAR140) begin if(VAR134)begin VAR140 <= VAR15; VAR146 <= 1'b1; end else begin VAR146 <= 1'b0; end VAR57 <= 1'b0; VAR103 <= {32{1'b0}}; end begin if(!VAR59 && VAR146)begin VAR146 <= 1'b0; end if(VAR70)begin VAR57 <= 1'b1; VAR140 <= VAR17; VAR103 <= VAR136; end end endcase end end assign VAR77 = 1'b0; assign VAR56 = {32{1'b0}}; assign VAR139 = 1'b0; assign VAR46 = {32{1'b0}}; assign VAR98 = (VAR10 != VAR53) || VAR95 || VAR118; assign VAR99 = (VAR10 != VAR53) && (VAR10 != VAR14) && !VAR59 && (VAR146 || VAR122 || VAR8 || VAR132 || VAR112); assign VAR25 = 2'b10; assign VAR107 = VAR112; assign VAR87 = VAR113[13:0]; assign VAR144 = 2'h0; assign VAR126 = 32'h0; assign VAR129 = (VAR146)? VAR19 : ( (VAR122)? VAR138 : ( (VAR8)? VAR72 : ( (VAR132)? VAR86 : VAR28 ) ) ); assign VAR91 = VAR125; assign VAR90 = VAR104; assign VAR89 = VAR119[5:0] - 6'h1; assign VAR49 = VAR71[1]; assign VAR21 = VAR71[0]; assign VAR36 = VAR71[17:16]; assign VAR16 = VAR104; assign VAR38 = VAR119[5:0] - 6'h1; assign VAR32 = VAR71[1]; assign VAR149 = VAR71[0]; assign VAR65 = VAR71[17:16]; assign VAR42 = VAR39; assign VAR106 = VAR2; assign VAR124 = (VAR60 == VAR128)? VAR27 : 1'b0; assign VAR3 = (VAR60 == VAR145)? VAR27 : 1'b0; assign VAR82 = (VAR10 != VAR53)? ( (VAR10 == VAR33 && VAR102 == VAR116)? !VAR143 : 1'b1) : VAR10 == VAR33 && VAR102 == VAR116 && !VAR143; assign VAR73 = ( (VAR10 == VAR53 && (VAR95 || VAR76 || VAR118 || (VAR48 && !VAR143) || VAR52)) || (VAR10 == VAR33 && VAR102 == VAR116 && !VAR143) || (VAR10 == VAR50 && VAR102 == VAR67) || (VAR10 == VAR81 && VAR102 == VAR78) ); assign VAR137 = (VAR10 == VAR53 && VAR95)? VAR44 : VAR13; assign VAR12 = VAR27; assign VAR68 = VAR97; assign VAR152 = VAR27; assign VAR69 = VAR4; assign VAR108 = 1'b0; assign VAR150 = (VAR60 == VAR128)? VAR27 : 1'b0; assign VAR155 = (VAR10 != VAR53)? 1'b1 : 1'b0; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/fa/sky130_fd_sc_ls__fa.symbol.v
1,291
module MODULE1 ( input VAR4 , input VAR8 , input VAR6 , output VAR2, output VAR1 ); supply1 VAR7; supply0 VAR5; supply1 VAR3 ; supply0 VAR9 ; endmodule
apache-2.0
leekeith/DEVBOX
Dev_Box_HW/soc_system/synthesis/submodules/altera_up_avalon_video_vga_timing.v
11,320
module MODULE1 ( clk, reset, VAR4, VAR40, VAR13, VAR1, VAR28, VAR24, VAR8, VAR27, VAR2, VAR33, VAR29, VAR14, VAR17, VAR3, VAR21, VAR36 ); parameter VAR41 = 9; parameter VAR19 = 640; parameter VAR37 = 16; parameter VAR9 = 96; parameter VAR30 = 48; parameter VAR5 = 800; parameter VAR23 = 480; parameter VAR32 = 10; parameter VAR12 = 2; parameter VAR25 = 33; parameter VAR26 = 525; parameter VAR20 = 10; parameter VAR31 = 10'h001; parameter VAR7 = 10; parameter VAR18 = 10'h001; input clk; input reset; input [VAR41: 0] VAR4; input [VAR41: 0] VAR40; input [VAR41: 0] VAR13; input [ 3: 0] VAR1; output VAR28; output reg VAR24; output reg VAR8; output reg VAR27; output reg VAR2; output reg VAR33; output reg VAR29; output reg VAR14; output reg [VAR41: 0] VAR17; output reg [VAR41: 0] VAR3; output reg [VAR41: 0] VAR21; output reg [VAR41: 0] VAR36; reg [VAR20:1] VAR6; reg [VAR7:1] VAR22; reg VAR39; reg VAR35; reg VAR11; reg VAR38; reg VAR16; reg VAR15; reg VAR10; reg VAR34; always @ (posedge clk) begin if (reset) begin VAR2 <= 1'b1; VAR27 <= 1'b1; VAR33 <= 1'b1; VAR29 <= 1'b1; VAR17 <= {(VAR41 + 1){1'b0}}; VAR3 <= {(VAR41 + 1){1'b0}}; VAR21 <= {(VAR41 + 1){1'b0}}; VAR36 <= {(VAR41 + 1){1'b0}}; end else begin VAR27 <= ~VAR34; VAR2 <= ~VAR16; VAR33 <= ~VAR11; VAR29 <= ~VAR38; VAR14 <= ~VAR34; if (VAR34) begin VAR17 <= {(VAR41 + 1){1'b0}}; VAR3 <= {(VAR41 + 1){1'b0}}; VAR21 <= {(VAR41 + 1){1'b0}}; VAR36 <= {(VAR41 + 1){1'b0}}; end else begin VAR17 <= VAR4; VAR3 <= VAR40; VAR21 <= VAR13; VAR36 <= ({(VAR41 + 1){VAR1[0]}} & VAR4) | ({(VAR41 + 1){VAR1[1]}} & VAR40) | ({(VAR41 + 1){VAR1[2]}} & VAR13); end end end always @ (posedge clk) begin if (reset) begin VAR6 <= VAR5 - 3; VAR22 <= VAR26 - 1; end else begin if (VAR6 == (VAR5 - 1)) begin VAR6 <= {VAR20{1'b0}}; if (VAR22 == (VAR26 - 1)) VAR22 <= {VAR7{1'b0}}; end else VAR22 <= VAR22 + VAR18; end else VAR6 <= VAR6 + VAR31; end end always @ (posedge clk) begin if (reset) begin VAR24 <= 1'b0; VAR8 <= 1'b0; end else begin if ((VAR22 == (VAR23 - 1)) && (VAR6 == (VAR19 - 2))) VAR24 <= 1'b1; end else VAR24 <= 1'b0; if ((VAR22 == (VAR26 - 1)) && (VAR6 == (VAR5 - 2))) VAR8 <= 1'b1; end else VAR8 <= 1'b0; end end always @ (posedge clk) begin if (reset) begin VAR39 <= 1'b0; VAR35 <= 1'b0; VAR11 <= 1'b0; VAR38 <= 1'b0; VAR16 <= 1'b0; end else begin if (VAR6 == (VAR19 + VAR37 - 2)) VAR39 <= 1'b1; end else if (VAR6 == (VAR5 - VAR30 - 2)) VAR39 <= 1'b0; if ((VAR22 == (VAR23 + VAR32 - 1)) && (VAR6 == (VAR5 - 2))) VAR35 <= 1'b1; end else if ((VAR22 == (VAR26 - VAR25 - 1)) && (VAR6 == (VAR5 - 2))) VAR35 <= 1'b0; VAR11 <= VAR39; VAR38 <= VAR35; VAR16 <= VAR39 ^ VAR35; end end always @ (posedge clk) begin if (reset) begin VAR15 <= 1'b1; VAR10 <= 1'b1; VAR34 <= 1'b1; end else begin if (VAR6 == (VAR19 - 2)) VAR15 <= 1'b1; end else if (VAR6 == (VAR5 - 2)) VAR15 <= 1'b0; if ((VAR22 == (VAR23 - 1)) && (VAR6 == (VAR5 - 2))) VAR10 <= 1'b1; end else if ((VAR22 == (VAR26 - 1)) && (VAR6 == (VAR5 - 2))) VAR10 <= 1'b0; VAR34 <= VAR15 | VAR10; end end assign VAR28 = ~VAR34; endmodule
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pll/example_design/pll_exdes.v
5,945
module MODULE1 parameter VAR29 = 100 ) ( input VAR21, input VAR28, output [4:1] VAR14, output [4:1] VAR35, input VAR33, output VAR8 ); localparam VAR7 = 16; localparam VAR22 = 4; genvar VAR6; wire VAR13 = !VAR8 || VAR33 || VAR28; reg [VAR22:1] VAR19; reg [VAR22:1] VAR12; reg [VAR22:1] VAR31; reg [VAR22:1] VAR25; wire [VAR22:1] VAR26; wire [VAR22:1] clk; reg [VAR7-1:0] counter [VAR22:1]; VAR24 VAR15 ( .VAR21 (VAR21), .VAR27 (VAR26[1]), .VAR17 (VAR26[2]), .VAR16 (VAR26[3]), .VAR11 (VAR26[4]), .VAR33 (VAR33), .VAR8 (VAR8)); genvar VAR30; generate for (VAR30 = 1; VAR30 <= VAR22; VAR30 = VAR30 + 1) begin: VAR34 VAR3 VAR4 (.VAR9 (VAR14[VAR30]), .VAR23 (clk[VAR30]), .VAR20 (1'b1), .VAR10 (1'b1), .VAR5 (1'b0), .VAR1 (1'b0), .VAR32 (1'b0)); end endgenerate assign clk[1] = VAR26[1]; assign clk[2] = VAR26[2]; assign clk[3] = VAR26[3]; assign clk[4] = VAR26[4]; generate for (VAR6 = 1; VAR6 <= VAR22; VAR6 = VAR6 + 1) begin: VAR2 always @(posedge VAR13 or posedge clk[VAR6]) begin if (VAR13) begin VAR19[VAR6] <= 1'b1; VAR12[VAR6]<= 1'b1; VAR31[VAR6]<= 1'b1; VAR25[VAR6]<= 1'b1; end else begin VAR19[VAR6] <= 1'b0; VAR12[VAR6] <= VAR19[VAR6]; VAR31[VAR6] <= VAR12[VAR6]; VAR25[VAR6] <= VAR31[VAR6]; end end end endgenerate generate for (VAR6 = 1; VAR6 <= VAR22; VAR6 = VAR6 + 1) begin: VAR18 always @(posedge clk[VAR6] or posedge VAR25[VAR6]) begin if (VAR25[VAR6]) begin end else begin end end assign VAR35[VAR6] = counter[VAR6][VAR7-1]; end endgenerate endmodule
gpl-2.0
yipenghuang0302/csee4840_14
software/peripheral/db/ip/ik_swift/ik_swift.v
51,468
module MODULE1 ( input wire VAR378, input wire VAR316, output wire [14:0] VAR215, output wire [2:0] VAR149, output wire VAR226, output wire VAR71, output wire VAR52, output wire VAR348, output wire VAR332, output wire VAR357, output wire VAR97, output wire VAR381, inout wire [31:0] VAR37, inout wire [3:0] VAR404, inout wire [3:0] VAR248, output wire VAR155, output wire [3:0] VAR256, input wire VAR94, output wire VAR236, output wire VAR104, output wire VAR93, output wire VAR389, output wire VAR333, input wire VAR261, inout wire VAR212, output wire VAR158, input wire VAR24, output wire VAR45, input wire VAR202, input wire VAR362, input wire VAR40, input wire VAR137, inout wire VAR234, inout wire VAR85, inout wire VAR2, inout wire VAR270, output wire VAR339, output wire VAR298, inout wire VAR15, inout wire VAR217, inout wire VAR239, output wire VAR377, inout wire VAR203, inout wire VAR273, inout wire VAR117, inout wire VAR227, inout wire VAR228, inout wire VAR317, inout wire VAR272, inout wire VAR394, inout wire VAR78, inout wire VAR305, input wire VAR233, output wire VAR21, input wire VAR237, input wire VAR121, output wire VAR260, output wire VAR229, input wire VAR395, output wire VAR277, output wire VAR264, output wire VAR55, input wire VAR47, output wire VAR222, input wire VAR28, output wire VAR27, inout wire VAR13, inout wire VAR49, output wire [7:0] VAR165, output wire [7:0] VAR302, output wire [7:0] VAR360, output wire VAR44, output wire VAR310, output wire VAR267, output wire VAR306, output wire VAR171 ); wire [31:0] VAR320; wire [4:0] VAR221; wire VAR252; wire VAR323; wire VAR399; wire [31:0] VAR65; wire [31:0] VAR278; wire VAR295; wire VAR214; wire [31:0] VAR291; wire [3:0] VAR193; wire VAR224; wire VAR231; wire [2:0] VAR191; wire [1:0] VAR113; wire [3:0] VAR210; wire VAR382; wire [11:0] VAR103; wire VAR413; wire VAR20; wire [2:0] VAR289; wire [2:0] VAR373; wire VAR220; wire [2:0] VAR361; wire [11:0] VAR294; wire [3:0] VAR392; wire VAR293; wire [11:0] VAR81; wire VAR50; wire [11:0] VAR147; wire [1:0] VAR108; wire [1:0] VAR153; wire [1:0] VAR209; wire [3:0] VAR416; wire VAR296; wire [31:0] VAR255; wire VAR355; wire [1:0] VAR119; wire [31:0] VAR182; wire [20:0] VAR213; wire [3:0] VAR282; wire [3:0] VAR312; wire [20:0] VAR12; wire [11:0] VAR96; wire VAR98; wire [1:0] VAR300; wire VAR396; wire VAR175; wire [31:0] VAR345; wire [31:0] VAR148; wire VAR127; wire VAR338; wire VAR14; VAR397 #( .VAR101 (2), .VAR17 (2) ) VAR356 ( .VAR143 (VAR215), .VAR235 (VAR149), .VAR230 (VAR226), .VAR185 (VAR71), .VAR4 (VAR52), .VAR110 (VAR348), .VAR136 (VAR332), .VAR72 (VAR357), .VAR7 (VAR97), .VAR417 (VAR381), .VAR60 (VAR37), .VAR56 (VAR404), .VAR145 (VAR248), .VAR271 (VAR155), .VAR53 (VAR256), .VAR346 (VAR94), .VAR126 (VAR236), .VAR329 (VAR104), .VAR102 (VAR93), .VAR63 (VAR389), .VAR140 (VAR333), .VAR1 (VAR261), .VAR225 (VAR212), .VAR386 (VAR158), .VAR91 (VAR24), .VAR73 (VAR45), .VAR128 (VAR202), .VAR105 (VAR362), .VAR150 (VAR40), .VAR169 (VAR137), .VAR70 (VAR234), .VAR258 (VAR85), .VAR123 (VAR2), .VAR412 (VAR270), .VAR407 (VAR339), .VAR46 (VAR298), .VAR161 (VAR15), .VAR152 (VAR217), .VAR207 (VAR239), .VAR157 (VAR377), .VAR281 (VAR203), .VAR372 (VAR273), .VAR54 (VAR117), .VAR322 (VAR227), .VAR297 (VAR228), .VAR414 (VAR317), .VAR299 (VAR272), .VAR58 (VAR394), .VAR408 (VAR78), .VAR95 (VAR305), .VAR284 (VAR233), .VAR290 (VAR21), .VAR335 (VAR237), .VAR188 (VAR121), .VAR51 (VAR260), .VAR324 (VAR229), .VAR218 (VAR395), .VAR3 (VAR277), .VAR341 (VAR264), .VAR359 (VAR55), .VAR266 (VAR47), .VAR186 (VAR222), .VAR303 (VAR28), .VAR353 (VAR27), .VAR321 (VAR13), .VAR257 (VAR49), .VAR351 (VAR14), .VAR371 (VAR378), .VAR336 (), .VAR130 (), .VAR92 (), .VAR42 (), .VAR87 (), .VAR66 (), .VAR400 (), .VAR69 (), .VAR115 (), .VAR288 (), .VAR176 (), .VAR250 (), .VAR415 (), .VAR183 (), .VAR313 (), .VAR166 (), .VAR133 (), .VAR393 (), .VAR223 (), .VAR125 (), .VAR111 (), .VAR76 (), .VAR187 (), .VAR84 (), .VAR36 (), .VAR201 (), .VAR304 (), .VAR340 (), .VAR154 (), .VAR9 (), .VAR366 (), .VAR263 (), .VAR309 (), .VAR83 (), .VAR144 (), .VAR376 (), .VAR6 (VAR378), .VAR401 (), .VAR8 (), .VAR90 (), .VAR112 (), .VAR418 (), .VAR311 (), .VAR62 (), .VAR19 (), .VAR243 (), .VAR141 (), .VAR285 (), .VAR34 (), .VAR177 (), .VAR287 (), .VAR151 (), .VAR35 (), .VAR337 (), .VAR368 (), .VAR163 (), .VAR116 (), .VAR74 (), .VAR411 (), .VAR314 (), .VAR22 (), .VAR354 (), .VAR268 (), .VAR374 (), .VAR330 (), .VAR59 (), .VAR174 (), .VAR198 (), .VAR86 (), .VAR242 (), .VAR292 (), .VAR388 (), .VAR241 (), .VAR350 (), .VAR238 (), .VAR216 (VAR378), .VAR331 (VAR81), .VAR286 (VAR12), .VAR358 (VAR312), .VAR347 (VAR289), .VAR391 (VAR153), .VAR132 (VAR108), .VAR334 (VAR210), .VAR170 (VAR373), .VAR25 (VAR231), .VAR363 (VAR293), .VAR180 (VAR147), .VAR265 (VAR255), .VAR318 (VAR416), .VAR259 (VAR396), .VAR232 (VAR98), .VAR159 (VAR355), .VAR370 (VAR294), .VAR168 (VAR209), .VAR41 (VAR50), .VAR173 (VAR20), .VAR409 (VAR103), .VAR410 (VAR213), .VAR275 (VAR392), .VAR352 (VAR191), .VAR367 (VAR119), .VAR385 (VAR113), .VAR246 (VAR282), .VAR64 (VAR361), .VAR33 (VAR220), .VAR307 (VAR382), .VAR11 (VAR96), .VAR99 (VAR182), .VAR196 (VAR300), .VAR38 (VAR175), .VAR77 (VAR296), .VAR23 (VAR413), .VAR100 (VAR345), .VAR283 (VAR148) ); VAR32 #( .VAR61 (0), .VAR118 (50000), .VAR208 (2) ) VAR406 ( .VAR378 (VAR378), .VAR380 (~VAR316), .VAR179 (VAR278), .VAR135 (VAR291), .VAR194 (VAR214), .VAR364 (VAR295), .VAR245 (VAR65), .VAR384 (VAR399), .VAR167 (VAR224), .VAR162 (VAR193), .VAR43 () ); VAR262 VAR308 ( .clk (VAR378), .VAR387 (VAR320), .write (VAR323), .VAR80 (VAR252), .address (VAR221), .reset (VAR127), .VAR88 (VAR165), .VAR205 (VAR302), .VAR379 (VAR360), .VAR142 (VAR44), .VAR251 (VAR310), .VAR109 (VAR267), .VAR89 (VAR306), .VAR390 (VAR171) ); VAR375 VAR326 ( .VAR81 (VAR81), .VAR12 (VAR12), .VAR312 (VAR312), .VAR289 (VAR289), .VAR153 (VAR153), .VAR108 (VAR108), .VAR210 (VAR210), .VAR373 (VAR373), .VAR231 (VAR231), .VAR293 (VAR293), .VAR147 (VAR147), .VAR255 (VAR255), .VAR416 (VAR416), .VAR396 (VAR396), .VAR98 (VAR98), .VAR355 (VAR355), .VAR294 (VAR294), .VAR209 (VAR209), .VAR50 (VAR50), .VAR20 (VAR20), .VAR103 (VAR103), .VAR213 (VAR213), .VAR392 (VAR392), .VAR191 (VAR191), .VAR119 (VAR119), .VAR113 (VAR113), .VAR282 (VAR282), .VAR361 (VAR361), .VAR220 (VAR220), .VAR382 (VAR382), .VAR96 (VAR96), .VAR182 (VAR182), .VAR300 (VAR300), .VAR175 (VAR175), .VAR296 (VAR296), .VAR413 (VAR413), .VAR211 (VAR378), .VAR114 (VAR338), .VAR319 (VAR127), .VAR160 (VAR127), .VAR278 (VAR278), .VAR399 (VAR399), .VAR193 (VAR193), .VAR214 (VAR214), .VAR291 (VAR291), .VAR224 (VAR224), .VAR295 (VAR295), .VAR65 (VAR65), .VAR204 (VAR221), .VAR200 (VAR323), .VAR325 (VAR320), .VAR184 (VAR252) ); VAR134 VAR279 ( .clk (), .reset (), .VAR405 (VAR345) ); VAR134 VAR327 ( .clk (), .reset (), .VAR405 (VAR148) ); VAR365 #( .VAR369 (1), .VAR199 ("VAR274"), .VAR26 (2), .VAR403 (0), .VAR342 (1), .VAR82 (3), .VAR156 (1), .VAR240 (0), .VAR276 (0), .VAR190 (0), .VAR253 (0), .VAR178 (0), .VAR247 (0), .VAR106 (0), .VAR107 (0), .VAR31 (0), .VAR39 (0), .VAR138 (0), .VAR206 (0), .VAR189 (0), .VAR343 (0), .VAR192 (0), .VAR349 (0), .VAR402 (0) ) VAR398 ( .VAR18 (~VAR316), .clk (VAR378), .VAR120 (VAR127), .VAR30 (), .VAR254 (1'b0), .VAR280 (1'b0), .VAR48 (1'b0), .VAR315 (1'b0), .VAR344 (1'b0), .VAR181 (1'b0), .VAR219 (1'b0), .VAR328 (1'b0), .VAR122 (1'b0), .VAR172 (1'b0), .VAR16 (1'b0), .VAR68 (1'b0), .VAR57 (1'b0), .VAR383 (1'b0), .VAR269 (1'b0), .VAR67 (1'b0), .VAR244 (1'b0), .VAR146 (1'b0), .VAR10 (1'b0), .VAR195 (1'b0), .VAR301 (1'b0), .VAR139 (1'b0), .VAR197 (1'b0), .VAR249 (1'b0), .VAR75 (1'b0), .VAR79 (1'b0), .VAR124 (1'b0), .VAR164 (1'b0), .VAR5 (1'b0), .VAR131 (1'b0), .VAR129 (1'b0) ); VAR365 #( .VAR369 (1), .VAR199 ("VAR274"), .VAR26 (2), .VAR403 (0), .VAR342 (1), .VAR82 (3), .VAR156 (1), .VAR240 (0), .VAR276 (0), .VAR190 (0), .VAR253 (0), .VAR178 (0), .VAR247 (0), .VAR106 (0), .VAR107 (0), .VAR31 (0), .VAR39 (0), .VAR138 (0), .VAR206 (0), .VAR189 (0), .VAR343 (0), .VAR192 (0), .VAR349 (0), .VAR402 (0) ) VAR29 ( .VAR18 (~VAR14), .clk (VAR378), .VAR120 (VAR338), .VAR30 (), .VAR254 (1'b0), .VAR280 (1'b0), .VAR48 (1'b0), .VAR315 (1'b0), .VAR344 (1'b0), .VAR181 (1'b0), .VAR219 (1'b0), .VAR328 (1'b0), .VAR122 (1'b0), .VAR172 (1'b0), .VAR16 (1'b0), .VAR68 (1'b0), .VAR57 (1'b0), .VAR383 (1'b0), .VAR269 (1'b0), .VAR67 (1'b0), .VAR244 (1'b0), .VAR146 (1'b0), .VAR10 (1'b0), .VAR195 (1'b0), .VAR301 (1'b0), .VAR139 (1'b0), .VAR197 (1'b0), .VAR249 (1'b0), .VAR75 (1'b0), .VAR79 (1'b0), .VAR124 (1'b0), .VAR164 (1'b0), .VAR5 (1'b0), .VAR131 (1'b0), .VAR129 (1'b0) ); endmodule
mit
SymbiFlow/yosys
techlibs/xilinx/abc9_model.v
1,495
module \VAR8 (output VAR3, input VAR4, VAR2, VAR1, VAR6, VAR7, VAR5); assign VAR3 = VAR5 ? (VAR7 ? VAR6 : VAR1) : (VAR7 ? VAR2 : VAR4);
isc
anderson1008/NOCulator
hring/hw/buffered/src/c_err_rpt.v
2,962
module MODULE1 (clk, reset, VAR5, VAR6); parameter VAR1 = 1; parameter VAR2 = VAR12; parameter VAR8 = VAR10; input clk; input reset; input [0:VAR1-1] VAR5; output [0:VAR1-1] VAR6; wire [0:VAR1-1] VAR6; generate if(VAR2 != VAR9) begin wire [0:VAR1-1] VAR7, VAR11; case(VAR2) begin assign VAR7 = VAR5; end begin assign VAR7 = ~|VAR11 ? VAR5 : VAR11; end begin assign VAR7 = VAR11 | VAR5; end endcase VAR13 .VAR8(VAR8)) VAR11 (.clk(clk), .reset(reset), .VAR3(VAR7), .VAR4(VAR11)); assign VAR6 = VAR11; end else assign VAR6 = {VAR1{1'b0}}; endgenerate endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o311a/sky130_fd_sc_hs__o311a.functional.v
1,945
module MODULE1 ( VAR11, VAR6, VAR8 , VAR10 , VAR15 , VAR2 , VAR9 , VAR3 ); input VAR11; input VAR6; output VAR8 ; input VAR10 ; input VAR15 ; input VAR2 ; input VAR9 ; input VAR3 ; wire VAR9 VAR12 ; wire VAR4 ; wire VAR5; or VAR1 (VAR12 , VAR15, VAR10, VAR2 ); and VAR16 (VAR4 , VAR12, VAR9, VAR3 ); VAR13 VAR7 (VAR5, VAR4, VAR11, VAR6); buf VAR14 (VAR8 , VAR5 ); endmodule
apache-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/source/pcieCore_pcie_bram_7x.v
8,920
module MODULE1 parameter [3:0] VAR20 = 4'h1, parameter [5:0] VAR1 = 6'h08, parameter VAR22 = "VAR14", parameter VAR28 = 0, parameter VAR4 = 0 ) ( input VAR26, input VAR25, input VAR7, input [12:0] VAR27, input [VAR4 - 1:0] VAR29, input VAR24, input VAR6, input [12:0] VAR11, output [VAR4 - 1:0] VAR5 ); localparam VAR2 = ((VAR4 == 4) ? 12 : (VAR4 == 9) ? 11 : (VAR4 == 18) ? 10 : (VAR4 == 36) ? 9 : 8 ); localparam VAR21 = ((VAR4 == 4) ? 2 : (VAR4 == 9) ? 3 : (VAR4 == 18) ? 4 : (VAR4 == 36) ? 5 : 0 ); localparam VAR10 = ((VAR4 == 4) ? 3 : (VAR4 == 9) ? 7 : (VAR4 == 18) ? 15 : (VAR4 == 36) ? 31 : 63 ); localparam VAR13 = VAR10 + 1; localparam VAR16 = ((VAR4 == 4) ? 4 : (VAR4 == 9) ? 8 : (VAR4 == 18) ? 17 : (VAR4 == 36) ? 35 : 71 ); localparam VAR15 = VAR16 - VAR13 + 1; localparam VAR3 = ((VAR4 == 72) && (!((VAR20 == 4'h2) && (VAR1 == 6'h08)))) ? "VAR19" : ((VAR20 == 4'h2) && (VAR1 == 6'h08)) ? "VAR19" : "VAR9"; localparam VAR12 = (VAR22 == "VAR14") ? "7SERIES" : "VAR8"; localparam VAR18 = "36Kb"; localparam VAR23 =(VAR12 == "VAR17" || VAR12 == "VAR8" || VAR12 == "7SERIES") ? ((VAR4 <= 9) ? 1 : (VAR4 > 9 && VAR4 <= 18) ? 2 : (VAR4 > 18 && VAR4 <= 36) ? 4 : (VAR4 > 36 && VAR4 <= 72) ? 8 : (VAR18 == "18Kb") ? 4 : 8 ) : 8; begin
gpl-2.0
luebbers/reconos
support/refdesigns/9.2/xup/opb_eth_tft_cf/pcores/opb_ps2_dual_ref_v1_00_a/hdl/verilog/opb_ipif_slv_ps2_reg_dual.v
10,075
module MODULE1 ( VAR30, VAR41, VAR13, VAR2, VAR22, VAR20, VAR16, VAR31, VAR38, VAR26, VAR17, VAR10, VAR12, VAR33, VAR35, VAR32, VAR8, VAR18, VAR36, VAR19, VAR37, VAR23, VAR28, VAR14, VAR11, VAR24, VAR40, VAR29, VAR25 ); input [0:31] VAR30; input [0:3] VAR41; input VAR13; input [0:31] VAR2; input VAR22; input VAR20; input VAR16; input VAR31; output [0:31] VAR38; output VAR26; output VAR17; output VAR10; output VAR12; output VAR33; output VAR35; output VAR32; input [0:7] VAR8; input VAR18; input VAR36; input VAR19; input VAR37; input VAR23; input VAR28; input VAR14; output [0:31] VAR11; output [0:15] VAR24; output [0:7] VAR40; output VAR29; output VAR25; parameter VAR15 = 32'ha9000000; wire VAR39; reg VAR7; wire VAR6; reg [0:31] VAR11; reg [0:3] VAR34; reg [0:7] VAR40; reg [0:15] VAR24; reg VAR9; reg VAR4; reg VAR3; reg VAR5; reg VAR27; reg VAR1; reg [0:7] VAR21; reg VAR17; assign VAR39 = (VAR30[0:18] == VAR15[31:13]) & (VAR30[20:25] == VAR15[11:6]); assign VAR6 = ~VAR16 | VAR33 | VAR10 | VAR20; always @(posedge VAR13) if (VAR6) VAR7 <= 1'b0; else VAR7 <= VAR39; always @(posedge VAR13) VAR27 <= VAR22; assign VAR33 = VAR9; assign VAR12 = VAR3; assign VAR10 = VAR4; always @(posedge VAR13) begin VAR11 <= VAR30; VAR34 <= VAR41; VAR40 <= VAR2[0:7]; end always @(posedge VAR13) if (VAR20 | ~VAR16 | ~VAR7 | VAR5) begin VAR9 <= 1'b0; VAR3 <= 1'b0; VAR4 <= 1'b0; VAR5 <= 1'b0; end else begin VAR9 <= ((VAR27)? VAR36 : VAR23) & VAR39; VAR3 <= VAR37; VAR4 <= VAR19 & VAR39; VAR5 <= ((VAR27)? VAR36 : VAR23) | VAR19; end always @(posedge VAR13) begin VAR24[0] <= {VAR30[19], VAR30[27:29]} == 4'h0; VAR24[1] <= {VAR30[19], VAR30[27:29]} == 4'h1; VAR24[2] <= {VAR30[19], VAR30[27:29]} == 4'h2; VAR24[3] <= {VAR30[19], VAR30[27:29]} == 4'h3; VAR24[4] <= {VAR30[19], VAR30[27:29]} == 4'h4; VAR24[5] <= {VAR30[19], VAR30[27:29]} == 4'h5; VAR24[6] <= {VAR30[19], VAR30[27:29]} == 4'h6; VAR24[7] <= {VAR30[19], VAR30[27:29]} == 4'h7; VAR24[8] <= {VAR30[19], VAR30[27:29]} == 4'h8; VAR24[9] <= {VAR30[19], VAR30[27:29]} == 4'h9; VAR24[10] <= {VAR30[19], VAR30[27:29]} == 4'hA; VAR24[11] <= {VAR30[19], VAR30[27:29]} == 4'hB; VAR24[12] <= {VAR30[19], VAR30[27:29]} == 4'hC; VAR24[13] <= {VAR30[19], VAR30[27:29]} == 4'hD; VAR24[14] <= {VAR30[19], VAR30[27:29]} == 4'hE; VAR24[15] <= {VAR30[19], VAR30[27:29]} == 4'hF; end always @(posedge VAR13) VAR1 <= VAR7 & ~VAR5; assign VAR29 = VAR7 & ~VAR5 & VAR27 & ~VAR1; assign VAR25 = VAR7 & ~VAR5 & ~VAR27 & ~VAR1; always @(posedge VAR13) if ( ~VAR36 | VAR20) VAR21[0:7] <= 8'h00; else VAR21[0:7] <= VAR8[0:7]; assign VAR38 = {VAR21, 24'h0}; assign VAR26 = 1'b1; always @(posedge VAR13) if (VAR20 | ((VAR27)? ~VAR36 : ~VAR23)) VAR17 <= 1'b0; else VAR17 <= VAR7 & ~VAR5 & VAR18; assign VAR35 = VAR28; assign VAR32 = VAR14; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/and3/sky130_fd_sc_hvl__and3.pp.symbol.v
1,284
module MODULE1 ( input VAR2 , input VAR7 , input VAR5 , output VAR6 , input VAR8 , input VAR1, input VAR3, input VAR4 ); endmodule
apache-2.0
cpulabs/mist1032sa
src/dps/dps_irq.v
3,225
module MODULE1( input wire VAR2, input wire VAR13, input wire VAR10, input wire [1:0] VAR8, input wire VAR19, input wire VAR3, input wire [1:0] VAR1, input wire VAR24, output wire VAR11, input wire VAR20, output wire VAR7, output wire VAR23, output wire VAR9, input wire VAR25 ); integer VAR21; reg VAR5[0:3]; reg VAR4[0:3]; reg [1:0] VAR15[0:3]; always@(posedge VAR2 or negedge VAR13)begin if(!VAR13)begin for(VAR21 = 0; VAR21 < 2; VAR21 = VAR21 + 1)begin VAR4 [VAR21] <= 1'b0; VAR5 [VAR21] <= 1'b0; if(VAR22)begin VAR15 [VAR21] <= 2'h0; end end end else begin if(VAR10)begin VAR5 [VAR8] <= VAR19; VAR4 [VAR8] <= VAR3; VAR15 [VAR8] <= VAR1; end end end reg VAR17; reg VAR14; always @* begin if(VAR24 && (!VAR4[0] || (VAR4[0] && VAR5[0])))begin VAR17 <= 1'b1; VAR14 <= 1'b0; end else if(VAR20 && (!VAR4[1] || (VAR4[1] && VAR5[1])))begin VAR17 <= 1'b1; VAR14 <= 1'b1; end else begin VAR17 <= 1'b0; VAR14 <= 1'b0; end end localparam VAR18 = 1'b0; localparam VAR6 = 1'b1; reg VAR16; reg VAR12; always@(posedge VAR2 or negedge VAR13)begin if(!VAR13)begin VAR16 <= VAR18; VAR12 <= 1'b0; end else begin case(VAR16) VAR18: begin VAR12 <= VAR14; if(VAR17)begin VAR16 <= VAR6; end end VAR6: begin if(VAR25)begin VAR16 <= VAR18; end end endcase end end assign VAR11 = (VAR16 == VAR18)? ((VAR14 == 1'b0) && VAR17) : 1'b0; assign VAR7 = (VAR16 == VAR18)? ((VAR14 == 1'b1) && VAR17) : 1'b0; assign VAR23 = (VAR16 == VAR6)? 1'b1 : 1'b0; assign VAR9 = VAR12; endmodule
bsd-2-clause
Cognoscan/BoostLogic
verilog/src/primitives/SmallSerializer.v
1,984
module MODULE1 #( parameter VAR36 = "VAR27", parameter VAR26 = "VAR21", parameter VAR15 = "VAR21", parameter integer VAR20 = 2, parameter VAR6 = "VAR34", parameter VAR25 = "VAR9", parameter integer VAR16 = 0 ) ( input wire VAR3, input wire VAR32, input wire VAR38, input wire VAR23, input wire VAR37, input wire VAR24, input wire VAR13, input wire VAR28, input wire VAR5, input wire VAR33, input wire VAR18, input wire VAR17, input wire VAR39, input wire VAR30, input wire VAR19, input wire VAR11, input wire VAR2, input wire VAR7, input wire VAR1, input wire VAR31, output wire VAR14, output wire VAR22, output wire VAR35, output wire VAR8, output wire VAR10, output wire VAR4 ); VAR29 #( .VAR36(VAR36), .VAR26 (VAR26 ), .VAR15 (VAR15 ), .VAR20 (VAR20 ), .VAR6 (VAR6 ), .VAR25 (VAR25 ), .VAR16 (VAR16 ) ) VAR12 ( .VAR14(VAR14), .VAR22(VAR22), .VAR35(VAR35), .VAR8(VAR8), .VAR10(VAR10), .VAR4(VAR4), .VAR3(VAR3), .VAR32(VAR32), .VAR38(VAR38), .VAR23(VAR23), .VAR37(VAR37), .VAR24(VAR24), .VAR13(VAR13), .VAR28(VAR28), .VAR5(VAR5), .VAR33(VAR33), .VAR18(VAR18), .VAR17(VAR17), .VAR39(VAR39), .VAR30(VAR30), .VAR19(VAR19), .VAR11(VAR11), .VAR2(VAR2), .VAR7(VAR7), .VAR1(VAR1), .VAR31(VAR31) ); endmodule
apache-2.0
peteg944/music-fpga
Experimental/Zedboard UART/ADAU1761Top.v
3,613
module MODULE1( input clk, input VAR6, input VAR17, input VAR3, input VAR33, output VAR18, output VAR8, output VAR28, output VAR9, output VAR32, inout VAR10, input [3:0] VAR30, output [2:0] VAR5, output [2:0] VAR13, output [3:0] VAR23, output VAR7, output VAR31, output VAR34, output VAR14, output VAR21, output VAR1, input VAR16, input rst, output [7:0] VAR26, output VAR27, input VAR29, input VAR2, input VAR12 ); wire VAR25, VAR19, VAR20, VAR22, VAR15, VAR24; reg [3:0] VAR11; reg VAR4;
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a2bb2oi/sky130_fd_sc_ms__a2bb2oi.symbol.v
1,460
module MODULE1 ( input VAR9, input VAR3, input VAR4 , input VAR1 , output VAR2 ); supply1 VAR8; supply0 VAR5; supply1 VAR7 ; supply0 VAR6 ; endmodule
apache-2.0
lokisz/openzcore
pippo-0.9/rtl/verilog/pippo_lsu.v
9,864
module MODULE1( clk, rst, VAR24, VAR43, VAR28, VAR12, VAR38, VAR26, VAR35, VAR11, VAR20, VAR29, VAR8, VAR36, VAR19, VAR27, VAR46, VAR39, VAR40, VAR13, VAR22, VAR21, VAR45, VAR2, VAR25, VAR41 ); parameter VAR4 = VAR15; input clk; input rst; input [31:0] VAR43; input [31:0] VAR28; input VAR12; input [VAR4-1:0] VAR38; input [VAR23-1:0] VAR24; input VAR29; input VAR8; output [VAR4-1:0] VAR26; output [31:0] VAR20; output VAR35; output VAR11; output VAR46; output VAR39; input VAR36; output [3:0] VAR19; output VAR27; output [31:0] VAR40; output VAR13; output VAR22; output [3:0] VAR21; output [31:0] VAR45; input [31:0] VAR2; input VAR25; input VAR41; reg [3:0] VAR21; wire [31:0] VAR20; wire [3:0] VAR42; wire VAR34; assign VAR20 = VAR12 ? VAR28 : (VAR43 + VAR28) ; assign VAR13 = (!VAR25) & ((|VAR42) & !VAR8 | VAR34) & (!VAR46); assign VAR40 = VAR20; assign VAR22 = (VAR42[3] & !VAR8) | VAR34; always @(VAR42 or VAR40) casex({VAR42, VAR40[1:0]}) {VAR14, 2'b00} : VAR21 = 4'b1000; {VAR14, 2'b01} : VAR21 = 4'b0100; {VAR14, 2'b10} : VAR21 = 4'b0010; {VAR14, 2'b11} : VAR21 = 4'b0001; {VAR7, 2'b00}, {VAR32, 2'b00} : VAR21 = 4'b1100; {VAR7, 2'b10}, {VAR32, 2'b10} : VAR21 = 4'b0011; {VAR6, 2'b00}, {VAR31, 2'b00} : VAR21 = 4'b1111; {VAR33, 2'b00} : VAR21 = 4'b1000; {VAR33, 2'b01} : VAR21 = 4'b0100; {VAR33, 2'b10} : VAR21 = 4'b0010; {VAR33, 2'b11} : VAR21 = 4'b0001; {VAR5, 2'b00}, {VAR18, 2'b00}, {VAR9, 2'b00} : VAR21 = 4'b1100; {VAR5, 2'b10}, {VAR18, 2'b10}, {VAR9, 2'b10} : VAR21 = 4'b0011; {VAR16, 2'b00}, {VAR10, 2'b00} : VAR21 = 4'b1111; default : VAR21 = 4'b1111; endcase assign VAR35 = (|VAR42) & !VAR25; assign VAR11 = (|VAR42) & VAR25; reg VAR37; always @(posedge clk or posedge rst) begin if(rst) begin VAR37 <= 1'b0; end else begin if (VAR29) VAR37 <= 1'b1; end else if(VAR37 & VAR8) VAR37 <= 1'b0; end end assign VAR34 = VAR37 & VAR8; reg VAR44; assign VAR27 = VAR8 & VAR25; always @(posedge clk or posedge rst) begin if(rst) begin VAR44 <= 1'b0; end else begin if (VAR8) VAR44 <= VAR37; end end assign VAR19 = {2'b00, VAR44, VAR36}; assign VAR42 = VAR24[3:0]; VAR3 VAR3( .addr(VAR40[1:0]), .VAR42(VAR42), .VAR1(VAR2), .VAR30(VAR26) ); VAR17 VAR17( .addr(VAR40[1:0]), .VAR42(VAR42), .VAR30(VAR38), .VAR1(VAR45) ); assign VAR46 = ((VAR42 == VAR7) | (VAR42 == VAR32) | (VAR42 == VAR5) | (VAR42 == VAR9) | (VAR42 == VAR18)) & VAR40[0] | ((VAR42 == VAR6) | (VAR42 == VAR31) | (VAR42 == VAR16) | (VAR42 == VAR10)) & |VAR40[1:0]; assign VAR39 = VAR25 & VAR41; endmodule
gpl-2.0
audiocircuit/NCSU-Low-Power-RFID
clock.v
1,067
module MODULE1( input wire clk, input wire reset, input wire VAR3, output reg VAR4, output reg VAR2 ); reg [8:0] VAR5; reg [8:0] VAR6; reg [8:0] VAR1; always@(posedge clk or negedge reset) begin if( ~reset ) begin VAR4 <= 1; VAR2 <= 0; VAR5 <= 0; VAR6 <= 63; VAR1 <= 127; end else if( VAR3 ) begin VAR1 <= VAR1; if( VAR5 < VAR1 ) begin VAR5 <= VAR5 + 1; VAR4 <= VAR4; end else begin VAR4 <= !VAR4; VAR5 <= 0; end if( VAR6 < VAR1 ) begin VAR6 <= VAR6 + 1; VAR2 <= VAR2; end else begin VAR2 <= !VAR2; VAR6 <= 0; end end end endmodule
gpl-3.0
peteasa/parallella-fpga
AdaptevaLib/elink-gold/axi_slave_wr.v
15,054
module MODULE1 ( VAR42, VAR18, VAR30, VAR50, VAR93, VAR16, VAR84, VAR54, VAR46, VAR2, VAR29, VAR75, VAR80, VAR43, reset, VAR98, VAR82, VAR27, VAR85, VAR60, VAR64, VAR102, VAR57, VAR10, VAR68, VAR14, VAR41, VAR65, VAR35, VAR71, VAR44 ); parameter VAR92 = 12; parameter VAR94 = 32; parameter VAR63 = 32; parameter VAR5 = 8; parameter VAR51 = VAR94+VAR92+5; parameter VAR47 = 4; parameter VAR58 = 4; parameter VAR6 = 4; parameter VAR77 = VAR63+1; input VAR80; input VAR43; input reset; input [VAR92-1:0] VAR98; input [VAR94-1:0] VAR82; input [3:0] VAR27; input [2:0] VAR85; input [1:0] VAR60; input [1:0] VAR64; input [3:0] VAR102; input [2:0] VAR57; input VAR10; input [VAR92-1:0] VAR68; input [VAR63-1:0] VAR14; input [3:0] VAR41; input VAR65; input VAR35; input VAR71; input VAR44; output VAR42; output VAR18; output [VAR92-1:0] VAR30; output [1:0] VAR50; output VAR93; output VAR16; output VAR84; output [1:0] VAR54; output [3:0] VAR46; output [31:0] VAR2; output [31:0] VAR29; output [31:0] VAR75; reg VAR101; reg [VAR77-1:0] VAR12; reg VAR70; reg [VAR77-1:0] VAR83; reg VAR39; reg VAR15; reg [VAR63-1:0] VAR62; reg [VAR94-1:0] VAR79; reg [1:0] VAR45; reg [VAR63-1:0] VAR37; reg [VAR92-1:0] VAR21; reg VAR66; wire VAR42; wire VAR86; wire [2:0] VAR78; wire VAR18; wire VAR22; wire [VAR77-1:0] VAR95; wire [VAR77-1:0] VAR11; wire VAR59; wire VAR9; wire VAR36; wire VAR88; wire VAR48; wire [VAR92-1:0] VAR3; wire [VAR92-1:0] VAR40; wire VAR103; wire VAR38; wire VAR91; wire VAR55; wire VAR100; wire VAR4; wire [VAR63-1:0] VAR89; wire [VAR63-1:0] VAR17; wire [VAR63-1:0] VAR1; wire VAR67; wire VAR23; wire VAR24; wire [VAR94-1:0] VAR52; wire [1:0] VAR33; wire [VAR92-1:0] VAR13; VAR32 VAR32( .VAR72 (VAR42), .VAR86 (VAR86), .VAR52 (VAR52[VAR94-1:0]), .VAR33 (VAR33[1:0]), .VAR78 (), .VAR23 (), .VAR24 (), .VAR81 (), .VAR99 (VAR13[VAR92-1:0]), .VAR76 (), .VAR74 (), .VAR80 (VAR80), .VAR43 (VAR43), .reset (reset), .VAR20 (VAR10), .addr (VAR82[VAR94-1:0]), .VAR34 (VAR98[VAR92-1:0]), .VAR87 (VAR27[3:0]), .VAR25 (VAR85[2:0]), .VAR97 (VAR60[1:0]), .VAR28 (VAR48), .VAR67 (VAR67), .VAR39 (VAR88)); assign VAR18 = ~VAR9; assign VAR22 = VAR35 & VAR18; assign VAR95[VAR77-1:0] = {VAR14[VAR63-1:0],VAR65}; assign VAR88 = ~(VAR59 | VAR86 | VAR67); always @ (posedge VAR80) if(~VAR9) VAR12[VAR77-1:0] <= VAR95[VAR77-1:0]; always @ (posedge VAR80 or posedge reset) if(reset) VAR70 <= 1'b0; else if(~VAR9) VAR70 <= VAR22; assign VAR36 = VAR70 & ~VAR9; assign VAR48 = VAR88 & VAR11[0]; always @ (posedge VAR43 or posedge reset) if(reset) VAR101 <= 1'b0; else if(~VAR67) VAR101 <= VAR48; VAR90 #(.VAR19(VAR77), .VAR26(VAR58)) VAR69( .VAR53 (VAR11[VAR77-1:0]), .VAR56 (VAR59), .VAR31 (VAR9), .reset (reset), .VAR8 (VAR80), .VAR49 (VAR43), .VAR61 (VAR36), .VAR96 (VAR12[VAR77-1:0]), .VAR7 (VAR88)); always @ (posedge VAR43) if(~VAR67) VAR83[VAR77-1:0] <= VAR11[VAR77-1:0]; always @ (posedge VAR43 or posedge reset) if(reset) begin VAR39 <= 1'b0; VAR15 <= 1'b0; end else if(~VAR67) begin VAR39 <= VAR88; VAR15 <= VAR39; end assign VAR89[VAR63-1:0] = VAR83[VAR77-1:VAR77-VAR63]; always @ (VAR78[1:0] or VAR89[31:0]) begin casez (VAR78[1:0]) 2'b00 : VAR37[VAR63-1:0] = {{(VAR63-8){1'b0}},VAR89[7:0]}; 2'b01 : VAR37[VAR63-1:0] = {{(VAR63-8){1'b0}},VAR89[15:8]}; 2'b10 : VAR37[VAR63-1:0] = {{(VAR63-8){1'b0}},VAR89[23:16]}; 2'b11 : VAR37[VAR63-1:0] = {{(VAR63-8){1'b0}},VAR89[31:24]}; default: VAR37[VAR63-1:0] = {{(VAR63-8){1'b0}},VAR89[7:0]}; endcase end assign VAR17[VAR63-1:0] = VAR78[1] ? {{(VAR63/2){1'b0}},VAR89[31:16]} : {{(VAR63/2){1'b0}},VAR89[15:0]}; assign VAR1[VAR63-1:0] = VAR23 ? VAR37[VAR63-1:0] : VAR24 ? VAR17[VAR63-1:0] : VAR89[VAR63-1:0]; assign VAR23 = (VAR33[1:0] == 2'b00); assign VAR24 = (VAR33[1:0] == 2'b01); assign VAR78[2:0] = VAR52[2:0]; always @ (posedge VAR43) if(VAR39 & ~VAR67) begin VAR62[VAR63-1:0] <= VAR1[VAR63-1:0]; VAR79[VAR94-1:0] <= VAR52[VAR94-1:0]; VAR45[1:0] <= VAR33[1:0]; end assign VAR2[31:0] = VAR79[VAR94-1:0]; assign VAR29[31:0] = {(32){1'b0}}; assign VAR75[31:0] = VAR62[31:0]; assign VAR54[1:0] = VAR45[1:0]; assign VAR46[3:0] = 4'b0000; assign VAR84 = 1'b1; assign VAR16 = VAR15 & ~VAR67; assign VAR30[VAR92-1:0] = VAR21[VAR92-1:0]; assign VAR50[1:0] = 2'b00; assign VAR93 = ~VAR66; assign VAR4 = VAR93 & VAR71; assign VAR3[VAR92-1:0] = VAR13[VAR92-1:0]; assign VAR91 = VAR101 & ~VAR67; assign VAR55 = ~VAR103 & (~VAR93 | VAR4); assign VAR100 = VAR4 | ~VAR93; VAR90 #(.VAR19(VAR92), .VAR26(VAR6)) VAR73( .VAR53 (VAR40[VAR92-1:0]), .VAR56 (VAR103), .VAR31 (VAR38), .reset (reset), .VAR8 (VAR43), .VAR49 (VAR80), .VAR61 (VAR91), .VAR96 (VAR3[VAR92-1:0]), .VAR7 (VAR55)); always @ (posedge VAR80 or posedge reset) if(reset) VAR66 <= 1'b1; else if(VAR100) VAR66 <= VAR103; always @ (posedge VAR80) if (VAR100) VAR21[VAR92-1:0] <= VAR40[VAR92-1:0]; assign VAR67 = VAR44 | VAR38; endmodule
lgpl-3.0
XCopter-HSU/XCopter
documentations/Bumblebee_Documentation/SoPC/NIOS_MCAPI_Base_v07/soc_system/synthesis/submodules/soc_system_cpu_s1_jtag_debug_module_wrapper.v
10,200
module MODULE1 ( VAR36, VAR12, clk, VAR35, VAR50, VAR7, VAR1, VAR55, VAR41, VAR19, VAR4, VAR53, VAR30, VAR43, VAR18, VAR20, VAR44, VAR23, VAR34, VAR51, VAR26, VAR3, VAR33, VAR39, VAR59, VAR21, VAR28, VAR17, VAR56, VAR37, VAR48, VAR8, VAR2, VAR6, VAR52, VAR25 ) ; output [ 37: 0] VAR26; output VAR3; output VAR33; output VAR39; output VAR59; output VAR21; output VAR28; output VAR17; output VAR56; output VAR37; output VAR48; output VAR8; output VAR2; output VAR6; output VAR52; output VAR25; input [ 31: 0] VAR36; input [ 31: 0] VAR12; input clk; input VAR35; input VAR50; input VAR7; input VAR1; input VAR55; input VAR41; input VAR19; input VAR4; input VAR53; input VAR30; input [ 35: 0] VAR43; input VAR18; input [ 6: 0] VAR20; input VAR44; input VAR23; input VAR34; input VAR51; wire [ 37: 0] VAR26; wire VAR3; wire [ 37: 0] VAR13; wire VAR33; wire VAR39; wire VAR59; wire VAR21; wire VAR28; wire VAR17; wire VAR56; wire VAR37; wire VAR48; wire VAR8; wire VAR2; wire VAR6; wire VAR52; wire VAR25; wire VAR40; wire [ 1: 0] VAR45; wire [ 1: 0] VAR15; wire VAR31; wire VAR60; wire VAR11; wire VAR5; wire VAR49; wire VAR54; wire VAR10; VAR38 VAR29 ( .VAR36 (VAR36), .VAR12 (VAR12), .VAR35 (VAR35), .VAR50 (VAR50), .VAR7 (VAR7), .VAR1 (VAR1), .VAR55 (VAR55), .VAR32 (VAR45), .VAR22 (VAR15), .VAR3 (VAR3), .VAR27 (VAR31), .VAR41 (VAR41), .VAR19 (VAR19), .VAR4 (VAR4), .VAR53 (VAR53), .VAR13 (VAR13), .VAR33 (VAR33), .VAR14 (VAR11), .VAR58 (VAR5), .VAR47 (VAR49), .VAR30 (VAR30), .VAR43 (VAR43), .VAR18 (VAR18), .VAR20 (VAR20), .VAR44 (VAR44), .VAR23 (VAR23), .VAR34 (VAR34), .VAR51 (VAR51), .VAR24 (VAR40), .VAR9 (VAR60), .VAR46 (VAR10) ); VAR57 VAR42 ( .clk (clk), .VAR32 (VAR45), .VAR26 (VAR26), .VAR13 (VAR13), .VAR39 (VAR39), .VAR59 (VAR59), .VAR21 (VAR21), .VAR28 (VAR28), .VAR17 (VAR17), .VAR56 (VAR56), .VAR37 (VAR37), .VAR48 (VAR48), .VAR8 (VAR8), .VAR2 (VAR2), .VAR6 (VAR6), .VAR52 (VAR52), .VAR25 (VAR25), .VAR16 (VAR54), .VAR46 (VAR10) ); assign VAR11 = 1'b0; assign VAR5 = 1'b0; assign VAR60 = 1'b0; assign VAR40 = 1'b0; assign VAR31 = 1'b0; assign VAR10 = 1'b0; assign VAR54 = 1'b0; assign VAR45 = 2'b0; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a221oi/sky130_fd_sc_ls__a221oi_4.v
2,457
module MODULE1 ( VAR12 , VAR2 , VAR10 , VAR9 , VAR3 , VAR11 , VAR7, VAR4, VAR6 , VAR8 ); output VAR12 ; input VAR2 ; input VAR10 ; input VAR9 ; input VAR3 ; input VAR11 ; input VAR7; input VAR4; input VAR6 ; input VAR8 ; VAR5 VAR1 ( .VAR12(VAR12), .VAR2(VAR2), .VAR10(VAR10), .VAR9(VAR9), .VAR3(VAR3), .VAR11(VAR11), .VAR7(VAR7), .VAR4(VAR4), .VAR6(VAR6), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR12 , VAR2, VAR10, VAR9, VAR3, VAR11 ); output VAR12 ; input VAR2; input VAR10; input VAR9; input VAR3; input VAR11; supply1 VAR7; supply0 VAR4; supply1 VAR6 ; supply0 VAR8 ; VAR5 VAR1 ( .VAR12(VAR12), .VAR2(VAR2), .VAR10(VAR10), .VAR9(VAR9), .VAR3(VAR3), .VAR11(VAR11) ); endmodule
apache-2.0
FPGA1988/udp_ip_stack
Network/udp_ip_core/trunk/ic/digital/rtl/eth_tri_mode/TECH/xilinx/CLK_DIV2.v
3,598
module MODULE1 ( input VAR3, input VAR1, output reg VAR2 ); always @ (posedge VAR1 or posedge VAR3) if (VAR3) VAR2 <=0; else VAR2 <=!VAR2; endmodule
apache-2.0
jakubfi/mera400f
src/fps.v
8,641
module MODULE1( input VAR42, input VAR133, input VAR129, output VAR72, output VAR144, output VAR160, output VAR134, input VAR97, input VAR114, input VAR44, input VAR67, input VAR93, input VAR68, input VAR107, output VAR139, output VAR171, output 0f, input VAR117, input VAR64, input VAR165, input VAR21, output 0t, output VAR20, output VAR167, output VAR6, output VAR143, output VAR80, output VAR113, output VAR32, input VAR173, input VAR91, output VAR76, output VAR27, output VAR84, output VAR31, output VAR19, input VAR118, input VAR162, input VAR95, input VAR110, input VAR1, input VAR56, input VAR39, input VAR57, input VAR46, input VAR54, input VAR123, input VAR79, output VAR135, output VAR59, output VAR110, output VAR131, output VAR12, output VAR61, output VAR33, input VAR109, input VAR164, input VAR101, input VAR83, input VAR66, input VAR34, input VAR174, input VAR14, input VAR103, output VAR9, output VAR49, output VAR115, output VAR18, input VAR58, input VAR51, output VAR108, input VAR89, input VAR120, input VAR13, input VAR149, input VAR55, input VAR58, output VAR4, output VAR168, output VAR130, output VAR141, output VAR163, input VAR132, input VAR77, output VAR36, output VAR92, output 0d, output 0m, output VAR147, output VAR7, output VAR122, output VAR137, output VAR52, output VAR53, output VAR70, output VAR94, output 0zp, output VAR35, output VAR112, output VAR23 ); localparam VAR88 = 2'd0; localparam VAR43 = 2'd1; localparam VAR86 = 2'd2; localparam VAR152 = 2'd3; reg [0:1] VAR47; wire VAR11 = (VAR47 == VAR86); wire VAR62 = (VAR47 == VAR43); always @ (posedge VAR42) begin case (VAR47) VAR88: if (VAR67 & VAR107) VAR47 <= VAR43; VAR43: VAR47 <= VAR86; VAR86: VAR47 <= VAR152; VAR152: if (0f) VAR47 <= VAR88; endcase end wire VAR169 = VAR18 | VAR141 | VAR176 | (VAR58 & VAR154) | VAR130 | VAR4; wire VAR106 = VAR49 | VAR9 | VAR153; wire VAR104 = VAR115 | VAR168; wire VAR38 = VAR170 | VAR163; wire VAR116, VAR124; wire VAR65, VAR177; wire VAR73; VAR16 VAR60( .VAR42(VAR42), .VAR11(VAR11), .VAR44(VAR44), .VAR169(VAR169), .VAR106(VAR106), .VAR104(VAR104), .VAR38(VAR38), .VAR133(VAR133), .VAR129(VAR129), .VAR97(VAR97), .VAR154(VAR154), .VAR114(VAR114), .VAR107(VAR73), .VAR116(VAR116), .VAR124(VAR124), .VAR65(VAR65), .VAR177(VAR177), .VAR139(VAR139) ); assign VAR72 = VAR116; assign VAR144 = VAR124; assign VAR160 = VAR65; assign VAR134 = VAR177; wire VAR90 = ~VAR145 & VAR4; wire VAR75 = VAR90 | VAR44; localparam VAR166 = 2'd0; localparam VAR157 = 2'd1; localparam VAR148 = 2'd2; reg [0:1] VAR105; assign VAR171 = (VAR105 == VAR157); always @ (posedge VAR42) begin case (VAR105) VAR166: if (VAR73 & VAR75) VAR105 <= VAR157; VAR157: VAR105 <= VAR148; VAR148: if (~VAR93) VAR105 <= VAR166; endcase end assign 0f = VAR171 | ~VAR93; wire VAR161 = VAR62 & ~VAR13; wire VAR74 = VAR62 & VAR13; reg VAR154, VAR176, VAR170, VAR153; always @ (posedge VAR42, posedge 0f) begin if (0f) begin {VAR154, VAR115, VAR176, VAR18, VAR9, VAR49, VAR163, VAR141, VAR130, VAR168, VAR170, VAR153, VAR4} <= 0; end else begin if (VAR73) {VAR115, VAR18, VAR9, VAR49, VAR163, VAR141, VAR130, VAR168, VAR170, VAR153, VAR4} <= {VAR48, VAR26, VAR63, VAR158, VAR99, VAR29, VAR102, VAR28, VAR156, VAR82, VAR71}; if (VAR74) VAR176 <= 1'b1; end else if (VAR73) VAR176 <= VAR30; if (VAR161) VAR154 <= 1'b1; end else if (VAR73) VAR154 <= VAR172; end end assign VAR108 = VAR154; assign VAR137 = VAR4 | VAR176; assign VAR32 = VAR153 | VAR170; assign VAR20 = VAR176 | VAR154; wire VAR111 = VAR14 & VAR130; assign VAR113 = VAR116 & VAR115; assign VAR167 = VAR124 & ((VAR9 & ~VAR165) | VAR142 | ((VAR64 | VAR34) & ~VAR46 & VAR163) | VAR32); wire VAR142 = VAR45 & VAR20; wire VAR96 = VAR142 | VAR155; wire VAR125 = ((VAR21 | VAR100) & VAR20) | (VAR163 & VAR24) | VAR155 | VAR49; wire VAR140 = VAR155 | (VAR89 & VAR163) | (VAR127 & VAR20 & ~VAR21); assign VAR6 = VAR124 & VAR96; assign VAR143 = VAR124 & VAR140; assign VAR80 = VAR124 & VAR125; assign 0t = VAR11 | (VAR65 & ((VAR117 & VAR64 & VAR9) | (VAR34 & VAR18) | (VAR21 & VAR18) | (VAR18 & VAR173))); wire VAR151 = VAR141 & (VAR21 | VAR173 | VAR64); assign VAR31 = (VAR132 & VAR141) | VAR153; assign VAR27 = VAR111 | VAR170 | VAR151; assign VAR84 = VAR151 | VAR170; wire VAR98 = ~(VAR165 & ~VAR64); wire VAR81 = VAR14 & VAR89 & VAR163; wire VAR155 = VAR130 | VAR153 | VAR170 | (~VAR14 & VAR163) | (VAR98 & VAR141); assign VAR76 = VAR141 | VAR153 | VAR170 | VAR111; assign VAR19 = VAR116 & VAR141 & VAR165 & ~VAR64; wire VAR50 = VAR81 | ~VAR91 | VAR146; wire VAR10 = VAR64 | VAR162; wire VAR87 = ~VAR46 & VAR95; wire VAR150 = VAR54 & VAR109; wire VAR15 = VAR173 & ~VAR46; wire VAR3 = VAR163 & ~VAR89 & VAR14; wire VAR17 = (VAR10 & VAR87) | (~VAR109 & VAR54); wire VAR8 = (VAR21 & ~VAR1) | (VAR14 & VAR57); wire VAR175 = (~VAR39 & VAR15) | (VAR79) | (VAR54 & VAR109 & VAR57) | (~VAR46 & VAR123); wire VAR2 = VAR8 & VAR49; wire VAR138 = ~VAR110 | VAR81 | VAR118; wire VAR25 = (VAR56 & VAR150) | (VAR15 & VAR39); wire VAR146 = ~VAR8 & VAR49; assign VAR59 = VAR50 & ~VAR3 & ~VAR2; assign VAR110 = VAR138 & ~VAR79; assign VAR131 = VAR118 | VAR46 | VAR17 | VAR25; assign VAR61 = VAR3 | VAR2 | VAR33; assign VAR12 = VAR81 | VAR135; assign VAR33 = VAR2 | VAR175; assign VAR135 = VAR146 | VAR118 | VAR25 | VAR87; wire VAR145 = (~VAR45 & VAR103) | (VAR51 & VAR23); wire VAR41 = VAR18 & VAR159; wire VAR24 = VAR101 & VAR83; wire VAR40 = VAR9 | VAR141; wire VAR159 = VAR21 | VAR14; wire VAR128 = (~VAR14 & VAR127) | (VAR23 & VAR51); wire VAR172 = VAR154 & VAR128; wire VAR48 = VAR154 & ~VAR128; wire VAR30 = (VAR115 & ~(VAR21 & VAR120)) | (VAR176 & VAR145); wire VAR26 = VAR176 & (~VAR23 | (VAR45 & VAR159)); wire VAR63 = VAR18 & ~VAR120 & ~VAR174 & ~VAR34 & ~VAR13 & VAR51; wire VAR158 = VAR66 & ((VAR14 & VAR109 & VAR141) | (VAR141 & VAR21) | (VAR41 & ~VAR120)); wire VAR99 = (VAR46 & VAR168) | (VAR83 & VAR101 & VAR111) | (VAR18 & VAR34) | (VAR130 & VAR89) | (VAR40 & VAR66 & (VAR173 | (VAR54 & VAR109))) | (VAR40 & VAR165 & ~VAR109 & ~VAR174) | (VAR176 & VAR45 & VAR164); wire VAR119 = (VAR9 & VAR51) | (VAR18 & VAR159) | VAR141; wire VAR29 = (~VAR66 & ~VAR120 & VAR119 & VAR109) | (VAR159 & VAR109 & VAR49) | (VAR109 & VAR163); wire VAR102 = VAR141 & ~VAR109 & VAR132; wire VAR28 = VAR170 | (VAR153 & VAR58) | (~VAR89 & VAR54 & VAR130) | (VAR13 & VAR18 & ~VAR120) | (VAR51 & VAR163 & ~VAR109) | (~VAR109 & ~VAR66 & (VAR141 & VAR173)); wire VAR156 = VAR168 & VAR55; wire VAR82 = (VAR153 | VAR168) & VAR149; wire VAR37 = VAR49 | (~VAR66 & VAR141); wire VAR121 = VAR168 | VAR18 | (VAR21 & VAR115); wire VAR71 = (VAR121 & VAR120) | (VAR103 & VAR163) | (~VAR46 & VAR58 & VAR168) | (VAR145 & VAR4) | (VAR37 & VAR21 & ~VAR109) | (~VAR89 & VAR111 & ~VAR24) | (VAR174 & (VAR9 | VAR18)); assign VAR36 = (VAR173 & VAR9) | VAR163 | VAR170; assign VAR92 = (~VAR173 & VAR9) | VAR170; assign 0d = VAR65 & ((VAR9 & VAR64) | (VAR34 & VAR18)); assign 0m = (VAR65 & VAR130) | VAR11; assign VAR147 = VAR170 | (VAR165 & VAR141) | (VAR77 & VAR141); assign VAR7 = (VAR132 & VAR141) | VAR153; wire VAR22 = (VAR77 & VAR18) | VAR32 | VAR141; assign VAR122 = VAR22 & VAR124; wire VAR78 = VAR21 & VAR124 & VAR115; wire VAR5 = (VAR11 & VAR21) | (~VAR120 & VAR78); wire VAR136 = (VAR11 & ~VAR21) | (VAR115 & ~VAR21); wire VAR85 = (VAR78 & VAR120) | VAR163 | (VAR18 & ~VAR14) | (VAR18 & VAR120); wire VAR126 = VAR124 & ((VAR141 & VAR23 & VAR14) | VAR154 | VAR176 | VAR4); wire VAR100, VAR127, VAR45; VAR23 VAR69( .VAR42(VAR42), .VAR126(VAR126), .VAR5(VAR5), .VAR136(VAR136), .VAR85(VAR85), .out({VAR53, VAR52}), .VAR23(VAR23), .VAR100(VAR100), .VAR127(VAR127), .VAR45(VAR45) ); assign VAR70 = VAR4 & ~VAR52; assign VAR94 = VAR4 & (~VAR53 ^ VAR52); assign 0zp = VAR4 & VAR120 & VAR23; assign VAR35 = VAR4 & VAR23; assign VAR112 = VAR4 & ~VAR23; endmodule
gpl-2.0
plindstroem/oh
elink/hdl/etx_remap.v
1,785
module MODULE1 ( VAR11, VAR13, clk, reset, VAR5, VAR2, VAR8, VAR3, VAR12, VAR10 ); parameter VAR16 = 32; parameter VAR7 = 32; parameter VAR15 = 104; parameter VAR4 = 12'h808; input clk; input reset; input VAR5; input [VAR15-1:0] VAR2; input VAR8; input VAR3; output VAR11; output [VAR15-1:0] VAR13; input VAR12; input VAR10; wire [31:0] VAR1; wire [31:0] VAR9; wire [31:0] VAR6; wire VAR14; reg VAR11; reg [VAR15-1:0] VAR13; assign VAR1[31:0] = VAR2[39:8]; assign VAR14 = VAR2[1]; assign VAR9[31:0] = {VAR1[29:18], VAR1[17:16], {(2){(|VAR1[17:16])}}, VAR1[15:0] }; assign VAR6[31:0] = (VAR8 & ~VAR3) ? VAR9[31:0] : VAR1[31:0]; always @ (posedge clk) if((VAR14 & ~VAR10) | (~VAR14 & ~VAR12)) begin VAR11 <= VAR5; VAR13[VAR15-1:0] <= {VAR2[VAR15-1:40], VAR6[31:0], VAR2[7:0] }; end endmodule
gpl-3.0
smithe0/GestureControlInterface
DE2Component_FLASH/db/ip/niosII_system/submodules/altera_up_rs232_out_serializer.v
8,121
module MODULE1 ( clk, reset, VAR29, VAR22, VAR31, VAR10 ); parameter VAR30 = 9; parameter VAR2 = 433; parameter VAR27 = 216; parameter VAR18 = 11; parameter VAR25 = 9; input clk; input reset; input [VAR25: 0] VAR29; input VAR22; output reg [ 7: 0] VAR31; output reg VAR10; wire VAR24; wire VAR17; wire VAR11; wire VAR16; wire VAR23; wire [ 6: 0] VAR1; wire [VAR25: 0] VAR28; reg VAR12; reg [VAR25+1:0] VAR8; always @(posedge clk) begin if (reset) VAR31 <= 8'h00; end else VAR31 <= 8'h80 - {VAR23, VAR1}; end always @(posedge clk) begin if (reset) VAR10 <= 1'b1; end else VAR10 <= VAR8[0]; end always @(posedge clk) begin if (reset) VAR12 <= 1'b0; end else if (VAR17) VAR12 <= 1'b0; else if (VAR16 == 1'b0) VAR12 <= 1'b1; end always @(posedge clk) begin if (reset) VAR8 <= {(VAR25 + 2){1'b1}}; end else if (VAR11) VAR8 <= {VAR28, 1'b0}; else if (VAR24) VAR8 <= {1'b1, VAR8[VAR25+1:1]}; end assign VAR11 = ~VAR12 & ~VAR16 & ~VAR17; VAR7 VAR15 ( .clk (clk), .reset (reset), .VAR26 (~VAR12), .VAR3 (VAR24), .VAR21 (), .VAR17 (VAR17) ); VAR15.VAR30 = VAR30, VAR15.VAR2 = VAR2, VAR15.VAR27 = VAR27, VAR15.VAR18 = VAR18; VAR5 VAR14 ( .clk (clk), .reset (reset), .VAR19 (VAR22 & ~VAR23), .VAR13 (VAR29), .VAR4 (VAR11), .VAR16 (VAR16), .VAR23 (VAR23), .VAR32 (VAR1), .VAR6 (VAR28) ); VAR14.VAR25 = VAR25, VAR14.VAR20 = 128, VAR14.VAR9 = 6; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/a2111o/sky130_fd_sc_hs__a2111o.functional.pp.v
1,971
module MODULE1 ( VAR13, VAR14, VAR7 , VAR9 , VAR15 , VAR1 , VAR2 , VAR4 ); input VAR13; input VAR14; output VAR7 ; input VAR9 ; input VAR15 ; input VAR1 ; input VAR2 ; input VAR4 ; wire VAR2 VAR3 ; wire VAR16 ; wire VAR8; and VAR10 (VAR3 , VAR9, VAR15 ); or VAR5 (VAR16 , VAR2, VAR1, VAR3, VAR4 ); VAR11 VAR6 (VAR8, VAR16, VAR13, VAR14); buf VAR12 (VAR7 , VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/nor4/sky130_fd_sc_hdll__nor4.behavioral.v
1,433
module MODULE1 ( VAR5, VAR4, VAR9, VAR1, VAR7 ); output VAR5; input VAR4; input VAR9; input VAR1; input VAR7; supply1 VAR10; supply0 VAR3; supply1 VAR6 ; supply0 VAR12 ; wire VAR11; nor VAR2 (VAR11, VAR4, VAR9, VAR1, VAR7 ); buf VAR8 (VAR5 , VAR11 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/addf/gf180mcu_fd_sc_mcu9t5v0__addf_1.behavioral.v
3,096
module MODULE1( VAR7, VAR6, VAR4, VAR8, VAR2 ); input VAR6, VAR8, VAR4; output VAR2, VAR7; VAR1 VAR3(.VAR7(VAR7),.VAR6(VAR6),.VAR4(VAR4),.VAR8(VAR8),.VAR2(VAR2)); VAR1 VAR5(.VAR7(VAR7),.VAR6(VAR6),.VAR4(VAR4),.VAR8(VAR8),.VAR2(VAR2));
apache-2.0
DeadWitcher/amber-de0-nano
hw/vlog/ethmac/eth_miim.v
15,965
module MODULE1 ( VAR7, VAR10, VAR35, VAR1, VAR43, VAR57, VAR62, VAR49, VAR2, VAR6, VAR13, VAR17, VAR21, VAR59, VAR45, VAR37, VAR4, VAR46, VAR60, VAR14, VAR55 ); input VAR7; input VAR10; input [7:0] VAR35; input [15:0] VAR43; input [4:0] VAR57; input [4:0] VAR62; input VAR1; input VAR49; input VAR2; input VAR6; input VAR13; output VAR59; output VAR17; output VAR21; output VAR45; output VAR4; output VAR46; output [15:0] VAR37; output VAR60; output VAR14; output VAR55; parameter VAR34 = 1; reg VAR46; reg VAR61; reg VAR56; reg VAR12; reg VAR32; reg VAR3; reg VAR60; reg VAR31; reg VAR11; reg VAR27; reg VAR36; reg VAR33; reg VAR40; reg VAR14; reg VAR50; reg VAR8; reg VAR25; reg VAR48; reg VAR52; wire VAR44; wire VAR41; wire VAR54; wire VAR15; wire VAR63; reg VAR26; reg VAR47; reg VAR23; reg VAR38; reg VAR5; reg [6:0] VAR58; wire [3:0] VAR18; wire VAR30; wire VAR39; wire VAR28; wire VAR64; wire VAR24; reg VAR9; reg VAR53; reg [1:0] VAR20; reg VAR55; always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) begin VAR61 <= #VAR34 1'b0; VAR56 <= #VAR34 1'b0; end else begin VAR61 <= #VAR34 ~VAR23 & VAR38; VAR56 <= #VAR34 VAR61; end end always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) VAR55 <= #VAR34 0; end else if(VAR56 & ~VAR31) VAR55 <= #VAR34 1; else VAR55 <= #VAR34 0; end always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) begin VAR12 <= #VAR34 1'b0; VAR32 <= #VAR34 1'b0; VAR3 <= #VAR34 1'b0; VAR36 <= #VAR34 1'b0; VAR33 <= #VAR34 1'b0; VAR40 <= #VAR34 1'b0; VAR25 <= #VAR34 1'b0; VAR48 <= #VAR34 1'b0; VAR52 <= #VAR34 1'b0; end else begin VAR12 <= #VAR34 VAR49; VAR32 <= #VAR34 VAR12; VAR3 <= #VAR34 VAR32; VAR36 <= #VAR34 VAR2; VAR33 <= #VAR34 VAR36; VAR40 <= #VAR34 VAR33; VAR25 <= #VAR34 VAR6; VAR48 <= #VAR34 VAR25; if(VAR30) VAR52 <= #VAR34 VAR48; end end always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) begin VAR60 <= #VAR34 1'b0; VAR31 <= #VAR34 1'b0; VAR14 <= #VAR34 1'b0; end else begin if(VAR56) begin VAR60 <= #VAR34 1'b0; VAR14 <= #VAR34 1'b0; end else begin if(VAR32 & ~VAR3) VAR60 <= #VAR34 1'b1; if(VAR33 & ~VAR40) VAR14 <= #VAR34 1'b1; VAR31 <= #VAR34 VAR60; end end end always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) VAR46 <= #VAR34 1'b0; end else begin if(~VAR23 & VAR38) begin VAR46 <= #VAR34 1'b0; end else begin if(VAR48 & ~VAR52) VAR46 <= #VAR34 1'b1; end end end always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) begin VAR11 <= #VAR34 1'b0; VAR27 <= #VAR34 1'b0; VAR50 <= #VAR34 1'b0; VAR8 <= #VAR34 1'b0; VAR47 <= #VAR34 1'b0; VAR23 <= #VAR34 1'b0; VAR38 <= #VAR34 1'b0; VAR53 <= #VAR34 1'b0; VAR9 <= #VAR34 1'b0; VAR20 <= #VAR34 2'b00; end else begin if(VAR30) begin VAR11 <= #VAR34 VAR60; VAR27 <= #VAR34 VAR11; VAR50 <= #VAR34 VAR14; VAR8 <= #VAR34 VAR50; VAR20[0] <= #VAR34 VAR53; VAR20[1] <= #VAR34 VAR9; VAR53 <= #VAR34 VAR24; VAR9 <= #VAR34 VAR64; VAR47 <= #VAR34 VAR26; VAR23 <= #VAR34 VAR47; VAR38 <= #VAR34 VAR23; end end end assign VAR44 = VAR11 & ~VAR27; assign VAR41 = VAR50 & ~VAR8; assign VAR54 = VAR52 & ~VAR26 & ~VAR47 & ~VAR23; assign VAR15 = VAR44 | VAR41 | VAR54; assign VAR45 = VAR49 | VAR60 | VAR2 | VAR14 | VAR52 | VAR56 | VAR26 | VAR38 | VAR46; always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) begin VAR26 <= #VAR34 1'b0; VAR5 <= #VAR34 1'b0; end else begin if(VAR30) begin if(VAR15) begin if(~VAR26) VAR5 <= #VAR34 VAR44; VAR26 <= #VAR34 1'b1; end else begin if(VAR63) begin VAR26 <= #VAR34 1'b0; VAR5 <= #VAR34 1'b0; end end end end end always @ (posedge VAR7 or posedge VAR10) begin if(VAR10) VAR58[6:0] <= #VAR34 7'h0; end else begin if(VAR30) begin if(VAR26) begin if(VAR1 & ( VAR58 == 7'h0 )) VAR58[6:0] <= #VAR34 7'h21; end else VAR58[6:0] <= #VAR34 VAR58[6:0] + 1'b1; end else VAR58[6:0] <= #VAR34 7'h0; end end end assign VAR63 = VAR58==63; assign VAR18[0] = VAR26 & ((VAR1 & (VAR58 == 7'h0)) | (~VAR1 & (VAR58 == 7'h20))); assign VAR18[1] = VAR26 & (VAR58 == 7'h28); assign VAR18[2] = VAR26 & VAR5 & (VAR58 == 7'h30); assign VAR18[3] = VAR26 & VAR5 & (VAR58 == 7'h38); assign VAR64 = VAR26 & ~VAR5 & VAR58 == 7'h37; assign VAR24 = VAR26 & ~VAR5 & VAR58 == 7'h3F; VAR19 VAR42(.VAR7(VAR7), .VAR10(VAR10), .VAR35(VAR35[7:0]), .VAR30(VAR30), .VAR28(VAR28), .VAR59(VAR59) ); VAR29 VAR16(.VAR7(VAR7), .VAR10(VAR10), .VAR28(VAR28), .VAR13(VAR13), .VAR62(VAR62), .VAR57(VAR57), .VAR43(VAR43), .VAR5(VAR5), .VAR18(VAR18), .VAR20(VAR20), .VAR39(VAR39), .VAR37(VAR37), .VAR4(VAR4) ); VAR22 VAR51(.VAR7(VAR7), .VAR10(VAR10), .VAR28(VAR28), .VAR26(VAR26), .VAR39(VAR39), .VAR58(VAR58), .VAR5(VAR5), .VAR1(VAR1), .VAR17(VAR17), .VAR21(VAR21) ); endmodule
lgpl-2.1
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/and4/sky130_fd_sc_lp__and4.symbol.v
1,288
module MODULE1 ( input VAR3, input VAR4, input VAR9, input VAR2, output VAR5 ); supply1 VAR6; supply0 VAR8; supply1 VAR1 ; supply0 VAR7 ; endmodule
apache-2.0
sergev/vak-opensource
hardware/vga512x256/vga.v
2,286
module MODULE1 ( input wire clk, input wire rst, output wire [12:0] VAR5, input wire [15:0] VAR17, output wire VAR8, output wire VAR10, output wire VAR12, output wire VAR7, output wire VAR6); parameter VAR3 = 50; parameter VAR13 = 92; parameter VAR15 = 512; parameter VAR16 = 36; parameter VAR1 = 4; parameter VAR9 = 61; parameter VAR14 = 512; parameter VAR2 = 31; reg [9:0] VAR4; reg [9:0] VAR11; reg [15:0] word; assign VAR8 = word[0]; assign VAR10 = word[0]; assign VAR12 = word[0]; assign VAR5 = { VAR11[8:1], VAR4[8:4] }; assign VAR7 = ~(VAR4 > (VAR15 + VAR16) && VAR4 <= (VAR15 + VAR16 + VAR3)); assign VAR6 = ~(VAR11 >= (VAR14 + VAR2) && VAR11 < (VAR14 + VAR2 + VAR1)); always @(posedge clk) begin if (rst || VAR4 == (VAR3 + VAR13 + VAR15 + VAR16 - 1)) VAR4 <= 0; end else VAR4 <= VAR4 + 1; if (rst) VAR11 <= 0; else if (VAR4 == (VAR3 + VAR13 + VAR15 + VAR16 - 1)) begin if (VAR11 == (VAR1 + VAR9 + VAR14 + VAR2 - 1)) VAR11 <= 0; end else VAR11 <= VAR11 + 1; end if (VAR4[3:0] == 1) begin if (VAR4 <= VAR15 && VAR11 < VAR14) word <= VAR17; end else word <= 0; end else word <= { 1'b0, word[15:1] }; end endmodule
apache-2.0
adbrant/zuma-fpga
verilog/platforms/xilinx/lut_xilinx.v
5,482
VAR42 module MODULE1( VAR41, VAR2, VAR23, clk, VAR47, VAR27); input [VAR42-1 : 0] VAR41; input [0 : 0] VAR2; input [VAR42-1 : 0] VAR23; input clk; input VAR47; output [0 : 0] VAR27; VAR9 #( .VAR8(6), .VAR30("0"), .VAR3(64), .VAR55("VAR19"), .VAR13(1), .VAR1(1), .VAR12(1), .VAR37(1), .VAR7(0), .VAR46(0), .VAR24(0), .VAR49(0), .VAR16(0), .VAR60(0), .VAR35(0), .VAR22(0), .VAR11(0), .VAR38(0), .VAR34(0), .VAR53(0), .VAR17(1), .VAR59("VAR10"), .VAR57(4), .VAR33(1), .VAR48(0), .VAR39(0), .VAR6(0), .VAR40(0), .VAR52(0), .VAR31(0), .VAR54(1), .VAR14(1)) VAR36 ( .VAR45(VAR41), .VAR51(VAR2), .VAR28(VAR23), .VAR15(clk), .VAR44(VAR47), .VAR25(VAR27), .VAR50(), .VAR20(), .VAR5(), .VAR18(), .VAR43(), .VAR56(), .VAR32(), .VAR58(), .VAR29(), .VAR26(), .VAR21(), .VAR4()); endmodule
bsd-2-clause
johan92/altera_opencl_sandbox
vector_add/bin_vector_add/system/synthesis/submodules/acl_ic_mem_router.v
5,808
module MODULE1 #( parameter integer VAR8 = 256, parameter integer VAR10 = 6, parameter integer VAR43 = 32, parameter integer VAR9 = VAR8 / 8, parameter integer VAR5 = 2 ) ( input logic VAR31, input logic VAR41, input logic [VAR5-1:0] VAR36, input logic VAR27, input logic VAR26, input logic VAR34, input logic VAR32, input logic [VAR8-1:0] VAR45, input logic [VAR10-1:0] VAR33, input logic [VAR43-1:0] VAR4, input logic [VAR9-1:0] VAR23, output logic VAR12, output logic VAR49, output logic VAR3, output logic [VAR8-1:0] VAR37, output logic VAR1 [VAR5], output logic VAR22 [VAR5], output logic VAR40 [VAR5], output logic VAR21 [VAR5], output logic [VAR8-1:0] VAR30 [VAR5], output logic [VAR10-1:0] VAR35 [VAR5], output logic [VAR43-VAR11(VAR5)-1:0] VAR6 [VAR5], output logic [VAR9-1:0] VAR42 [VAR5], input logic VAR2 [VAR5], input logic VAR48 [VAR5], input logic VAR44 [VAR5], input logic [VAR8-1:0] VAR13 [VAR5] ); integer VAR20; localparam VAR18=11; reg [VAR18-1:0] VAR38[VAR5]; logic [VAR5-1:0] VAR15; function [0:0] VAR39 ( input integer VAR20 ); VAR39 = ~|(VAR15 & ~({{VAR18-1{1'b0}},1'b1}<<VAR20)); endfunction VAR19 begin VAR12 = 1'b0; VAR49 = 1'b0; VAR3 = 1'b0; VAR37 = '0; for( VAR20 = 0; VAR20 < VAR5; VAR20 = VAR20 + 1 ) begin:VAR29 VAR1[VAR20] = VAR27; VAR22[VAR20] = VAR26 & VAR36[VAR20] & VAR39(VAR20); VAR40[VAR20] = VAR34 & VAR36[VAR20] & VAR39(VAR20); VAR21[VAR20] = VAR32 & VAR36[VAR20] & VAR39(VAR20); VAR30[VAR20] = VAR45; VAR35[VAR20] = VAR33; VAR6[VAR20] = VAR4[VAR43-VAR11(VAR5)-1:0]; VAR42[VAR20] = VAR23; VAR12 |= (VAR2[VAR20] | !VAR39(VAR20)) & VAR36[VAR20]; VAR49 |= VAR48[VAR20]; VAR3 |= VAR44[VAR20]; VAR37 |= (VAR44[VAR20] ? VAR13[VAR20] : '0); end end wire VAR7[VAR5]; wire VAR14[VAR5]; wire VAR16[VAR5]; wire VAR24[VAR5]; reg [VAR10-1:0] VAR25[VAR5]; reg [1:0] VAR46[VAR5]; reg [VAR5-1:0] VAR28; always@(posedge VAR31 or negedge VAR41) if (!VAR41) VAR28 <= {VAR5{1'b0}}; else VAR28 <= {VAR5{VAR26}} & VAR36; generate genvar VAR17; for ( VAR17 = 0; VAR17 < VAR5; VAR17 = VAR17 + 1 ) begin:VAR47 assign VAR7[VAR17] = VAR22[VAR17] & !VAR2[VAR17] & VAR40[VAR17]; assign VAR14[VAR17] = VAR22[VAR17] & !VAR2[VAR17] & VAR21[VAR17]; assign VAR16[VAR17] = VAR44[VAR17]; assign VAR24[VAR17] = VAR48[VAR17]; always@(posedge VAR31 or negedge VAR41) if (!VAR41) begin VAR25[VAR17] = {VAR10{1'b0}}; VAR46[VAR17] = 2'b0; end else begin if (VAR7[VAR17]) VAR25[VAR17] = VAR33; end else if (VAR14[VAR17]) VAR25[VAR17] = 2'b01; end else VAR25[VAR17] = {VAR10{1'b0}}; VAR46[VAR17] = VAR16[VAR17] + VAR24[VAR17]; end always@(posedge VAR31 or negedge VAR41) if (!VAR41) begin VAR38[VAR17] <= {VAR18{1'b0}}; end else begin VAR38[VAR17] <= VAR38[VAR17] + VAR25[VAR17] - VAR46[VAR17]; end VAR19 begin VAR15[VAR17] = |VAR38[VAR17] || VAR28[VAR17]; end end endgenerate endmodule
mit
petrmikheev/miksys
verilog/STARTUP_bb.v
4,990
module MODULE1 ( address, VAR2, VAR1); input [8:0] address; input VAR2; output [31:0] VAR1; tri1 VAR2; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/diode/sky130_fd_sc_hs__diode.behavioral.pp.v
1,200
module MODULE1 ( VAR5, VAR2 , VAR1 , VAR4 , VAR3 ); input VAR5; input VAR2 ; input VAR1 ; input VAR4 ; input VAR3 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/bufbuf/sky130_fd_sc_ms__bufbuf.behavioral.pp.v
1,768
module MODULE1 ( VAR1 , VAR6 , VAR11, VAR12, VAR9 , VAR2 ); output VAR1 ; input VAR6 ; input VAR11; input VAR12; input VAR9 ; input VAR2 ; wire VAR3 ; wire VAR4; buf VAR5 (VAR3 , VAR6 ); VAR8 VAR7 (VAR4, VAR3, VAR11, VAR12); buf VAR10 (VAR1 , VAR4 ); endmodule
apache-2.0
DreamSourceLab/DSLogic-hdl
src/ipcore_dir/cmd_fifo.v
13,795
module MODULE1( clk, rst, din, VAR81, VAR370, dout, VAR9, VAR256 ); input clk; input rst; input [7 : 0] din; input VAR81; input VAR370; output [7 : 0] dout; output VAR9; output VAR256; VAR293 #( .VAR33(0), .VAR96(0), .VAR272(0), .VAR282(0), .VAR398(0), .VAR338(0), .VAR36(0), .VAR217(32), .VAR191(1), .VAR260(1), .VAR180(1), .VAR319(64), .VAR252(4), .VAR1(1), .VAR160(0), .VAR360(1), .VAR288(64), .VAR355(4), .VAR270(8), .VAR86(4), .VAR229(4), .VAR136(4), .VAR10(0), .VAR320(1), .VAR115(0), .VAR281(5), .VAR329("VAR227"), .VAR286(8), .VAR120(1), .VAR43(32), .VAR143(64), .VAR387(32), .VAR85(64), .VAR343(2), .VAR336("0"), .VAR61(8), .VAR274(0), .VAR95(1), .VAR212(0), .VAR341(0), .VAR30(0), .VAR163(0), .VAR97(0), .VAR311(0), .VAR407(0), .VAR102("VAR150"), .VAR46(1), .VAR363(0), .VAR325(0), .VAR89(0), .VAR337(0), .VAR386(0), .VAR233(0), .VAR109(0), .VAR395(0), .VAR162(0), .VAR297(0), .VAR221(0), .VAR20(0), .VAR78(0), .VAR324(0), .VAR99(1), .VAR182(0), .VAR93(0), .VAR237(0), .VAR12(0), .VAR411(0), .VAR307(0), .VAR385(0), .VAR112(0), .VAR264(0), .VAR194(0), .VAR158(0), .VAR362(0), .VAR185(0), .VAR161(0), .VAR14(0), .VAR302(0), .VAR289(0), .VAR25(0), .VAR340(0), .VAR295(0), .VAR291(0), .VAR79(0), .VAR2(1), .VAR201(0), .VAR32(0), .VAR88(0), .VAR384(0), .VAR170(0), .VAR404(0), .VAR119(0), .VAR45(0), .VAR142(1), .VAR54(1), .VAR167(1), .VAR371(1), .VAR197(1), .VAR265(1), .VAR157(0), .VAR149(0), .VAR148(2), .VAR75("VAR227"), .VAR271(1), .VAR300(0), .VAR139(0), .VAR345(0), .VAR31(1), .VAR247("512x36"), .VAR234(4), .VAR335(1022), .VAR351(1022), .VAR63(1022), .VAR55(1022), .VAR193(1022), .VAR22(1022), .VAR342(5), .VAR116(0), .VAR228(5), .VAR240(5), .VAR117(5), .VAR399(5), .VAR35(5), .VAR169(5), .VAR244(15), .VAR376(1023), .VAR4(1023), .VAR44(1023), .VAR356(1023), .VAR202(1023), .VAR402(1023), .VAR16(14), .VAR152(0), .VAR268(5), .VAR334(5), .VAR263(5), .VAR232(5), .VAR321(5), .VAR315(5), .VAR393(0), .VAR379(5), .VAR261(16), .VAR59(1), .VAR236(4), .VAR305(0), .VAR262(0), .VAR409(0), .VAR375(0), .VAR267(0), .VAR377(0), .VAR245(0), .VAR314(0), .VAR405(0), .VAR177(0), .VAR208(0), .VAR11(1), .VAR394(0), .VAR352(0), .VAR390(0), .VAR132(0), .VAR53(0), .VAR299(0), .VAR166(0), .VAR192(0), .VAR17(0), .VAR294(1), .VAR130(0), .VAR285(0), .VAR279(0), .VAR332(0), .VAR190(5), .VAR210(16), .VAR220(1024), .VAR155(16), .VAR322(1024), .VAR348(16), .VAR317(1024), .VAR100(16), .VAR121(1), .VAR137(4), .VAR205(10), .VAR71(4), .VAR131(10), .VAR198(4), .VAR222(10), .VAR312(4), .VAR203(1), .VAR258(0) ) VAR65 ( .VAR189(clk), .VAR388(rst), .VAR70(din), .VAR257(VAR81), .VAR134(VAR370), .VAR401(dout), .VAR126(VAR9), .VAR62(VAR256), .VAR27(), .VAR104(), .VAR373(), .VAR138(), .VAR57(), .VAR347(), .VAR331(), .VAR60(), .VAR209(), .VAR308(), .VAR313(), .VAR327(), .VAR249(), .VAR378(), .VAR176(), .VAR13(), .VAR243(), .VAR224(), .VAR396(), .VAR303(), .VAR412(), .VAR47(), .VAR114(), .VAR195(), .VAR49(), .VAR235(), .VAR368(), .VAR298(), .VAR365(), .VAR226(), .VAR168(), .VAR350(), .VAR333(), .VAR175(), .VAR206(), .VAR181(), .VAR41(), .VAR187(), .VAR374(), .VAR103(), .VAR372(), .VAR354(), .VAR246(), .VAR105(), .VAR72(), .VAR101(), .VAR7(), .VAR200(), .VAR111(), .VAR364(), .VAR369(), .VAR366(), .VAR339(), .VAR241(), .VAR306(), .VAR144(), .VAR123(), .VAR18(), .VAR145(), .VAR159(), .VAR248(), .VAR127(), .VAR391(), .VAR230(), .VAR82(), .VAR316(), .VAR254(), .VAR29(), .VAR64(), .VAR410(), .VAR223(), .VAR110(), .VAR165(), .VAR276(), .VAR380(), .VAR172(), .VAR349(), .VAR118(), .VAR38(), .VAR146(), .VAR153(), .VAR406(), .VAR51(), .VAR76(), .VAR278(), .VAR151(), .VAR199(), .VAR106(), .VAR174(), .VAR179(), .VAR124(), .VAR74(), .VAR91(), .VAR382(), .VAR108(), .VAR21(), .VAR52(), .VAR277(), .VAR330(), .VAR129(), .VAR269(), .VAR304(), .VAR357(), .VAR58(), .VAR8(), .VAR239(), .VAR141(), .VAR39(), .VAR275(), .VAR344(), .VAR358(), .VAR156(), .VAR184(), .VAR24(), .VAR408(), .VAR273(), .VAR353(), .VAR98(), .VAR6(), .VAR67(), .VAR397(), .VAR251(), .VAR296(), .VAR68(), .VAR77(), .VAR42(), .VAR214(), .VAR218(), .VAR186(), .VAR250(), .VAR266(), .VAR122(), .VAR403(), .VAR259(), .VAR90(), .VAR219(), .VAR154(), .VAR284(), .VAR215(), .VAR23(), .VAR242(), .VAR326(), .VAR66(), .VAR107(), .VAR92(), .VAR84(), .VAR310(), .VAR147(), .VAR128(), .VAR389(), .VAR26(), .VAR255(), .VAR28(), .VAR207(), .VAR135(), .VAR196(), .VAR125(), .VAR225(), .VAR34(), .VAR173(), .VAR87(), .VAR253(), .VAR5(), .VAR80(), .VAR290(), .VAR211(), .VAR94(), .VAR83(), .VAR204(), .VAR50(), .VAR328(), .VAR400(), .VAR171(), .VAR367(), .VAR73(), .VAR213(), .VAR188(), .VAR183(), .VAR40(), .VAR216(), .VAR381(), .VAR231(), .VAR318(), .VAR346(), .VAR37(), .VAR361(), .VAR56(), .VAR359(), .VAR287(), .VAR19(), .VAR292(), .VAR280(), .VAR178(), .VAR301(), .VAR15(), .VAR283(), .VAR323(), .VAR164(), .VAR392(), .VAR113(), .VAR133(), .VAR69(), .VAR238(), .VAR309(), .VAR140(), .VAR3(), .VAR383(), .VAR48() ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a211oi/sky130_fd_sc_ms__a211oi_1.v
2,361
module MODULE2 ( VAR5 , VAR1 , VAR6 , VAR9 , VAR3 , VAR8, VAR10, VAR7 , VAR4 ); output VAR5 ; input VAR1 ; input VAR6 ; input VAR9 ; input VAR3 ; input VAR8; input VAR10; input VAR7 ; input VAR4 ; VAR2 VAR11 ( .VAR5(VAR5), .VAR1(VAR1), .VAR6(VAR6), .VAR9(VAR9), .VAR3(VAR3), .VAR8(VAR8), .VAR10(VAR10), .VAR7(VAR7), .VAR4(VAR4) ); endmodule module MODULE2 ( VAR5 , VAR1, VAR6, VAR9, VAR3 ); output VAR5 ; input VAR1; input VAR6; input VAR9; input VAR3; supply1 VAR8; supply0 VAR10; supply1 VAR7 ; supply0 VAR4 ; VAR2 VAR11 ( .VAR5(VAR5), .VAR1(VAR1), .VAR6(VAR6), .VAR9(VAR9), .VAR3(VAR3) ); endmodule
apache-2.0
mcoughli/root_of_trust
operational_os/hls/contact_discovery_axi_one_db_load/solution1/impl/verilog/contact_discovery_AXILiteS_s_axi.v
25,762
module MODULE1 VAR140 = 15, VAR141 = 32 )( input wire VAR21, input wire VAR98, input wire VAR85, input wire [VAR140-1:0] VAR122, input wire VAR106, output wire VAR71, input wire [VAR141-1:0] VAR107, input wire [VAR141/8-1:0] VAR54, input wire VAR125, output wire VAR79, output wire [1:0] VAR34, output wire VAR26, input wire VAR103, input wire [VAR140-1:0] VAR30, input wire VAR50, output wire VAR33, output wire [VAR141-1:0] VAR51, output wire [1:0] VAR73, output wire VAR23, input wire VAR44, output wire interrupt, output wire VAR59, input wire VAR74, input wire VAR161, input wire VAR57, output wire [31:0] VAR61, output wire VAR68, input wire [5:0] VAR154, input wire VAR131, output wire [7:0] VAR90, input wire [5:0] VAR15, input wire VAR128, output wire [7:0] VAR88, input wire [12:0] VAR53, input wire VAR159, input wire VAR45, input wire [0:0] VAR165, input wire [31:0] VAR32, input wire [31:0] VAR69, input wire [31:0] VAR148, input wire [31:0] VAR102 ); localparam VAR92 = 15'h0000, VAR116 = 15'h0004, VAR35 = 15'h0008, VAR5 = 15'h000c, VAR130 = 15'h0010, VAR12 = 15'h0014, VAR16 = 15'h4000, VAR104 = 15'h4004, VAR37 = 15'h4008, VAR136 = 15'h400c, VAR127 = 15'h4010, VAR133 = 15'h4014, VAR81 = 15'h4018, VAR144 = 15'h401c, VAR55 = 15'h0040, VAR82 = 15'h007f, VAR47 = 15'h0080, VAR84 = 15'h00bf, VAR28 = 15'h2000, VAR120 = 15'h3fff, VAR137 = 2'd0, VAR42 = 2'd1, VAR112 = 2'd2, VAR129 = 2'd3, VAR164 = 2'd0, VAR145 = 2'd1, VAR11 = 2'd2, VAR89 = 15; reg [1:0] VAR139 = VAR129; reg [1:0] VAR43; reg [VAR89-1:0] VAR143; wire [31:0] VAR119; wire VAR4; wire VAR2; reg [1:0] VAR156 = VAR11; reg [1:0] VAR108; reg [31:0] VAR123; wire VAR65; wire [VAR89-1:0] VAR96; wire VAR135; wire VAR142; reg VAR1 = 1'b0; reg VAR75 = 1'b0; reg VAR134 = 1'b0; reg VAR19 = 1'b0; reg [1:0] VAR7 = 2'b0; reg [1:0] VAR86 = 2'b0; reg [31:0] VAR115 = 'b0; reg VAR109 = 1'b0; reg [31:0] VAR62 = 'b0; reg [31:0] VAR99 = 'b0; reg [31:0] VAR31 = 'b0; reg [31:0] VAR158 = 'b0; wire [3:0] VAR162; wire VAR163; wire VAR113; wire [3:0] VAR126; wire [31:0] VAR10; wire [31:0] VAR39; wire [3:0] VAR118; wire VAR22; wire VAR110; wire [3:0] VAR63; wire [31:0] VAR60; wire [31:0] VAR78; reg VAR49; reg VAR76; reg [1:0] VAR20; wire [3:0] VAR14; wire VAR58; wire VAR6; wire [3:0] VAR87; wire [31:0] VAR124; wire [31:0] VAR101; wire [3:0] VAR25; wire VAR38; wire VAR67; wire [3:0] VAR27; wire [31:0] VAR152; wire [31:0] VAR132; reg VAR91; reg VAR105; reg [1:0] VAR150; wire [10:0] VAR138; wire VAR117; wire VAR114; wire [3:0] VAR66; wire [31:0] VAR155; wire [31:0] VAR100; wire [10:0] VAR157; wire VAR13; wire VAR17; wire [3:0] VAR70; wire [31:0] VAR56; wire [31:0] VAR24; reg VAR36; reg VAR52; reg [1:0] VAR8; MODULE2 #( .VAR40 ( 4 ), .VAR160 ( 16 ) ) VAR111 ( .VAR93 ( VAR21 ), .VAR77 ( VAR162 ), .VAR83 ( VAR163 ), .VAR18 ( VAR113 ), .VAR64 ( VAR126 ), .d0 ( VAR10 ), .VAR46 ( VAR39 ), .VAR149 ( VAR21 ), .VAR95 ( VAR118 ), .VAR151 ( VAR22 ), .VAR94 ( VAR110 ), .VAR153 ( VAR63 ), .d1 ( VAR60 ), .VAR80 ( VAR78 ) ); MODULE2 #( .VAR40 ( 4 ), .VAR160 ( 16 ) ) VAR121 ( .VAR93 ( VAR21 ), .VAR77 ( VAR14 ), .VAR83 ( VAR58 ), .VAR18 ( VAR6 ), .VAR64 ( VAR87 ), .d0 ( VAR124 ), .VAR46 ( VAR101 ), .VAR149 ( VAR21 ), .VAR95 ( VAR25 ), .VAR151 ( VAR38 ), .VAR94 ( VAR67 ), .VAR153 ( VAR27 ), .d1 ( VAR152 ), .VAR80 ( VAR132 ) ); MODULE2 #( .VAR40 ( 4 ), .VAR160 ( 1875 ) ) VAR41 ( .VAR93 ( VAR21 ), .VAR77 ( VAR138 ), .VAR83 ( VAR117 ), .VAR18 ( VAR114 ), .VAR64 ( VAR66 ), .d0 ( VAR155 ), .VAR46 ( VAR100 ), .VAR149 ( VAR21 ), .VAR95 ( VAR157 ), .VAR151 ( VAR13 ), .VAR94 ( VAR17 ), .VAR153 ( VAR70 ), .d1 ( VAR56 ), .VAR80 ( VAR24 ) ); assign VAR71 = (VAR139 == VAR137); assign VAR79 = (VAR139 == VAR42); assign VAR34 = 2'b00; assign VAR26 = (VAR139 == VAR112); assign VAR119 = { {8{VAR54[3]}}, {8{VAR54[2]}}, {8{VAR54[1]}}, {8{VAR54[0]}} }; assign VAR4 = VAR106 & VAR71; assign VAR2 = VAR125 & VAR79; always @(posedge VAR21) begin if (VAR98) VAR139 <= VAR129; end else if (VAR85) VAR139 <= VAR43; end always @ begin case (VAR156) VAR164: if (VAR50) VAR108 = VAR145; end else VAR108 = VAR164; VAR145: if (VAR44 & VAR23) VAR108 = VAR164; else VAR108 = VAR145; default: VAR108 = VAR164; endcase end always @(posedge VAR21) begin if (VAR85) begin if (VAR65) begin VAR123 <= 1'b0; case (VAR96) VAR92: begin VAR123[0] <= VAR75; VAR123[1] <= VAR1; VAR123[2] <= VAR135; VAR123[3] <= VAR142; VAR123[7] <= VAR134; end VAR116: begin VAR123 <= VAR19; end VAR35: begin VAR123 <= VAR7; end VAR5: begin VAR123 <= VAR86; end VAR130: begin VAR123 <= VAR115[31:0]; end VAR12: begin VAR123[0] <= VAR109; end VAR16: begin VAR123 <= VAR62[31:0]; end VAR37: begin VAR123 <= VAR99[31:0]; end VAR127: begin VAR123 <= VAR31[31:0]; end VAR81: begin VAR123 <= VAR158[31:0]; end endcase end else if (VAR49) begin VAR123 <= VAR78; end else if (VAR91) begin VAR123 <= VAR132; end else if (VAR36) begin VAR123 <= VAR24; end end end assign interrupt = VAR19 & (|VAR86); assign VAR59 = VAR75; assign VAR135 = VAR57; assign VAR142 = VAR161; assign VAR61 = VAR115; assign VAR68 = VAR109; always @(posedge VAR21) begin if (VAR98) VAR75 <= 1'b0; end else if (VAR85) begin if (VAR2 && VAR143 == VAR92 && VAR54[0] && VAR107[0]) VAR75 <= 1'b1; end else if (VAR142) VAR75 <= VAR134; end end always @(posedge VAR21) begin if (VAR98) VAR1 <= 1'b0; end else if (VAR85) begin if (VAR74) VAR1 <= 1'b1; end else if (VAR65 && VAR96 == VAR92) VAR1 <= 1'b0; end end always @(posedge VAR21) begin if (VAR98) VAR134 <= 1'b0; end else if (VAR85) begin if (VAR2 && VAR143 == VAR92 && VAR54[0]) VAR134 <= VAR107[7]; end end always @(posedge VAR21) begin if (VAR98) VAR19 <= 1'b0; end else if (VAR85) begin if (VAR2 && VAR143 == VAR116 && VAR54[0]) VAR19 <= VAR107[0]; end end always @(posedge VAR21) begin if (VAR98) VAR7 <= 1'b0; end else if (VAR85) begin if (VAR2 && VAR143 == VAR35 && VAR54[0]) VAR7 <= VAR107[1:0]; end end always @(posedge VAR21) begin if (VAR98) VAR86[0] <= 1'b0; end else if (VAR85) begin if (VAR7[0] & VAR74) VAR86[0] <= 1'b1; end else if (VAR2 && VAR143 == VAR5 && VAR54[0]) VAR86[0] <= VAR86[0] ^ VAR107[0]; end end always @(posedge VAR21) begin if (VAR98) VAR86[1] <= 1'b0; end else if (VAR85) begin if (VAR7[1] & VAR161) VAR86[1] <= 1'b1; end else if (VAR2 && VAR143 == VAR5 && VAR54[0]) VAR86[1] <= VAR86[1] ^ VAR107[1]; end end always @(posedge VAR21) begin if (VAR98) VAR115[31:0] <= 0; end else if (VAR85) begin if (VAR2 && VAR143 == VAR130) VAR115[31:0] <= (VAR107[31:0] & VAR119) | (VAR115[31:0] & ~VAR119); end end always @(posedge VAR21) begin if (VAR98) VAR109 <= 1'b0; end else if (VAR85) begin if (VAR2 && VAR143 == VAR12 && VAR54[0] && VAR107[0]) VAR109 <= 1'b1; end else VAR109 <= 1'b0; end end always @(posedge VAR21) begin if (VAR98) VAR62 <= 0; end else if (VAR85) begin VAR62 <= VAR32; end end always @(posedge VAR21) begin if (VAR98) VAR99 <= 0; end else if (VAR85) begin VAR99 <= VAR69; end end always @(posedge VAR21) begin if (VAR98) VAR31 <= 0; end else if (VAR85) begin VAR31 <= VAR148; end end always @(posedge VAR21) begin if (VAR98) VAR158 <= 0; end else if (VAR85) begin VAR158 <= VAR102; end end assign VAR162 = VAR154 >> 2; assign VAR163 = VAR131; assign VAR113 = 1'b0; assign VAR126 = 1'b0; assign VAR10 = 1'b0; assign VAR90 = VAR39 >> (VAR20 * 8); assign VAR118 = VAR65? VAR96[5:2] : VAR143[5:2]; assign VAR22 = VAR65 | (VAR76 & VAR125); assign VAR110 = VAR76 & VAR125; assign VAR63 = VAR54; assign VAR60 = VAR107; assign VAR14 = VAR15 >> 2; assign VAR58 = VAR128; assign VAR6 = 1'b0; assign VAR87 = 1'b0; assign VAR124 = 1'b0; assign VAR88 = VAR101 >> (VAR150 * 8); assign VAR25 = VAR65? VAR96[5:2] : VAR143[5:2]; assign VAR38 = VAR65 | (VAR105 & VAR125); assign VAR67 = VAR105 & VAR125; assign VAR27 = VAR54; assign VAR152 = VAR107; assign VAR138 = VAR53 >> 2; assign VAR117 = VAR159; assign VAR114 = VAR45; assign VAR66 = 1 << VAR53[1:0]; assign VAR155 = {4{7'd0, VAR165}}; assign VAR157 = VAR65? VAR96[12:2] : VAR143[12:2]; assign VAR13 = VAR65 | (VAR52 & VAR125); assign VAR17 = VAR52 & VAR125; assign VAR70 = VAR54; assign VAR56 = VAR107; always @(posedge VAR21) begin if (VAR98) VAR49 <= 1'b0; end else if (VAR85) begin if (VAR65 && VAR96 >= VAR55 && VAR96 <= VAR82) VAR49 <= 1'b1; end else VAR49 <= 1'b0; end end always @(posedge VAR21) begin if (VAR98) VAR76 <= 1'b0; end else if (VAR85) begin if (VAR4 && VAR122[VAR89-1:0] >= VAR55 && VAR122[VAR89-1:0] <= VAR82) VAR76 <= 1'b1; end else if (VAR125) VAR76 <= 1'b0; end end always @(posedge VAR21) begin if (VAR85) begin if (VAR131) VAR20 <= VAR154[1:0]; end end always @(posedge VAR21) begin if (VAR98) VAR91 <= 1'b0; end else if (VAR85) begin if (VAR65 && VAR96 >= VAR47 && VAR96 <= VAR84) VAR91 <= 1'b1; end else VAR91 <= 1'b0; end end always @(posedge VAR21) begin if (VAR98) VAR105 <= 1'b0; end else if (VAR85) begin if (VAR4 && VAR122[VAR89-1:0] >= VAR47 && VAR122[VAR89-1:0] <= VAR84) VAR105 <= 1'b1; end else if (VAR125) VAR105 <= 1'b0; end end always @(posedge VAR21) begin if (VAR85) begin if (VAR128) VAR150 <= VAR15[1:0]; end end always @(posedge VAR21) begin if (VAR98) VAR36 <= 1'b0; end else if (VAR85) begin if (VAR65 && VAR96 >= VAR28 && VAR96 <= VAR120) VAR36 <= 1'b1; end else VAR36 <= 1'b0; end end always @(posedge VAR21) begin if (VAR98) VAR52 <= 1'b0; end else if (VAR85) begin if (VAR4 && VAR122[VAR89-1:0] >= VAR28 && VAR122[VAR89-1:0] <= VAR120) VAR52 <= 1'b1; end else if (VAR125) VAR52 <= 1'b0; end end always @(posedge VAR21) begin if (VAR85) begin if (VAR159) VAR8 <= VAR53[1:0]; end end endmodule module MODULE2 VAR40 = 4, VAR160 = 256, VAR97 = VAR147(VAR160) ) ( input wire VAR93, input wire [VAR97-1:0] VAR77, input wire VAR83, input wire VAR18, input wire [VAR40-1:0] VAR64, input wire [VAR40*8-1:0] d0, output reg [VAR40*8-1:0] VAR46, input wire VAR149, input wire [VAR97-1:0] VAR95, input wire VAR151, input wire VAR94, input wire [VAR40-1:0] VAR153, input wire [VAR40*8-1:0] d1, output reg [VAR40*8-1:0] VAR80 ); reg [VAR40*8-1:0] VAR3[0:VAR160-1]; function integer VAR147; input integer VAR72; integer VAR29, VAR9; begin VAR29 = 1; VAR9 = 2; while (VAR9 < VAR72) begin VAR29 = VAR29 + 1; VAR9 = VAR9 * 2; end VAR147 = VAR29; end endfunction always @(posedge VAR93) begin if (VAR83) VAR46 <= VAR3[VAR77]; end always @(posedge VAR149) begin if (VAR151) VAR80 <= VAR3[VAR95]; end genvar VAR146; generate for (VAR146 = 0; VAR146 < VAR40; VAR146 = VAR146 + 1) begin : VAR48 always @(posedge VAR93) begin if (VAR83 & VAR18 & VAR64[VAR146]) begin VAR3[VAR77][8*VAR146+7:8*VAR146] <= d0[8*VAR146+7:8*VAR146]; end end always @(posedge VAR149) begin if (VAR151 & VAR94 & VAR153[VAR146]) begin VAR3[VAR95][8*VAR146+7:8*VAR146] <= d1[8*VAR146+7:8*VAR146]; end end end endgenerate endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/pads/pad_ddr_common/rtl/bw_io_impctl_ddr_uprcn.v
3,300
module MODULE1(VAR28 ,VAR2 ,VAR48 ,VAR41 ,VAR34 ,VAR51 ,VAR27 , VAR18 ,clk ,VAR32 ,VAR3 ); input [8:1] VAR27 ; output VAR41 ; output VAR34 ; output VAR18 ; input VAR28 ; input VAR2 ; input VAR48 ; input VAR51 ; input clk ; input VAR32 ; input VAR3 ; supply1 VAR11 ; supply0 VAR9 ; wire VAR33 ; wire VAR45 ; wire VAR20 ; wire VAR31 ; wire VAR35 ; wire VAR46 ; wire VAR8 ; wire VAR21 ; wire VAR56 ; wire VAR30 ; wire VAR54 ; wire VAR17 ; VAR1 VAR4 ( .VAR55 (VAR20 ), .VAR12 (VAR33 ), .VAR10 (clk ), .VAR44 (VAR51 ), .VAR32 (VAR32 ), .VAR25 (VAR8 ) ); VAR14 VAR19 ( .VAR55 (VAR31 ), .VAR12 (VAR35 ), .VAR10 (clk ), .VAR44 (VAR20 ), .VAR32 (VAR32 ), .VAR25 (VAR33 ), .VAR26 (VAR3 ) ); VAR14 VAR13 ( .VAR55 (VAR18 ), .VAR12 (VAR45 ), .VAR10 (clk ), .VAR44 (VAR56 ), .VAR32 (VAR32 ), .VAR25 (VAR35 ), .VAR26 (VAR3 ) ); VAR36 VAR53 ( .VAR22 (VAR21 ), .VAR16 (VAR31 ) ); VAR6 VAR47 ( .VAR22 (VAR56 ), .VAR16 (VAR17 ), .VAR15 (VAR54 ) ); VAR6 VAR38 ( .VAR22 (VAR54 ), .VAR16 (VAR21 ), .VAR15 (VAR18 ) ); VAR6 VAR5 ( .VAR22 (VAR17 ), .VAR16 (VAR30 ), .VAR15 (VAR31 ) ); VAR36 VAR29 ( .VAR22 (VAR8 ), .VAR16 (VAR48 ) ); VAR36 VAR37 ( .VAR22 (VAR41 ), .VAR16 (VAR45 ) ); VAR40 VAR39 ( .VAR7 ({{4 {VAR46 }} ,VAR9 ,VAR9 ,VAR9 ,VAR9 } ), .VAR27 ({VAR27 } ), .VAR52 ({VAR9 ,VAR9 ,VAR9 ,VAR9 ,VAR9 ,VAR9 ,VAR9 ,VAR9 } ), .out (VAR30 ), .VAR34 (VAR34 ), .VAR43 (VAR2 ), .VAR49 (VAR11 ), .VAR28 (VAR28 ), .VAR50 (VAR9 ) ); VAR42 VAR24 ( .VAR2 (VAR2 ), .VAR23 (VAR46 ) ); endmodule
gpl-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v
3,216
if ( VAR28 == VAR1 && VAR15 == VAR10 \ && VAR32 == VAR12 && VAR27 == VAR6 && VAR11 == VAR29) \ begin : VAR24 \ VAR23 VAR31 (.*); \ end module MODULE1 #(parameter [31:0] VAR1=1 ,parameter VAR10=0 ,parameter VAR12=0 ,parameter VAR6=0 ,parameter VAR29=0 ) ( input [4:0][2:0] VAR19 , input VAR9 , input [VAR1-1:0][3:0][1:0] VAR22 , output VAR30 , output [VAR1-1:0] VAR8 , output [VAR1-1:0] VAR26 ); genvar VAR18; wire [VAR1:0] VAR4; else VAR17(VAR3,6,0,0,0,0) else VAR17(VAR3,7,0,0,0,0) else VAR17(VAR3,8,0,0,0,0) else VAR17(VAR13,8,32'b00001000000001000000001000000001,32'b00000000000000000000000000000000,32'b10000000110010001110110011111110,32'b00000000000000000000000000000000) else VAR17(VAR13,6,24'b000010000000010000000010,24'b000000000000000000000000,24'b100000001100100011101100,24'b000000000000000000000000) else VAR17(VAR16,7,28'b0000000000000000000000000000,28'b1000000001000000001000000001,28'b0111001100110001000100000000,28'b0000010000000010000000010000) else VAR17(VAR16,8,32'b00000000000000000000000000000000,32'b00001000000001000000001000000001,32'b01110111001100110001000100000000,32'b10000000010000000010000000010000) else begin: VAR25 for (VAR18 = 0; VAR18 < VAR1; VAR18=VAR18+1) begin: VAR2 localparam VAR5 = (VAR10 >> (VAR18 << 2)) & 4'hf; localparam VAR33 = (VAR12 >> (VAR18 << 2)) & 4'hf; localparam VAR21 = (VAR6 >> (VAR18 << 2)) & 4'hf; localparam VAR7 = (VAR29 >> (VAR18 << 2)) & 4'hf; VAR20 #( .VAR10(VAR5) ,.VAR12(VAR33) ,.VAR6(VAR21) ,.VAR29(VAR7) ) VAR31 (.VAR19(VAR19), .VAR14 (VAR22[VAR18]) , .VAR9(VAR4[VAR18]), .VAR30(VAR4[VAR18+1]), .VAR8 (VAR8[VAR18]), .VAR26 (VAR26[VAR18])); end assign VAR4[0] = VAR9; assign VAR30 = VAR4[VAR1]; end endmodule
bsd-3-clause
sirchuckalot/zet
cores/zet/rtl/zet_micro_rom.v
1,217
module MODULE1 ( input [VAR1-1:0] addr, output [VAR3-1:0] VAR4 ); reg [VAR3-1:0] VAR2[0:2**VAR1-1]; assign VAR4 = VAR2[addr];
gpl-3.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/new/pcie_data_receiver.v
5,339
module MODULE1 #(parameter VAR34 = 128, VAR8 = 8) ( input clk, input rst, input VAR28, output reg VAR3, output VAR22, input VAR16, input[VAR34 - 1:0] VAR19, input[VAR31 - 1:0] VAR2, input VAR24, output[VAR34 - 1:0] VAR12, output VAR17, output reg VAR35, output reg[VAR34 - 1:0] VAR9, output reg[VAR31 - 1:0] VAR26, input VAR30, output reg VAR1 ); parameter VAR21 = VAR14(VAR8); reg[VAR34 - 1:0] VAR23; reg[VAR21 - 1:0] VAR11 = 0; reg[1:0] state = 0, VAR7; localparam VAR13 = 2'b00; localparam VAR29 = 2'b01; localparam VAR32 = 2'b10; wire VAR5, VAR6; reg VAR33 = 1'b0; assign VAR22 = (state != VAR13) && ~VAR5; always@* begin VAR3 = 1'b0; VAR7 = state; case(state) VAR13: begin if(VAR30 & VAR28) begin VAR3 = 1'b1; VAR7 = VAR29; end end VAR29: begin if(VAR16) begin if(VAR11 == (VAR8 - 1)) VAR7 <= VAR32; end end VAR32: begin if(VAR26 == 0 && ~VAR5) begin VAR7 = VAR13; end end endcase end always@(posedge clk) begin if(rst) begin state <= VAR13; end else begin state <= VAR7; end end always@(posedge clk) begin if(rst) begin VAR26 <= 0; VAR1 <= 1'b0; end else begin VAR1 <= 1'b0; if(VAR3 ) begin VAR26 <= VAR2 >> 2; VAR1 <= 1'b1; end else begin if(VAR16 && ~VAR5 && (state != VAR13)) begin if(VAR26 > 0) VAR26 <= VAR26 - 1; end end end end always@(posedge clk) begin if(rst) begin VAR11 <= 0; end else begin case(state) VAR13: begin VAR11 <= 0; end VAR29: begin if(VAR16) begin VAR11 <= VAR11 + 1; end end endcase end end always@(posedge clk) begin if(rst) begin VAR23 <= 0; VAR33 <= 1'b0; end else begin if(VAR16) begin case(state) VAR13: begin VAR33 <= 1'b0; end VAR32: begin if(~VAR5) begin VAR23 <= VAR19; VAR33 <= 1'b1; end end endcase end else begin if(~VAR5) begin VAR33 <= 1'b0; end end end end always@* begin VAR35 = 1'b0; VAR9 = {VAR34{1'VAR20}}; if((state == VAR29) && VAR16) begin VAR35 = 1'b1; VAR9 = VAR19; end end VAR10 VAR15( .clk(clk), .VAR4(rst), .din({VAR23}), .VAR36(VAR33), .VAR27(VAR24 & VAR17), .dout({VAR12}), .VAR18(VAR5), .VAR25(VAR6) ); assign VAR17 = ~VAR6; endmodule
gpl-3.0
marqs85/de2-vd
rtl/videoproc.v
7,319
module MODULE1( input VAR102, input [3:0] VAR79, input [17:0] VAR33, input VAR73, inout VAR59, inout VAR115, output VAR43, inout [7:0] VAR16, output VAR28, output VAR62, output VAR12, output VAR55, input [7:0] VAR37, input [7:0] VAR22, input [7:0] VAR31, input VAR66, input VAR121, input VAR38, input VAR20, output [7:0] VAR76, output [7:0] VAR40, output [7:0] VAR51, output VAR68, output VAR9, output VAR26, output VAR98, output VAR123, output VAR53, inout VAR6, output VAR49, output [11:0] VAR35, output [11:0] VAR94, output [11:0] VAR89, output VAR46, output VAR70, output VAR30, output VAR57, inout VAR77, input VAR69, output [3:0] VAR91, output [3:0] VAR71, output [3:0] VAR75, output VAR41, output VAR39, output VAR29, output VAR90, output VAR97, output VAR67, output VAR15, output VAR10, output VAR47, output [7:0] VAR101, output [17:0] VAR105, output [6:0] VAR109, output [6:0] VAR5, output [6:0] VAR8, output [6:0] VAR82, output [6:0] VAR114, output [6:0] VAR7, output [6:0] VAR96, output [6:0] VAR56, output VAR72, inout VAR13, inout [3:0] VAR19, inout VAR23 ); wire [7:0] VAR87; wire [31:0] VAR44; wire [55:0] VAR61; wire [31:0] VAR11; wire VAR116; wire [20:0] VAR25; wire [1:0] VAR100; wire VAR3; wire [1:0] VAR14; wire [2:0] VAR119; wire [2:0] VAR24; wire [31:0] VAR54; wire [31:0] VAR4; wire [10:0] VAR63; wire [7:0] VAR110, VAR48, VAR113; wire VAR65; wire VAR84; wire VAR21; wire VAR88; assign VAR43 = VAR116; assign VAR50 = 1'b1; assign VAR105[17:10] = 8'h00; assign VAR101[7:6] = VAR100; assign VAR101[4] = (VAR11 != 0); assign VAR101[2] = VAR119[2]; assign VAR101[1] = VAR119[1]; assign VAR101[0] = VAR119[0]; assign VAR105[2] = VAR24[2]; assign VAR105[1] = VAR24[1]; assign VAR105[0] = VAR24[0]; assign VAR105[7:5] = {VAR3, VAR3, VAR3}; assign VAR105[4:3] = VAR14; assign VAR56 = VAR61[55:49]; assign VAR96 = VAR61[48:42]; assign VAR7 = VAR61[41:35]; assign VAR114 = VAR61[34:28]; assign VAR82 = VAR61[27:21]; assign VAR8 = VAR61[20:14]; assign VAR5 = VAR61[13:7]; assign VAR109 = VAR61[6:0]; assign VAR19[1] = 1'b0; assign VAR19[2] = 1'b0; assign VAR23 = 1'b1; assign VAR25 = {VAR33[17:0], VAR79[3:1]}; assign VAR116 = VAR79[0]; assign VAR67 = VAR20; assign VAR15 = VAR38; assign VAR10 = VAR121; assign VAR47 = VAR119[2]; assign VAR76 = VAR110; assign VAR40 = VAR48; assign VAR51 = VAR113; assign VAR98 = VAR65; assign VAR123 = VAR84; assign VAR68 = VAR21; assign VAR9 = VAR88; assign VAR26 = 1'b0; assign VAR35[11:4] = VAR110; assign VAR94[11:4] = VAR48; assign VAR89[11:4] = VAR113; assign VAR35[3:0] = 4'h0; assign VAR94[3:0] = 4'h0; assign VAR89[3:0] = 4'h0; assign VAR70 = VAR65; assign VAR30 = VAR84; assign VAR57 = VAR21; assign VAR46 = VAR88; assign VAR49 = VAR116; assign VAR91 = 4'b0; assign VAR71 = 4'b0; assign VAR75 = 4'b0; assign VAR41 = 1'b0; assign VAR39 = 1'b0; assign VAR29 = 1'b0; assign VAR90 = 1'b0; assign VAR97 = 1'b0; reg VAR92; always @(posedge VAR102) begin VAR92 <= ~VAR92; end VAR93 VAR104( .VAR83 (VAR102), .VAR118 (VAR116), .VAR2 (VAR11), .VAR36 ({11'h000, VAR25}), .VAR27 (VAR54), .VAR78 (VAR4), .VAR85 ({21'h000000, VAR63}), .VAR74 (VAR44), .VAR122 (VAR59), .VAR117 (VAR115), .VAR106 (VAR53), .VAR42 (VAR6), .VAR106 (), .VAR42 (), .VAR52 (VAR16), .VAR1 (VAR28), .VAR58 (VAR62), .VAR60 (VAR12), .VAR120 (VAR55), .VAR64 (), .VAR108 (VAR13), .VAR111 (VAR19[0]), .VAR103 (VAR19[3]), .VAR32 (VAR72), .VAR86 (VAR92) ); VAR17 VAR18 ( .VAR38 (VAR38), .VAR121 (VAR121), .VAR20 (VAR20), .VAR66 (VAR66), .VAR37 (VAR37), .VAR22 (VAR22), .VAR31 (VAR31), .VAR54 (VAR54), .VAR4 (VAR4), .VAR110 (VAR110), .VAR48 (VAR48), .VAR113 (VAR113), .VAR65 (VAR65), .VAR84 (VAR84), .VAR21 (VAR21), .VAR88 (VAR88), .VAR100 (VAR100), .VAR3 (VAR3), .VAR14 (VAR14), .VAR119 (VAR119), .VAR24 (VAR24), .VAR63 (VAR63) ); VAR81 VAR124 ( .VAR102 (VAR102), .VAR116 (VAR116), .VAR73 (VAR73), .VAR11 (VAR11), .VAR34 () ); genvar VAR45; generate for (VAR45=0; VAR45<8; VAR45=VAR45+1) begin :VAR80 VAR112 VAR107 ( .VAR95 (VAR44[(4*(VAR45+1)-1):(4*VAR45)]), .VAR99 (VAR61[(7*(VAR45+1)-1):(7*VAR45)]) ); end endgenerate endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nor4/sky130_fd_sc_hd__nor4.behavioral.pp.v
1,870
module MODULE1 ( VAR10 , VAR9 , VAR13 , VAR3 , VAR4 , VAR7, VAR6, VAR11 , VAR1 ); output VAR10 ; input VAR9 ; input VAR13 ; input VAR3 ; input VAR4 ; input VAR7; input VAR6; input VAR11 ; input VAR1 ; wire VAR14 ; wire VAR5; nor VAR12 (VAR14 , VAR9, VAR13, VAR3, VAR4 ); VAR15 VAR2 (VAR5, VAR14, VAR7, VAR6); buf VAR8 (VAR10 , VAR5 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffrsnq/gf180mcu_fd_sc_mcu7t5v0__dffrsnq_4.behavioral.v
8,879
module MODULE1( VAR54, VAR32, VAR79, VAR3, VAR1 ); input VAR54, VAR32, VAR3, VAR79; output VAR1; reg VAR61; VAR73 VAR87(.VAR54(VAR54),.VAR32(VAR32),.VAR79(VAR79),.VAR3(VAR3),.VAR1(VAR1),.VAR61(VAR61)); VAR73 VAR28(.VAR54(VAR54),.VAR32(VAR32),.VAR79(VAR79),.VAR3(VAR3),.VAR1(VAR1),.VAR61(VAR61)); not VAR85(VAR20,VAR32); and VAR76(VAR40,VAR3,VAR20); and VAR42(VAR39,VAR79,VAR40); and VAR41(VAR43,VAR3,VAR32); and VAR58(VAR11,VAR79,VAR43); and VAR89(VAR37,VAR79,VAR3); buf VAR51(VAR78,VAR79); not VAR67(VAR29,VAR54); not VAR35(VAR31,VAR32); and VAR50(VAR19,VAR31,VAR29); and VAR56(VAR26,VAR79,VAR19); not VAR12(VAR66,VAR54); and VAR88(VAR82,VAR32,VAR66); and VAR70(VAR18,VAR79,VAR82); not VAR52(VAR27,VAR32); and VAR53(VAR16,VAR27,VAR54); and VAR44(VAR69,VAR79,VAR16); and VAR68(VAR10,VAR32,VAR54); and VAR2(VAR14,VAR79,VAR10); not VAR74(VAR13,VAR54); not VAR21(VAR38,VAR32); and VAR25(VAR9,VAR38,VAR13); not VAR49(VAR7,VAR54); and VAR36(VAR83,VAR32,VAR7); not VAR5(VAR23,VAR32); and VAR15(VAR8,VAR23,VAR54); and VAR84(VAR72,VAR32,VAR54); buf VAR24(VAR75,VAR3); not VAR55(VAR65,VAR54); not VAR80(VAR17,VAR32); and VAR47(VAR46,VAR17,VAR65); and VAR22(VAR4,VAR3,VAR46); not VAR63(VAR60,VAR54); and VAR45(VAR81,VAR32,VAR60); and VAR34(VAR6,VAR3,VAR81); not VAR33(VAR62,VAR32); and VAR30(VAR57,VAR62,VAR54); and VAR48(VAR64,VAR3,VAR57); and VAR59(VAR77,VAR32,VAR54); and VAR71(VAR86,VAR3,VAR77);
apache-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/systems/pipistrello-s6-v1/rtl/verilog/xilinx_lpddr/xilinx_ddr2.v
11,112
module MODULE1 ( input [31:0] VAR20, input [1:0] VAR92, input [2:0] VAR28, input VAR147, input [31:0] VAR157, input [3:0] VAR140, input VAR54, input VAR169, output VAR57, output VAR65, output VAR163, output [31:0] VAR175, input [31:0] VAR76, input [1:0] VAR181, input [2:0] VAR170, input VAR58, input [31:0] VAR146, input [3:0] VAR93, input VAR40, input VAR23, output VAR85, output VAR22, output VAR100, output [31:0] VAR128, input [31:0] VAR190, input [1:0] VAR139, input [2:0] VAR6, input VAR78, input [31:0] VAR79, input [3:0] VAR162, input VAR24, input VAR51, output VAR70, output VAR143, output VAR105, output [31:0] VAR178, input [31:0] VAR103, input [1:0] VAR8, input [2:0] VAR82, input VAR94, input [31:0] VAR95, input [3:0] VAR88, input VAR14, input VAR151, output VAR5, output VAR168, output VAR15, output [31:0] VAR1, input [31:0] VAR83, input [1:0] VAR31, input [2:0] VAR132, input VAR161, input [31:0] VAR117, input [3:0] VAR4, input VAR74, input VAR46, output VAR155, output VAR196, output VAR34, output [31:0] VAR149, input [31:0] VAR11, input [1:0] VAR154, input [2:0] VAR97, input VAR3, input [31:0] VAR96, input [3:0] VAR10, input VAR16, input VAR127, output VAR183, output VAR150, output VAR61, output [31:0] VAR7, input VAR9, input VAR73, output [12:0] VAR41, output [2:0] VAR166, output VAR125, output VAR13, output VAR194, output VAR195, output VAR171, output VAR37, output VAR38, output VAR118, output VAR141, inout [15:0] VAR87, inout VAR179, inout VAR59, inout VAR164, inout VAR184, output VAR180, output VAR39, input VAR136, input VAR104 , output [31:0] VAR42, output [31:0] VAR36, output [31:0] VAR173, output [31:0] VAR91, output [31:0] VAR198, output [31:0] VAR201 ); wire [31:0] VAR43; wire [1:0] VAR189; wire [2:0] VAR72; wire VAR113; wire [31:0] VAR33; wire [3:0] VAR144; wire VAR199; wire VAR47; wire VAR167; wire [31:0] VAR50; wire [31:0] VAR63; wire [1:0] VAR90; wire [2:0] VAR114; wire VAR152; wire [31:0] VAR193; wire [3:0] VAR71; wire VAR109; wire VAR17; wire VAR160; wire [31:0] VAR112; wire [31:0] VAR62; wire [1:0] VAR12; wire [2:0] VAR77; wire VAR89; wire [31:0] VAR29; wire [3:0] VAR102; wire VAR101; wire VAR18; wire VAR172; wire [31:0] VAR108; wire [31:0] VAR197; wire [1:0] VAR86; wire [2:0] VAR188; wire VAR131; wire [31:0] VAR67; wire [3:0] VAR52; wire VAR120; wire VAR121; wire VAR191; wire [31:0] VAR35; wire [31:0] VAR30; wire [1:0] VAR98; wire [2:0] VAR135; wire VAR64; wire [31:0] VAR158; wire [3:0] VAR99; wire VAR137; wire VAR106; wire VAR174; wire [31:0] VAR119; assign VAR43 = VAR20; assign VAR189 = VAR92; assign VAR72 = VAR28; assign VAR113 = VAR147; assign VAR33 = VAR157; assign VAR144 = VAR140; assign VAR199 = VAR54; assign VAR47 = VAR169; assign VAR57 = VAR167; assign VAR175 = VAR50; assign VAR65 = 0; assign VAR163 = 0; assign VAR63 = VAR76; assign VAR90 = VAR181; assign VAR114 = VAR170; assign VAR152 = VAR58; assign VAR193 = VAR146; assign VAR71 = VAR93; assign VAR109 = VAR40; assign VAR17 = VAR23; assign VAR85 = VAR160; assign VAR128 = VAR112; assign VAR22 = 0; assign VAR100 = 0; assign VAR62 = VAR190; assign VAR12 = VAR139; assign VAR77 = VAR6; assign VAR89 = VAR78; assign VAR29 = VAR79; assign VAR102 = VAR162; assign VAR101 = VAR24; assign VAR18 = VAR51; assign VAR70 = VAR172; assign VAR178 = VAR108; assign VAR143 = 0; assign VAR105 = 0; assign VAR197 = VAR103; assign VAR86 = VAR8; assign VAR188 = VAR82; assign VAR131 = VAR94; assign VAR67 = VAR95; assign VAR52 = VAR88; assign VAR120 = VAR14; assign VAR121 = VAR151; assign VAR5 = VAR191; assign VAR1 = VAR35; assign VAR168 = 0; assign VAR15 = 0; assign VAR30 = VAR83; assign VAR98 = VAR31; assign VAR135 = VAR132; assign VAR64 = VAR161; assign VAR158 = VAR117; assign VAR99 = VAR4; assign VAR137 = VAR74; assign VAR106 = VAR46; assign VAR155 = VAR174; assign VAR149 = VAR119; assign VAR196 = 0; assign VAR34 = 0; VAR55 VAR25 ( .VAR187 (VAR50), .VAR200 (VAR167), .VAR192 (VAR43[31:0]), .VAR129 (VAR199), .VAR176 (VAR72), .VAR19 (VAR189), .VAR110 (VAR113), .VAR44 (VAR47), .VAR69 (VAR144[3:0]), .VAR153 (VAR33[31:0]), .VAR60 (VAR112), .VAR116 (VAR160), .VAR80 (VAR63[31:0]), .VAR56 (VAR109), .VAR45 (VAR114), .VAR107 (VAR90), .VAR133 (VAR152), .VAR53 (VAR17), .VAR159 (VAR71[3:0]), .VAR148 (VAR193[31:0]), .VAR84 (VAR108), .VAR186 (VAR172), .VAR185 (VAR62[31:0]), .VAR27 (VAR101), .VAR156 (VAR77), .VAR126 (VAR12), .VAR32 (VAR89), .VAR81 (VAR18), .VAR111 (VAR102[3:0]), .VAR49 (VAR29[31:0]), .VAR165 (VAR35), .VAR2 (VAR191), .VAR134 (VAR197[31:0]), .VAR21 (VAR120), .VAR115 (VAR188), .VAR123 (VAR86), .VAR142 (VAR131), .VAR124 (VAR121), .VAR68 (VAR52[3:0]), .VAR138 (VAR67[31:0]), .VAR48 (VAR119), .VAR177 (VAR174), .VAR26 (VAR30[31:0]), .VAR182 (VAR137), .VAR130 (VAR135), .VAR122 (VAR98), .VAR145 (VAR64), .VAR202 (VAR106), .VAR66 (VAR99[3:0]), .VAR75 (VAR158[31:0]), .VAR41 (VAR41[12:0]), .VAR166 (VAR166), .VAR125 (VAR125), .VAR13 (VAR13), .VAR194 (VAR194), .VAR195 (VAR195), .VAR171 (VAR171), .VAR37 (VAR37), .VAR38 (VAR38), .VAR118 (VAR118), .VAR141 (VAR141), .VAR180 (VAR180), .VAR39 (VAR39), .VAR87 (VAR87), .VAR179 (VAR179), .VAR59 (VAR59), .VAR164 (VAR164), .VAR184 (VAR184), .VAR136 (VAR136), .VAR104 (VAR104), .VAR9 (VAR9), .VAR73 (VAR73) , .VAR42 (VAR42[31:0]), .VAR36 (VAR36[31:0]), .VAR173 (VAR173[31:0]), .VAR91 (VAR91[31:0]), .VAR198 (VAR198[31:0]), .VAR201 (VAR201[31:0]) ); endmodule MODULE1
gpl-2.0
VitorCBSB/hw-verilog
C++/Verilog/circ_gen/uart.v
2,074
module MODULE1 #( parameter VAR22 = 4'h0, parameter VAR18 = 50000000, parameter VAR8 = 115200 ) ( input VAR2, input VAR24, input [13:0] VAR10, input VAR11, input [31:0] VAR4, output reg [31:0] VAR13, output VAR16, output VAR5, input VAR19, output VAR14 ); reg [15:0] VAR15; wire [7:0] VAR3; wire [7:0] VAR25; wire VAR23; reg VAR20; wire VAR12; VAR1 VAR9( .VAR2(VAR2), .VAR24(VAR24), .VAR19(VAR19), .VAR14(VAR12), .VAR15(VAR15), .VAR3(VAR3), .VAR17(VAR16), .VAR25(VAR25), .VAR23(VAR23), .VAR21(VAR5) ); assign VAR14 = VAR20 ? VAR19 : VAR12; wire VAR6 = VAR10[13:10] == VAR22; assign VAR25 = VAR4[7:0]; assign VAR23 = VAR6 & VAR11 & (VAR10[1:0] == 2'b00); parameter VAR7 = VAR18/VAR8/16; always @(posedge VAR2) begin if(VAR24) begin VAR15 <= VAR7; VAR13 <= 32'd0; end else begin VAR13 <= 32'd0; if(VAR6) begin case(VAR10[1:0]) 2'b00: VAR13 <= VAR3; 2'b01: VAR13 <= VAR15; 2'b10: VAR13 <= VAR20; endcase if(VAR11) begin case(VAR10[1:0]) 2'b00:; 2'b01: VAR15 <= VAR4[15:0]; 2'b10: VAR20 <= VAR4[0]; endcase end end end end endmodule
mit
tmolteno/TART
hardware/FPGA/tart_spi/verilog/fifo/afifo16.v
2,251
module MODULE1 ( VAR4, VAR15, VAR5, VAR13, VAR9, VAR19, VAR10, VAR14, VAR12 ); parameter VAR1 = 16; input VAR4; input VAR15; input VAR5; output [VAR1-1:0] VAR13; input VAR9; input VAR19; input [VAR1-1:0] VAR10; output VAR14; output VAR12; VAR2 #(VAR1,4,16) VAR16 ( .VAR6 (VAR15), .VAR18 (VAR9), .rst (VAR4), .VAR11 (1'b0), .din (VAR10), .VAR21 (VAR19), .dout (VAR13), .VAR7 (VAR5), .VAR17 (VAR12), .VAR20 (VAR14), .VAR8 (), .VAR3 () ); endmodule
lgpl-3.0
monotone-RK/FACE
IEICE-Trans/data_compression/4-way_2-tree/src/ip_dram/controller/mig_7series_v2_3_bank_compare.v
10,847
module MODULE1 # (parameter VAR26 = 3, parameter VAR29 = 100, parameter VAR72 = "8", parameter VAR2 = 12, parameter VAR1 = 8, parameter VAR37 = "VAR7", parameter VAR59 = 2, parameter VAR36 = 4, parameter VAR19 = 16) ( VAR82, VAR34, VAR41, VAR81, VAR23, VAR46, VAR5, VAR13, VAR55, VAR49, VAR11, VAR63, VAR75, VAR45, VAR24, VAR33, VAR68, VAR52, VAR64, clk, VAR15, VAR30, VAR67, VAR20, VAR9, VAR4, VAR48, VAR74, VAR28, VAR47, VAR61, VAR73, VAR42, VAR58, VAR38, VAR50, VAR12, VAR44, VAR78 ); input clk; input VAR15; input VAR30; input [VAR1-1:0]VAR67; output reg [VAR1-1:0] VAR82; wire [VAR1-1:0] VAR35 = VAR30 ? VAR67 : VAR82; input VAR20; reg VAR60; wire VAR10 = VAR15 ? VAR20 : VAR60; output wire VAR34; assign VAR34 = VAR60; input VAR9; wire VAR16; generate if (VAR72 == "4") begin : VAR70 assign VAR16 = 1'b0; end else if (VAR72 == "8") begin : VAR17 assign VAR16 = 1'b1; end else if (VAR72 == "VAR51") begin : VAR22 reg VAR8; wire VAR40 = VAR15 ? (VAR20 || VAR9) : VAR8; assign VAR16 = VAR8; end endgenerate output wire VAR41; assign VAR41 = VAR16; input [2:0] VAR4; reg [2:0] VAR79; wire [2:0] VAR39 = VAR15 ? (VAR20 ? 3'b001 : VAR4) : VAR79; VAR14: assert property (@(posedge clk) ((VAR37 != "VAR7") || VAR15 || ~|VAR39[2:1])); input VAR48; reg VAR43; wire VAR80 = VAR15 ? ((VAR39[1:0] == 2'b11) || VAR39[0]) : ~VAR48 && VAR43; output wire VAR81; assign VAR81 = VAR43; input [VAR59-1:0] VAR74; input [VAR59-1:0] VAR28; reg [VAR59-1:0] VAR66 = {VAR59{1'b0}}; reg [VAR59-1:0] VAR3 = {VAR59{1'b0}}; generate if (VAR36 != 1) begin always @(VAR15 or VAR20 or VAR28 or VAR74 or VAR66) VAR3 = VAR15 ? VAR20 ? VAR28 : VAR74 : VAR66; end endgenerate output wire [VAR59-1:0] VAR23; assign VAR23 = VAR66; input [VAR26-1:0] VAR47; reg [VAR26-1:0] VAR25; wire [VAR26-1:0] VAR76 = VAR15 ? VAR47 : VAR25; output wire[VAR26-1:0] VAR46; assign VAR46 = VAR25; input [VAR19-1:0] VAR61; reg [VAR19-1:0] VAR69; wire [VAR19-1:0] VAR77 = VAR15 ? VAR61 : VAR69; output wire [VAR19-1:0] VAR5; assign VAR5 = VAR69; input [VAR2-1:0] VAR73; reg [15:0] VAR54 = 16'b0; wire [VAR2-1:0] VAR21 = VAR15 ? VAR73 : VAR54[VAR2-1:0]; reg VAR57; wire VAR27 = VAR15 ? ((VAR39[1:0] == 2'b11) || ~VAR39[0]) : VAR57; output wire VAR13; assign VAR13 = VAR57; input VAR42; output reg VAR55; wire VAR65 = VAR15 ? VAR42 : VAR55; wire VAR71 = (VAR66 == (VAR20 ? VAR28 : VAR74)); wire VAR31 = (VAR25 == VAR47); wire VAR18 = VAR71 && VAR31; output reg VAR49; wire VAR6; assign VAR6 = VAR18 && ~VAR15; output wire VAR11; assign VAR11 = VAR6; wire VAR53 = (VAR69 == VAR61); output reg VAR63; input [VAR59-1:0] VAR58; input VAR38; input VAR50; output wire VAR75; assign VAR75 = (VAR66 == VAR58) || VAR38 || VAR50; input VAR12; input VAR44; reg [15:0] VAR62 = 16'b0; always @(VAR12 or VAR44 or VAR54 or VAR16) begin VAR62 = VAR54; VAR62[10] = VAR12 && ~VAR44; VAR62[11] = VAR54[10]; VAR62[12] = VAR16; VAR62[13] = VAR54[11]; end output wire [VAR19-1:0] VAR45; assign VAR45 = VAR62[VAR19-1:0]; output wire VAR24; output wire VAR33; output wire VAR68; input VAR78; assign VAR24 = 1'b0; assign VAR33 = 1'b1; assign VAR68 = VAR78; output reg [VAR19-1:0] VAR52; always @(VAR78 or VAR69) begin VAR52 = VAR69; if (~VAR78) VAR52[10] = 1'b0; end localparam VAR56 = 1; output reg [VAR36-1:0] VAR64; wire [VAR36-1:0] VAR32 = {VAR36{~VAR15}} & (VAR56[VAR36-1:0] << VAR3); endmodule
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_xbar_0/synth/design_1_xbar_0.v
20,753
module MODULE1 ( VAR114, VAR84, VAR77, VAR34, VAR97, VAR45, VAR66, VAR93, VAR121, VAR39, VAR54, VAR109, VAR48, VAR126, VAR4, VAR79, VAR102, VAR52, VAR63, VAR25, VAR89, VAR29, VAR41, VAR1, VAR15, VAR112, VAR56, VAR31, VAR38, VAR35, VAR127, VAR6, VAR85, VAR27, VAR117, VAR96, VAR110, VAR8, VAR95, VAR20, VAR44, VAR12, VAR21, VAR37, VAR42, VAR10, VAR70, VAR17, VAR90, VAR73, VAR105, VAR119, VAR100, VAR57, VAR91, VAR47, VAR115, VAR94, VAR33, VAR14, VAR122, VAR78, VAR74, VAR81, VAR2, VAR51, VAR46, VAR111, VAR53, VAR98 ); input wire VAR114; input wire VAR84; input wire [31 : 0] VAR77; input wire [7 : 0] VAR34; input wire [2 : 0] VAR97; input wire [1 : 0] VAR45; input wire [0 : 0] VAR66; input wire [3 : 0] VAR93; input wire [2 : 0] VAR121; input wire [3 : 0] VAR39; input wire [0 : 0] VAR54; output wire [0 : 0] VAR109; input wire [63 : 0] VAR48; input wire [7 : 0] VAR126; input wire [0 : 0] VAR4; input wire [0 : 0] VAR79; output wire [0 : 0] VAR102; output wire [1 : 0] VAR52; output wire [0 : 0] VAR63; input wire [0 : 0] VAR25; input wire [31 : 0] VAR89; input wire [7 : 0] VAR29; input wire [2 : 0] VAR41; input wire [1 : 0] VAR1; input wire [0 : 0] VAR15; input wire [3 : 0] VAR112; input wire [2 : 0] VAR56; input wire [3 : 0] VAR31; input wire [0 : 0] VAR38; output wire [0 : 0] VAR35; output wire [63 : 0] VAR127; output wire [1 : 0] VAR6; output wire [0 : 0] VAR85; output wire [0 : 0] VAR27; input wire [0 : 0] VAR117; output wire [63 : 0] VAR96; output wire [15 : 0] VAR110; output wire [5 : 0] VAR8; output wire [3 : 0] VAR95; output wire [1 : 0] VAR20; output wire [7 : 0] VAR44; output wire [5 : 0] VAR12; output wire [7 : 0] VAR21; output wire [7 : 0] VAR37; output wire [1 : 0] VAR42; input wire [1 : 0] VAR10; output wire [127 : 0] VAR70; output wire [15 : 0] VAR17; output wire [1 : 0] VAR90; output wire [1 : 0] VAR73; input wire [1 : 0] VAR105; input wire [3 : 0] VAR119; input wire [1 : 0] VAR100; output wire [1 : 0] VAR57; output wire [63 : 0] VAR91; output wire [15 : 0] VAR47; output wire [5 : 0] VAR115; output wire [3 : 0] VAR94; output wire [1 : 0] VAR33; output wire [7 : 0] VAR14; output wire [5 : 0] VAR122; output wire [7 : 0] VAR78; output wire [7 : 0] VAR74; output wire [1 : 0] VAR81; input wire [1 : 0] VAR2; input wire [127 : 0] VAR51; input wire [3 : 0] VAR46; input wire [1 : 0] VAR111; input wire [1 : 0] VAR53; output wire [1 : 0] VAR98; VAR62 #( .VAR5("VAR9"), .VAR43(1), .VAR124(2), .VAR106(1), .VAR64(32), .VAR59(64), .VAR113(0), .VAR101(1), .VAR107(128'VAR65), .VAR50(64'VAR61), .VAR80(32'VAR128), .VAR24(32'VAR128), .VAR71(0), .VAR68(1), .VAR23(1), .VAR40(1), .VAR92(1), .VAR118(1), .VAR22(64'VAR104), .VAR99(64'VAR104), .VAR30(0), .VAR103(32'VAR128), .VAR55(32'VAR49), .VAR86(32'VAR49), .VAR83(64'VAR7), .VAR129(64'VAR7), .VAR69(32'VAR128), .VAR13(32'VAR128), .VAR36(1) ) VAR11 ( .VAR114(VAR114), .VAR84(VAR84), .VAR60(1'VAR3), .VAR77(VAR77), .VAR34(VAR34), .VAR97(VAR97), .VAR45(VAR45), .VAR66(VAR66), .VAR93(VAR93), .VAR121(VAR121), .VAR39(VAR39), .VAR88(1'VAR3), .VAR54(VAR54), .VAR109(VAR109), .VAR26(1'VAR3), .VAR48(VAR48), .VAR126(VAR126), .VAR4(VAR4), .VAR76(1'VAR3), .VAR79(VAR79), .VAR102(VAR102), .VAR32(), .VAR52(VAR52), .VAR75(), .VAR63(VAR63), .VAR25(VAR25), .VAR120(1'VAR3), .VAR89(VAR89), .VAR29(VAR29), .VAR41(VAR41), .VAR1(VAR1), .VAR15(VAR15), .VAR112(VAR112), .VAR56(VAR56), .VAR31(VAR31), .VAR18(1'VAR3), .VAR38(VAR38), .VAR35(VAR35), .VAR67(), .VAR127(VAR127), .VAR6(VAR6), .VAR85(VAR85), .VAR19(), .VAR27(VAR27), .VAR117(VAR117), .VAR16(), .VAR96(VAR96), .VAR110(VAR110), .VAR8(VAR8), .VAR95(VAR95), .VAR20(VAR20), .VAR44(VAR44), .VAR12(VAR12), .VAR21(VAR21), .VAR37(VAR37), .VAR87(), .VAR42(VAR42), .VAR10(VAR10), .VAR123(), .VAR70(VAR70), .VAR17(VAR17), .VAR90(VAR90), .VAR82(), .VAR73(VAR73), .VAR105(VAR105), .VAR72(2'VAR3), .VAR119(VAR119), .VAR116(2'VAR3), .VAR100(VAR100), .VAR57(VAR57), .VAR125(), .VAR91(VAR91), .VAR47(VAR47), .VAR115(VAR115), .VAR94(VAR94), .VAR33(VAR33), .VAR14(VAR14), .VAR122(VAR122), .VAR78(VAR78), .VAR74(VAR74), .VAR28(), .VAR81(VAR81), .VAR2(VAR2), .VAR108(2'VAR3), .VAR51(VAR51), .VAR46(VAR46), .VAR111(VAR111), .VAR58(2'VAR3), .VAR53(VAR53), .VAR98(VAR98) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/nand3/sky130_fd_sc_ms__nand3.pp.symbol.v
1,286
module MODULE1 ( input VAR1 , input VAR5 , input VAR7 , output VAR8 , input VAR3 , input VAR6, input VAR2, input VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfrbp/sky130_fd_sc_hvl__sdfrbp.blackbox.v
1,489
module MODULE1 ( VAR2 , VAR6 , VAR1 , VAR7 , VAR5 , VAR9 , VAR10 ); output VAR2 ; output VAR6 ; input VAR1 ; input VAR7 ; input VAR5 ; input VAR9 ; input VAR10; supply1 VAR11; supply0 VAR8; supply1 VAR4 ; supply0 VAR3 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/sdffq/gf180mcu_fd_sc_mcu7t5v0__sdffq_2.behavioral.pp.v
8,974
module MODULE1( VAR43, VAR90, VAR73, VAR31, VAR89, VAR14, VAR83 ); input VAR31, VAR73, VAR43, VAR90; inout VAR14, VAR83; output VAR89; reg VAR80; VAR3 VAR30(.VAR43(VAR43),.VAR90(VAR90),.VAR73(VAR73),.VAR31(VAR31),.VAR89(VAR89),.VAR14(VAR14),.VAR83(VAR83),.VAR80(VAR80)); VAR3 VAR88(.VAR43(VAR43),.VAR90(VAR90),.VAR73(VAR73),.VAR31(VAR31),.VAR89(VAR89),.VAR14(VAR14),.VAR83(VAR83),.VAR80(VAR80)); not VAR76(VAR77,VAR73); not VAR37(VAR33,VAR43); and VAR63(VAR28,VAR33,VAR77); not VAR72(VAR67,VAR90); and VAR87(VAR40,VAR67,VAR28); not VAR78(VAR16,VAR73); not VAR84(VAR81,VAR43); and VAR71(VAR39,VAR81,VAR16); and VAR65(VAR45,VAR90,VAR39); not VAR66(VAR6,VAR73); and VAR13(VAR21,VAR43,VAR6); not VAR51(VAR53,VAR90); and VAR82(VAR69,VAR53,VAR21); not VAR41(VAR24,VAR73); and VAR11(VAR27,VAR43,VAR24); and VAR61(VAR5,VAR90,VAR27); not VAR62(VAR36,VAR43); and VAR15(VAR38,VAR36,VAR73); not VAR68(VAR42,VAR90); and VAR75(VAR57,VAR42,VAR38); not VAR60(VAR55,VAR43); and VAR23(VAR58,VAR55,VAR73); and VAR48(VAR25,VAR90,VAR58); and VAR8(VAR64,VAR43,VAR73); not VAR70(VAR85,VAR90); and VAR9(VAR79,VAR85,VAR64); and VAR2(VAR7,VAR43,VAR73); and VAR10(VAR18,VAR90,VAR7); not VAR4(VAR26,VAR43); not VAR54(VAR32,VAR90); and VAR12(VAR52,VAR32,VAR26); not VAR1(VAR59,VAR43); and VAR44(VAR47,VAR90,VAR59); not VAR35(VAR22,VAR73); and VAR91(VAR49,VAR90,VAR22); not VAR17(VAR19,VAR90); and VAR74(VAR34,VAR19,VAR73); not VAR20(VAR46,VAR73); and VAR56(VAR29,VAR43,VAR46); and VAR50(VAR86,VAR43,VAR73);
apache-2.0
vad-rulezz/megabot
fusesoc/orpsoc-cores/systems/neek/backend/rtl/verilog/ddr_ctrl_ip/alt_mem_ddrx_addr_cmd_wrap.v
55,196
module MODULE1 VAR19 = 2, VAR30 = 2, VAR212 = 16, VAR113 = 16, VAR72 = 12, VAR171 = 3, VAR51 = 1, VAR60 = 3, VAR202 = 2, VAR186 = 2, VAR173 = 8, VAR53 = 4, VAR1 = 4, VAR175 = 1, VAR62 = 2, VAR147 = 5, VAR157 = 5, VAR84 = 5, VAR56 = 4, VAR23 = 4, VAR5 = 2 ) ( VAR86, VAR10, VAR29, VAR9, VAR38, VAR177, VAR158, VAR167, VAR13, VAR90, VAR150, VAR138, VAR198, VAR109, VAR103, VAR182, VAR134, VAR66, VAR161, VAR180, VAR152, VAR151, VAR195, VAR54, VAR42, VAR7, VAR80, VAR116, VAR39, VAR52, VAR133, VAR168, VAR58, VAR100, VAR135, VAR170, VAR45, VAR93, VAR61, VAR204, VAR179, VAR111, VAR49, VAR71, VAR178, VAR50, VAR78 ); localparam VAR28 = 2; input VAR86 ; input VAR10 ; input VAR29 ; input [VAR60 - 1 : 0] VAR9 ; input [VAR157 - 1 : 0] VAR38 ; input [VAR147 - 1 : 0] VAR177 ; input [VAR84 - 1 : 0] VAR158 ; input [VAR56 - 1 : 0] VAR167 ; input [VAR23 - 1 : 0] VAR13 ; input [4:0] VAR90 ; input [VAR5 - 1 : 0] VAR150; input [VAR186 - 1 : 0] VAR138 ; input [VAR186 - 1 : 0] VAR198 ; input [VAR186 - 1 : 0] VAR109 ; input [VAR186 - 1 : 0] VAR103 ; input [VAR186 - 1 : 0] VAR182 ; input [VAR186 - 1 : 0] VAR54 ; input [VAR186 - 1 : 0] VAR42 ; input [VAR186 - 1 : 0] VAR134 ; input [VAR186 - 1 : 0] VAR66 ; input [(VAR186 * VAR19) - 1 : 0] VAR161 ; input [(VAR186 * VAR19) - 1 : 0] VAR180 ; input [(VAR186 * VAR19) - 1 : 0] VAR152 ; input [(VAR186 * VAR19) - 1 : 0] VAR151 ; input [(VAR186 * VAR19) - 1 : 0] VAR195 ; input [(VAR186 * VAR19) - 1 : 0] VAR116 ; input [VAR186 - 1 : 0] VAR39 ; input [(VAR186 * VAR19) - 1 : 0] VAR58 ; input [(VAR186 * VAR171) - 1 : 0] VAR100 ; input [(VAR186 * VAR113) - 1 : 0] VAR135 ; input [(VAR186 * VAR72) - 1 : 0] VAR170 ; input VAR7 ; input VAR80 ; input [7:0] VAR45 ; input [VAR173 - 1 : 0] VAR52 ; input [VAR53 - 1 : 0] VAR133 ; input [VAR1 - 1 : 0] VAR168 ; input [VAR212-1:0] VAR93 ; output [(VAR30 * (VAR202/2)) - 1:0] VAR61 ; output [(VAR19 * (VAR202/2)) - 1:0] VAR204 ; output [(VAR202/2) - 1:0] VAR179 ; output [(VAR202/2) - 1:0] VAR111 ; output [(VAR202/2) - 1:0] VAR49 ; output [(VAR171 * (VAR202/2)) - 1:0] VAR71 ; output [(VAR212 * (VAR202/2)) - 1:0] VAR178 ; output [(VAR202/2) - 1:0] VAR50 ; output [(VAR62 * (VAR202/2)) - 1:0] VAR78 ; reg [(VAR30 * (VAR202/2)) - 1:0] VAR61 ; reg [(VAR19 * (VAR202/2)) - 1:0] VAR204 ; reg [(VAR202/2) - 1:0] VAR179 ; reg [(VAR202/2) - 1:0] VAR111 ; reg [(VAR202/2) - 1:0] VAR49 ; reg [(VAR171 * (VAR202/2)) - 1:0] VAR71 ; reg [(VAR212 * (VAR202/2)) - 1:0] VAR178 ; reg [(VAR202/2) - 1:0] VAR50 ; reg [(VAR62 * (VAR202/2)) - 1:0] VAR78 ; reg [(VAR202/2) - 1:0] VAR67 ; reg [(VAR202/2) - 1:0] VAR77 ; wire [VAR30 - 1:0] VAR118 [(VAR202/2)-1:0]; wire [VAR19- 1:0] VAR194 [(VAR202/2)-1:0]; wire VAR21 [(VAR202/2)-1:0]; wire VAR59 [(VAR202/2)-1:0]; wire VAR102 [(VAR202/2)-1:0]; wire [VAR171 - 1:0] VAR144 [(VAR202/2)-1:0]; wire [VAR212-1:0] VAR64 [(VAR202/2)-1:0]; wire VAR207 [(VAR202/2)-1:0]; wire VAR32 [(VAR202/2)-1:0]; wire VAR57 [(VAR202/2)-1:0]; reg [VAR30 - 1:0] VAR148 [(VAR202/2)-1:0]; reg [VAR19- 1:0] VAR192 [(VAR202/2)-1:0]; reg VAR137 [(VAR202/2)-1:0]; reg VAR91 [(VAR202/2)-1:0]; reg VAR25 [(VAR202/2)-1:0]; reg [VAR171 - 1:0] VAR70 [(VAR202/2)-1:0]; reg [VAR212-1:0] VAR183 [(VAR202/2)-1:0]; reg VAR41 [(VAR202/2)-1:0]; reg VAR200 [(VAR202/2)-1:0]; reg VAR40 [(VAR202/2)-1:0]; reg [(VAR30 * (VAR28/2)) - 1:0] VAR69 [VAR186-1:0]; reg [(VAR19 * (VAR28/2)) - 1:0] VAR35 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR107 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR187 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR211 [VAR186-1:0]; reg [(VAR171 * (VAR28/2)) - 1:0] VAR46 [VAR186-1:0]; reg [(VAR212 * (VAR28/2)) - 1:0] VAR18 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR43 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR79 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR2 [VAR186-1:0]; wire [(VAR30 * (VAR28/2)) - 1:0] VAR36 [VAR186-1:0]; wire [(VAR19 * (VAR28/2)) - 1:0] VAR197 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR162 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR37 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR95 [VAR186-1:0]; wire [(VAR171 * (VAR28/2)) - 1:0] VAR117 [VAR186-1:0]; wire [(VAR212 * (VAR28/2)) - 1:0] VAR31 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR176 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR74 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR189 [VAR186-1:0]; wire [(VAR30 * (VAR28/2)) - 1:0] VAR82 [VAR186-1:0]; wire [(VAR19 * (VAR28/2)) - 1:0] VAR139 [VAR186-1:0]; wire [(VAR212 * (VAR28/2)) - 1:0] VAR110 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR124 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR104 [VAR186-1:0]; reg [(VAR28/2) - 1:0] VAR83 [VAR186-1:0]; wire [(VAR30 * (VAR28/2)) - 1:0] VAR132 [VAR186-1:0]; wire [(VAR19 * (VAR28/2)) - 1:0] VAR130 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR92 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR205 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR166 [VAR186-1:0]; wire [(VAR171 * (VAR28/2)) - 1:0] VAR208 [VAR186-1:0]; wire [(VAR212 * (VAR28/2)) - 1:0] VAR11 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR17 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR190 [VAR186-1:0]; wire [(VAR28/2) - 1:0] VAR153 [VAR186-1:0]; wire [(VAR30 * (VAR28/2)) - 1:0] VAR85 ; wire [(VAR19 * (VAR28/2)) - 1:0] VAR122 ; wire [(VAR28/2) - 1:0] VAR120 ; wire [(VAR28/2) - 1:0] VAR73 ; wire [(VAR28/2) - 1:0] VAR121 ; wire [(VAR171 * (VAR28/2)) - 1:0] VAR27 ; wire [(VAR212 * (VAR28/2)) - 1:0] VAR129 ; wire [(VAR28/2) - 1:0] VAR88 ; wire [(VAR28/2) - 1:0] VAR209 ; wire [(VAR28/2) - 1:0] VAR127 ; wire [(VAR30 * (VAR202/2)) - 1:0] VAR213; wire [(VAR19 * (VAR202/2)) - 1:0] VAR193; wire [(VAR202/2) - 1:0] VAR108; wire [(VAR202/2) - 1:0] VAR191; wire [(VAR202/2) - 1:0] VAR22; wire [(VAR171 * (VAR202/2)) - 1:0] VAR206; wire [(VAR212 * (VAR202/2)) - 1:0] VAR123; wire [(VAR202/2) - 1:0] VAR68; reg [VAR186 - 1 : 0] VAR20 ; reg [VAR186 - 1 : 0] VAR48 ; reg [VAR186 - 1 : 0] VAR26 ; reg [VAR186 - 1 : 0] VAR65 ; reg [VAR186 - 1 : 0] VAR112 ; reg [VAR186 - 1 : 0] VAR146 ; reg [VAR186 - 1 : 0] VAR119 ; reg [VAR186 - 1 : 0] VAR96 ; reg [VAR186 - 1 : 0] VAR33 ; reg [VAR186 - 1 : 0] VAR15 ; reg [VAR186 - 1 : 0] VAR149 ; reg [VAR19 - 1 : 0] VAR142 [VAR186-1:0]; reg [VAR19 - 1 : 0] VAR131 [VAR186-1:0]; reg [VAR19 - 1 : 0] VAR174 [VAR186-1:0]; reg [VAR19 - 1 : 0] VAR76 [VAR186-1:0]; reg [VAR19 - 1 : 0] VAR143 [VAR186-1:0]; reg [VAR19 - 1 : 0] VAR94 [VAR186-1:0]; reg [VAR186 - 1 : 0] VAR24 ; reg [VAR19 -1:0] VAR16 [VAR186-1:0]; reg [VAR171 -1:0] VAR99 [VAR186-1:0]; reg [VAR113 -1:0] VAR89 [VAR186-1:0]; reg [VAR72 -1:0] VAR106 [VAR186-1:0]; reg [VAR173 - 1 : 0] VAR75; reg [VAR53 - 1 : 0] VAR160; reg [VAR1 - 1 : 0] VAR128; reg VAR181; reg VAR169; wire [(VAR62*(VAR202/2)) - 1 : 0] VAR44 [VAR186-1:0]; wire [(VAR62*(VAR202/2)) - 1 : 0] VAR105 [VAR186-1:0]; reg [VAR186 - 1 : 0] VAR47; reg [(VAR186 * VAR19) - 1 : 0] VAR3; reg [(VAR186 * VAR19) - 1 : 0] VAR55; wire VAR185 = 1'b1; wire VAR163 = 1'b0; genvar VAR14, VAR184; generate for (VAR184 = 0; VAR184 < (VAR202/2); VAR184 = VAR184 + 1'b1) begin : VAR165 always @ begin VAR20 [VAR125] = VAR138 [VAR125]; VAR48 [VAR125] = VAR198 [VAR125]; VAR26 [VAR125] = VAR109 [VAR125]; VAR65 [VAR125] = VAR103 [VAR125]; VAR112 [VAR125] = VAR182 [VAR125]; VAR146 [VAR125] = VAR54 [VAR125]; VAR119 [VAR125] = VAR42 [VAR125]; VAR96 [VAR125] = VAR134 [VAR125]; VAR33 [VAR125] = VAR66 [VAR125]; VAR16 [VAR125] = VAR58 [(((VAR125+1)*VAR19 )-1):(VAR125*VAR19 )]; VAR99 [VAR125] = VAR100 [(((VAR125+1)*VAR171 )-1):(VAR125*VAR171 )]; VAR89 [VAR125] = VAR135 [(((VAR125+1)*VAR113)-1):(VAR125*VAR113)]; VAR106 [VAR125] = VAR170 [(((VAR125+1)*VAR72)-1):(VAR125*VAR72)]; end if (VAR202 == 2) begin always @ begin VAR47 [VAR125] = VAR185; end end else begin always @ begin VAR47 [VAR125] = ((VAR125 % VAR186) == 1) ? VAR185 : VAR163; end end VAR141 # ( .VAR60 ( VAR60 ), .VAR5 ( VAR5 ), .VAR19 ( VAR19 ), .VAR30 ( VAR30 ), .VAR212 ( VAR212 ), .VAR113 ( VAR113 ), .VAR72 ( VAR72 ), .VAR171 ( VAR171 ), .VAR202 ( VAR28 ) ) VAR126 ( .VAR86 ( VAR86 ), .VAR10 ( VAR10 ), .VAR29 ( VAR29 ), .VAR9 ( VAR9 ), .VAR199 ( VAR150 ), .VAR47 ( VAR47 [VAR125] ), .VAR138 ( VAR20 [VAR125] ), .VAR198 ( VAR48 [VAR125] ), .VAR182 ( VAR112 [VAR125] ), .VAR109 ( VAR26 [VAR125] ), .VAR134 ( VAR96 [VAR125] ), .VAR66 ( VAR33 [VAR125] ), .VAR180 ( VAR131 [VAR125] ), .VAR151 ( VAR76 [VAR125] ), .VAR152 ( VAR174 [VAR125] ), .VAR39 ( VAR24 [VAR125] ), .VAR161 ( VAR142 [VAR125] ), .VAR116 ( VAR94 [VAR125] ), .VAR195 ( VAR143 [VAR125] ), .VAR103 ( VAR65 [VAR125] ), .VAR58 ( VAR16 [VAR125] ), .VAR100 ( VAR99 [VAR125] ), .VAR135 ( VAR89 [VAR125] ), .VAR170 ( VAR106 [VAR125] ), .VAR45 ( ), .VAR93 ( VAR93 ), .VAR61 ( VAR36 [VAR125] ), .VAR204 ( VAR197 [VAR125] ), .VAR179 ( VAR162 [VAR125] ), .VAR111 ( VAR37 [VAR125] ), .VAR49 ( VAR95 [VAR125] ), .VAR71 ( VAR117 [VAR125] ), .VAR178 ( VAR31 [VAR125] ), .VAR50 ( VAR176 [VAR125] ) ); if (VAR51) begin VAR34 # ( .VAR5 (VAR5 ), .VAR19 (VAR19 ), .VAR30 (VAR30 ), .VAR212 (VAR212 ), .VAR113 (VAR113 ), .VAR72 (VAR72 ), .VAR171 (VAR171 ), .VAR202 (VAR28 ) ) VAR172 ( .VAR86 (VAR86 ), .VAR10 (VAR10 ), .VAR29 (VAR29 ), .VAR199 (VAR150 ), .VAR47 (VAR47 [VAR125]), .VAR114 (VAR20 [VAR125]), .VAR115 (VAR48 [VAR125]), .VAR6 (VAR112 [VAR125]), .VAR8 (VAR96 [VAR125]), .VAR97 (VAR33 [VAR125]), .VAR210 (VAR131 [VAR125]), .VAR87 (VAR76 [VAR125]), .VAR156 (VAR174 [VAR125]), .VAR101 (VAR24 [VAR125]), .VAR81 (VAR142 [VAR125]), .VAR159 (VAR143 [VAR125]), .VAR164 (VAR65 [VAR125]), .VAR145 (VAR181 ), .VAR196 (VAR169 ), .VAR98 (VAR16 [VAR125]), .VAR203 (VAR99 [VAR125]), .VAR4 (VAR89 [VAR125]), .VAR155 (VAR106 [VAR125]), .VAR12 ( ), .VAR93 (VAR93[7:0] ), .VAR61 (VAR82 [VAR125]), .VAR204 (VAR139 [VAR125]), .VAR178 (VAR110 [VAR125]), .VAR50 (VAR124 [VAR125]) ); end else begin assign VAR82 [VAR125] = {(VAR30 * (VAR28/2)) {1'b0}}; assign VAR139 [VAR125] = {(VAR19 * (VAR28/2)) {1'b0}}; assign VAR110 [VAR125] = {(VAR212 * (VAR28/2)) {1'b0}}; assign VAR124 [VAR125] = { (VAR28/2) {1'b0}}; end always @ begin if (VAR150) begin VAR79[VAR125] = VAR15 [VAR125]; VAR2[VAR125] = VAR149 [VAR125]; end else begin VAR79[VAR125] = VAR146 [VAR125]; VAR2[VAR125] = VAR119 [VAR125]; end end VAR201 # ( .VAR202 (VAR202 ), .VAR175 (VAR175 ), .VAR19 (VAR19 ), .VAR62 (VAR62 ), .VAR147 (VAR147 ), .VAR157 (VAR157 ), .VAR84 (VAR84 ), .VAR60 (VAR60 ), .VAR56 (VAR56 ), .VAR23 (VAR23 ), .VAR5 (VAR5 ) ) VAR154 ( .VAR86 (VAR86 ), .VAR10 (VAR10 ), .VAR9 (VAR9 ), .VAR38 (VAR38 ), .VAR177 (VAR177 ), .VAR158 (VAR158 ), .VAR167 (VAR167 ), .VAR13 (VAR13 ), .VAR90 (VAR90 ), .VAR199 (VAR150 ), .VAR198 (VAR48 [VAR125]), .VAR138 (VAR20 [VAR125]), .VAR109 (VAR26 [VAR125]), .VAR58 (VAR16 [VAR125]), .VAR78 (VAR44 [VAR125]) ); end always @ begin VAR78 = VAR105 [VAR186-1]; end assign VAR105 [0] = VAR44 [0]; genvar VAR140; generate for (VAR140 = 1; VAR140 < VAR186; VAR140 = VAR140 + 1) begin : VAR63 assign VAR105 [VAR140] = VAR105 [VAR140-1] | VAR44 [VAR140]; end endgenerate assign VAR132 [0] = VAR69 [0]; assign VAR130 [0] = VAR35 [0]; assign VAR92 [0] = VAR107 [0]; assign VAR205 [0] = VAR187 [0]; assign VAR166 [0] = VAR211 [0]; assign VAR208 [0] = VAR46 [0]; assign VAR11 [0] = VAR18 [0]; assign VAR17 [0] = VAR43 [0]; assign VAR190 [0] = VAR79 [0]; assign VAR153 [0] = VAR2 [0]; genvar VAR136; generate for (VAR136 = 1; VAR136 < VAR186; VAR136 = VAR136 + 1) begin : VAR188 assign VAR132 [VAR136] = VAR132 [(VAR136-1)] & VAR69 [VAR136]; assign VAR130 [VAR136] = VAR130 [(VAR136-1)] & VAR35 [VAR136]; assign VAR92 [VAR136] = VAR92 [(VAR136-1)] & VAR107 [VAR136]; assign VAR205 [VAR136] = VAR205 [(VAR136-1)] & VAR187 [VAR136]; assign VAR166 [VAR136] = VAR166 [(VAR136-1)] & VAR211 [VAR136]; assign VAR208 [VAR136] = VAR208 [(VAR136-1)] | VAR46 [VAR136]; assign VAR11 [VAR136] = VAR11 [(VAR136-1)] | VAR18 [VAR136]; assign VAR17 [VAR136] = VAR17 [(VAR136-1)] | VAR43 [VAR136]; assign VAR190 [VAR136] = VAR190 [(VAR136-1)] | VAR79 [VAR136]; assign VAR153 [VAR136] = VAR153 [(VAR136-1)] | VAR2 [VAR136]; end endgenerate assign VAR85 = VAR132 [VAR186-1]; assign VAR122 = VAR130 [VAR186-1]; assign VAR120 = VAR92 [VAR186-1]; assign VAR73 = VAR205 [VAR186-1]; assign VAR121 = VAR166 [VAR186-1]; assign VAR27 = VAR208 [VAR186-1]; assign VAR129 = VAR11 [VAR186-1]; assign VAR88 = VAR17 [VAR186-1]; assign VAR209 = VAR190 [VAR186-1]; assign VAR127 = VAR153 [VAR186-1]; always @ (posedge VAR86 or negedge VAR10) begin if (!VAR10) begin VAR3 <= 0; VAR55 <= 0; end else begin VAR3 <= VAR152; VAR55 <= VAR195; end end endmodule
gpl-2.0
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/source/pcieCore_pipe_sync.v
27,149
module MODULE1 # ( parameter VAR3 = "VAR4", parameter VAR25 = "VAR108", parameter VAR73 = "VAR9", parameter VAR109 = 0, parameter VAR87 = 0, parameter VAR30 = 1, parameter VAR86 = 3, parameter VAR72 = 0, parameter VAR40 = 0 ) ( input VAR24, input VAR13, input VAR76, input VAR60, input VAR20, input VAR65, input VAR80, input VAR53, input VAR70, input VAR45, input VAR54, input VAR21, input VAR49, input VAR106, input VAR85, input VAR28, input VAR22, input VAR102, input VAR59, input VAR42, output VAR34, output VAR79, output VAR96, output VAR68, output VAR107, output VAR67, output VAR31, output VAR106, output [ 5:0] VAR84, output VAR93, output VAR52, output VAR15, output VAR44, output VAR41, output VAR56, output VAR105, output VAR42, output [ 6:0] VAR74 ); reg VAR47; reg VAR101; reg VAR37; reg VAR61; reg VAR14; reg VAR35; reg VAR63; reg VAR48; reg VAR23; reg VAR62; reg VAR16; reg VAR97; reg VAR66; reg VAR88; reg VAR99; reg VAR39; reg VAR19; reg VAR95; reg VAR83; reg VAR98; reg VAR17; reg VAR104; reg VAR18; reg VAR100; reg VAR91; reg VAR43; reg VAR103; reg VAR12; reg VAR75; reg VAR27; reg VAR57; reg VAR94; reg VAR6; reg VAR36; reg VAR7; reg VAR33; reg VAR46; reg VAR1 = 1'd0; reg VAR77 = 1'd0; reg [ 5:0] VAR29 = 6'd0; reg VAR92 = 1'd0; reg VAR10 = 1'd0; reg [ 6:0] VAR69 = 7'd0; localparam VAR78 = 6'b000001; localparam VAR90 = 6'b000010; localparam VAR38 = 6'b000100; localparam VAR71 = 6'b001000; localparam VAR81 = 6'b010000; localparam VAR26 = 6'b100000; localparam VAR51 = 7'b0000001; localparam VAR64 = 7'b0000010; localparam VAR89 = 7'b0000100; localparam VAR110 = 7'b0001000; localparam VAR11 = 7'b0010000; localparam VAR50 = 7'b0100000; localparam VAR58 = 7'b1000000; always @ (posedge VAR24) begin if (!VAR13) begin VAR47 <= 1'd0; VAR101 <= 1'd0; VAR37 <= 1'd0; VAR61 <= 1'd0; VAR14 <= 1'd0; VAR16 <= 1'd0; VAR97 <= 1'd0; VAR66 <= 1'd0; VAR88 <= 1'd0; VAR99 <= 1'd0; VAR43 <= 1'd0; VAR103 <= 1'd0; VAR12 <= 1'd0; VAR75 <= 1'd0; VAR27 <= 1'd0; VAR57 <= 1'd0; VAR35 <= 1'd0; VAR63 <= 1'd0; VAR48 <= 1'd0; VAR23 <= 1'd0; VAR62 <= 1'd0; VAR39 <= 1'd0; VAR19 <= 1'd0; VAR95 <= 1'd0; VAR83 <= 1'd0; VAR98 <= 1'd0; VAR94 <= 1'd0; VAR6 <= 1'd0; VAR36 <= 1'd0; VAR7 <= 1'd0; VAR33 <= 1'd0; VAR46 <= 1'd0; VAR17 <= 1'd0; VAR104 <= 1'd0; VAR18 <= 1'd0; VAR100 <= 1'd0; VAR91 <= 1'd0; end else begin VAR47 <= VAR60; VAR101 <= VAR20; VAR37 <= VAR65; VAR61 <= VAR80; VAR14 <= VAR53; VAR16 <= VAR45; VAR97 <= VAR54; VAR66 <= VAR21; VAR88 <= VAR49; VAR99 <= VAR106; VAR43 <= VAR85; VAR103 <= VAR28; VAR12 <= VAR22; VAR75 <= VAR102; VAR27 <= VAR59; VAR57 <= VAR42; VAR35 <= VAR47; VAR63 <= VAR101; VAR48 <= VAR37; VAR23 <= VAR61; VAR62 <= VAR14; VAR39 <= VAR16; VAR19 <= VAR97; VAR95 <= VAR66; VAR83 <= VAR88; VAR98 <= VAR99; VAR94 <= VAR43; VAR6 <= VAR103; VAR36 <= VAR12; VAR7 <= VAR75; VAR33 <= VAR27; VAR46 <= VAR57; VAR17 <= VAR39; VAR104 <= VAR19; VAR18 <= VAR95; VAR100 <= VAR83; VAR91 <= VAR98; end end generate if ((VAR86 == 3) || (VAR25 == "VAR108")) begin : VAR2 always @ (posedge VAR24) begin if (!VAR13) begin VAR29 <= VAR78; VAR1 <= 1'd0; VAR77 <= 1'd0; end else begin case (VAR29) VAR78 : begin if (VAR39) begin VAR29 <= VAR90; VAR1 <= 1'd0; VAR77 <= 1'd0; end else begin VAR29 <= VAR78; VAR1 <= VAR1; VAR77 <= VAR77; end end VAR90 : begin VAR29 <= (VAR48 ? VAR38 : VAR90); VAR1 <= 1'd0; VAR77 <= 1'd0; end VAR38 : begin VAR29 <= (((!VAR18 && VAR95) || (((VAR3 == "VAR8") || (VAR3 == "VAR5")) && (VAR109 == 1) && VAR76)) ? VAR71 : VAR38); VAR1 <= 1'd0; VAR77 <= 1'd0; end VAR71 : begin VAR29 <= (((!VAR104 && VAR19) || (VAR109 == 1) || (!VAR70)) ? VAR81 : VAR71); VAR1 <= 1'd0; VAR77 <= 1'd0; end VAR81 : begin if (((VAR3 == "VAR8") || (VAR3 == "VAR5")) && (VAR109 == 1) && !VAR76) VAR29 <= ((!VAR91 && VAR98) || (!VAR70) ? VAR26 : VAR81); end else VAR29 <= ((!VAR100 && VAR83) || (!VAR70) ? VAR26 : VAR81); VAR1 <= 1'd0; VAR77 <= 1'd0; end VAR26 : begin if ((!VAR100 && VAR83) || (!VAR70) || VAR76 || (((VAR3 == "VAR8") || (VAR3 == "VAR5")) && (VAR109 == 1)) || (VAR72 == 1)) begin VAR29 <= VAR78; VAR1 <= !VAR76; VAR77 <= 1'd1; end else begin VAR29 <= VAR26; VAR1 <= !VAR76; VAR77 <= 1'd0; end end default : begin VAR29 <= VAR78; VAR1 <= 1'd0; VAR77 <= 1'd0; end endcase end end end else begin : VAR55 always @ (posedge VAR24) begin VAR29 <= VAR78; VAR1 <= 1'd0; VAR77 <= 1'd0; end end endgenerate generate if ((VAR86 == 3) && (VAR73 == "VAR108")) begin : VAR32 always @ (posedge VAR24) begin if (!VAR13) begin VAR69 <= VAR51; VAR92 <= 1'd0; VAR10 <= 1'd0; end else begin case (VAR69) VAR51 : begin if (VAR94) begin VAR69 <= VAR64; VAR92 <= 1'd0; VAR10 <= 1'd0; end else if (VAR35 && VAR63 && ((VAR23 == 1'd1) && (VAR61 == 1'd0))) begin VAR69 <= VAR64; VAR92 <= 1'd0; VAR10 <= 1'd0; end else begin VAR69 <= VAR51; VAR92 <= VAR23 ? 1'd0 : VAR92; VAR10 <= VAR23 ? 1'd0 : VAR10; end end VAR64 : begin VAR69 <= ((!VAR23 && VAR62) ? VAR89 : VAR64); VAR92 <= 1'd0; VAR10 <= 1'd0; end VAR89 : begin VAR69 <= ((!VAR6 && VAR103) ? VAR110 : VAR89); VAR92 <= 1'd0; VAR10 <= 1'd0; end VAR110 : begin if (VAR76) begin VAR69 <= ((!VAR7 && VAR75) ? VAR11 : VAR110); VAR92 <= 1'd0; VAR10 <= 1'd0; end else begin VAR69 <= ((!VAR36 && VAR12) ? VAR11 : VAR110); VAR92 <= 1'd0; VAR10 <= 1'd0; end end VAR11 : begin if (VAR76) begin VAR69 <= VAR51; VAR92 <= 1'd0; VAR10 <= 1'd1; end else if ((!VAR36 && VAR12) || (VAR40 == 1)) begin VAR69 <= ((VAR30 == 1) ? VAR51 : VAR50); VAR92 <= (VAR30 == 1); VAR10 <= (VAR30 == 1); end else begin VAR69 <= VAR11; VAR92 <= 1'd1; VAR10 <= 1'd0; end end VAR50 : begin if (!VAR7 && VAR75) begin VAR69 <= VAR58; VAR92 <= 1'd1; VAR10 <= 1'd0; end else begin VAR69 <= VAR50; VAR92 <= 1'd0; VAR10 <= 1'd0; end end VAR58 : begin if ((!VAR36 && VAR12) || (VAR40 == 1)) begin VAR69 <= VAR51; VAR92 <= 1'd1; VAR10 <= 1'd1; end else begin VAR69 <= VAR58; VAR92 <= 1'd1; VAR10 <= 1'd0; end end default : begin VAR69 <= VAR51; VAR92 <= 1'd0; VAR10 <= 1'd0; end endcase end end end else begin : VAR82 always @ (posedge VAR24) begin VAR69 <= VAR51; VAR92 <= 1'd0; VAR10 <= 1'd0; end end endgenerate assign VAR96 = ((VAR109 == 1) || (!VAR35 && (VAR25 == "VAR9"))) ? 1'd0 : 1'd1; assign VAR107 = 1'd0; assign VAR67 = (VAR29 == VAR38); assign VAR34 = (((VAR3 == "VAR8") || (VAR3 == "VAR5")) && (VAR109 == 1) && VAR76) ? (VAR29 == VAR38) : 1'd0; assign VAR68 = VAR109 ? 1'd0 : (VAR29 == VAR71); assign VAR79 = VAR109 ? 1'd0 : (VAR29 == VAR81); assign VAR31 = VAR109 ? 1'd0 : VAR1; assign VAR106 = VAR77; assign VAR84 = VAR29; assign VAR52 = ((VAR87 == 1) || (!VAR35) || (VAR73 == "VAR9")) ? 1'd0 : 1'd1; assign VAR15 = !VAR35 || (VAR73 == "VAR9"); assign VAR44 = (VAR69 == VAR89); assign VAR93 = VAR87 ? 1'd0 : (!VAR76 ? (VAR69 == VAR110) : (VAR33 && (VAR69 == VAR110))); assign VAR41 = VAR87 ? 1'd0 : VAR92; assign VAR56 = VAR35 && (VAR73 == "VAR108"); assign VAR42 = VAR10; assign VAR105 = (VAR69 == VAR50); assign VAR74 = VAR69; endmodule
gpl-2.0
vipinkmenon/fpgadriver
src/hw/fpga/source/memory_if/phy_top.v
54,010
module MODULE1 # ( parameter VAR235 = 100, parameter VAR42 = 2, parameter VAR255 = 3333, parameter VAR89 = 300.0, parameter VAR95 = "VAR63", parameter [7:0] VAR273 = 8'b00000001, parameter [7:0] VAR20 = 8'b00000000, parameter VAR176 = 2, parameter VAR3 = 1, parameter VAR94 = 10, parameter VAR217 = 1, parameter VAR212 = 6, parameter VAR344 = 64, parameter VAR36 = 8, parameter VAR138 = 3, parameter VAR308 = 8, parameter VAR307 = 8, parameter VAR117 = 14, parameter VAR105 = 1, parameter VAR333 = 1, parameter VAR274 = 1, parameter VAR1 = "VAR205", parameter VAR179 = 16'h0000, parameter VAR249 = 12'h000, parameter VAR277 = 3'h0, parameter VAR126 = "0", parameter VAR214 = "8", parameter VAR238 = "VAR22", parameter VAR108 = 0, parameter VAR244 = 5, parameter VAR204 = 5, parameter VAR324 = 110000, parameter VAR283 = "VAR268", parameter VAR250 = "VAR263", parameter VAR289 = "60", parameter VAR111 = "60", parameter VAR110 = "VAR257", parameter VAR40 = "VAR257", parameter VAR128 = 0, parameter VAR237 = 8, parameter VAR96 = "VAR263", parameter VAR219 = 16, parameter VAR55 = "VAR239", parameter VAR171 = "VAR257", parameter VAR129 = "VAR263", parameter VAR276 = "VAR329", parameter VAR300 = VAR308, parameter VAR116 = 0, parameter VAR272 = 0, parameter VAR320 = 0, parameter VAR86 = 144'h11100F0E0D0C0B0A09080706050403020100, parameter VAR78 = 0, parameter VAR193 = 0, parameter VAR200 = 0, parameter VAR156 = 1, parameter VAR192 = "VAR257", parameter VAR168 = "VAR293", parameter VAR120 = "VAR293", parameter VAR134 = "VAR257" ) ( input VAR154, input clk, input VAR45, input rst, input [7:0] VAR248, input [7:0] VAR315, input [VAR117-1:0] VAR84, input [VAR117-1:0] VAR186, input [VAR176-1:0] VAR106, input [VAR176-1:0] VAR4, input VAR326, input VAR62, input [VAR274-1:0] VAR262, input [VAR274-1:0] VAR32, input [VAR333*VAR217-1:0] VAR330, input [VAR333*VAR217-1:0] VAR109, input [VAR333*VAR217-1:0] VAR285, input [VAR333*VAR217-1:0] VAR301, input VAR323, input VAR220, input VAR207, input VAR236, input VAR222, input VAR34, input [4*VAR344-1:0] VAR14, input [4*(VAR344/8)-1:0] VAR310, input VAR182, output [4*VAR344-1:0] VAR23, output VAR135, input VAR125, output VAR335, input VAR166, input [VAR105:0] VAR119, output [VAR3-1:0] VAR11, output [VAR3-1:0] VAR41, output [VAR117-1:0] VAR123, output [VAR176-1:0] VAR234, output VAR318, output VAR202, output VAR139, output [VAR333*VAR217-1:0] VAR201, output [VAR274-1:0] VAR339, output [VAR333*VAR217-1:0] VAR284, output VAR280, output VAR38, output [VAR36-1:0] VAR65, inout [VAR308-1:0] VAR25, inout [VAR308-1:0] VAR54, inout [VAR344-1:0] VAR19, input VAR221, output VAR130, output VAR312, input [5*VAR308-1:0] VAR58, input [5*VAR308-1:0] VAR51, input VAR115, output VAR30, output VAR146, output VAR181, output [VAR308-1:0] VAR340, output [2*VAR308-1:0] VAR56, output [5*VAR308-1:0] VAR57, output [5*VAR308-1:0] VAR322, output [4:0] VAR288, output VAR321, output [VAR308-1:0] VAR278, output [1:0] VAR26, output [1:0] VAR121, output [1:0] VAR37, output [5*VAR308-1:0] VAR306, output [5*VAR308-1:0] VAR223, output [3*VAR308-1:0] VAR290, output [2*VAR308-1:0] VAR188, output [4:0] VAR336, output [4*VAR344-1:0] VAR311, input VAR174, input VAR291, input VAR104, input VAR16, input VAR28, input VAR60, input [VAR138-1:0] VAR178, input VAR319, input [VAR138-1:0] VAR59, input VAR297, output [5*VAR308-1:0] VAR133, output [19:0] VAR144, output [5*VAR308-1:0] VAR208, output [5*VAR308-1:0] VAR233, input VAR88, input VAR159, input VAR113, input VAR169, input VAR43, input VAR213, input VAR211, input VAR158, input VAR27, input [3:0] VAR9, input [VAR138-1:0] VAR160, input VAR261, input VAR44, output [255:0] VAR67, output [255:0] VAR172, output [255:0] VAR47, output [255:0] VAR215 ); localparam VAR253 = ((VAR192 == "VAR185") || (VAR192 == "VAR254")) ? "VAR296" : VAR168; localparam VAR242 = (VAR192 == "VAR185") ? "VAR52" : ((VAR192 == "VAR254") ? "VAR92" : VAR120); localparam VAR85 = (VAR192 == "VAR185") ? "VAR257" : VAR110; localparam VAR49 = (VAR192 == "VAR185") ? "VAR257" : VAR40; localparam integer VAR305 = (VAR255 + (VAR42*(1000000/(VAR89*64))*2)-1) / (VAR42*(1000000/(VAR89*64))*4); localparam VAR183 = (VAR250 == "VAR263") ? VAR204 + 1 : VAR204; localparam VAR317 = 1 + (|VAR20 ? 1 : 0); localparam VAR81 = (VAR255 > 8000) ? "VAR257" : VAR49; localparam VAR210 = ((VAR255 < 10000) & ( VAR344 >= 64) & (VAR3 < 5) & (VAR95 == "VAR35") & (VAR250 == "VAR257")); reg [2:0] VAR271; wire [1:0] VAR313; reg [1:0] VAR140; reg [1:0] VAR341; wire [VAR308-1:0] VAR294; wire [3:0] VAR124; wire [4*VAR308-1:0] VAR48; wire [VAR308-1:0] VAR309; wire [VAR308-1:0] VAR342; wire [VAR308-1:0] VAR180; wire [3:0] VAR189; wire [3:0] VAR152; wire [VAR308-1:0] VAR260; wire [VAR308-1:0] VAR17; wire VAR18; wire VAR328; wire VAR145; wire [3:0] VAR93; wire VAR269; wire VAR246; wire [5*VAR308-1:0] VAR173; wire [5*VAR308-1:0] VAR230; wire [5*VAR308-1:0] VAR345; wire [5*VAR308-1:0] VAR149; wire [5*VAR308-1:0] VAR50; wire [5*VAR308-1:0] VAR264; wire [5*VAR308-1:0] VAR165; wire [5*VAR308-1:0] VAR299; wire [5*VAR308-1:0] VAR164; wire [VAR308-1:0] VAR337; wire [4*VAR308-1:0] VAR281; wire [VAR308-1:0] VAR259; reg VAR74; wire [4*VAR308-1:0] VAR137; wire [(VAR308*4)-1:0] VAR21; wire [VAR308-1:0] VAR79; wire [(VAR344/8)-1:0] VAR252; wire [(VAR344/8)-1:0] VAR71; wire [(VAR344/8)-1:0] VAR298; wire [(VAR344/8)-1:0] VAR267; wire VAR251; wire VAR147; wire VAR187; wire [VAR117-1:0] VAR304; wire [VAR117-1:0] VAR190; wire [VAR176-1:0] VAR243; wire [VAR176-1:0] VAR275; wire VAR150; wire VAR148; wire [VAR274-1:0] VAR292; wire [VAR274-1:0] VAR82; wire [VAR333*VAR217-1:0] VAR303; wire [VAR333*VAR217-1:0] VAR295; wire VAR53; wire [0:0] VAR195; wire VAR162; wire [VAR333*VAR217-1:0] VAR122; wire [VAR333*VAR217-1:0] VAR331; wire VAR8; wire VAR224; wire VAR287; wire VAR136; wire VAR325; wire VAR286; wire [4*VAR344-1:0] VAR157; wire VAR241; wire [4*(VAR344/8)-1:0] VAR31; wire VAR39; wire [1:0] VAR216; wire [4:0] VAR229; wire [2*VAR308-1:0] VAR100; wire [VAR308-1:0] VAR118; wire [2*VAR308-1:0] VAR33; wire [VAR344-1:0] VAR107; wire [VAR344-1:0] VAR279; wire [VAR344-1:0] VAR142; wire [VAR344-1:0] VAR247; wire [VAR308-1:0] VAR175; wire [VAR308-1:0] VAR69; wire [VAR308-1:0] VAR194; wire [VAR308-1:0] VAR76; wire VAR334; wire VAR197; wire [1:0] VAR282; wire [1:0] VAR270; wire VAR314; wire VAR15; wire VAR101; wire [VAR138-1:0] VAR12; wire VAR80; wire [1:0] VAR258; wire [3:0] VAR5; wire VAR13; wire [2*VAR308-1:0] VAR332; wire [VAR344-1:0] VAR151; wire [VAR344-1:0] VAR155; wire [VAR344-1:0] VAR132; wire [VAR344-1:0] VAR72; wire [2*VAR308-1:0] VAR161; wire VAR266; wire VAR209; wire VAR102; wire VAR196; wire VAR191; assign VAR311 = VAR23; assign VAR215 = 256'd0; assign VAR30 = VAR191; assign VAR146 = VAR102; assign VAR181 = VAR196; assign VAR340 = VAR259; assign VAR57 = VAR299; assign VAR322 = VAR264; assign VAR56 = VAR332; assign VAR26 = VAR258; assign VAR121 = VAR282; assign VAR37 = VAR270; assign VAR15 = (VAR85 == "VAR263") ? VAR314 : 1'b0; assign VAR259 = (VAR85 == "VAR263") ? VAR79 : {VAR308{1'b0}}; assign VAR161 = (VAR85 == "VAR263") ? VAR332 : {2*VAR308{1'b0}}; assign VAR216 = (rst || (VAR105 == 0)) ? 2'b00 : (~VAR335) ? VAR341 : (VAR105 == 2) ? VAR119[1:0] : {1'b0, VAR119[0]}; always @(posedge clk) begin end generate genvar VAR163; for (VAR163 = 0; VAR163 < VAR308; VAR163 = VAR163 + 1) begin: VAR73 if (VAR134 == "VAR263") begin: VAR316 assign VAR264[5*VAR163+4:5*VAR163] = (VAR85 == "VAR263") ? ((VAR102 && VAR115) ? VAR51[5*VAR163+4:5*VAR163] : VAR165[5*VAR163+4:5*VAR163]) : ((VAR115) ? VAR51[5*VAR163+4:5*VAR163] : VAR305); assign VAR299[5*VAR163+4:5*VAR163] = (VAR85 == "VAR263") ? ((VAR102 && VAR115) ? VAR58[5*VAR163+4:5*VAR163] : VAR164[5*VAR163+4:5*VAR163]) : ((VAR115) ? VAR58[5*VAR163+4:5*VAR163] : 5'b0); end else begin: VAR61 assign VAR264[5*VAR163+4:5*VAR163] = (VAR85 == "VAR263") ? VAR165[5*VAR163+4:5*VAR163] : VAR305; assign VAR299[5*VAR163+4:5*VAR163] = (VAR85 == "VAR263") ? VAR164[5*VAR163+4:5*VAR163] : 5'b0; end end endgenerate generate if (VAR317 == 1) begin: VAR198 always @ (posedge clk) begin case ({VAR248[0],VAR248[1], VAR248[2],VAR248[3]}) 4'b1111: if (VAR1 == "VAR87") end else 4'b1100: if (VAR1 == "VAR87") end else default: endcase end end else if (VAR317 == 2) begin: VAR256 always @ (posedge clk) begin case ({VAR248[0],VAR248[1], VAR315[0],VAR315[1]}) 4'b1010: 4'b1000: 4'b0010: 4'b1111: if (VAR1 == "VAR87") end else 4'b1110: if (VAR1 == "VAR87") end else 4'b1100: if (VAR1 == "VAR87") else 4'b1011: if (VAR1 == "VAR87") else 4'b0011: if (VAR1 == "VAR87") else default: endcase end end endgenerate VAR24 # ( .VAR235 (VAR235), .VAR42 (VAR42), .VAR255 (VAR255), .VAR95 (VAR95), .VAR176 (VAR176), .VAR94 (VAR94), .VAR217 (VAR217), .VAR344 (VAR344), .VAR117 (VAR117), .VAR333 (VAR333), .VAR274 (VAR274), .VAR179 (VAR179), .VAR249 (VAR249), .VAR277 (VAR277), .VAR126 (VAR126), .VAR214 (VAR214), .VAR238 (VAR238), .VAR108 (VAR108), .VAR244 (VAR244), .VAR204 (VAR204), .VAR324 (VAR324), .VAR283 (VAR283), .VAR250 (VAR250), .VAR289 (VAR289), .VAR111 (VAR111), .VAR110 (VAR85), .VAR40 (VAR81), .VAR317 (VAR317), .VAR168 (VAR253), .VAR120 (VAR242) ) VAR226 ( .clk (clk), .rst (rst), .VAR271 (VAR271), .VAR327 (VAR327), .VAR102 (VAR102), .VAR218 (VAR218), .VAR209 (VAR209), .VAR248 (VAR248), .VAR315 (VAR315), .VAR282 (VAR282), .VAR258 (VAR258), .VAR334 (VAR334), .VAR197(VAR197), .VAR80 (VAR80), .VAR343 (VAR15), .VAR313 (VAR313), .VAR147 (VAR147), .VAR251 (VAR251), .VAR187 (VAR187), .VAR39 (VAR39), .VAR335 (VAR335), .VAR304 (VAR304), .VAR190 (VAR190), .VAR243 (VAR243), .VAR275 (VAR275), .VAR150 (VAR150), .VAR148 (VAR148), .VAR292 (VAR292), .VAR82 (VAR82), .VAR303 (VAR303), .VAR295 (VAR295), .VAR53 (VAR53), .VAR122 (VAR122), .VAR331 (VAR331), .VAR8 (VAR8), .VAR224 (VAR224), .VAR136 (VAR136), .VAR325 (VAR325), .VAR286 (VAR286), .VAR241 (VAR241), .VAR157 (VAR157), .VAR287 (VAR287), .VAR195 (VAR195), .VAR184 (VAR162) ); VAR203 # ( .VAR235 (VAR235), .VAR176 (VAR176), .VAR105 (VAR105), .VAR217 (VAR217), .VAR333 (VAR333), .VAR274 (VAR274), .VAR117 (VAR117), .VAR110 (VAR85), .VAR204 (VAR183), .VAR95 (VAR95), .VAR250 (VAR250), .VAR89 (VAR89), .VAR129 (VAR129), .VAR276 (VAR276), .VAR210 (VAR210) ) VAR167 ( .VAR154 (VAR154), .clk (clk), .rst (rst), .VAR199 (VAR53), .VAR84 (VAR84), .VAR186 (VAR186), .VAR106 (VAR106), .VAR4 (VAR4), .VAR326 (VAR326), .VAR62 (VAR62), .VAR262 (VAR262), .VAR32 (VAR32), .VAR330 (VAR330), .VAR109 (VAR109), .VAR285 (VAR285), .VAR301 (VAR301), .VAR323 (VAR323), .VAR220 (VAR220), .VAR207 (VAR207), .VAR236 (VAR236), .VAR222 (VAR222), .VAR304 (VAR304), .VAR190 (VAR190), .VAR243 (VAR243), .VAR275 (VAR275), .VAR150 (VAR150), .VAR148 (VAR148), .VAR292 (VAR292), .VAR82 (VAR82), .VAR303 (VAR303), .VAR295 (VAR295), .VAR122 (VAR122), .VAR331 (VAR331), .VAR8 (VAR8), .VAR224 (VAR224), .VAR136 (VAR136), .VAR325 (VAR325), .VAR286 (VAR286), .VAR123 (VAR123), .VAR234 (VAR234), .VAR318 (VAR318), .VAR202 (VAR202), .VAR139 (VAR139), .VAR339 (VAR339), .VAR201 (VAR201), .VAR284 (VAR284), .VAR38 (VAR38), .VAR280 (VAR280) ); VAR338 # ( .VAR235 (VAR235), .VAR3 (VAR3), .VAR110 (VAR85), .VAR95 (VAR95), .VAR89 (VAR89), .VAR276 (VAR276) ) VAR302 ( .VAR154 (VAR154), .clk (clk), .rst (rst), .VAR11 (VAR11), .VAR41 (VAR41) ); VAR64 # ( .VAR235 (VAR235), .VAR42 (VAR42), .VAR255 (VAR255), .VAR95 (VAR95), .VAR307 (VAR307), .VAR36 (VAR36), .VAR344 (VAR344), .VAR308 (VAR308), .VAR204 (VAR183), .VAR110 (VAR85), .VAR89 (VAR89), .VAR171 (VAR171), .VAR129 (VAR129), .VAR276 (VAR276), .VAR300 (VAR300), .VAR116 (VAR116), .VAR272 (VAR272), .VAR320 (VAR320), .VAR86 (VAR86), .VAR78 (VAR78), .VAR193 (VAR193), .VAR200 (VAR200), .VAR156 (VAR156) ) VAR131 ( .VAR154 (VAR154), .clk (clk), .VAR294 (VAR294), .VAR124 (VAR124), .rst (rst), .VAR5 (VAR5), .VAR173 (VAR173), .VAR230 (VAR230), .VAR79 (VAR259), .VAR332 (VAR161), .VAR137 (VAR137), .VAR281 (VAR281), .VAR21 (VAR21), .VAR337 (VAR337), .VAR298 (VAR298), .VAR252 (VAR252), .VAR267 (VAR267), .VAR71 (VAR71), .VAR151 (VAR151), .VAR155 (VAR155), .VAR132 (VAR132), .VAR72 (VAR72), .VAR100 (VAR100), .VAR33 (VAR33), .VAR118 (VAR118), .VAR142 (VAR142), .VAR107 (VAR107), .VAR247 (VAR247), .VAR279 (VAR279), .VAR194 (VAR194), .VAR175 (VAR175), .VAR76 (VAR76), .VAR69 (VAR69), .VAR65 (VAR65), .VAR25 (VAR25), .VAR54 (VAR54), .VAR19 (VAR19), .VAR208 (VAR208), .VAR233 (VAR233) ); VAR91 # ( .VAR235 (VAR235), .VAR344 (VAR344), .VAR138 (VAR138), .VAR308 (VAR308), .VAR105 (VAR105), .VAR204 (VAR183), .VAR250 (VAR250), .VAR110 (VAR85), .VAR40 (VAR81), .VAR95 (VAR95), .VAR300 (VAR300), .VAR116 (VAR116), .VAR272 (VAR272), .VAR320 (VAR320), .VAR86 (VAR86), .VAR78 (VAR78), .VAR193 (VAR193), .VAR200 (VAR200), .VAR134 (VAR134) ) VAR10 ( .clk (clk), .rst (rst), .VAR124 (VAR124), .VAR5 (VAR5), .VAR102 (VAR102), .VAR282 (VAR282), .VAR251 (VAR251), .VAR199 (VAR53), .VAR114 (VAR119), .VAR153 (VAR166), .VAR195 (VAR195), .VAR184 (VAR162), .VAR74 (VAR74), .VAR299 (VAR299), .VAR264 (VAR264), .VAR180 (VAR180), .VAR328 (VAR328), .VAR189 (VAR189), .VAR145 (VAR145), .VAR149 (VAR149), .VAR50 (VAR50), .VAR342 (VAR342), .VAR17 (VAR17), .VAR345 (VAR345), .VAR230 (VAR230), .VAR173 (VAR173), .VAR269 (VAR269), .VAR309 (VAR309), .VAR260 (VAR260), .VAR246 (VAR246), .VAR152 (VAR152), .VAR93 (VAR93), .VAR88 (VAR88) ); VAR97 # ( .VAR235 (VAR235), .VAR110 (VAR85), .VAR344 (VAR344), .VAR308 (VAR308), .VAR95 (VAR95), .VAR105 (VAR105), .VAR204 (VAR183), .VAR250 (VAR250) ) VAR231 ( .clk (clk), .rst (rst), .VAR199 (VAR53), .VAR209 (VAR209), .VAR102 (VAR102), .VAR79 (VAR259), .VAR332 (VAR161), .VAR14 (VAR14), .VAR310 (VAR310), .VAR34 (VAR34), .VAR153 (VAR166), .VAR114 (VAR119), .VAR157 (VAR157), .VAR241 (VAR241), .VAR184 (VAR162), .VAR195 (VAR195), .VAR337 (VAR337), .VAR281 (VAR281), .VAR137 (VAR137), .VAR21 (VAR21), .VAR99 (VAR99), .VAR127 (), .VAR6 (), .VAR13 (VAR13), .VAR191 (VAR191), .VAR151 (VAR151), .VAR155 (VAR155), .VAR132 (VAR132), .VAR72 (VAR72), .VAR298 (VAR298), .VAR252 (VAR252), .VAR267 (VAR267), .VAR71 (VAR71) ); always @(posedge clk) generate if (VAR85 == "VAR263") begin: VAR2 VAR206 # ( .VAR235 (VAR235), .VAR138 (VAR138), .VAR344 (VAR344), .VAR308 (VAR308), .VAR307 (VAR307), .VAR333 (VAR333), .VAR1 (VAR1), .VAR141 (5*VAR308-1), .VAR305 (VAR305), .VAR120 (VAR242) ) VAR225 ( .clk (clk), .rst (rst), .VAR271 (VAR271), .VAR216 (VAR216), .VAR170 (VAR191), .VAR13 (VAR13), .VAR142 (VAR23[VAR344-1:0]), .VAR282 (VAR282), .VAR245 (VAR102), .VAR218 (VAR218), .VAR75 (VAR164), .VAR68 (VAR165), .VAR79 (VAR79), .VAR46 (VAR101), .VAR143 (VAR12), .VAR343 (VAR314), .VAR332 (VAR332), .VAR266 (VAR266), .VAR196 (VAR196), .VAR90 (VAR288), .VAR321 (VAR321), .VAR278 (VAR278), .VAR66 (), .VAR227 (), .VAR228 () ); end endgenerate VAR83 # ( .VAR235 (VAR235), .VAR42 (VAR42), .VAR255 (VAR255), .VAR89 (VAR89), .VAR308 (VAR308), .VAR344 (VAR344), .VAR307 (VAR307), .VAR276 (VAR276), .VAR300 (VAR300), .VAR116 (VAR116), .VAR272 (VAR272), .VAR320 (VAR320), .VAR86 (VAR86), .VAR78 (VAR78), .VAR193 (VAR193), .VAR200 (VAR200) ) VAR240 ( .VAR154 (VAR154), .clk (clk), .VAR45 (VAR45), .rst (rst), .VAR269 (VAR269), .VAR309 (VAR309), .VAR260 (VAR260), .VAR246 (VAR246), .VAR152 (VAR152), .VAR93 (VAR93), .VAR294 (VAR294), .VAR124 (VAR124), .VAR5 (VAR5), .VAR327 (VAR327), .VAR199 (VAR53), .VAR229 (VAR229), .VAR142 (VAR142), .VAR107 (VAR107), .VAR247 (VAR247), .VAR279 (VAR279), .VAR194 (VAR194), .VAR175 (VAR175), .VAR76 (VAR76), .VAR69 (VAR69), .VAR182 (VAR182), .VAR287 (VAR287), .VAR135 (VAR135), .VAR70 (VAR70), .VAR23 (VAR23), .VAR48 (VAR48), .VAR133 (VAR133), .VAR144 (VAR144), .VAR172 (VAR172) ); VAR77 # ( .VAR235 (VAR235), .VAR42 (VAR42), .VAR255 (VAR255), .VAR89 (VAR89), .VAR344 (VAR344), .VAR138 (VAR138), .VAR308 (VAR308), .VAR307 (VAR307), .VAR95 (VAR95), .VAR244 (VAR244), .VAR128 (VAR128), .VAR120 (VAR242), .VAR134 (VAR134) ) VAR103 ( .clk (clk), .rst (rst), .VAR258 (VAR258), .VAR197 (VAR197), .VAR7 (VAR70), .VAR282 (VAR282), .VAR334 (VAR334), .VAR270 (VAR270), .VAR80 (VAR80), .VAR39 (VAR39), .VAR142 (VAR23[VAR344-1:0]), .VAR107 (VAR23[2*VAR344-1:VAR344]), .VAR247 (VAR23[3*VAR344-1:2*VAR344]), .VAR279 (VAR23[4*VAR344-1:3*VAR344]), .VAR309 (VAR180), .VAR260 (VAR328), .VAR152 (VAR189), .VAR93 (VAR145), .VAR173 (VAR149), .VAR230 (VAR50), .VAR100 (VAR100), .VAR33 (VAR33), .VAR229 (VAR229), .VAR314 (VAR15), .VAR101 (VAR101), .VAR12 (VAR12), .VAR118 (VAR118), .VAR306 (VAR306), .VAR223 (VAR223), .VAR290 (VAR290), .VAR112 (), .VAR188 (VAR188), .VAR336 (VAR336), .VAR174 (VAR174), .VAR291 (VAR291), .VAR104 (VAR104), .VAR16 (VAR16), .VAR28 (VAR28), .VAR60 (VAR60), .VAR178 (VAR178), .VAR319 (VAR319), .VAR59 (VAR59), .VAR297 (VAR297), .VAR47 (VAR47) ); generate if (VAR81 == "VAR263") begin: VAR98 VAR232 # ( .VAR235 (VAR235), .VAR138 (VAR138), .VAR308 (VAR308), .VAR219 (VAR219), .VAR55 (VAR55), .VAR237 (VAR237), .VAR96 (VAR96), .VAR120 (VAR242), .VAR134 (VAR134) ) VAR265 ( .clk (clk), .rst (rst), .VAR147 (VAR147), .VAR251 (VAR251), .VAR335 (VAR53), .VAR177 (VAR70), .VAR130 (VAR130), .VAR312 (VAR312), .VAR50 (VAR50), .VAR342 (VAR342), .VAR17 (VAR17), .VAR345 (VAR345), .VAR194 (VAR48[VAR308-1-:VAR308]), .VAR175 (VAR48[2*VAR308-1-:VAR308]), .VAR76 (VAR48[3*VAR308-1-:VAR308]), .VAR69 (VAR48[4*VAR308-1-:VAR308]), .VAR187 (VAR187), .VAR39 (VAR39), .VAR88 (VAR88), .VAR159 (VAR159), .VAR113 (VAR113), .VAR169 (VAR169), .VAR43 (VAR43), .VAR213 (VAR213), .VAR211 (VAR211), .VAR158 (VAR158), .VAR27 (VAR27), .VAR9 (VAR9), .VAR160 (VAR160), .VAR261 (VAR261), .VAR44 (VAR44), .VAR67 (VAR67) ); end else begin: VAR29 assign VAR251 = 1'b0; assign VAR187 = 1'b0; assign VAR342 = 'b0; assign VAR17 = 'b0; assign VAR345 = 'b0; end endgenerate endmodule
mit
gralco/mojo-ide
Mojo IDE/build/shared/base/mojo-v2/source/avr_interface.v
2,237
module MODULE1( input clk, input rst, input VAR7, output VAR45, input VAR9, input VAR1, input VAR15, output [3:0] VAR46, output VAR25, input VAR47, input [3:0] VAR41, output VAR22, output [9:0] VAR10, output [3:0] VAR42, input [7:0] VAR43, input VAR27, output VAR29, input VAR28, output [7:0] VAR2, output VAR37 ); wire ready; wire VAR30 = !ready; wire VAR48; wire [7:0] VAR14; wire VAR6; wire VAR36; reg VAR4, VAR12; reg [9:0] VAR44, VAR33; reg VAR17, VAR34; reg [3:0] VAR13, VAR8; VAR16 VAR16 ( .clk(clk), .rst(rst), .VAR7(VAR7), .ready(ready) ); VAR35 VAR35 ( .clk(clk), .rst(VAR30), .VAR26(VAR15), .VAR5(VAR9), .VAR39(VAR36), .VAR21(VAR1), .VAR38(VAR48), .din(8'hff), .dout(VAR14) ); VAR19 #(.VAR18(100), .VAR3(7)) VAR19 ( .clk(clk), .rst(VAR30), .VAR47(VAR47), .VAR20(VAR2), .VAR24(VAR37) ); VAR23 #(.VAR18(100), .VAR3(7)) VAR23 ( .clk(clk), .rst(VAR30), .VAR25(VAR6), .VAR11(VAR28), .VAR32(VAR29), .VAR20(VAR43), .VAR24(VAR27) ); assign VAR22 = VAR34; assign VAR10 = VAR33; assign VAR42 = VAR8; assign VAR46 = ready ? VAR41 : 4'VAR40; assign VAR45 = ready && !VAR15 ? VAR36 : 1'VAR31; assign VAR25 = ready ? VAR6 : 1'VAR31; always @(*) begin VAR4 = VAR12; VAR44 = VAR33; VAR17 = 1'b0; VAR13 = VAR8; if (VAR15) begin VAR4 = 1'b0; end if (VAR48) begin if (VAR12 == 1'b0) begin VAR44[7:0] = VAR14; VAR4 = 1'b1; end else begin VAR44[9:8] = VAR14[1:0]; VAR13 = VAR14[7:4]; VAR4 = 1'b1; VAR17 = 1'b1; end end end always @(posedge clk) begin if (VAR30) begin VAR12 <= 1'b0; VAR33 <= 10'b0; VAR34 <= 1'b0; end else begin VAR12 <= VAR4; VAR33 <= VAR44; VAR34 <= VAR17; end VAR8 <= VAR13; end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkdlyinv3sd1/sky130_fd_sc_hs__clkdlyinv3sd1.functional.v
1,759
module MODULE1 ( VAR9 , VAR10 , VAR3, VAR4 ); output VAR9 ; input VAR10 ; input VAR3; input VAR4; wire VAR5 ; wire VAR1; not VAR8 (VAR5 , VAR10 ); VAR7 VAR2 (VAR1, VAR5, VAR3, VAR4); buf VAR6 (VAR9 , VAR1 ); endmodule
apache-2.0
yipenghuang0302/csee4840_14
software/peripheral/synthesis/submodules/ik_swift_master_0.v
19,029
module MODULE1 #( parameter VAR15 = 0, parameter VAR37 = 50000, parameter VAR11 = 2 ) ( input wire VAR26, input wire VAR29, output wire [31:0] VAR4, input wire [31:0] VAR39, output wire VAR31, output wire VAR2, output wire [31:0] VAR8, input wire VAR5, input wire VAR21, output wire [3:0] VAR1, output wire VAR47 ); wire VAR40; wire [7:0] VAR32; wire VAR10; wire [7:0] VAR38; wire VAR13; wire VAR14; wire [7:0] VAR41; wire VAR17; wire VAR45; wire VAR25; wire VAR42; wire [7:0] VAR12; wire VAR44; wire [7:0] VAR22; wire VAR35; wire VAR30; wire VAR28; wire [7:0] VAR18; wire VAR9; wire VAR20; wire VAR19; wire VAR36; wire [7:0] VAR33; wire VAR16; wire VAR34; wire VAR7; wire VAR6; wire [7:0] VAR23; wire [7:0] VAR3; wire VAR24; wire VAR46; wire [7:0] VAR48; wire VAR27; wire VAR43; generate if (VAR15 != 0) begin begin begin
mit
azonenberg/openfpga
hdl/xc2c-model/XC2CAndArrayJIT.v
2,488
module MODULE1(VAR3, VAR1, VAR2); input wire VAR3; input wire VAR1; input wire VAR2; endmodule
lgpl-2.1
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/sdfxtp/sky130_fd_sc_hvl__sdfxtp.symbol.v
1,414
module MODULE1 ( input VAR8 , output VAR6 , input VAR5, input VAR7, input VAR1 ); supply1 VAR2; supply0 VAR3; supply1 VAR4 ; supply0 VAR9 ; endmodule
apache-2.0
pemsac/ANN_project
ANN_project.srcs/sources_1/bd/design_SWandHW_standalone_v2/ipshared/xilinx.com/axi_protocol_converter_v2_1/hdl/verilog/axi_protocol_converter_v2_1_r_axi3_conv.v
8,787
module MODULE1 # ( parameter VAR23 = "none", parameter integer VAR12 = 1, parameter integer VAR18 = 32, parameter integer VAR40 = 32, parameter integer VAR41 = 0, parameter integer VAR16 = 1, parameter integer VAR9 = 1, parameter integer VAR10 = 1 ) ( input wire VAR6, input wire VAR24, input wire VAR27, input wire VAR4, output wire VAR26, output wire [VAR12-1:0] VAR36, output wire [VAR40-1:0] VAR17, output wire [2-1:0] VAR20, output wire VAR8, output wire [VAR16-1:0] VAR30, output wire VAR14, input wire VAR28, input wire [VAR12-1:0] VAR32, input wire [VAR40-1:0] VAR1, input wire [2-1:0] VAR31, input wire VAR29, input wire [VAR16-1:0] VAR11, input wire VAR34, output wire VAR35 ); localparam [2-1:0] VAR37 = 2'b00; localparam [2-1:0] VAR5 = 2'b01; localparam [2-1:0] VAR42 = 2'b10; localparam [2-1:0] VAR38 = 2'b11; wire VAR2; wire VAR15; wire VAR39; wire VAR33; wire [VAR12-1:0] VAR7; wire [VAR40-1:0] VAR21; wire [2-1:0] VAR25; wire VAR13; wire [VAR16-1:0] VAR3; wire VAR19; wire VAR22; assign VAR33 = ~VAR39 & VAR27; assign VAR35 = VAR33; assign VAR19 = VAR34 & VAR27; assign VAR15 = VAR19 & VAR22; assign VAR2 = VAR27 & VAR15 & VAR29; assign VAR26 = VAR2; assign VAR39 = VAR19 & ~VAR22; assign VAR13 = VAR29 & ( ~VAR4 | ( VAR9 == 0 ) ); assign VAR7 = VAR32; assign VAR3 = VAR11; assign VAR21 = VAR1; assign VAR25 = VAR31; assign VAR22 = VAR28; assign VAR14 = VAR19; assign VAR36 = VAR7; assign VAR17 = VAR21; assign VAR20 = VAR25; assign VAR8 = VAR13; assign VAR30 = VAR3; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/mux4/sky130_fd_sc_hs__mux4.behavioral.v
1,854
module MODULE1 ( VAR1 , VAR15 , VAR3 , VAR4 , VAR9 , VAR8 , VAR13 , VAR2, VAR14 ); output VAR1 ; input VAR15 ; input VAR3 ; input VAR4 ; input VAR9 ; input VAR8 ; input VAR13 ; input VAR2; input VAR14; wire VAR11 ; wire VAR12; VAR16 VAR7 (VAR11 , VAR15, VAR3, VAR4, VAR9, VAR8, VAR13 ); VAR5 VAR6 (VAR12, VAR11, VAR2, VAR14); buf VAR10 (VAR1 , VAR12 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o211ai/sky130_fd_sc_lp__o211ai.functional.v
1,468
module MODULE1 ( VAR3 , VAR5, VAR6, VAR2, VAR4 ); output VAR3 ; input VAR5; input VAR6; input VAR2; input VAR4; wire VAR10 ; wire VAR1; or VAR8 (VAR10 , VAR6, VAR5 ); nand VAR9 (VAR1, VAR4, VAR10, VAR2); buf VAR7 (VAR3 , VAR1 ); endmodule
apache-2.0
Digilent/vivado-library
ip/hls_gamma_correction_1_0/hdl/verilog/Mat2AXIvideo.v
44,777
module MODULE1 ( VAR50, VAR45, VAR56, VAR72, VAR38, VAR147, VAR67, VAR30, VAR97, VAR6, VAR128, VAR143, VAR73, VAR37, VAR11, VAR124, VAR145, VAR12, VAR156, VAR105, VAR65, VAR75, VAR121, VAR24, VAR141, VAR59, VAR153, VAR113, VAR104, VAR62, VAR61 ); parameter VAR1 = 4'd1; parameter VAR84 = 4'd2; parameter VAR58 = 4'd4; parameter VAR15 = 4'd8; input VAR50; input VAR45; input VAR56; output VAR72; input VAR38; output VAR147; output VAR67; input [15:0] VAR30; input VAR97; output VAR6; input [15:0] VAR128; input VAR143; output VAR73; input [7:0] VAR37; input VAR11; output VAR124; input [7:0] VAR145; input VAR12; output VAR156; input [7:0] VAR105; input VAR65; output VAR75; output [23:0] VAR121; output VAR24; input VAR141; output [2:0] VAR59; output [2:0] VAR153; output [0:0] VAR113; output [0:0] VAR104; output [0:0] VAR62; output [0:0] VAR61; reg VAR72; reg VAR147; reg VAR67; reg VAR6; reg VAR73; reg VAR124; reg VAR156; reg VAR75; reg VAR146; reg [3:0] VAR22; wire VAR78; reg [23:0] VAR29; reg VAR18; wire VAR154; wire VAR4; wire VAR77; reg [23:0] VAR122; reg [23:0] VAR109; reg VAR46; reg VAR132; wire VAR98; wire VAR13; wire VAR108; reg [1:0] VAR83; wire VAR130; wire [2:0] VAR54; reg VAR92; wire VAR76; wire VAR26; wire VAR102; reg VAR71; wire VAR27; reg [1:0] VAR100; wire [2:0] VAR17; reg VAR35; wire VAR51; wire VAR28; wire VAR137; reg VAR103; wire VAR101; reg [1:0] VAR148; reg [0:0] VAR9; reg VAR21; wire VAR86; wire VAR34; wire VAR150; reg [0:0] VAR96; reg [0:0] VAR114; reg VAR115; reg VAR151; wire VAR81; wire VAR149; wire VAR136; reg [1:0] VAR117; wire VAR95; reg [0:0] VAR139; reg VAR126; wire VAR135; wire VAR70; wire VAR89; reg [0:0] VAR82; reg [0:0] VAR88; reg VAR87; reg VAR52; wire VAR110; wire VAR144; wire VAR131; reg [1:0] VAR157; wire VAR134; wire [0:0] VAR49; reg VAR31; wire VAR2; wire VAR16; wire VAR140; reg VAR19; wire VAR119; reg [1:0] VAR138; wire [0:0] VAR74; reg VAR69; wire VAR48; wire VAR93; wire VAR79; reg VAR10; wire VAR80; reg [1:0] VAR155; reg VAR33; reg VAR57; reg VAR85; wire VAR40; reg VAR120; wire VAR94; reg [0:0] VAR5; reg VAR23; reg VAR60; reg VAR123; reg VAR107; reg [0:0] VAR43; reg [10:0] VAR111; wire [11:0] VAR39; reg [11:0] VAR129; reg VAR125; wire [11:0] VAR55; reg [11:0] VAR133; wire [11:0] VAR118; reg [11:0] VAR91; wire [0:0] VAR158; wire VAR112; reg VAR106; wire [10:0] VAR66; reg [10:0] VAR53; wire [0:0] VAR116; wire VAR63; reg VAR36; reg VAR7; wire VAR142; reg VAR64; reg VAR90; wire [10:0] VAR159; reg VAR44; wire [0:0] VAR152; reg [0:0] VAR14; wire [23:0] VAR160; reg VAR68; reg VAR25; reg [10:0] VAR32; wire VAR99; reg [0:0] VAR20; reg VAR41; wire [11:0] VAR42; wire [11:0] VAR127; reg [3:0] VAR3; reg VAR47; wire VAR8;
mit
C-L-G/azpr_soc
azpr_soc/trunk/ic/digital/azpr_soc/io/rom/rtl/rom.v
1,576
module MODULE1 ( input wire clk, input wire reset, input wire VAR5, input wire VAR11, input wire [VAR9] addr, output wire [VAR6] VAR3, output reg VAR12 ); VAR10 VAR10 ( .VAR14 (clk), .VAR1 (addr), .VAR4 (VAR3) ); always @(posedge clk or VAR8 reset) begin if (reset == VAR2) begin VAR12 <= VAR13; end else begin if ((VAR5 == VAR7) && (VAR11 == VAR7)) begin VAR12 <= VAR7; end else begin VAR12 <= VAR13; end end end endmodule
apache-2.0