repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
olajep/oh | src/spi/hdl/spi.v | 5,189 | module MODULE1 #( parameter VAR29 = 32, parameter VAR15 = 104, parameter VAR14 = 13 )
( input VAR10, input clk, input VAR27, output VAR20, input VAR16, input [VAR15-1:0] VAR26, input VAR12, output VAR21, output [VAR15-1:0] VAR18, output VAR32, output VAR35, output VAR3, output VAR24, input VAR17, input VAR28, input VAR4, input VAR8, output VAR25 );
wire VAR36; wire [VAR15-1:0] VAR1; wire VAR31; wire VAR19; wire [VAR15-1:0] VAR6; wire [511:0] VAR11; wire VAR7;
VAR23 #(.VAR29(VAR29),
.VAR15(VAR15))
VAR23 (
.VAR9 (VAR35), .VAR22 (VAR3), .VAR34 (VAR24), .VAR32 (VAR31), .VAR21 (VAR36), .VAR18 (VAR1[VAR15-1:0]), .clk (clk), .VAR10 (VAR10), .VAR27 (VAR27), .VAR13 (VAR17), .VAR16 (VAR16), .VAR26 (VAR26[VAR15-1:0]), .VAR12 (VAR12));
VAR5 #(.VAR29(VAR29),
.VAR15(VAR15),
.VAR14(VAR14))
VAR5 (
.VAR2 (VAR11[511:0]), .VAR20 (VAR20), .VAR13 (VAR25), .VAR21 (VAR19), .VAR18 (VAR6[VAR15-1:0]), .VAR32 (VAR7), .clk (clk), .VAR10 (VAR10), .VAR27 (VAR27), .VAR9 (VAR28), .VAR22 (VAR4), .VAR34 (VAR8), .VAR12 (VAR12), .VAR16 (VAR16), .VAR26 (VAR26[VAR15-1:0]));
assign VAR32 = VAR7 | VAR31;
VAR33 #(.VAR30(2),
.VAR29(VAR29),
.VAR15(VAR15))
VAR33 ( .VAR32 (),
.VAR21 (VAR21),
.VAR18 (VAR18[VAR15-1:0]),
.VAR16 ({VAR19,VAR36}),
.VAR26 ({VAR6[VAR15-1:0],VAR1[VAR15-1:0]}),
.VAR12 (VAR12)
);
endmodule | mit |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v | 5,583 | if (VAR6 == VAR21 && VAR43 == VAR44) \
begin: VAR8 \
wire [VAR43-1:0] VAR14; \
genvar VAR13; \
for(VAR13=0;VAR13<VAR30;VAR13++) \
assign VAR14[8*VAR13+:8] = {8{VAR46[VAR13]}}; \
VAR28 VAR32 \
(.VAR45 ( VAR25 ) \
,.VAR29 ( VAR17 ) \
,.VAR3 ( ~VAR14 ) \
,.VAR11 ( ~VAR22 ) \
,.VAR9 ( ~VAR24 ) \
,.VAR48 ( VAR1 ) \
,.VAR38 ( VAR40 ) \
,.VAR2 ( 2'b0 ) \
,.VAR34 ( 2'b0 )); \
end
if (VAR6 == VAR21 && VAR43 == VAR44) \
begin: VAR8 \
wire [VAR43-1:0] VAR14; \
genvar VAR13; \
for(VAR13=0;VAR13<VAR30;VAR13++) \
assign VAR14[8*VAR13+:8] = {8{VAR46[VAR13]}}; \
VAR7 VAR32 \
(.VAR45 ( VAR25 ) \
,.VAR29 ( VAR17 ) \
,.VAR3 ( ~VAR14 ) \
,.VAR11 ( ~VAR22 ) \
,.VAR9 ( ~VAR24 ) \
,.VAR48 ( VAR1 ) \
,.VAR38 ( VAR40 ) \
,.VAR2 ( 2'b0 )); \
end
if (VAR6 == 2*VAR21 && VAR43 == VAR44) \
begin: VAR8 \
wire [VAR43-1:0] VAR14; \
wire [VAR43-1:0] VAR23 [0:1]; \
logic sel; \
VAR18 @(posedge VAR1) \
sel <= VAR25[0]; \
genvar VAR13; \
for(VAR13=0;VAR13<VAR30;VAR13++) \
assign VAR14[8*VAR13+:8] = {8{VAR46[VAR13]}}; \
VAR7 VAR36 \
(.VAR45 ( VAR25[VAR20-1:1] ) \
,.VAR29 ( VAR17 ) \
,.VAR3 ( ~VAR14 ) \
,.VAR11 ( ~VAR22 | VAR25[0] ) \
,.VAR9 ( ~VAR24 | VAR25[0] ) \
,.VAR48 ( VAR1 ) \
,.VAR38 ( VAR23[0] ) \
,.VAR2 ( 2'b0 )); \
VAR7 VAR19 \
(.VAR45 ( VAR25[VAR20-1:1] ) \
,.VAR29 ( VAR17 ) \
,.VAR3 ( ~VAR14 ) \
,.VAR11 ( ~VAR22 | ~VAR25[0] ) \
,.VAR9 ( ~VAR24 | ~VAR25[0] ) \
,.VAR48 ( VAR1 ) \
,.VAR38 ( VAR23[1] ) \
,.VAR2 ( 2'b0 )); \
assign VAR40 = sel? VAR23[1]: VAR23[0]; \
end
module MODULE1
,parameter VAR15(VAR43 )
,parameter VAR20 = VAR33(VAR6)
,parameter VAR30 = VAR43>>3
)
(input VAR1
,input VAR26
,input VAR24
,input VAR22
,input [VAR20-1:0] VAR25
,input [VAR43-1:0] VAR17
,input [VAR30-1:0] VAR46
,output [VAR43-1:0] VAR40
);
wire VAR39 = VAR26;
begin : VAR4
VAR37
VAR47 (.*);
end
VAR12
assert (VAR43 % 8 == 0)
else ("VAR5 VAR41 VAR27 VAR16 VAR35 VAR42 VAR31 8 for byte VAR10");
begin | bsd-3-clause |
cornell-zhang/datuner | designs/quartus/processor/cpu.v | 2,406 | module MODULE1(VAR45, VAR51, VAR27, VAR20, VAR29, VAR32, VAR1, VAR39, VAR21, VAR59, VAR12, VAR40);
input VAR45;
input VAR51;
input VAR27;
input [15:0] VAR20;
input [7:0] VAR29;
output [7:0] VAR32;
output [7:0] VAR1;
output [7:0] VAR39;
output [7:0] VAR21;
output [7:0] VAR59;
output [7:0] VAR12;
output VAR40;
reg [7:0] VAR32;
reg [7:0] VAR1;
wire VAR40;
wire [2:0] VAR56;
wire [2:0] VAR2;
wire [2:0] VAR60;
wire VAR62;
wire [7:0] VAR39;
wire [7:0] VAR21;
wire [7:0] VAR59;
wire [7:0] VAR12;
wire [7:0] VAR3;
wire [7:0] VAR44;
wire [5:0] VAR23;
wire VAR37;
wire [2:0] VAR18;
wire VAR8;
wire VAR38;
wire VAR14;
wire [5:0] VAR49;
wire VAR28;
wire [2:0] VAR7;
wire VAR48;
wire VAR46;
wire [7:0] VAR50;
VAR26 VAR63(
.VAR56(VAR56),
.VAR2(VAR2),
.VAR60(VAR60),
.VAR52(VAR59),
.VAR62(VAR62),
.VAR51(VAR51),
.VAR39(VAR39),
.VAR21(VAR21),
.VAR45(VAR45)
);
decoder VAR43(
.VAR33(VAR20),
.VAR60(VAR60),
.VAR56(VAR56),
.VAR2(VAR2),
.VAR23(VAR23),
.VAR37(VAR37),
.VAR18(VAR18),
.VAR8(VAR8),
.VAR62(VAR62),
.VAR40(VAR40),
.VAR7(VAR7),
.VAR49(VAR49),
.VAR38(VAR38)
);
alu VAR9(
.VAR34(VAR39),
.VAR36(VAR3),
.VAR53(VAR18),
.VAR48(VAR48),
.VAR46(VAR46),
.VAR24(VAR12),
.VAR17(),
.VAR13(),
.VAR35(),
.VAR30(),
.VAR10(),
.VAR57(),
.VAR55(),
.VAR41(),
.VAR16(),
.VAR6()
);
VAR19 VAR4(
.VAR47(VAR23),
.VAR58(VAR44)
);
VAR25 #(.VAR64(1)) VAR31(
.VAR34(VAR46),
.VAR36(~VAR46),
.VAR17(~VAR48),
.VAR22(VAR48),
.VAR54(1'b0),
.VAR5(1'b0),
.VAR42(1'b0),
.VAR14(1'b0),
.VAR61(VAR7),
.VAR24(VAR28)
);
VAR11 VAR15(
.VAR45(VAR45),
.VAR38(VAR38),
.VAR27(VAR27),
.VAR14(VAR14)
);
assign VAR50[7] = VAR49[5];
assign VAR50[6:1] = VAR49;
assign VAR50[0] = 1'b0;
assign VAR3 = VAR37 ? VAR44 : VAR21;
assign VAR59 = VAR8 ? VAR29 : VAR12;
always @(posedge VAR45) begin
if (VAR51) begin
VAR32 = 8'b0;
end else begin
VAR32 = VAR1;
end
end
always @(*) begin
if (VAR14) begin
VAR1 = VAR32;
end else if (VAR28) begin
VAR1 = VAR32 + 8'd2 + VAR50;
end else begin
VAR1 = VAR32 + 8'd2;
end
end
endmodule | bsd-3-clause |
aabdelfattah/alhaitham-hardware | v/VGA_Controller.v | 5,759 | module MODULE1( VAR22,
VAR27,
VAR4,
VAR19,
VAR2,
VAR26,
VAR17,
VAR14,
VAR7,
VAR11,
VAR34,
VAR32,
VAR36,
VAR3
);
parameter VAR16 = 96;
parameter VAR24 = 48;
parameter VAR21 = 640;
parameter VAR5= 16;
parameter VAR10= 800;
parameter VAR12 = 2;
parameter VAR29 = 33;
parameter VAR33 = 480;
parameter VAR13= 10;
parameter VAR15= 525;
parameter VAR16 = 128; parameter VAR24 = 88;
parameter VAR21 = 800;
parameter VAR5= 40;
parameter VAR10= 1056;
parameter VAR12 = 4;
parameter VAR29 = 23;
parameter VAR33 = 600;
parameter VAR13= 1;
parameter VAR15= 628;
parameter VAR18 = VAR16+VAR24;
parameter VAR8 = VAR12+VAR29;
input [9:0] VAR22;
input [9:0] VAR27;
input [9:0] VAR4;
output reg VAR19;
output reg [9:0] VAR2;
output reg [9:0] VAR26;
output reg [9:0] VAR17;
output reg VAR14;
output reg VAR7;
output reg VAR11;
output reg VAR34;
wire [9:0] VAR35;
wire [9:0] VAR20;
wire [9:0] VAR1;
reg VAR23;
reg VAR28;
wire VAR30;
wire VAR25;
input VAR32;
input VAR36;
input VAR3;
reg [12:0] VAR9;
reg [12:0] VAR6;
wire [12:0] VAR31;
assign VAR31 = 13'd0 ;
assign VAR25 = VAR23 & VAR28;
assign VAR30 = 1'b0;
assign VAR35 = ( VAR9>=VAR18 && VAR9<VAR18+VAR21 &&
VAR6>=VAR8+VAR31 && VAR6<VAR8+VAR33 )
? VAR22 : 0;
assign VAR20 = ( VAR9>=VAR18 && VAR9<VAR18+VAR21 &&
VAR6>=VAR8+VAR31 && VAR6<VAR8+VAR33 )
? VAR27 : 0;
assign VAR1 = ( VAR9>=VAR18 && VAR9<VAR18+VAR21 &&
VAR6>=VAR8+VAR31 && VAR6<VAR8+VAR33 )
? VAR4 : 0;
always@(posedge VAR32 or negedge VAR36)
begin
if (!VAR36)
begin
VAR2 <= 0;
VAR26 <= 0;
VAR17 <= 0;
VAR34 <= 0;
VAR11 <= 0;
VAR14 <= 0;
VAR7 <= 0;
end
else
begin
VAR2 <= VAR35;
VAR26 <= VAR20;
VAR17 <= VAR1;
VAR34 <= VAR25;
VAR11 <= VAR30;
VAR14 <= VAR23;
VAR7 <= VAR28;
end
end
always@(posedge VAR32 or negedge VAR36)
begin
if(!VAR36)
VAR19 <= 0;
end
else
begin
if( VAR9>=VAR18-2 && VAR9<VAR18+VAR21-2 &&
VAR6>=VAR8 && VAR6<VAR8+VAR33 )
VAR19 <= 1;
end
else
VAR19 <= 0;
end
end
always@(posedge VAR32 or negedge VAR36)
begin
if(!VAR36)
begin
VAR9 <= 0;
VAR23 <= 0;
end
else
begin
if( VAR9 < VAR10 )
VAR9 <= VAR9+1;
end
else
VAR9 <= 0;
if( VAR9 < VAR16 )
VAR23 <= 0;
end
else
VAR23 <= 1;
end
end
always@(posedge VAR32 or negedge VAR36)
begin
if(!VAR36)
begin
VAR6 <= 0;
VAR28 <= 0;
end
else
begin
if(VAR9==0)
begin
if( VAR6 < VAR15 )
VAR6 <= VAR6+1;
end
else
VAR6 <= 0;
if( VAR6 < VAR12 )
VAR28 <= 0;
end
else
VAR28 <= 1;
end
end
end
endmodule | gpl-3.0 |
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC | bin_Erosion_Operation/ip/Erosion/acl_fp_custom_add_dbl_pumped.v | 3,691 | module MODULE1
(
input VAR10,
input VAR11,
input enable,
input VAR2,
input [VAR8-1:0] VAR17,
input [VAR8-1:0] b1,
input [VAR8-1:0] VAR1,
input [VAR8-1:0] VAR15,
output reg [VAR8-1:0] VAR16,
output reg [VAR8-1:0] VAR14
);
reg [VAR8-1:0] VAR3;
reg [VAR8-1:0] VAR7;
reg [VAR8-1:0] VAR9;
reg [VAR8-1:0] VAR5;
reg VAR13 ;
wire [VAR8-1:0] VAR6;
wire [VAR8-1:0] VAR4;
wire [VAR8-1:0] VAR12;
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
end
begin | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/sdfbbp/sky130_fd_sc_lp__sdfbbp_1.v | 2,821 | module MODULE1 (
VAR5 ,
VAR10 ,
VAR8 ,
VAR11 ,
VAR14 ,
VAR7 ,
VAR9 ,
VAR3,
VAR4 ,
VAR2 ,
VAR12 ,
VAR6
);
output VAR5 ;
output VAR10 ;
input VAR8 ;
input VAR11 ;
input VAR14 ;
input VAR7 ;
input VAR9 ;
input VAR3;
input VAR4 ;
input VAR2 ;
input VAR12 ;
input VAR6 ;
VAR1 VAR13 (
.VAR5(VAR5),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR14(VAR14),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR12(VAR12),
.VAR6(VAR6)
);
endmodule
module MODULE1 (
VAR5 ,
VAR10 ,
VAR8 ,
VAR11 ,
VAR14 ,
VAR7 ,
VAR9 ,
VAR3
);
output VAR5 ;
output VAR10 ;
input VAR8 ;
input VAR11 ;
input VAR14 ;
input VAR7 ;
input VAR9 ;
input VAR3;
supply1 VAR4;
supply0 VAR2;
supply1 VAR12 ;
supply0 VAR6 ;
VAR1 VAR13 (
.VAR5(VAR5),
.VAR10(VAR10),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR14(VAR14),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
peteasa/oh | src/elink/hdl/elink_cfg.v | 3,909 | module MODULE1 (
VAR2, VAR23, VAR13, VAR37,
VAR25,
clk, VAR14, VAR7, VAR35
);
parameter VAR22 = 6; parameter VAR30 = 104; parameter VAR36 = 12'h000;
parameter VAR21 = 12'h808;
input clk;
input VAR14;
input VAR7;
input [VAR30-1:0] VAR35;
output VAR2;
output VAR23; output VAR13; output [15:0] VAR37; output [11:0] VAR25;
reg [1:0] VAR9;
reg [15:0] VAR33;
reg [11:0] VAR11;
reg [31:0] VAR8;
wire VAR38;
wire VAR18;
wire VAR15;
wire VAR32;
wire VAR5;
wire VAR29;
wire [31:0] VAR3;
wire [31:0] VAR6;
wire VAR31;
VAR26 #(.VAR1(32))
VAR27 (
.VAR16 (VAR31),
.VAR20 (),
.VAR12 (),
.VAR10 (VAR3[31:0]),
.VAR17 (VAR6[31:0]),
.VAR4 (),
.VAR19 (VAR35[VAR30-1:0])
);
assign VAR29 = VAR7 &
(VAR3[31:20]==VAR36) &
(VAR3[10:8]==3'h2);
assign VAR18 = VAR29 & VAR31;
assign VAR38 = VAR29 & ~VAR31;
assign VAR5 = VAR18 & (VAR3[VAR22+1:2]==VAR34);
assign VAR15 = VAR18 & (VAR3[VAR22+1:2]==VAR28);
assign VAR32 = VAR18 & (VAR3[VAR22+1:2]==VAR24);
assign VAR2 = VAR7 & ~(VAR5 |
VAR15 |
VAR32);
always @ (posedge clk or negedge VAR14)
if(!VAR14)
VAR9[1:0] <= 'b0;
else if (VAR5)
VAR9[1:0] <= VAR6[1:0];
assign VAR23 = VAR9[0];
assign VAR13 = VAR9[1];
always @ (posedge clk or negedge VAR14)
if(!VAR14)
VAR33[15:0] <= 16'h573; else if (VAR15)
VAR33[15:0] <= VAR6[15:0];
assign VAR37[15:0] = VAR33[15:0];
always @ (posedge clk or negedge VAR14)
if(!VAR14)
VAR11[11:0] <= VAR21;
else if (VAR32)
VAR11[11:0] <= VAR6[11:0];
assign VAR25[11:0]=VAR11[11:0];
endmodule | mit |
asicguy/gplgpu | hdl/de_temp/dex_smlablt.v | 11,701 | module MODULE1
(
input VAR2,
input VAR50,
input VAR73,
input VAR51,
input VAR1,
input VAR54,
input VAR28,
input VAR86,
input VAR26,
input VAR87,
input VAR12,
input [2:0] VAR88,
input VAR70,
input VAR90,
input VAR72,
input VAR60,
input VAR59,
output reg [21:0] VAR44,
output reg [4:0] VAR48,
output reg VAR38,
output reg VAR4,
output reg VAR89,
output reg VAR20,
output reg VAR3,
output reg VAR6,
output reg VAR45,
output reg VAR33,
output reg VAR24,
output reg VAR42,
output reg VAR17,
output reg VAR76,
output reg VAR93,
output reg VAR29,
output reg VAR22
);
parameter VAR7 = 5'h1,
VAR74 = 5'h0,
VAR16 = 5'h1,
VAR77 = 5'h2,
VAR79 = 5'h3,
VAR47 = 5'h4,
VAR84 = 5'h5,
VAR23 = 5'h6,
VAR62 = 5'h7,
VAR30 = 5'h8,
VAR69 = 5'h9,
VAR43 = 5'ha,
VAR14 = 5'hb,
VAR13 = 5'hc,
VAR52 = 5'hd,
VAR10 = 5'he,
VAR21 = 5'hf,
VAR91 = 5'h10,
VAR67 = 5'h11,
VAR25 = 5'h12,
VAR15 = 5'h13,
VAR85 = 5'h14,
VAR18 = 5'h15,
VAR96 = 5'h16,
VAR63 = 5'h0, VAR35 = 5'h10, VAR8 = 5'he, VAR66 = 5'hf, VAR34 = 5'h0, VAR5 = 5'h1, VAR92 = 5'hd, VAR71 = 5'h5, VAR53 = 2'b01, VAR83 = 2'b10, VAR56 = 2'b00, VAR41 = 2'b11, VAR75 = 5'h2, VAR37 = 5'h9, VAR19 = 5'h1, VAR82 = 5'h2, VAR61 = 5'h4,
VAR94 = 5'h09,
VAR80 = 5'h0a,
VAR65 = 5'h0b,
VAR11 = 5'h12, VAR36 = 5'h4, VAR57 = 5'h14, VAR39 = 5'h0,
VAR68 = 5'h4,
VAR49 = 5'ha, VAR40 = 5'hc, VAR31 = 5'he, VAR55 = 5'h6,
VAR64 = 5'h6, VAR95 = 5'h3, VAR81 = 5'hf, VAR32 = 5'h6, VAR58 = 5'h11,
VAR78 = 5'h15,
VAR46 = 5'h8;
reg [4:0] VAR9;
reg [4:0] VAR27;
always @(posedge VAR2 or negedge VAR50)
begin
if(!VAR50)VAR9 <= 0;
end
else VAR9 <= VAR27;
end
always @*
begin
VAR44 = 22'b0000000000000000000011;
VAR48 = VAR7;
VAR38 = 1'b0;
VAR4 = 1'b0;
VAR89 = 1'b0;
VAR20 = 1'b0;
VAR3 = 1'b0;
VAR6 = 1'b0;
VAR45 = 1'b0;
VAR33 = 1'b0;
VAR24 = 1'b0;
VAR42 = 1'b0;
VAR20 = 1'b0;
VAR3 = 1'b0;
VAR17 = 1'b0;
VAR76 = 1'b0;
VAR93 = 1'b0;
VAR29 = 1'b0;
VAR22 = 1'b0;
case(VAR9)
VAR74:if(VAR73 && (VAR51 && VAR1))
begin
VAR27=VAR16;
VAR44={VAR63,VAR5,VAR92,VAR71,VAR53};
VAR38 = 1'b1;
VAR76 = 1'b1;
end
else VAR27= VAR74;
VAR16: begin
VAR27=VAR77;
VAR44={VAR66,VAR5,VAR75,VAR37,VAR56};
end
VAR77: begin
VAR27=VAR67;
VAR44={VAR8,VAR34,VAR19,VAR34,VAR53};
end
VAR67: begin
VAR27=VAR25;
VAR44={VAR63,VAR82,VAR92,VAR61,VAR83};
end
VAR25: begin
if(VAR88[2]) begin
VAR4 = 1'b1;
VAR22 = 1'b1;
VAR27=VAR74;
end
else if(VAR88==3'b000)VAR27=VAR79; else if(VAR88==3'b011)
begin
VAR44={VAR94,VAR65,VAR11,VAR63,VAR41};
VAR27=VAR18;
end
else begin
VAR44={VAR80,VAR5,VAR11,VAR63,VAR41};
VAR27=VAR15;
end
end
VAR15: begin
VAR44={VAR82,VAR35,VAR11,VAR82,VAR53};
if(VAR88==3'b001)VAR27=VAR96;
end
else VAR27=VAR85;
end
VAR85: begin
VAR44={VAR94,VAR65,VAR11,VAR63,VAR41};
VAR27=VAR18;
end
VAR18: begin
VAR44={VAR82,VAR35,VAR11,VAR82,VAR53};
if(VAR88==3'b011)VAR27=VAR79;
end
else VAR27=VAR96;
end
VAR96: begin
VAR44={VAR80,VAR63,VAR57,VAR37,VAR56};
VAR48=VAR39;
VAR27=VAR79;
end
VAR79: begin
VAR27=VAR47;
VAR24=1'b1;
if(VAR88==3'b000)VAR44={VAR5,VAR82,VAR49,VAR40,VAR53};
end
else if(VAR88==3'b011) VAR44={VAR5,VAR35,VAR49,VAR40,VAR53};
else VAR44={VAR35,VAR82,VAR49,VAR40,VAR53};
end
VAR47: begin
VAR27=VAR84;
VAR44={VAR5,VAR82,VAR19,VAR63,VAR41};
VAR45 = 1'b1;
end
VAR84: begin
VAR27=VAR23;
VAR17=1'b1;
VAR44={VAR63,VAR71,VAR92,VAR63,VAR41};
VAR33 = 1'b1;
end
VAR23: begin
VAR89=1'b1;
VAR44={VAR63,VAR63,VAR31,VAR63,VAR41};
if(VAR70)VAR48=VAR55;
end
else VAR48=VAR7;
VAR27=VAR62;
end
VAR62: VAR27=VAR30;
VAR30: begin
VAR44={VAR64,VAR63,VAR36,VAR63,VAR41};
if(!VAR90)VAR48=VAR55;
end
else VAR48=VAR68;
if(!VAR72)VAR27=VAR69;
else if(VAR54 && !VAR60)
begin
VAR20=1'b1;
VAR3=1'b1;
VAR27=VAR69;
end
else VAR27=VAR30;
end
VAR69: begin
VAR27=VAR43;
if(!VAR90)VAR48=VAR55;
end
else VAR48=VAR68;
VAR44={VAR64,VAR63,VAR36,VAR64,VAR53};
end
VAR43: begin
VAR89=1'b1;
VAR27=VAR14;
if(!VAR90)VAR48=VAR55;
end
else VAR48=VAR68;
if(VAR86 | VAR12)begin
VAR44={VAR63,VAR64,VAR92,VAR63,VAR41};
VAR42=1'b1;
VAR93=1'b1;
end
else VAR44={VAR63,VAR63,VAR31,VAR63,VAR41};
end
VAR14: begin
if(VAR59 && VAR54 && VAR28)
begin
VAR44={VAR63,VAR95,VAR81,VAR5,VAR53};
VAR27=VAR13;
end
else if(VAR54 && VAR28)
begin
VAR44={VAR5,VAR63,VAR32,VAR5,VAR53};
VAR27=VAR13;
end
else VAR27=VAR14;
if(VAR90)VAR48=VAR58;
end
else VAR48=VAR78;
end
VAR13: begin
if(VAR59)
begin
VAR44={VAR63,VAR95,VAR81,VAR5,VAR53};
VAR20=1'b1;
VAR29=1'b1;
VAR27=VAR52;
end
else
begin
VAR44={VAR64,VAR63,VAR36,VAR63,VAR41};
if(!VAR90)VAR48=VAR55;
end
else VAR48=VAR68;
VAR20=1'b1;
VAR27=VAR69;
end
end
VAR52: begin
VAR44={VAR82,VAR63,VAR36,VAR82,VAR83};
VAR24=1'b1;
VAR6 = 1'b1;
VAR27=VAR10;
end
VAR10: begin
VAR44={VAR63,VAR46,VAR92,VAR64,VAR53};
VAR27=VAR21;
end
VAR21: begin
if(!VAR90)VAR48=VAR55;
end
else VAR48=VAR68;
if(VAR87)
begin
VAR4 = 1'b1;
VAR22 = 1'b1;
VAR27=VAR74;
end
else begin
VAR27=VAR69;
VAR44={VAR35,VAR63,VAR36,VAR63,VAR41};
end
end
VAR91:
begin
VAR44={VAR5,VAR35,VAR11,VAR5,VAR83};
VAR27=VAR79;
end
endcase
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/clkdlybuf4s18/sky130_fd_sc_lp__clkdlybuf4s18.functional.v | 1,343 | module MODULE1 (
VAR5,
VAR1
);
output VAR5;
input VAR1;
wire VAR2;
buf VAR4 (VAR2, VAR1 );
buf VAR3 (VAR5 , VAR2 );
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/mux2/gf180mcu_fd_sc_mcu7t5v0__mux2_2.functional.v | 1,043 | module MODULE1( VAR10, VAR9, VAR2, VAR3 );
input VAR3, VAR9, VAR2;
output VAR10;
wire VAR12;
and VAR4( VAR12, VAR3, VAR9 );
wire VAR1;
not VAR11( VAR1, VAR2 );
wire VAR8;
and VAR5( VAR8, VAR1, VAR3 );
wire VAR6;
and VAR7( VAR6, VAR9, VAR2 );
or VAR13( VAR10, VAR12, VAR8, VAR6 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a41o/sky130_fd_sc_lp__a41o.symbol.v | 1,381 | module MODULE1 (
input VAR5,
input VAR6,
input VAR7,
input VAR4,
input VAR8,
output VAR10
);
supply1 VAR1;
supply0 VAR3;
supply1 VAR2 ;
supply0 VAR9 ;
endmodule | apache-2.0 |
AntonovAlexander/activecore | designs/rtl/ram/ram_dual_memsplit_2banks.v | 23,378 | module MODULE1
parameter VAR113="VAR130", VAR123="VAR84.VAR130", VAR4=32, VAR87=32, VAR49=1024, VAR25="VAR39", VAR73="VAR39"
)
(
input VAR13,
input VAR6,
input [0:0] VAR97,
input [0:0] VAR120,
input [31:0] VAR106,
input [3:0] VAR72,
input [31:0] VAR101,
output reg [0:0] VAR126,
output reg [0:0] VAR121,
output reg [31:0] VAR95,
input [0:0] VAR104,
input [0:0] VAR58,
input [31:0] VAR3,
input [3:0] VAR124,
input [31:0] VAR52,
output reg [0:0] VAR114,
output reg [0:0] VAR57,
output reg [31:0] VAR46,
input [0:0] VAR22,
input [0:0] VAR41,
input [31:0] VAR75,
input [3:0] VAR111,
input [31:0] VAR47,
output reg [0:0] VAR96,
output reg [0:0] VAR69,
output reg [31:0] VAR28,
input [0:0] VAR7,
input [0:0] VAR33,
input [31:0] VAR32,
input [3:0] VAR71,
input [31:0] VAR40,
output reg [0:0] VAR122,
output reg [0:0] VAR23,
output reg [31:0] VAR85
);
reg VAR43, VAR54;
reg VAR42, VAR138;
reg VAR56, VAR1;
reg VAR2, VAR129;
always @(posedge VAR13) VAR43 <= VAR6 ? 1'b0 : VAR54;
always @(posedge VAR13) VAR42 <= VAR6 ? 1'b0 : VAR138;
always @(posedge VAR13) VAR56 <= VAR6 ? 1'b0 : VAR1;
always @(posedge VAR13) VAR2 <= VAR6 ? 1'b0 : VAR129;
reg [31:0] VAR77, VAR98;
reg [3:0] VAR105, VAR102;
reg VAR88;
reg [31:0] VAR11, VAR109;
reg VAR35, VAR20;
wire [31:0] VAR27;
reg [31:0] VAR5, VAR116;
reg [3:0] VAR30, VAR59;
reg VAR37;
reg [31:0] VAR119, VAR99;
reg VAR18, VAR132;
wire [31:0] VAR81;
reg [31:0] VAR133, VAR68;
reg [3:0] VAR34, VAR80;
reg VAR44;
reg [31:0] VAR48, VAR93;
reg VAR137, VAR19;
wire [31:0] VAR136;
reg [31:0] VAR21, VAR62;
reg [3:0] VAR38, VAR16;
reg VAR51;
reg [31:0] VAR63, VAR65;
reg VAR107, VAR70;
wire [31:0] VAR86;
reg VAR14, VAR78;
reg VAR83, VAR117;
always @(posedge VAR13) VAR35 <= VAR6 ? 0 : VAR20;
always @(posedge VAR13) VAR18 <= VAR6 ? 0 : VAR132;
always @(posedge VAR13) VAR137 <= VAR6 ? 0 : VAR19;
always @(posedge VAR13) VAR107 <= VAR6 ? 0 : VAR70;
always @(posedge VAR13) VAR14 <= VAR6 ? 0 : VAR78;
always @(posedge VAR13) VAR83 <= VAR6 ? 0 : VAR117;
wire VAR135;
wire VAR17;
wire VAR36;
wire VAR50;
assign VAR135 = VAR97 & VAR120;
assign VAR17 = VAR104 & VAR58;
assign VAR36 = VAR22 & VAR41;
assign VAR50 = VAR7 & VAR33;
wire VAR108;
wire VAR115;
wire VAR12;
wire VAR134;
assign VAR108 = VAR135 & (VAR72 == 4'hf);
assign VAR115 = VAR17 & (VAR124 == 4'hf);
assign VAR12 = VAR36 & (VAR111 == 4'hf);
assign VAR134 = VAR50 & (VAR71 == 4'hf);
wire VAR31;
wire VAR100;
wire VAR66;
wire VAR74;
assign VAR31 = VAR135 & (VAR72 != 4'hf);
assign VAR100 = VAR17 & (VAR124 != 4'hf);
assign VAR66 = VAR36 & (VAR111 != 4'hf);
assign VAR74 = VAR50 & (VAR71 != 4'hf);
always @*
begin
VAR54 = 1'b0;
VAR138 = 1'b0;
VAR77 = VAR106;
VAR88 = VAR108;
VAR105 = VAR72;
VAR11 = VAR101;
VAR126 = VAR97 & !VAR43;
if (VAR25=="VAR67") VAR54 = VAR31 & !VAR43;
VAR20 = VAR97 & !VAR88;
VAR5 = VAR3;
VAR37 = VAR115;
VAR30 = VAR124;
VAR119 = VAR52;
VAR114 = VAR104 & !VAR42;
if (VAR25=="VAR67") VAR138 = VAR100 & !VAR42;
VAR132 = VAR104 & !VAR37;
VAR78 = 1'b0;
if (VAR97)
begin
if (VAR106[2])
begin
VAR5 = VAR106;
VAR37 = VAR108;
VAR30 = VAR72;
VAR119 = VAR101;
VAR88 = 1'b0;
VAR126 = VAR97 & !VAR42;
if (VAR25=="VAR67") VAR54 = 1'b0;
if (VAR25=="VAR67") VAR138 = VAR31 & !VAR42;
VAR20 = 1'b0;
VAR132 = VAR97 & !VAR88;
VAR78 = 1'b1;
end
end
if (VAR104)
begin
if (VAR97 && (VAR106[2] == VAR3[2]))
begin
VAR114 = 1'b0;
if (!VAR3[2]) VAR132 = 1'b0;
end
else if (!VAR3[2])
begin
VAR77 = VAR3;
VAR88 = VAR115;
VAR105 = VAR124;
VAR11 = VAR52;
VAR114 = VAR104 & !VAR43;
if (VAR25=="VAR67") VAR54 = VAR100 & !VAR43;
VAR20 = VAR104 & !VAR37;
if (!VAR97) VAR132 = 1'b0;
VAR78 = 1'b1;
end
end
if (VAR25=="VAR67")
begin
if (VAR43)
begin
VAR77 = VAR98;
VAR88 = 1'b1;
VAR11 = VAR27;
case (VAR98[1:0])
2'd0 :
begin
if (VAR102[0]) VAR11[7:0] = VAR109[7:0];
if (VAR102[1]) VAR11[15:8] = VAR109[15:8];
if (VAR102[2]) VAR11[23:16] = VAR109[23:16];
if (VAR102[3]) VAR11[31:24] = VAR109[31:24];
end
2'd1:
begin
if (VAR102[0]) VAR11[15:8] = VAR109[7:0];
if (VAR102[1]) VAR11[23:16] = VAR109[15:8];
if (VAR102[2]) VAR11[31:24] = VAR109[23:16];
end
2'd2:
begin
if (VAR102[0]) VAR11[23:16] = VAR109[7:0];
if (VAR102[1]) VAR11[31:24] = VAR109[15:8];
end
2'd3:
begin
if (VAR102[0]) VAR11[31:24] = VAR109[7:0];
end
endcase
end
if (VAR42)
begin
VAR5 = VAR116;
VAR37 = 1'b1;
VAR119 = VAR81;
case (VAR116[1:0])
2'd0 :
begin
if (VAR59[0]) VAR119[7:0] = VAR99[7:0];
if (VAR59[1]) VAR119[15:8] = VAR99[15:8];
if (VAR59[2]) VAR119[23:16] = VAR99[23:16];
if (VAR59[3]) VAR119[31:24] = VAR99[31:24];
end
2'd1:
begin
if (VAR59[0]) VAR119[15:8] = VAR99[7:0];
if (VAR59[1]) VAR119[23:16] = VAR99[15:8];
if (VAR59[2]) VAR119[31:24] = VAR99[23:16];
end
2'd2:
begin
if (VAR59[0]) VAR119[23:16] = VAR99[7:0];
if (VAR59[1]) VAR119[31:24] = VAR99[15:8];
end
2'd3:
begin
if (VAR59[0]) VAR119[31:24] = VAR99[7:0];
end
endcase
end
end
end
always @*
begin
VAR1 = 1'b0;
VAR129 = 1'b0;
VAR133 = VAR75;
VAR44 = VAR12;
VAR34 = VAR111;
VAR48 = VAR47;
VAR96 = VAR22 & !VAR56;
if (VAR73=="VAR67") VAR1 = VAR66 & !VAR56;
VAR19 = VAR22 & !VAR44;
VAR21 = VAR32;
VAR51 = VAR134;
VAR38 = VAR71;
VAR63 = VAR40;
VAR122 = VAR7 & !VAR2;
if (VAR73=="VAR67") VAR129 = VAR74 & !VAR2;
VAR70 = VAR7 & !VAR51;
VAR117 = 1'b0;
if (VAR22)
begin
if (VAR75[2])
begin
VAR21 = VAR75;
VAR51 = VAR12;
VAR38 = VAR111;
VAR63 = VAR47;
VAR44 = 1'b0;
VAR96 = VAR22 & !VAR2;
if (VAR73=="VAR67") VAR1 = 1'b0;
if (VAR73=="VAR67") VAR129 = VAR66 & !VAR2;
VAR19 = 1'b0;
VAR70 = VAR22 & !VAR44;
VAR117 = 1'b1;
end
end
if (VAR7)
begin
if (VAR22 && (VAR75[2] == VAR32[2]))
begin
VAR122 = 1'b0;
if (!VAR32[2]) VAR70 = 1'b0;
end
else if (!VAR32[2])
begin
VAR133 = VAR32;
VAR44 = VAR134;
VAR34 = VAR71;
VAR48 = VAR40;
VAR122 = VAR7 & !VAR56;
if (VAR73=="VAR67") VAR1 = VAR74 & !VAR56;
VAR19 = VAR7 & !VAR51;
if (!VAR22) VAR70 = 1'b0;
VAR117 = 1'b1;
end
end
if (VAR73=="VAR67")
begin
if (VAR56)
begin
VAR133 = VAR68;
VAR44 = 1'b1;
VAR48 = VAR136;
case (VAR68[1:0])
2'd0 :
begin
if (VAR80[0]) VAR48[7:0] = VAR93[7:0];
if (VAR80[1]) VAR48[15:8] = VAR93[15:8];
if (VAR80[2]) VAR48[23:16] = VAR93[23:16];
if (VAR80[3]) VAR48[31:24] = VAR93[31:24];
end
2'd1:
begin
if (VAR80[0]) VAR48[15:8] = VAR93[7:0];
if (VAR80[1]) VAR48[23:16] = VAR93[15:8];
if (VAR80[2]) VAR48[31:24] = VAR93[23:16];
end
2'd2:
begin
if (VAR80[0]) VAR48[23:16] = VAR93[7:0];
if (VAR80[1]) VAR48[31:24] = VAR93[15:8];
end
2'd3:
begin
if (VAR80[0]) VAR48[31:24] = VAR93[7:0];
end
endcase
end
if (VAR2)
begin
VAR21 = VAR62;
VAR51 = 1'b1;
VAR63 = VAR86;
case (VAR62[1:0])
2'd0 :
begin
if (VAR16[0]) VAR63[7:0] = VAR65[7:0];
if (VAR16[1]) VAR63[15:8] = VAR65[15:8];
if (VAR16[2]) VAR63[23:16] = VAR65[23:16];
if (VAR16[3]) VAR63[31:24] = VAR65[31:24];
end
2'd1:
begin
if (VAR16[0]) VAR63[15:8] = VAR65[7:0];
if (VAR16[1]) VAR63[23:16] = VAR65[15:8];
if (VAR16[2]) VAR63[31:24] = VAR65[23:16];
end
2'd2:
begin
if (VAR16[0]) VAR63[23:16] = VAR65[7:0];
if (VAR16[1]) VAR63[31:24] = VAR65[15:8];
end
2'd3:
begin
if (VAR16[0]) VAR63[31:24] = VAR65[7:0];
end
endcase
end
end
end
always @*
begin
VAR121 = VAR35;
VAR57 = VAR18;
VAR95 = VAR27;
VAR46 = VAR81;
if (VAR25 == "VAR67")
begin
if (VAR98[1:0] == 2'd1) VAR95 = VAR27 >> 8;
if (VAR98[1:0] == 2'd2) VAR95 = VAR27 >> 16;
if (VAR98[1:0] == 2'd3) VAR95 = VAR27 >> 24;
if (VAR116[1:0] == 2'd1) VAR46 = VAR81 >> 8;
if (VAR116[1:0] == 2'd2) VAR46 = VAR81 >> 16;
if (VAR116[1:0] == 2'd3) VAR46 = VAR81 >> 24;
end
if (VAR14)
begin
{VAR121, VAR57} = {VAR57, VAR121};
{VAR95, VAR46} = {VAR46, VAR95};
end
end
always @*
begin
VAR69 = VAR137;
VAR23 = VAR107;
VAR28 = VAR136;
VAR85 = VAR86;
if (VAR73 == "VAR67")
begin
if (VAR68[1:0] == 2'd1) VAR28 = VAR136 >> 8;
if (VAR68[1:0] == 2'd2) VAR28 = VAR136 >> 16;
if (VAR68[1:0] == 2'd3) VAR28 = VAR136 >> 24;
if (VAR62[1:0] == 2'd1) VAR85 = VAR86 >> 8;
if (VAR62[1:0] == 2'd2) VAR85 = VAR86 >> 16;
if (VAR62[1:0] == 2'd3) VAR85 = VAR86 >> 24;
end
if (VAR83)
begin
{VAR69, VAR23} = {VAR23, VAR69};
{VAR28, VAR85} = {VAR85, VAR28};
end
end
always @(posedge VAR13)
begin
VAR98 <= VAR77;
VAR102 <= VAR105;
VAR109 <= VAR11;
VAR116 <= VAR5;
VAR59 <= VAR30;
VAR99 <= VAR119;
end
always @(posedge VAR13)
begin
VAR68 <= VAR133;
VAR80 <= VAR34;
VAR93 <= VAR48;
VAR62 <= VAR21;
VAR16 <= VAR38;
VAR65 <= VAR63;
end
VAR110
.VAR113("none")
, .VAR123("VAR9.VAR130")
, .VAR4(VAR4)
, .VAR87(VAR87-1)
, .VAR49(VAR49 >> 1)
) VAR61 (
.clk(VAR13)
, .VAR15(VAR11)
, .VAR10(VAR77[31:3])
, .VAR112(VAR88)
, .VAR127(VAR27)
, .VAR90(VAR48)
, .VAR79(VAR133[31:3])
, .VAR45(VAR44)
, .VAR60(VAR136)
);
VAR110
.VAR113("none")
, .VAR123("VAR9.VAR130")
, .VAR4(VAR4)
, .VAR87(VAR87-1)
, .VAR49(VAR49 >> 1)
) VAR128 (
.clk(VAR13)
, .VAR15(VAR119)
, .VAR10(VAR5[31:3])
, .VAR112(VAR37)
, .VAR127(VAR81)
, .VAR90(VAR63)
, .VAR79(VAR21[31:3])
, .VAR45(VAR51)
, .VAR60(VAR86)
);
integer VAR26, VAR64;
reg [7:0] VAR55 [0 : (VAR49 * (VAR4 / 8)) - 1] ;
integer VAR92, VAR118;
integer VAR89, VAR131, VAR53, VAR29, VAR91, VAR8;
integer VAR24, VAR94;
integer VAR82, VAR125;
reg [VAR4-1:0] VAR103;
reg [8*8:0] VAR76;
begin
begin
begin
begin
begin
begin
end | apache-2.0 |
ShepardSiegel/ocpi | rtl/mkOCApp4B_scenario1.v | 52,119 | module MODULE1(VAR94,
VAR144,
VAR382,
VAR130,
VAR4,
VAR76,
VAR215,
VAR223,
VAR383,
VAR234,
VAR55,
VAR48,
VAR85,
VAR211,
VAR178,
VAR216,
VAR7,
VAR153,
VAR109,
VAR71,
VAR363,
VAR231,
VAR305,
VAR314,
VAR163,
VAR98,
VAR16,
VAR179,
VAR323,
VAR342,
VAR321,
VAR204,
VAR106,
VAR139,
VAR110,
VAR236,
VAR301,
VAR241,
VAR297,
VAR378,
VAR318,
VAR25,
VAR263,
VAR183,
VAR364,
VAR324,
VAR93,
VAR359,
VAR161,
VAR406,
VAR309,
VAR132,
VAR62,
VAR232,
VAR173,
VAR293,
VAR265,
VAR12,
VAR360,
VAR291,
VAR120,
VAR77,
VAR57,
VAR184,
VAR59,
VAR251,
VAR254,
VAR21,
VAR253,
VAR346,
VAR326,
VAR160,
VAR240,
VAR175,
VAR319,
VAR338,
VAR395,
VAR105,
VAR313,
VAR260,
VAR141,
VAR73,
VAR29,
VAR46,
VAR365,
VAR138,
VAR405,
VAR287,
VAR274,
VAR134,
VAR114,
VAR192,
VAR220,
VAR226,
VAR131,
VAR375,
VAR258,
VAR86,
VAR13,
VAR180,
VAR330,
VAR206,
VAR193,
VAR334,
VAR224,
VAR371,
VAR150,
VAR302,
VAR295,
VAR19,
VAR104,
VAR10,
VAR328,
VAR208,
VAR262,
VAR118,
VAR166,
VAR165,
VAR336,
VAR368,
VAR203,
VAR343,
VAR397,
VAR99,
VAR351,
VAR14,
VAR92,
VAR244,
VAR290,
VAR11,
VAR233,
VAR31,
VAR317,
VAR64,
VAR214,
VAR187,
VAR332,
VAR277,
VAR403,
VAR331,
VAR169,
VAR44,
VAR1,
VAR122,
VAR298,
VAR404,
VAR38,
VAR185,
VAR186,
VAR158,
VAR143,
VAR154,
VAR288,
VAR284,
VAR304,
VAR283,
VAR34,
VAR389,
VAR279,
VAR36,
VAR276,
VAR91,
VAR26,
VAR199,
VAR269,
VAR32,
VAR222,
VAR393,
VAR335,
VAR50,
VAR358,
VAR327,
VAR329,
VAR289,
VAR162);
parameter [0 : 0] VAR347 = 1'b0;
input VAR94;
input VAR144;
input VAR382;
input VAR130;
input VAR4;
input VAR76;
input VAR215;
input VAR223;
input VAR383;
input VAR234;
input [2 : 0] VAR55;
input VAR48;
input [3 : 0] VAR85;
input [31 : 0] VAR211;
input [31 : 0] VAR178;
output [1 : 0] VAR216;
output [31 : 0] VAR7;
output VAR153;
output [1 : 0] VAR109;
input [1 : 0] VAR71;
input [2 : 0] VAR363;
input VAR231;
input [3 : 0] VAR305;
input [31 : 0] VAR314;
input [31 : 0] VAR163;
output [1 : 0] VAR98;
output [31 : 0] VAR16;
output VAR179;
output [1 : 0] VAR323;
input [1 : 0] VAR342;
input [2 : 0] VAR321;
input VAR204;
input [3 : 0] VAR106;
input [31 : 0] VAR139;
input [31 : 0] VAR110;
output [1 : 0] VAR236;
output [31 : 0] VAR301;
output VAR241;
output [1 : 0] VAR297;
input [1 : 0] VAR378;
input [2 : 0] VAR318;
input VAR25;
input [3 : 0] VAR263;
input [31 : 0] VAR183;
input [31 : 0] VAR364;
output [1 : 0] VAR324;
output [31 : 0] VAR93;
output VAR359;
output [1 : 0] VAR161;
input [1 : 0] VAR406;
input [2 : 0] VAR309;
input VAR132;
input [3 : 0] VAR62;
input [31 : 0] VAR232;
input [31 : 0] VAR173;
output [1 : 0] VAR293;
output [31 : 0] VAR265;
output VAR12;
output [1 : 0] VAR360;
input [1 : 0] VAR291;
input [2 : 0] VAR120;
input VAR77;
input [3 : 0] VAR57;
input [31 : 0] VAR184;
input [31 : 0] VAR59;
output [1 : 0] VAR251;
output [31 : 0] VAR254;
output VAR21;
output [1 : 0] VAR253;
input [1 : 0] VAR346;
input [2 : 0] VAR326;
input VAR160;
input [3 : 0] VAR240;
input [31 : 0] VAR175;
input [31 : 0] VAR319;
output [1 : 0] VAR338;
output [31 : 0] VAR395;
output VAR105;
output [1 : 0] VAR313;
input [1 : 0] VAR260;
input [2 : 0] VAR141;
input VAR73;
input [3 : 0] VAR29;
input [31 : 0] VAR46;
input [31 : 0] VAR365;
output [1 : 0] VAR138;
output [31 : 0] VAR405;
output VAR287;
output [1 : 0] VAR274;
input [1 : 0] VAR134;
input [2 : 0] VAR114;
input [63 : 0] VAR192;
output VAR220;
output VAR226;
input [2 : 0] VAR131;
input [63 : 0] VAR375;
output VAR258;
output VAR86;
input [2 : 0] VAR13;
input [63 : 0] VAR180;
output VAR330;
output VAR206;
output [2 : 0] VAR193;
output VAR334;
output VAR224;
output VAR371;
output [13 : 0] VAR150;
output [11 : 0] VAR302;
output VAR295;
output VAR19;
output [31 : 0] VAR104;
output [3 : 0] VAR10;
input [1 : 0] VAR328;
input [31 : 0] VAR208;
input VAR262;
input VAR118;
input VAR166;
input [31 : 0] VAR165;
output [31 : 0] VAR336;
output VAR368;
input VAR203;
output [2 : 0] VAR343;
output VAR397;
output VAR99;
output VAR351;
output [13 : 0] VAR14;
output [11 : 0] VAR92;
output VAR244;
output VAR290;
output [31 : 0] VAR11;
output [3 : 0] VAR233;
input [1 : 0] VAR31;
input [31 : 0] VAR317;
input VAR64;
input VAR214;
input VAR187;
input [31 : 0] VAR332;
output [31 : 0] VAR277;
output VAR403;
input VAR331;
output [2 : 0] VAR169;
output VAR44;
output [35 : 0] VAR1;
output [11 : 0] VAR122;
output VAR298;
output VAR404;
output [127 : 0] VAR38;
output [15 : 0] VAR185;
input [1 : 0] VAR186;
input VAR158;
input [127 : 0] VAR143;
input VAR154;
input VAR288;
output VAR284;
input [2 : 0] VAR304;
input VAR283;
input VAR34;
input [11 : 0] VAR389;
input [31 : 0] VAR279;
input [3 : 0] VAR36;
input [7 : 0] VAR276;
output VAR91;
output VAR26;
input VAR199;
output [2 : 0] VAR269;
output VAR32;
output VAR222;
output [11 : 0] VAR393;
output [31 : 0] VAR335;
output [3 : 0] VAR50;
output [7 : 0] VAR358;
input VAR327;
output VAR329;
input VAR289;
output [511 : 0] VAR162;
wire [511 : 0] VAR162;
wire [127 : 0] VAR38;
wire [35 : 0] VAR1;
wire [31 : 0] VAR7,
VAR16,
VAR301,
VAR93,
VAR265,
VAR254,
VAR395,
VAR405,
VAR104,
VAR336,
VAR11,
VAR277,
VAR335;
wire [15 : 0] VAR185;
wire [13 : 0] VAR150, VAR14;
wire [11 : 0] VAR122,
VAR302,
VAR92,
VAR393;
wire [7 : 0] VAR358;
wire [3 : 0] VAR10, VAR233, VAR50;
wire [2 : 0] VAR169, VAR193, VAR343, VAR269;
wire [1 : 0] VAR109,
VAR216,
VAR323,
VAR98,
VAR297,
VAR236,
VAR161,
VAR324,
VAR360,
VAR293,
VAR253,
VAR251,
VAR313,
VAR338,
VAR274,
VAR138;
wire VAR153,
VAR179,
VAR241,
VAR359,
VAR12,
VAR21,
VAR105,
VAR287,
VAR404,
VAR298,
VAR44,
VAR284,
VAR371,
VAR19,
VAR295,
VAR224,
VAR334,
VAR368,
VAR351,
VAR290,
VAR244,
VAR99,
VAR397,
VAR403,
VAR222,
VAR32,
VAR329,
VAR26,
VAR91,
VAR226,
VAR220,
VAR86,
VAR258,
VAR206,
VAR330;
wire [31 : 0] VAR195,
VAR370,
VAR355,
VAR407,
VAR344,
VAR78,
VAR247,
VAR373,
VAR174,
VAR348;
wire [3 : 0] VAR362,
VAR96,
VAR101,
VAR386,
VAR170;
wire [2 : 0] VAR307,
VAR152,
VAR20,
VAR341,
VAR54;
wire VAR345,
VAR33,
VAR227,
VAR352,
VAR51,
VAR102,
VAR213,
VAR398,
VAR39,
VAR66,
VAR125,
VAR325,
VAR49,
VAR316,
VAR23,
VAR337,
VAR72,
VAR151,
VAR217,
VAR137,
VAR246,
VAR147,
VAR409,
VAR45,
VAR392,
VAR218,
VAR70,
VAR17,
VAR366,
VAR196;
wire [31 : 0] VAR408,
VAR69,
VAR8,
VAR273,
VAR229,
VAR35,
VAR207,
VAR145,
VAR205;
wire [13 : 0] VAR115;
wire [11 : 0] VAR75,
VAR400,
VAR119;
wire [7 : 0] VAR261, VAR230;
wire [3 : 0] VAR164,
VAR200,
VAR108,
VAR322;
wire [2 : 0] VAR354,
VAR9,
VAR90,
VAR2;
wire [1 : 0] VAR390,
VAR111,
VAR63,
VAR306;
wire VAR156,
VAR107,
VAR369,
VAR168,
VAR5,
VAR126,
VAR37,
VAR27,
VAR377,
VAR74,
VAR243,
VAR356,
VAR308,
VAR339,
VAR182,
VAR300,
VAR353,
VAR299,
VAR135,
VAR372,
VAR255,
VAR100;
wire [127 : 0] VAR361, VAR374;
wire [35 : 0] VAR315;
wire [31 : 0] VAR52,
VAR402,
VAR113,
VAR95,
VAR103;
wire [15 : 0] VAR292;
wire [11 : 0] VAR202,
VAR285,
VAR65;
wire [7 : 0] VAR189, VAR282;
wire [3 : 0] VAR349, VAR311, VAR225;
wire [2 : 0] VAR201,
VAR40,
VAR239,
VAR340;
wire [1 : 0] VAR171,
VAR320,
VAR267,
VAR212;
wire VAR157,
VAR387,
VAR221,
VAR376,
VAR278,
VAR294,
VAR384,
VAR271,
VAR242,
VAR275,
VAR280,
VAR266,
VAR197,
VAR191,
VAR28,
VAR357,
VAR6,
VAR245,
VAR117;
wire [31 : 0] VAR30,
VAR112,
VAR56,
VAR209,
VAR43,
VAR82,
VAR272,
VAR198,
VAR83;
wire [13 : 0] VAR47;
wire [11 : 0] VAR210,
VAR149,
VAR18;
wire [7 : 0] VAR81, VAR61;
wire [3 : 0] VAR133,
VAR256,
VAR385,
VAR128;
wire [2 : 0] VAR188,
VAR388,
VAR401,
VAR58;
wire [1 : 0] VAR296,
VAR310,
VAR68,
VAR312;
wire VAR84,
VAR136,
VAR249,
VAR264,
VAR396,
VAR140,
VAR394,
VAR257,
VAR177,
VAR41,
VAR127,
VAR303,
VAR97,
VAR237,
VAR24,
VAR228,
VAR250,
VAR219,
VAR181,
VAR123,
VAR60,
VAR176;
wire [511 : 0] VAR79;
assign VAR216 = 2'd0 ;
assign VAR7 = 32'hAAAAAAAA ;
assign VAR153 = 1'd1 ;
assign VAR109 = 2'b0 ;
assign VAR98 = 2'd0 ;
assign VAR16 = 32'hAAAAAAAA ;
assign VAR179 = 1'd1 ;
assign VAR323 = 2'b0 ;
assign VAR236 = VAR63 ;
assign VAR301 = VAR8 ;
assign VAR241 = VAR107 ;
assign VAR297 = VAR111 ;
assign VAR324 = VAR267 ;
assign VAR93 = VAR113 ;
assign VAR359 = VAR387 ;
assign VAR161 = VAR320 ;
assign VAR293 = VAR68 ;
assign VAR265 = VAR56 ;
assign VAR12 = VAR136 ;
assign VAR360 = VAR310 ;
assign VAR251 = 2'd0 ;
assign VAR254 = 32'hAAAAAAAA ;
assign VAR21 = 1'd1 ;
assign VAR253 = 2'b0 ;
assign VAR338 = 2'd0 ;
assign VAR395 = 32'hAAAAAAAA ;
assign VAR105 = 1'd1 ;
assign VAR313 = 2'b0 ;
assign VAR138 = 2'd0 ;
assign VAR405 = 32'hAAAAAAAA ;
assign VAR287 = 1'd1 ;
assign VAR274 = 2'b0 ;
assign VAR220 = 1'h0 ;
assign VAR226 = 1'h0 ;
assign VAR258 = 1'h0 ;
assign VAR86 = 1'h0 ;
assign VAR330 = 1'h0 ;
assign VAR206 = 1'h0 ;
assign VAR193 = VAR9 ;
assign VAR334 = VAR37 ;
assign VAR224 = VAR126 ;
assign VAR371 = VAR369 ;
assign VAR150 = VAR115 ;
assign VAR302 = VAR75 ;
assign VAR295 = VAR5 ;
assign VAR19 = VAR168 ;
assign VAR104 = VAR273 ;
assign VAR10 = VAR200 ;
assign VAR336 = VAR229 ;
assign VAR368 = VAR27 ;
assign VAR343 = VAR388 ;
assign VAR397 = VAR394 ;
assign VAR99 = VAR140 ;
assign VAR351 = VAR249 ;
assign VAR14 = VAR47 ;
assign VAR92 = VAR210 ;
assign VAR244 = VAR396 ;
assign VAR290 = VAR264 ;
assign VAR11 = VAR209 ;
assign VAR233 = VAR256 ;
assign VAR277 = VAR43 ;
assign VAR403 = VAR257 ;
assign VAR169 = VAR40 ;
assign VAR44 = VAR278 ;
assign VAR1 = VAR315 ;
assign VAR122 = VAR202 ;
assign VAR298 = VAR376 ;
assign VAR404 = VAR221 ;
assign VAR38 = VAR361 ;
assign VAR185 = VAR292 ;
assign VAR284 = VAR294 ;
assign VAR91 = VAR100 ;
assign VAR26 = VAR255 ;
assign VAR269 = VAR401 ;
assign VAR32 = VAR237 ;
assign VAR222 = VAR97 ;
assign VAR393 = VAR149 ;
assign VAR335 = VAR198 ;
assign VAR50 = VAR385 ;
assign VAR358 = VAR81 ;
assign VAR329 = VAR24 ;
assign VAR162 = VAR79 ;
VAR281 #(.VAR410(32'h00000001),
.VAR347(VAR347)) VAR3(.VAR333(VAR383),
.VAR194(VAR382),
.VAR142(VAR408),
.VAR167(VAR156),
.VAR381(VAR164),
.VAR67(VAR354),
.VAR159(VAR69),
.VAR380(VAR390),
.VAR208(VAR35),
.VAR165(VAR207),
.VAR328(VAR306),
.VAR350(VAR119),
.VAR89(VAR322),
.VAR116(VAR2),
.VAR155(VAR205),
.VAR22(VAR230),
.VAR262(VAR356),
.VAR118(VAR377),
.VAR166(VAR243),
.VAR203(VAR74),
.VAR124(VAR353),
.VAR391(VAR300),
.VAR259(VAR135),
.VAR379(VAR299),
.VAR238(VAR372),
.VAR148(VAR63),
.VAR129(VAR8),
.VAR235(VAR107),
.VAR87(VAR111),
.VAR193(VAR9),
.VAR334(VAR37),
.VAR224(VAR126),
.VAR371(VAR369),
.VAR150(VAR115),
.VAR302(VAR75),
.VAR295(VAR5),
.VAR19(VAR168),
.VAR104(VAR273),
.VAR10(VAR200),
.VAR336(VAR229),
.VAR368(VAR27),
.VAR270(VAR90),
.VAR399(VAR339),
.VAR42(VAR308),
.VAR248(VAR400),
.VAR286(VAR145),
.VAR53(VAR108),
.VAR367(VAR261),
.VAR190(VAR182),
.VAR146(VAR100),
.VAR88(VAR255));
VAR252 #(.VAR80(32'h0),
.VAR347(VAR347)) VAR268(.VAR333(VAR383),
.VAR194(VAR130),
.VAR142(VAR52),
.VAR167(VAR157),
.VAR381(VAR349),
.VAR67(VAR201),
.VAR159(VAR402),
.VAR380(VAR171),
.VAR143(VAR374),
.VAR186(VAR212),
.VAR350(VAR65),
.VAR89(VAR225),
.VAR116(VAR340),
.VAR155(VAR103),
.VAR22(VAR282),
.VAR259(VAR357),
.VAR379(VAR28),
.VAR238(VAR6),
.VAR124(VAR191),
.VAR391(VAR197),
.VAR158(VAR242),
.VAR154(VAR384),
.VAR288(VAR271),
.VAR148(VAR267),
.VAR129(VAR113),
.VAR235(VAR387),
.VAR87(VAR320),
.VAR146(VAR117),
.VAR88(VAR245),
.VAR270(VAR239),
.VAR399(VAR280),
.VAR42(VAR275),
.VAR248(VAR285),
.VAR286(VAR95),
.VAR53(VAR311),
.VAR367(VAR189),
.VAR190(VAR266),
.VAR169(VAR40),
.VAR44(VAR278),
.VAR1(VAR315),
.VAR122(VAR202),
.VAR298(VAR376),
.VAR404(VAR221),
.VAR38(VAR361),
.VAR185(VAR292),
.VAR284(VAR294));
VAR281 #(.VAR410(32'h00000002),
.VAR347(VAR347)) VAR172(.VAR333(VAR383),
.VAR194(VAR4),
.VAR142(VAR30),
.VAR167(VAR84),
.VAR381(VAR133),
.VAR67(VAR188),
.VAR159(VAR112),
.VAR380(VAR296),
.VAR208(VAR82),
.VAR165(VAR272),
.VAR328(VAR312),
.VAR350(VAR18),
.VAR89(VAR128),
.VAR116(VAR58),
.VAR155(VAR83),
.VAR22(VAR61),
.VAR262(VAR303),
.VAR118(VAR177),
.VAR166(VAR127),
.VAR203(VAR41),
.VAR124(VAR250),
.VAR391(VAR228),
.VAR259(VAR181),
.VAR379(VAR219),
.VAR238(VAR123),
.VAR148(VAR68),
.VAR129(VAR56),
.VAR235(VAR136),
.VAR87(VAR310),
.VAR193(VAR388),
.VAR334(VAR394),
.VAR224(VAR140),
.VAR371(VAR249),
.VAR150(VAR47),
.VAR302(VAR210),
.VAR295(VAR396),
.VAR19(VAR264),
.VAR104(VAR209),
.VAR10(VAR256),
.VAR336(VAR43),
.VAR368(VAR257),
.VAR270(VAR401),
.VAR399(VAR237),
.VAR42(VAR97),
.VAR248(VAR149),
.VAR286(VAR198),
.VAR53(VAR385),
.VAR367(VAR81),
.VAR190(VAR24),
.VAR146(VAR176),
.VAR88(VAR60));
VAR15 VAR121(.VAR162(VAR79));
assign VAR307 = VAR55 ;
assign VAR51 = 1'd1 ;
assign VAR345 = VAR48 ;
assign VAR33 = 1'd1 ;
assign VAR362 = VAR85 ;
assign VAR352 = 1'd1 ;
assign VAR195 = VAR211 ;
assign VAR227 = 1'd1 ;
assign VAR370 = VAR178 ;
assign VAR102 = 1'd1 ;
assign VAR152 = VAR363 ;
assign VAR125 = 1'd1 ;
assign VAR213 = VAR231 ;
assign VAR398 = 1'd1 ;
assign VAR96 = VAR305 ;
assign VAR66 = 1'd1 ;
assign VAR355 = VAR314 ;
assign VAR39 = 1'd1 ;
assign VAR407 = VAR163 ;
assign VAR325 = 1'd1 ;
assign VAR20 = VAR120 ;
assign VAR72 = 1'd1 ;
assign VAR49 = VAR77 ;
assign VAR316 = 1'd1 ;
assign VAR101 = VAR57 ;
assign VAR337 = 1'd1 ;
assign VAR344 = VAR184 ;
assign VAR23 = 1'd1 ;
assign VAR78 = VAR59 ;
assign VAR151 = 1'd1 ;
assign VAR341 = VAR326 ;
assign VAR409 = 1'd1 ;
assign VAR217 = VAR160 ;
assign VAR137 = 1'd1 ;
assign VAR386 = VAR240 ;
assign VAR147 = 1'd1 ;
assign VAR247 = VAR175 ;
assign VAR246 = 1'd1 ;
assign VAR373 = VAR319 ;
assign VAR45 = 1'd1 ;
assign VAR54 = VAR141 ;
assign VAR366 = 1'd1 ;
assign VAR392 = VAR73 ;
assign VAR218 = 1'd1 ;
assign VAR170 = VAR29 ;
assign VAR17 = 1'd1 ;
assign VAR174 = VAR46 ;
assign VAR70 = 1'd1 ;
assign VAR348 = VAR365 ;
assign VAR196 = 1'd1 ;
assign VAR408 = VAR139 ;
assign VAR156 = VAR204 ;
assign VAR164 = VAR106 ;
assign VAR354 = VAR321 ;
assign VAR69 = VAR110 ;
assign VAR390 = VAR378 ;
assign VAR35 = VAR208 ;
assign VAR207 = VAR165 ;
assign VAR306 = VAR328 ;
assign VAR119 = VAR389 ;
assign VAR322 = VAR36 ;
assign VAR2 = VAR304 ;
assign VAR205 = VAR279 ;
assign VAR230 = VAR276 ;
assign VAR356 = VAR262 ;
assign VAR377 = VAR118 ;
assign VAR243 = VAR166 ;
assign VAR74 = VAR203 ;
assign VAR353 = VAR117 ;
assign VAR300 = VAR245 ;
assign VAR135 = VAR283 ;
assign VAR299 = VAR34 ;
assign VAR372 = VAR199 ;
assign VAR52 = VAR183 ;
assign VAR157 = VAR25 ;
assign VAR349 = VAR263 ;
assign VAR201 = VAR318 ;
assign VAR402 = VAR364 ;
assign VAR171 = VAR406 ;
assign VAR374 = VAR143 ;
assign VAR212 = VAR186 ;
assign VAR65 = VAR400 ;
assign VAR225 = VAR108 ;
assign VAR340 = VAR90 ;
assign VAR103 = VAR145 ;
assign VAR282 = VAR261 ;
assign VAR357 = VAR339 ;
assign VAR28 = VAR308 ;
assign VAR6 = VAR182 ;
assign VAR191 = VAR176 ;
assign VAR197 = VAR60 ;
assign VAR242 = VAR158 ;
assign VAR384 = VAR154 ;
assign VAR271 = VAR288 ;
assign VAR30 = VAR232 ;
assign VAR84 = VAR132 ;
assign VAR133 = VAR62 ;
assign VAR188 = VAR309 ;
assign VAR112 = VAR173 ;
assign VAR296 = VAR291 ;
assign VAR82 = VAR317 ;
assign VAR272 = VAR332 ;
assign VAR312 = VAR31 ;
assign VAR18 = VAR285 ;
assign VAR128 = VAR311 ;
assign VAR58 = VAR239 ;
assign VAR83 = VAR95 ;
assign VAR61 = VAR189 ;
assign VAR303 = VAR64 ;
assign VAR177 = VAR214 ;
assign VAR127 = VAR187 ;
assign VAR41 = VAR331 ;
assign VAR250 = VAR327 ;
assign VAR228 = VAR289 ;
assign VAR181 = VAR280 ;
assign VAR219 = VAR275 ;
assign VAR123 = VAR266 ;
endmodule | lgpl-3.0 |
alexforencich/verilog-axis | rtl/axis_cobs_encode.v | 17,203 | module MODULE1 #
(
parameter VAR86 = 1
)
(
input wire clk,
input wire rst,
input wire [7:0] VAR66,
input wire VAR81,
output wire VAR7,
input wire VAR26,
input wire VAR17,
output wire [7:0] VAR24,
output wire VAR45,
input wire VAR21,
output wire VAR58,
output wire VAR53
);
localparam [1:0]
VAR61 = 2'd0,
VAR68 = 2'd1,
VAR72 = 2'd2,
VAR65 = 2'd3;
reg [1:0] VAR8 = VAR61, VAR63;
localparam [0:0]
VAR82 = 1'd0,
VAR18 = 1'd1;
reg [0:0] VAR60 = VAR82, VAR41;
reg [7:0] VAR79 = 8'd0, VAR2;
reg [7:0] VAR14 = 8'd0, VAR1;
reg VAR56 = 1'b0, VAR75;
reg [7:0] VAR42;
reg VAR19;
reg VAR74 = 1'b0;
reg VAR22;
reg VAR31;
wire VAR83;
reg VAR39;
reg [7:0] VAR76;
reg VAR6;
reg VAR32;
reg VAR4;
wire VAR69;
wire [7:0] VAR47;
wire VAR9;
wire VAR27;
wire VAR46;
reg VAR11;
reg [7:0] VAR23;
reg VAR15;
reg VAR64;
wire VAR73;
wire [7:0] VAR37;
wire VAR52;
wire VAR50;
reg VAR34;
assign VAR7 = VAR69 && VAR73 && VAR39;
VAR29 #(
.VAR55(256),
.VAR71(8),
.VAR40(0),
.VAR80(1),
.VAR85(0),
.VAR49(0),
.VAR5(1),
.VAR43(1),
.VAR28(0)
)
VAR78 (
.clk(clk),
.rst(rst),
.VAR66(VAR76),
.VAR16(0),
.VAR81(VAR6),
.VAR7(VAR69),
.VAR26(VAR32),
.VAR54(0),
.VAR44(0),
.VAR17(VAR4),
.VAR24(VAR47),
.VAR30(),
.VAR45(VAR9),
.VAR21(VAR11),
.VAR58(VAR27),
.VAR59(),
.VAR57(),
.VAR53(VAR46),
.VAR51(),
.VAR84(),
.VAR25()
);
VAR29 #(
.VAR55(256),
.VAR71(8),
.VAR40(0),
.VAR80(1),
.VAR85(0),
.VAR49(0),
.VAR5(0),
.VAR28(0)
)
VAR62 (
.clk(clk),
.rst(rst),
.VAR66(VAR23),
.VAR16(0),
.VAR81(VAR15),
.VAR7(VAR73),
.VAR26(VAR64),
.VAR54(0),
.VAR44(0),
.VAR17(0),
.VAR24(VAR37),
.VAR30(),
.VAR45(VAR52),
.VAR21(VAR34),
.VAR58(VAR50),
.VAR59(),
.VAR57(),
.VAR53(),
.VAR51(),
.VAR84(),
.VAR25()
);
always @* begin
VAR63 = VAR61;
VAR2 = VAR79;
VAR75 = VAR56;
VAR39 = 1'b0;
VAR76 = 8'd0;
VAR6 = 1'b0;
VAR32 = 1'b0;
VAR4 = 1'b0;
VAR23 = VAR66;
VAR15 = 1'b0;
VAR64 = 1'b0;
case (VAR8)
VAR61: begin
VAR39 = 1'b1;
VAR75 = 1'b0;
if (VAR7 && VAR81) begin
if (VAR66 == 8'd0 || (VAR26 && VAR17)) begin
VAR76 = 8'd1;
VAR6 = 1'b1;
if (VAR26) begin
VAR75 = VAR17;
VAR63 = VAR72;
end else begin
VAR63 = VAR61;
end
end else begin
VAR2 = 8'd2;
VAR23 = VAR66;
VAR15 = 1'b1;
if (VAR26) begin
VAR76 = 8'd2;
VAR6 = 1'b1;
if (VAR86) begin
VAR63 = VAR65;
end else begin
VAR64 = 1'b1;
VAR63 = VAR61;
end
end else begin
VAR63 = VAR68;
end
end
end else begin
VAR63 = VAR61;
end
end
VAR68: begin
VAR39 = 1'b1;
VAR75 = 1'b0;
if (VAR7 && VAR81) begin
if (VAR66 == 8'd0 || (VAR26 && VAR17)) begin
VAR76 = VAR79;
VAR6 = 1'b1;
if (VAR26) begin
VAR75 = VAR17;
VAR63 = VAR72;
end else begin
VAR63 = VAR61;
end
end else begin
VAR2 = VAR79+1;
VAR23 = VAR66;
VAR15 = 1'b1;
if (VAR79 == 8'd254) begin
VAR76 = VAR79+1;
VAR6 = 1'b1;
VAR2 = 8'd1;
end
if (VAR26) begin
VAR76 = VAR79+1;
VAR6 = 1'b1;
if (VAR86) begin
VAR63 = VAR65;
end else begin
VAR64 = 1'b1;
VAR63 = VAR61;
end
end else begin
VAR63 = VAR68;
end
end
end else begin
VAR63 = VAR68;
end
end
VAR72: begin
VAR39 = 1'b0;
if (VAR69) begin
if (VAR56) begin
VAR76 = 8'd2;
VAR4 = 1'b1;
end else begin
VAR76 = 8'd1;
end
VAR6 = 1'b1;
if (VAR86) begin
VAR63 = VAR65;
end else begin
VAR32 = 1'b1;
VAR75 = 1'b0;
VAR63 = VAR61;
end
end else begin
VAR63 = VAR72;
end
end
VAR65: begin
VAR39 = 1'b0;
if (VAR69) begin
VAR76 = 8'd0;
VAR32 = 1'b1;
VAR4 = VAR56;
VAR6 = 1'b1;
VAR75 = 1'b0;
VAR63 = VAR61;
end else begin
VAR63 = VAR65;
end
end
endcase
end
always @* begin
VAR41 = VAR82;
VAR1 = VAR14;
VAR42 = 8'd0;
VAR19 = 1'b0;
VAR22 = 1'b0;
VAR31 = 1'b0;
VAR11 = 1'b0;
VAR34 = 1'b0;
case (VAR60)
VAR82: begin
if (VAR74 && VAR9) begin
VAR42 = VAR47;
VAR22 = VAR27;
VAR31 = VAR46 && VAR27;
VAR1 = VAR47-1;
VAR19 = 1'b1;
VAR11 = 1'b1;
if (VAR47 == 8'd0 || VAR47 == 8'd1 || VAR46) begin
VAR41 = VAR82;
end else begin
VAR41 = VAR18;
end
end else begin
VAR41 = VAR82;
end
end
VAR18: begin
if (VAR74 && VAR52) begin
VAR42 = VAR37;
VAR22 = VAR50;
VAR1 = VAR14 - 1;
VAR19 = 1'b1;
VAR34 = 1'b1;
if (VAR14 == 1'b1) begin
VAR41 = VAR82;
end else begin
VAR41 = VAR18;
end
end else begin
VAR41 = VAR18;
end
end
endcase
end
always @(posedge clk) begin
VAR8 <= VAR63;
VAR60 <= VAR41;
VAR79 <= VAR2;
VAR14 <= VAR1;
VAR56 <= VAR75;
if (rst) begin
VAR8 <= VAR61;
VAR60 <= VAR82;
end
end
reg [7:0] VAR38 = 8'd0;
reg VAR13 = 1'b0, VAR10;
reg VAR12 = 1'b0;
reg VAR70 = 1'b0;
reg [7:0] VAR33 = 8'd0;
reg VAR3 = 1'b0, VAR67;
reg VAR36 = 1'b0;
reg VAR48 = 1'b0;
reg VAR20;
reg VAR77;
reg VAR35;
assign VAR24 = VAR38;
assign VAR45 = VAR13;
assign VAR58 = VAR12;
assign VAR53 = VAR70;
assign VAR83 = VAR21 || (!VAR3 && (!VAR13 || !VAR19));
always @* begin
VAR10 = VAR13;
VAR67 = VAR3;
VAR20 = 1'b0;
VAR77 = 1'b0;
VAR35 = 1'b0;
if (VAR74) begin
if (VAR21 || !VAR13) begin
VAR10 = VAR19;
VAR20 = 1'b1;
end else begin
VAR67 = VAR19;
VAR77 = 1'b1;
end
end else if (VAR21) begin
VAR10 = VAR3;
VAR67 = 1'b0;
VAR35 = 1'b1;
end
end
always @(posedge clk) begin
VAR13 <= VAR10;
VAR74 <= VAR83;
VAR3 <= VAR67;
if (VAR20) begin
VAR38 <= VAR42;
VAR12 <= VAR22;
VAR70 <= VAR31;
end else if (VAR35) begin
VAR38 <= VAR33;
VAR12 <= VAR36;
VAR70 <= VAR48;
end
if (VAR77) begin
VAR33 <= VAR42;
VAR36 <= VAR22;
VAR48 <= VAR31;
end
if (rst) begin
VAR13 <= 1'b0;
VAR74 <= 1'b0;
VAR3 <= 1'b0;
end
end
endmodule | mit |
impedimentToProgress/ProbableCause | ddr2/cores/uart16550/bench/verilog/uart_wb_utilities.v | 13,320 | module MODULE1;
task VAR70;
input [VAR59-1:0] VAR38;
reg [3:0] VAR46;
reg VAR56 VAR5;
reg VAR6 VAR58;
integer VAR49;
integer VAR21;
integer VAR16;
reg VAR52;
begin
VAR46 = 4'hF;
VAR21 = 4;
VAR16 = 1;
VAR52 = 1'b0;
VAR19 = VAR49;
VAR48 = VAR21;
VAR43 = (VAR16 == 1);
VAR34 = VAR52;
if (VAR50 !== 1'b1)
begin
end
if (VAR27 !== 1'b0)
begin
end
if (VAR54 !== 1'b0)
begin
end
end
endtask
task VAR62;
input [VAR59-1:0] VAR30;
input [VAR53-1:0] VAR45;
reg [3:0] VAR20;
reg VAR71 VAR68;
reg VAR25 VAR29;
integer VAR49;
integer VAR21;
integer VAR14;
reg VAR52;
begin
VAR20 = 4'hF;
VAR21 = 4;
VAR14 = 1;
VAR52 = 1'b0;
VAR41 = VAR49;
VAR12 = VAR21;
VAR72 = (VAR14 == 1);
VAR57 = VAR52;
if (VAR23 !== 1'b1)
begin
end
if (VAR63 !== 1'b0)
begin
end
if (VAR64 !== 1'b0)
begin
end
end
endtask
task VAR22;
begin
end
else
begin
VAR70(VAR67);
end
end
endtask
task VAR4;
input [7:0] VAR3;
begin
end
else
begin
VAR62(VAR17, VAR3);
end
end
endtask
task VAR2;
begin
end
else
begin
VAR70(VAR18);
end
end
endtask
task VAR13;
input [7:0] VAR28;
begin
end
else
begin
VAR62(VAR18, VAR28);
end
end
endtask
task VAR35;
begin
VAR70(VAR47);
end
endtask
task VAR60;
input [7:0] VAR28;
begin
VAR62(VAR75, VAR28);
end
endtask
task VAR55;
begin
VAR70(VAR10);
end
endtask
task VAR37;
input [7:0] VAR28;
begin
VAR62(VAR10, VAR28);
end
endtask
task VAR73;
input [7:0] VAR28;
begin
VAR62(VAR11, VAR28);
end
endtask
task VAR15;
begin
VAR70(VAR65);
end
endtask
task VAR26;
begin
VAR70(VAR66);
end
endtask
task VAR1;
begin
VAR70(VAR42);
VAR70(VAR61);
end
else
begin
VAR70(VAR42);
VAR70(VAR61);
end
end
endtask
task VAR69;
input [15:0] VAR28;
begin
VAR62(VAR42, VAR28[15:8]);
VAR62(VAR61, VAR28[ 7:0]);
end
else
begin
VAR62(VAR42, VAR28[15:8]);
VAR62(VAR61, VAR28[ 7:0]);
end
end
endtask
endmodule | mit |
FAST-Switch/fast | lib/hardware/pipeline/IPE_IF_OPENFLOW/mac_core/altera_tse_rgmii_out4.v | 5,146 | module MODULE1 (
VAR17,
VAR5,
VAR12,
VAR19,
VAR14);
input VAR17;
input [3:0] VAR5;
input [3:0] VAR12;
input VAR19;
output [3:0] VAR14;
wire [3:0] VAR8;
wire [3:0] VAR14 = VAR8[3:0];
VAR18 VAR9 (
.VAR19 (VAR19),
.VAR5 (VAR5),
.VAR17 (VAR17),
.VAR12 (VAR12),
.VAR14 (VAR8),
.VAR4 (1'b0),
.VAR11 (1'b1),
.VAR16 (1'b1));
VAR9.VAR15 = "VAR6",
VAR9.VAR3 = "VAR13 VAR10",
VAR9.VAR2 = "VAR18",
VAR9.VAR7 = "VAR6",
VAR9.VAR1 = 4;
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/tieh/gf180mcu_fd_sc_mcu9t5v0__tieh.behavioral.pp.v | 1,084 | module MODULE1( VAR5, VAR2, VAR6 );
inout VAR2, VAR6;
output VAR5;
VAR1 VAR4(.VAR5(VAR5),.VAR2(VAR2),.VAR6(VAR6));
VAR1 VAR3(.VAR5(VAR5),.VAR2(VAR2),.VAR6(VAR6)); | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/sdfbbn/sky130_fd_sc_hs__sdfbbn.symbol.v | 1,534 | module MODULE1 (
input VAR4 ,
output VAR2 ,
output VAR3 ,
input VAR1,
input VAR10 ,
input VAR7 ,
input VAR9 ,
input VAR6
);
supply1 VAR8;
supply0 VAR5;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/sdfsbp/sky130_fd_sc_hd__sdfsbp.functional.pp.v | 2,306 | module MODULE1 (
VAR3 ,
VAR12 ,
VAR21 ,
VAR14 ,
VAR20 ,
VAR22 ,
VAR6,
VAR19 ,
VAR18 ,
VAR11 ,
VAR2
);
output VAR3 ;
output VAR12 ;
input VAR21 ;
input VAR14 ;
input VAR20 ;
input VAR22 ;
input VAR6;
input VAR19 ;
input VAR18 ;
input VAR11 ;
input VAR2 ;
wire VAR10 ;
wire VAR9 ;
wire VAR1;
not VAR7 (VAR9 , VAR6 );
VAR13 VAR4 (VAR1, VAR14, VAR20, VAR22 );
VAR15 VAR16 VAR17 (VAR10 , VAR1, VAR21, VAR9, , VAR19, VAR18);
buf VAR8 (VAR3 , VAR10 );
not VAR5 (VAR12 , VAR10 );
endmodule | apache-2.0 |
Murailab-arch/magukara | cores/ipexpress/asfifo9_4.v | 19,970 | module MODULE1 (VAR232, VAR341, VAR334, VAR98, VAR352, VAR308, VAR379, VAR11,
VAR125, VAR205);
input wire [8:0] VAR232;
input wire VAR341;
input wire VAR334;
input wire VAR98;
input wire VAR352;
input wire VAR308;
input wire VAR379;
output wire [8:0] VAR11;
output wire VAR125;
output wire VAR205;
wire VAR377;
wire VAR294;
wire VAR144;
wire VAR288;
wire VAR167;
wire VAR226;
wire VAR318;
wire VAR328;
wire VAR322;
wire VAR242;
wire VAR299;
wire VAR131;
wire VAR10;
wire VAR256;
wire VAR324;
wire VAR13;
wire VAR122;
wire VAR182;
wire VAR70;
wire VAR130;
wire VAR138;
wire VAR193;
wire VAR189;
wire VAR218;
wire VAR317;
wire VAR107;
wire VAR6;
wire VAR286;
wire VAR178;
wire VAR295;
wire VAR306;
wire VAR73;
wire VAR83;
wire VAR266;
wire VAR359;
wire VAR58;
wire VAR315;
wire VAR190;
wire VAR156;
wire VAR314;
wire VAR142;
wire VAR154;
wire VAR18;
wire VAR118;
wire VAR291;
wire VAR85;
wire VAR201;
wire VAR202;
wire VAR253;
wire VAR135;
wire VAR71;
wire VAR316;
wire VAR249;
wire VAR304;
wire VAR91;
wire VAR145;
wire VAR27;
wire VAR53;
wire VAR241;
wire VAR345;
wire VAR342;
wire VAR123;
wire VAR244;
wire VAR289;
wire VAR67;
wire VAR310;
wire VAR37;
wire VAR79;
wire VAR366;
wire VAR61;
wire VAR203;
wire VAR69;
wire VAR169;
wire VAR320;
wire VAR72;
wire VAR329;
wire VAR357;
wire VAR265;
wire VAR214;
wire VAR220;
wire VAR103;
wire VAR7;
wire VAR385;
wire VAR386;
wire VAR40;
wire VAR46;
wire VAR174;
wire VAR207;
wire VAR301;
wire VAR44;
wire VAR78;
wire VAR251;
wire VAR81;
wire VAR223;
wire VAR105;
wire VAR323;
wire VAR146;
wire VAR30;
wire VAR209;
wire VAR102;
wire VAR312;
wire VAR235;
wire VAR296;
wire VAR48;
wire VAR298;
wire VAR74;
wire VAR92;
VAR62 VAR351 (.VAR84(VAR98), .VAR252(VAR377), .VAR43(VAR78));
VAR279 VAR337 (.VAR84(VAR249), .VAR43(VAR377));
VAR62 VAR166 (.VAR84(VAR352), .VAR252(VAR294), .VAR43(VAR72));
VAR279 VAR343 (.VAR84(VAR71), .VAR43(VAR294));
VAR15 VAR139 (.VAR84(VAR308), .VAR252(VAR379), .VAR43(VAR316));
VAR9 VAR231 (.VAR84(VAR105), .VAR252(VAR323), .VAR43(VAR144));
VAR9 VAR162 (.VAR84(VAR323), .VAR252(VAR102), .VAR43(VAR288));
VAR9 VAR255 (.VAR84(VAR102), .VAR252(VAR312), .VAR43(VAR167));
VAR9 VAR55 (.VAR84(VAR312), .VAR252(VAR123), .VAR43(VAR226));
VAR9 VAR238 (.VAR84(VAR214), .VAR252(VAR220), .VAR43(VAR131));
VAR9 VAR224 (.VAR84(VAR220), .VAR252(VAR386), .VAR43(VAR10));
VAR9 VAR34 (.VAR84(VAR386), .VAR252(VAR40), .VAR43(VAR256));
VAR9 VAR101 (.VAR84(VAR40), .VAR252(VAR169), .VAR43(VAR324));
VAR270 VAR183 (.VAR172(VAR83), .VAR336(VAR359), .VAR22(VAR315),
.VAR120(VAR156), .VAR57(VAR265));
VAR270 VAR176 (.VAR172(VAR315), .VAR336(VAR156), .VAR22(VAR92),
.VAR120(VAR92), .VAR57(VAR385));
VAR270 VAR143 (.VAR172(VAR359), .VAR336(VAR315), .VAR22(VAR156),
.VAR120(VAR92), .VAR57(VAR7));
VAR270 VAR198 (.VAR172(VAR306), .VAR336(VAR83), .VAR22(VAR359),
.VAR120(VAR385), .VAR57(VAR357));
VAR270 VAR221 (.VAR172(VAR18), .VAR336(VAR291), .VAR22(VAR201),
.VAR120(VAR253), .VAR57(VAR223));
VAR270 VAR215 (.VAR172(VAR201), .VAR336(VAR253), .VAR22(VAR92),
.VAR120(VAR92), .VAR57(VAR209));
VAR270 VAR150 (.VAR172(VAR291), .VAR336(VAR201), .VAR22(VAR253),
.VAR120(VAR92), .VAR57(VAR30));
VAR270 VAR313 (.VAR172(VAR142), .VAR336(VAR18), .VAR22(VAR291),
.VAR120(VAR209), .VAR57(VAR81));
VAR270 VAR89 (.VAR172(VAR130), .VAR336(VAR169), .VAR22(VAR156), .VAR120(VAR92),
.VAR57(VAR207));
VAR270 VAR372 (.VAR172(VAR130), .VAR336(VAR169), .VAR22(VAR156), .VAR120(VAR92),
.VAR57(VAR174));
VAR270 VAR243 (.VAR172(VAR299), .VAR336(VAR123), .VAR22(VAR253), .VAR120(VAR92),
.VAR57(VAR48));
VAR270 VAR248 (.VAR172(VAR299), .VAR336(VAR123), .VAR22(VAR253), .VAR120(VAR92),
.VAR57(VAR296));
VAR113 VAR126 (.VAR344(VAR232[0]), .VAR258(VAR232[1]), .VAR185(VAR232[2]),
.VAR155(VAR232[3]), .VAR153(VAR232[4]), .VAR23(VAR232[5]), .VAR188(VAR232[6]),
.VAR127(VAR232[7]), .VAR25(VAR232[8]), .VAR184(VAR92), .VAR87(VAR92),
.VAR26(VAR92), .VAR77(VAR92), .VAR290(VAR92), .VAR309(VAR92),
.VAR378(VAR92), .VAR247(VAR92), .VAR371(VAR92), .VAR49(VAR92),
.VAR158(VAR92), .VAR21(VAR92), .VAR108(VAR318), .VAR163(VAR328),
.VAR326(VAR322), .VAR211(VAR242), .VAR8(VAR92), .VAR346(VAR92),
.VAR354(VAR92), .VAR227(VAR92), .VAR110(VAR92), .VAR93(VAR92),
.VAR186(VAR92), .VAR116(VAR78), .VAR165(VAR341), .VAR239(VAR78),
.VAR319(VAR289), .VAR132(VAR92), .VAR212(VAR92), .VAR173(VAR92),
.VAR137(VAR308), .VAR356(VAR92), .VAR373(VAR92), .VAR19(VAR92),
.VAR111(VAR92), .VAR164(VAR92), .VAR261(VAR92), .VAR264(VAR92),
.VAR292(VAR92), .VAR271(VAR92), .VAR210(VAR92), .VAR177(VAR92),
.VAR76(VAR92), .VAR50(VAR92), .VAR382(VAR92), .VAR129(VAR92),
.VAR29(VAR92), .VAR367(VAR92), .VAR355(VAR92), .VAR374(VAR92),
.VAR80(VAR92), .VAR273(VAR92), .VAR170(VAR13), .VAR194(VAR122),
.VAR200(VAR182), .VAR303(VAR70), .VAR327(VAR92), .VAR361(VAR92),
.VAR358(VAR92), .VAR213(VAR92), .VAR268(VAR92), .VAR353(VAR92),
.VAR68(VAR92), .VAR179(VAR72), .VAR216(VAR334), .VAR245(VAR72),
.VAR236(VAR92), .VAR104(VAR92), .VAR197(VAR92), .VAR59(VAR92),
.VAR380(VAR308), .VAR28(), .VAR199(), .VAR325(), .VAR90(), .VAR63(), .VAR171(),
.VAR65(), .VAR39(), .VAR263(), .VAR363(), .VAR115(), .VAR364(), .VAR161(),
.VAR88(), .VAR112(), .VAR233(), .VAR140(), .VAR300(), .VAR136(VAR11[0]), .VAR208(VAR11[1]),
.VAR297(VAR11[2]), .VAR240(VAR11[3]), .VAR191(VAR11[4]), .VAR339(VAR11[5]), .VAR149(VAR11[6]),
.VAR192(VAR11[7]), .VAR237(VAR11[8]), .VAR100(), .VAR262(), .VAR332(), .VAR335(),
.VAR14(), .VAR33(), .VAR260(), .VAR305(), .VAR4())
;
VAR117 VAR293 (.VAR330(VAR304), .VAR180(VAR78), .VAR20(VAR341), .VAR278(VAR308),
.VAR11(VAR105))
;
VAR269 VAR17 (.VAR330(VAR91), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR323))
;
VAR269 VAR124 (.VAR330(VAR27), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR102))
;
VAR269 VAR381 (.VAR330(VAR53), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR312))
;
VAR269 VAR134 (.VAR330(VAR345), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR123))
;
VAR269 VAR228 (.VAR330(VAR144), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR138))
;
VAR269 VAR38 (.VAR330(VAR288), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR193))
;
VAR269 VAR42 (.VAR330(VAR167), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR189))
;
VAR269 VAR347 (.VAR330(VAR226), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR218))
;
VAR269 VAR94 (.VAR330(VAR123), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR317))
;
VAR269 VAR159 (.VAR330(VAR105), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR318))
;
VAR269 VAR368 (.VAR330(VAR323), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR328))
;
VAR269 VAR56 (.VAR330(VAR102), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR322))
;
VAR269 VAR369 (.VAR330(VAR312), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR242))
;
VAR269 VAR257 (.VAR330(VAR123), .VAR180(VAR78), .VAR20(VAR341), .VAR31(VAR308),
.VAR11(VAR299))
;
VAR117 VAR82 (.VAR330(VAR67), .VAR180(VAR72), .VAR20(VAR334), .VAR278(VAR316),
.VAR11(VAR214))
;
VAR269 VAR275 (.VAR330(VAR310), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR220))
;
VAR269 VAR181 (.VAR330(VAR79), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR386))
;
VAR269 VAR281 (.VAR330(VAR366), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR40))
;
VAR269 VAR333 (.VAR330(VAR203), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR169))
;
VAR269 VAR86 (.VAR330(VAR131), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR107))
;
VAR269 VAR175 (.VAR330(VAR10), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR6))
;
VAR269 VAR282 (.VAR330(VAR256), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR286))
;
VAR269 VAR54 (.VAR330(VAR324), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316),
.VAR11(VAR178))
;
VAR269 VAR41 (.VAR330(VAR169), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316), .VAR11(VAR295))
;
VAR269 VAR340 (.VAR330(VAR214), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316), .VAR11(VAR13))
;
VAR269 VAR284 (.VAR330(VAR220), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316), .VAR11(VAR122))
;
VAR269 VAR2 (.VAR330(VAR386), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316), .VAR11(VAR182))
;
VAR269 VAR274 (.VAR330(VAR40), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316), .VAR11(VAR70))
;
VAR269 VAR321 (.VAR330(VAR169), .VAR180(VAR72), .VAR20(VAR334), .VAR31(VAR316), .VAR11(VAR130))
;
VAR160 VAR267 (.VAR330(VAR138), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR73))
;
VAR160 VAR151 (.VAR330(VAR193), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR266))
;
VAR160 VAR360 (.VAR330(VAR189), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR58))
;
VAR160 VAR52 (.VAR330(VAR218), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR190))
;
VAR160 VAR75 (.VAR330(VAR317), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR314))
;
VAR160 VAR109 (.VAR330(VAR107), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR154))
;
VAR160 VAR219 (.VAR330(VAR6), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR118))
;
VAR160 VAR225 (.VAR330(VAR286), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR85))
;
VAR160 VAR157 (.VAR330(VAR178), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR202))
;
VAR160 VAR350 (.VAR330(VAR295), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR135))
;
VAR160 VAR287 (.VAR330(VAR73), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR306))
;
VAR160 VAR119 (.VAR330(VAR266), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR83))
;
VAR160 VAR302 (.VAR330(VAR58), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR359))
;
VAR160 VAR365 (.VAR330(VAR190), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR315))
;
VAR160 VAR195 (.VAR330(VAR314), .VAR20(VAR334), .VAR31(VAR308), .VAR11(VAR156))
;
VAR160 VAR24 (.VAR330(VAR154), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR142))
;
VAR160 VAR348 (.VAR330(VAR118), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR18))
;
VAR160 VAR376 (.VAR330(VAR85), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR291))
;
VAR160 VAR147 (.VAR330(VAR202), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR201))
;
VAR160 VAR66 (.VAR330(VAR135), .VAR20(VAR341), .VAR31(VAR316), .VAR11(VAR253))
;
VAR362 VAR246 (.VAR330(VAR301), .VAR20(VAR334), .VAR278(VAR316), .VAR11(VAR71))
;
VAR160 VAR51 (.VAR330(VAR298), .VAR20(VAR341), .VAR31(VAR308), .VAR11(VAR249))
;
VAR152 VAR222 (.VAR141(VAR92), .VAR280(VAR289), .VAR217(VAR92), .VAR32(VAR289),
.VAR106(VAR92), .VAR276(VAR145), .VAR60(), .VAR285());
VAR331 VAR234 (.VAR106(VAR145), .VAR206(VAR105), .VAR3(VAR323), .VAR47(VAR241),
.VAR254(VAR304), .VAR96(VAR91));
VAR331 VAR148 (.VAR106(VAR241), .VAR206(VAR102), .VAR3(VAR312), .VAR47(VAR244), .VAR254(VAR27),
.VAR96(VAR53));
VAR331 VAR36 (.VAR106(VAR244), .VAR206(VAR123), .VAR3(VAR92), .VAR47(VAR342), .VAR254(VAR345),
.VAR96());
VAR12 VAR272 (.VAR43(VAR289));
VAR152 VAR64 (.VAR141(VAR92), .VAR280(VAR289), .VAR217(VAR92), .VAR32(VAR289),
.VAR106(VAR92), .VAR276(VAR37), .VAR60(), .VAR285());
VAR331 VAR349 (.VAR106(VAR37), .VAR206(VAR214), .VAR3(VAR220), .VAR47(VAR61),
.VAR254(VAR67), .VAR96(VAR310));
VAR331 VAR375 (.VAR106(VAR61), .VAR206(VAR386), .VAR3(VAR40), .VAR47(VAR320),
.VAR254(VAR79), .VAR96(VAR366));
VAR331 VAR128 (.VAR106(VAR320), .VAR206(VAR169), .VAR3(VAR92), .VAR47(VAR69),
.VAR254(VAR203), .VAR96());
VAR152 VAR311 (.VAR141(VAR92), .VAR280(VAR72), .VAR217(VAR92),
.VAR32(VAR72), .VAR106(VAR92), .VAR276(VAR329), .VAR60(), .VAR285());
VAR99 VAR168 (.VAR141(VAR214), .VAR280(VAR220), .VAR217(VAR357), .VAR32(VAR265),
.VAR106(VAR329), .VAR229(VAR103));
VAR99 VAR277 (.VAR141(VAR386), .VAR280(VAR40), .VAR217(VAR7), .VAR32(VAR385),
.VAR106(VAR103), .VAR229(VAR46));
VAR99 VAR95 (.VAR141(VAR207), .VAR280(VAR92), .VAR217(VAR174),
.VAR32(VAR92), .VAR106(VAR46), .VAR229(VAR44));
VAR152 VAR16 (.VAR141(VAR92), .VAR280(VAR92), .VAR217(VAR92), .VAR32(VAR92),
.VAR106(VAR44), .VAR276(), .VAR60(VAR301), .VAR285());
VAR152 VAR250 (.VAR141(VAR92), .VAR280(VAR78), .VAR217(VAR92), .VAR32(VAR78),
.VAR106(VAR92), .VAR276(VAR251), .VAR60(), .VAR285());
VAR99 VAR230 (.VAR141(VAR105), .VAR280(VAR323), .VAR217(VAR81), .VAR32(VAR223),
.VAR106(VAR251), .VAR229(VAR146));
VAR99 VAR1 (.VAR141(VAR102), .VAR280(VAR312), .VAR217(VAR30), .VAR32(VAR209),
.VAR106(VAR146), .VAR229(VAR235));
VAR99 VAR370 (.VAR141(VAR48), .VAR280(VAR92), .VAR217(VAR296),
.VAR32(VAR92), .VAR106(VAR235), .VAR229(VAR74));
VAR97 VAR204 (.VAR43(VAR92));
VAR152 VAR259 (.VAR141(VAR92), .VAR280(VAR92), .VAR217(VAR92), .VAR32(VAR92),
.VAR106(VAR74), .VAR276(), .VAR60(VAR298), .VAR285());
assign VAR125 = VAR71;
assign VAR205 = VAR249;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o311a/sky130_fd_sc_ms__o311a.pp.blackbox.v | 1,406 | module MODULE1 (
VAR7 ,
VAR8 ,
VAR10 ,
VAR3 ,
VAR5 ,
VAR1 ,
VAR6,
VAR9,
VAR4 ,
VAR2
);
output VAR7 ;
input VAR8 ;
input VAR10 ;
input VAR3 ;
input VAR5 ;
input VAR1 ;
input VAR6;
input VAR9;
input VAR4 ;
input VAR2 ;
endmodule | apache-2.0 |
ipburbank/Raster-Laser-Projector | src/Raster_Laser_Projector/synthesis/submodules/altera_up_video_clipper_add.v | 6,837 | module MODULE1 (
clk,
reset,
VAR20,
VAR7,
VAR23,
VAR10,
VAR36,
VAR18,
VAR31,
VAR35,
VAR22,
VAR25,
VAR16,
VAR27
);
parameter VAR2 = 15; parameter VAR3 = 0;
parameter VAR1 = 640; parameter VAR30 = 480; parameter VAR37 = 9; parameter VAR4 = 8;
parameter VAR14 = 0;
parameter VAR34 = 0;
parameter VAR15 = 0;
parameter VAR8 = 0;
parameter VAR28 = 16'h0;
input clk;
input reset;
input [VAR2: 0] VAR20;
input VAR7;
input VAR23;
input [VAR3: 0] VAR10;
input VAR36;
input VAR18;
output VAR31;
output reg [VAR2: 0] VAR35;
output reg VAR22;
output reg VAR25;
output reg [VAR3: 0] VAR16;
output reg VAR27;
wire VAR24;
wire VAR12;
wire VAR5;
wire VAR29;
always @(posedge clk)
begin
if (reset)
begin
VAR35 <= 'h0;
VAR22 <= 1'b0;
VAR25 <= 1'b0;
VAR16 <= 'h0;
VAR27 <= 1'b0;
end
else if (VAR18 | ~VAR27)
begin
if (VAR29)
VAR35 <= VAR20;
end
else
VAR35 <= VAR28;
VAR22 <= VAR12;
VAR25 <= VAR5;
VAR16 <= 'h0;
if (VAR29)
VAR27 <= VAR36;
end
else
VAR27 <= 1'b1;
end
end
assign VAR31 = VAR29 & (~VAR27 | VAR18);
assign VAR24 = (~VAR27 | VAR18) &
(~VAR29 | VAR36);
VAR19 VAR6 (
.clk (clk),
.reset (reset),
.VAR24 (VAR24),
.VAR9 (VAR12),
.VAR11 (VAR5),
.VAR32 (),
.VAR26 (),
.VAR21 (VAR29)
);
VAR6.VAR1 = VAR1,
VAR6.VAR30 = VAR30,
VAR6.VAR37 = VAR37,
VAR6.VAR4 = VAR4,
VAR6.VAR38 = VAR14,
VAR6.VAR17 = VAR34,
VAR6.VAR13 = VAR15,
VAR6.VAR33 = VAR8;
endmodule | gpl-3.0 |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v | 17,361 | module MODULE1 #(parameter VAR21( VAR25 )
, parameter VAR55 = 6
, parameter VAR64 = 6
, parameter VAR43 = 5'b0
, parameter VAR18 = 5
, parameter VAR49 = 1
)
( input VAR20
, input VAR41
, input [VAR51(VAR18+1)-1:0] VAR23
, input VAR65
, input VAR54
, output VAR12
, output [VAR25+1-1:0] VAR60
, input VAR28
, output [VAR18+1-1:0] VAR46
, input VAR16 , input VAR34
, input [VAR25+1-1:0] VAR15
, input [VAR25+1-1:0] VAR35
, output VAR63
);
localparam VAR13 = 24;
localparam VAR4 = VAR66(VAR13,(VAR25+1)*2+1);
logic [VAR4-1:0] VAR7, VAR33;
logic [VAR25+1-1:0] VAR1, VAR58;
logic VAR10, VAR56;
logic VAR67;
logic [VAR18+1-1:0] VAR68, VAR14;
wire [(((VAR25+1)>>1)<<1)-1:0] VAR37
= { ((VAR25+1) >> 1) { (2'b01) } };
assign VAR60 = VAR1;
assign VAR12 = VAR10;
assign VAR46 = VAR68[VAR18:0];
logic [4:0] VAR61;
logic VAR11;
VAR22
begin
VAR11 = 1'b0;
unique case (VAR23)
0: VAR61 = 5'b01111;
1: VAR61 = 5'b00100; 2: VAR61 = 5'b00101; 3: VAR61 = 5'b00010; 4: VAR61 = 5'b00011;
VAR18:
begin
VAR61 = VAR54
? (5'b00110) : (5'b01001); VAR11 = VAR54;
end
default: VAR61 = 5'b00001; endcase
end
wire [VAR25+1-1:0] VAR38;
if (VAR25 <= 4)
assign VAR38 = VAR61[(VAR52(VAR61)-1)-:(1+VAR25)];
else
assign VAR38
= { VAR61
, VAR37[VAR25+1-VAR52(VAR61)-1:0]
^ { (VAR25+1-VAR52(VAR61)) { VAR11 } }
};
logic VAR42, VAR6;
VAR48 @(posedge VAR20)
begin
VAR67 <= VAR65;
if (VAR41)
end
VAR68 <= VAR43; else
VAR68 <= VAR14;
VAR42 <= VAR6;
if (VAR65 ^ VAR67)
VAR7 <= VAR4 ' (0);
else
VAR7 <= VAR33;
VAR1 <= VAR58;
VAR10 <= VAR56;
if (VAR49)
if ((VAR41 === 0) & (VAR65 !== 'VAR47) & (VAR65 ^ VAR67))
"
, VAR65 ? "VAR50" : "VAR9"
, VAR23
, VAR65
, VAR67
);
end
wire [VAR4-1:0] VAR29 = VAR7 + 1'b1;
wire [VAR18+1-1:0] VAR59;
VAR22
begin
VAR6 = VAR41 ? 0: VAR42;
VAR33 = VAR41 ? 0: VAR7;
VAR14 = VAR68;
VAR56 = 1'b0;
VAR58 = { 1'b0, (VAR25) ' (0) };
if (VAR65)
begin
VAR56 = 1'b1;
VAR6 = 1'b1;
VAR14[VAR23] = 1'b0;
VAR58 = VAR38;
VAR33 = VAR29;
if (VAR7[VAR13-1:VAR55] == 1'b1)
VAR58
= { 2'b11, { (VAR25-3) {1'b0} }, VAR23[1:0] };
end
else if (VAR42)
begin
VAR33 = VAR29;
VAR56 = 1'b1;
VAR58 = VAR38;
if (VAR7[VAR64] & ~VAR67)
VAR6 = 1'b0;
end
else
begin
VAR14[VAR23]
= VAR59[VAR23];
if (~(|VAR23))
VAR14[0] = 1'b1;
unique case (VAR23)
2:
begin
end
3:
begin
end
default:
begin
end
endcase end
end
wire [VAR18+1-1:0] VAR32;
VAR24 #(.VAR25(VAR18+1)) VAR26
(.VAR53 (VAR16)
,.VAR2(1'b0)
,.VAR30 (VAR20)
,.VAR44({ VAR32 })
,.VAR3()
,.VAR45({ VAR59 })
);
wire [VAR18-1:0] VAR36;
wire [VAR18-1:0] VAR70
= (VAR18) ' ((1 << VAR23) & ({ VAR18 { ~VAR65 } }));
VAR24 #(.VAR25(VAR18)) VAR27
(.VAR53 (VAR20)
,.VAR2(1'b0 )
,.VAR30 (VAR16)
,.VAR44({ VAR70 })
,.VAR3()
,.VAR45({ VAR36 })
);
logic [VAR25+1-1:0] VAR31, VAR17, VAR71;
logic [2*(VAR25+1)-1:0] VAR62;
logic [2*(VAR25+1)-1:0] VAR8;
wire VAR69
= ( { VAR31, VAR17 } + 1'b1)
== ({VAR35, VAR15});
wire VAR19
= ( { VAR17, VAR71 } + 1'b1)
== ({ VAR15, VAR31 } );
assign VAR63 = VAR70[3] | VAR70[4];
VAR48 @(posedge VAR16)
begin
if (VAR34)
begin
VAR31 <= VAR35;
VAR17 <= VAR15;
VAR8 <= 0;
VAR62 <= 0;
end
if (VAR36[2])
begin
VAR31 <= VAR35;
VAR17 <= VAR15;
VAR71 <= VAR31;
VAR62 <= VAR69 & ~VAR34
? (&VAR62
? VAR62
: VAR62+1'b1
)
: 0;
VAR8 <= VAR19 & ~VAR34
? (&VAR8
? VAR8
: VAR8+1'b1
)
: 0;
if (VAR36[2] !== 'VAR47)
begin
if ((VAR62 > 100) & !VAR69)
%VAR40 %VAR40 %VAR40 %VAR40"
, VAR31, VAR17
, VAR35, VAR15);
if ((VAR8 > 100) & !VAR19)
%VAR40 %VAR40 %VAR40 %VAR40"
, VAR17, VAR71
, VAR15, VAR31);
if (&VAR62 && ~VAR69)
if (&VAR8 && ~VAR19)
if (VAR49)
begin
if ((VAR62 & 12'hfff) == 12'hffe)
VAR5("## VAR57 %VAR40; VAR39 %VAR40"
,VAR62,VAR8);
if ((VAR8 & 12'hfff) == 12'hffe)
VAR5("## VAR57 %VAR40; VAR39 %VAR40"
,VAR62,VAR8);
end
end
end
end
assign VAR32[0] = 1'b1;
assign VAR32[1] = VAR43[1];
assign VAR32[2] = (&VAR8)
| (&VAR62)
| VAR43[2];
assign VAR32[VAR18-1:3] = VAR43[VAR18-1:3];
assign VAR32[VAR18] = 1'b1;
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a32o/sky130_fd_sc_ls__a32o.pp.blackbox.v | 1,458 | module MODULE1 (
VAR4 ,
VAR10 ,
VAR7 ,
VAR8 ,
VAR1 ,
VAR3 ,
VAR9,
VAR6,
VAR2 ,
VAR5
);
output VAR4 ;
input VAR10 ;
input VAR7 ;
input VAR8 ;
input VAR1 ;
input VAR3 ;
input VAR9;
input VAR6;
input VAR2 ;
input VAR5 ;
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/pcie_4243_axi_k7_x4_250/source/pcie_7x_v1_3_axi_basic_rx_pipeline.v | 27,296 | module MODULE1 #(
parameter VAR65 = 128, parameter VAR67 = "VAR21", parameter VAR26 = 1,
parameter VAR45 = (VAR65 == 128) ? 2 : 1, parameter VAR77 = VAR65 / 8 ) (
output reg [VAR65-1:0] VAR64, output reg VAR28, input VAR9, output [VAR77-1:0] VAR12, output VAR56, output reg [21:0] VAR74,
input [VAR65-1:0] VAR20, input VAR53, input VAR73, input VAR60, output reg VAR35, input VAR80, input [VAR45-1:0] VAR84, input VAR81, input [6:0] VAR5, input VAR23,
input VAR33, input VAR29, input [VAR77-1:0] VAR43, input VAR79, input [4:0] VAR55,
output [2:0] VAR69, input VAR19, input VAR62 );
wire [4:0] VAR75;
wire [4:0] VAR7;
wire [4:0] VAR57;
wire [4:0] VAR3;
reg [VAR77-1:0] VAR51;
wire [VAR77-1:0] VAR52;
wire [VAR77-1:0] VAR1;
reg VAR15;
wire VAR66;
wire [VAR65-1:0] VAR18;
reg [VAR65-1:0] VAR30;
wire VAR59;
reg VAR40;
reg VAR14;
reg [VAR45-1:0] VAR31;
reg VAR41;
reg VAR24;
reg VAR13;
reg [6:0] VAR63;
reg VAR42;
reg VAR61;
reg VAR87;
reg VAR86;
wire VAR48;
wire VAR71;
reg VAR36;
reg VAR8;
assign VAR66 = VAR60 &&
(VAR86 || (VAR53 && !VAR80));
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
if(VAR35) begin
end
end
end
generate
if(VAR65 == 128) begin : VAR49
assign VAR18 = {VAR20[31:0],
VAR20[63:32],
VAR20[95:64],
VAR20[127:96]};
end
else if(VAR65 == 64) begin : VAR38
assign VAR18 = {VAR20[31:0], VAR20[63:32]};
end
else begin : VAR25
assign VAR18 = VAR20;
end
endgenerate
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
if(!VAR59) begin
if(VAR40) begin
end
else begin
end
end
end
end
assign VAR59 = (!VAR9 && VAR28);
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
end
end
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
if(!VAR59) begin
if(VAR87) begin
end
else if(VAR40) begin
end
else begin
end
end
end
generate
if(VAR65 == 128) begin : VAR17
assign VAR56 = 1'b0;
assign VAR12 = {VAR77{1'b1}};
end
else begin : VAR2
assign VAR56 = VAR15;
assign VAR12 = VAR51;
end
endgenerate
generate
if(VAR65 == 128) begin : VAR78
assign VAR52 = 16'h0000;
assign VAR1 = 16'h0000;
end
else if(VAR65 == 64) begin : VAR70
assign VAR52 = VAR84 ? 8'hFF : 8'h0F;
assign VAR1 = VAR31 ? 8'hFF : 8'h0F;
end
else begin : VAR27
assign VAR52 = 4'hF;
assign VAR1 = 4'hF;
end
endgenerate
generate
if(VAR65 == 128) begin : VAR58
assign VAR75 = {(VAR53 && !VAR80), (VAR53 && !VAR84[1]), 3'b000};
assign VAR7 = {(VAR13 && !VAR24), (VAR13 && !VAR31[1]), 3'b000}; end
else begin : VAR83
assign VAR75 = {(VAR53 && !VAR80), 4'b0000};
assign VAR7 = {(VAR13 && !VAR24), 4'b0000}; end
endgenerate
generate
if(VAR65 == 128) begin : VAR72
assign VAR57 = {VAR73, VAR84, 2'b11};
assign VAR3 = {VAR14, VAR31, 2'b11}; end
else if(VAR65 == 64) begin : VAR10
assign VAR57 = {VAR73, 1'b0, VAR84, 2'b11};
assign VAR3 = {VAR14, 1'b0, VAR31, 2'b11}; end
else begin : VAR39
assign VAR57 = {VAR73, 4'b0011};
assign VAR3 = {VAR14, 4'b0011}; end
endgenerate
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
if(VAR87 && VAR9) begin
end
else if(VAR48) begin
end
else if(VAR28) begin
end
else begin
end
end
end
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
if(VAR87 && VAR29 && VAR9)
begin
end
else if(VAR48 && !VAR59) begin
end
end
end
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
if(VAR53 && !VAR73 && VAR66 && VAR35)
begin
end
else if(VAR80) begin
end
else if(VAR73 && !VAR53 && VAR60 && VAR35) begin
end
end
end
assign VAR71 = VAR80 && !VAR8 && VAR86 &&
(!VAR53 || VAR73) && !(VAR35 && VAR73);
always @(posedge VAR19) begin
if(VAR62) begin
end
else begin
if(VAR71) begin
end
else if(VAR87) begin
end
end
end
assign VAR48 = VAR71 || VAR36;
generate
if(VAR67 == "VAR37" && VAR65 == 128) begin : VAR85
reg [2:0] VAR82;
wire VAR34 = (!(|VAR64[92:88]) && !VAR64[94]);
wire VAR22 = (VAR64[92:88] == 5'b00001);
wire VAR11 = (VAR64[92:88] == 5'b00010);
wire VAR54 = (VAR64[92:89] == 4'b0010);
wire VAR47 = ((&VAR64[91:90]) && VAR64[94]);
wire VAR16 = (VAR34 ||
VAR22 ||
VAR11 ||
VAR54 ||
VAR47) && VAR74[13];
wire VAR50 = (!(|VAR64[28:24]) && !VAR64[30]);
wire VAR46 = (VAR64[28:24] == 5'b00001);
wire VAR4 = (VAR64[28:24] == 5'b00010);
wire VAR76 = (VAR64[28:25] == 4'b0010);
wire VAR68 = ((&VAR64[27:26]) && VAR64[30]);
wire VAR6 = (VAR50 ||
VAR46 ||
VAR4 ||
VAR76 ||
VAR68) && !VAR74[13];
wire VAR32 =
VAR74[14] && VAR9 && VAR28;
always @(posedge VAR19) begin
if (VAR62) begin
end
else begin
if((VAR16 || VAR6) && VAR32)
begin
end
end
end
assign VAR69 = VAR82;
end
else begin : VAR44
assign VAR69 = 3'h0;
end
endgenerate
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/sdfxbp/sky130_fd_sc_ls__sdfxbp.behavioral.v | 2,477 | module MODULE1 (
VAR26 ,
VAR12,
VAR27,
VAR24 ,
VAR7,
VAR2
);
output VAR26 ;
output VAR12;
input VAR27;
input VAR24 ;
input VAR7;
input VAR2;
supply1 VAR19;
supply0 VAR10;
supply1 VAR6 ;
supply0 VAR22 ;
wire VAR16 ;
wire VAR14 ;
reg VAR20 ;
wire VAR23 ;
wire VAR5;
wire VAR17;
wire VAR13;
wire VAR8 ;
wire VAR3 ;
wire VAR1 ;
wire VAR21 ;
VAR9 VAR25 (VAR14, VAR23, VAR5, VAR17 );
VAR4 VAR15 (VAR16 , VAR14, VAR13, VAR20, VAR19, VAR10);
assign VAR8 = ( VAR19 === 1'b1 );
assign VAR3 = ( ( VAR17 === 1'b0 ) && VAR8 );
assign VAR1 = ( ( VAR17 === 1'b1 ) && VAR8 );
assign VAR21 = ( ( VAR23 !== VAR5 ) && VAR8 );
buf VAR11 (VAR26 , VAR16 );
not VAR18 (VAR12 , VAR16 );
endmodule | apache-2.0 |
cpulabs/mist1032sa | src/core/core.v | 4,951 | module MODULE1 #(
parameter VAR43 = 32'h0
)(
input wire VAR45,
input wire VAR28,
output wire VAR49,
output wire VAR50,
output wire [5:0] VAR20,
output wire VAR37,
output wire VAR5,
output wire [1:0] VAR18,
output wire VAR52,
input wire VAR23,
output wire [1:0] VAR9,
output wire [31:0] VAR39,
output wire [31:0] VAR26,
input wire VAR27,
output wire VAR30,
input wire VAR33,
input wire VAR40,
input wire [63:0] VAR22,
input wire [27:0] VAR51,
output wire VAR29,
input wire VAR3,
output wire [1:0] VAR8,
output wire [3:0] VAR48,
output wire VAR11, output wire [13:0] VAR13,
output wire [1:0] VAR25,
output wire [31:0] VAR44,
output wire [31:0] VAR42,
output wire [31:0] VAR2,
input wire VAR24,
input wire VAR17,
input wire [63:0] VAR38,
input wire [27:0] VAR16,
output wire VAR34,
input wire VAR21,
output wire [1:0] VAR35,
output wire VAR46, output wire [31:0] VAR14,
output wire [31:0] VAR7,
input wire VAR53,
input wire [31:0] VAR47,
input wire VAR41,
output wire VAR36,
input wire [5:0] VAR31,
input wire VAR54,
input wire [31:0] VAR10
);
VAR12 #(VAR43) VAR6(
.VAR45(VAR45),
.VAR28(VAR28),
.VAR49(VAR49),
.VAR50(VAR50),
.VAR20(VAR20),
.VAR37(VAR37),
.VAR5(VAR5),
.VAR18(VAR18),
.VAR32(VAR52),
.VAR19(VAR23),
.VAR4(VAR9),
.VAR1(VAR39),
.VAR15(VAR26),
.VAR27(VAR27),
.VAR30(VAR30),
.VAR33(VAR33),
.VAR40(VAR40),
.VAR22(VAR22),
.VAR51(VAR51),
.VAR29(VAR29),
.VAR3(VAR3),
.VAR8(VAR8), .VAR48(VAR48),
.VAR11(VAR11), .VAR13(VAR13),
.VAR25(VAR25),
.VAR44(VAR44),
.VAR42(VAR42),
.VAR2(VAR2),
.VAR24(VAR24),
.VAR17(VAR17),
.VAR38(VAR38),
.VAR16(VAR16),
.VAR34(VAR34),
.VAR21(VAR21),
.VAR35(VAR35), .VAR46(VAR46), .VAR14(VAR14),
.VAR7(VAR7),
.VAR53(VAR53),
.VAR47(VAR47),
.VAR41(VAR41),
.VAR36(VAR36),
.VAR31(VAR31),
.VAR54(VAR54),
.VAR10(VAR10)
);
endmodule | bsd-2-clause |
GSejas/Dise-o-ASIC-FPGA-FPU | ASIC_FLOW/ASIC_NTNU/integracion_fisica/front_end/source/SVD_unit.v | 1,298 | module MODULE1(VAR4, VAR16, VAR10, VAR6, VAR13, VAR14, VAR7);
input [VAR15-1:0] VAR4;
output reg VAR16, VAR10, VAR6, VAR13, VAR14;
output reg [32:0] VAR7;
reg VAR12;
reg [VAR11-1:0] VAR2;
reg [VAR8-1:0] VAR9;
reg VAR5, VAR3, VAR1;
always @(VAR4) begin
VAR12 = VAR4[VAR15-1]; VAR2 = VAR4[(VAR15-2):(VAR15-VAR11-1)]; VAR9 = VAR4[(VAR8-1):0];
VAR5 = &(VAR2);
VAR3 = |(VAR2);
VAR1 = |(VAR9);
VAR16 = VAR12;
VAR10 = ~VAR5 & ~VAR3 & ~VAR1;
VAR6 = VAR5 & (~VAR1);
VAR13 = VAR5 & VAR1;
VAR14 = ~VAR5 & ~VAR3 & VAR1;
VAR7 = (VAR14) ? {VAR12, VAR2, 1'b0, VAR9} : {VAR12, VAR2, 1'b1, VAR9};
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/inputiso0n/sky130_fd_sc_lp__inputiso0n.pp.blackbox.v | 1,389 | module MODULE1 (
VAR6 ,
VAR7 ,
VAR4,
VAR2 ,
VAR3 ,
VAR1 ,
VAR5
);
output VAR6 ;
input VAR7 ;
input VAR4;
input VAR2 ;
input VAR3 ;
input VAR1 ;
input VAR5 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nand4/sky130_fd_sc_hd__nand4_2.v | 2,253 | module MODULE2 (
VAR1 ,
VAR9 ,
VAR5 ,
VAR3 ,
VAR11 ,
VAR6,
VAR2,
VAR7 ,
VAR10
);
output VAR1 ;
input VAR9 ;
input VAR5 ;
input VAR3 ;
input VAR11 ;
input VAR6;
input VAR2;
input VAR7 ;
input VAR10 ;
VAR8 VAR4 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR11(VAR11),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR10(VAR10)
);
endmodule
module MODULE2 (
VAR1,
VAR9,
VAR5,
VAR3,
VAR11
);
output VAR1;
input VAR9;
input VAR5;
input VAR3;
input VAR11;
supply1 VAR6;
supply0 VAR2;
supply1 VAR7 ;
supply0 VAR10 ;
VAR8 VAR4 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR11(VAR11)
);
endmodule | apache-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/pads/pad_ddr_common/rtl/bw_io_ddr_4sig_clk_x2.v | 3,661 | module MODULE1(VAR3 ,VAR20 ,VAR33 ,
VAR28 ,VAR42 ,VAR24 ,VAR1 ,VAR36 ,
VAR21 ,VAR34 ,VAR10 ,VAR18 ,VAR9 ,VAR35
,VAR2 ,VAR12 ,VAR31 ,VAR32 ,VAR27 ,VAR26 ,
VAR38 ,VAR41 ,VAR39 ,VAR48 ,VAR22 ,
VAR44 ,VAR7 ,VAR13 ,VAR16 );
input [7:0] VAR28 ;
input [7:0] VAR42 ;
input [8:1] VAR10 ;
input [8:1] VAR35 ;
input [8:1] VAR26 ;
input [8:1] VAR38 ;
inout [3:0] VAR1 ;
inout [3:0] VAR36 ;
output VAR33 ;
output VAR32 ;
input VAR3 ;
input VAR20 ;
input VAR24 ;
input VAR21 ;
input VAR34 ;
input VAR18 ;
input VAR9 ;
input VAR2 ;
input VAR12 ;
input VAR31 ;
input VAR27 ;
input VAR41 ;
input VAR39 ;
input VAR48 ;
input VAR22 ;
input VAR44 ;
input VAR7 ;
input VAR13 ;
input VAR16 ;
supply1 VAR15 ;
supply0 VAR23 ;
wire VAR47 ;
wire VAR25 ;
VAR14 VAR45 (
.VAR17 ({VAR28 } ),
.VAR29 ({VAR36[1:0] ,VAR1[1:0] } ),
.VAR5 ({VAR15 ,VAR15 ,VAR23 ,VAR23 } ),
.VAR30 ({VAR35 } ),
.VAR43 ({VAR10 } ),
.VAR31 (VAR31 ),
.VAR46 (VAR24 ),
.VAR3 (VAR3 ),
.VAR33 (VAR47 ),
.VAR4 (VAR18 ),
.VAR13 (VAR13 ),
.VAR37 (VAR2 ),
.VAR16 (VAR16 ),
.VAR32 (VAR25 ),
.VAR27 (VAR27 ),
.VAR6 (VAR12 ),
.VAR8 (VAR34 ),
.VAR40 (VAR21 ),
.VAR19 (VAR9 ) );
VAR14 VAR11 (
.VAR17 ({VAR42 } ),
.VAR29 ({VAR36[3:2] ,VAR1[3:2] } ),
.VAR5 ({VAR15 ,VAR15 ,VAR23 ,VAR23 } ),
.VAR30 ({VAR26 } ),
.VAR43 ({VAR38 } ),
.VAR31 (VAR31 ),
.VAR46 (VAR20 ),
.VAR3 (VAR47 ),
.VAR33 (VAR33 ),
.VAR4 (VAR41 ),
.VAR13 (VAR13 ),
.VAR37 (VAR39 ),
.VAR16 (VAR16 ),
.VAR32 (VAR32 ),
.VAR27 (VAR25 ),
.VAR6 (VAR44 ),
.VAR8 (VAR22 ),
.VAR40 (VAR48 ),
.VAR19 (VAR7 ) );
endmodule | gpl-2.0 |
nextseto/Verilog-Projects | Project 2 – Combinational Logic/four_bit_ripple_adder/four_bit_adder.v | 1,204 | module MODULE1
(
input [3:0] VAR4,
input [3:0] VAR9,
output [4:0] VAR10
);
wire VAR11;
assign VAR11 = 1'b0;
MODULE2 MODULE2 (VAR4[0], VAR9[0], VAR11, VAR10[0], VAR6);
MODULE2 MODULE1 (VAR4[1], VAR9[1], VAR6, VAR10[1], VAR2);
MODULE2 MODULE4 (VAR4[2], VAR9[2], VAR2, VAR10[2], VAR3);
MODULE2 MODULE3 (VAR4[3], VAR9[3], VAR3, VAR10[3], VAR10[4]);
endmodule
module MODULE2
(
input VAR4,
input VAR9,
input VAR5,
output VAR10,
output VAR1
);
assign VAR10 = (VAR4 ^ VAR9) ^ VAR5;
assign VAR1 = (VAR4 & ~VAR9 & VAR5) | (~VAR4 & VAR9 & VAR5) | (VAR4 & VAR9);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dlclkp/sky130_fd_sc_hs__dlclkp.pp.blackbox.v | 1,216 | module MODULE1 (
VAR5,
VAR2,
VAR3 ,
VAR4,
VAR1
);
output VAR5;
input VAR2;
input VAR3 ;
input VAR4;
input VAR1;
endmodule | apache-2.0 |
chahuja/hilbert-fpga | mpuc541.v | 1,722 | module MODULE1 ( VAR5,VAR7 ,VAR13, VAR16,VAR21,VAR11 ,VAR2 ,VAR9 );
parameter VAR3 = 32;
input VAR5 ;
wire VAR5 ;
input VAR7 ;
wire VAR7 ;
input VAR13; input VAR16 ; wire VAR16 ;
input [VAR3-1:0] VAR21 ;
wire signed [VAR3-1:0] VAR21 ;
input [VAR3-1:0] VAR11 ;
wire signed [VAR3-1:0] VAR11 ;
output [VAR3-1:0] VAR2 ;
reg [VAR3-1:0] VAR2 ;
output [VAR3-1:0] VAR9 ;
reg [VAR3-1:0] VAR9 ;
reg signed [VAR3 :0] VAR23;
reg signed [VAR3 :0] VAR20;
reg signed [VAR3-1 :0] VAR6;
reg signed [VAR3-1 : 0] VAR15;
wire signed [VAR3+1 : 0] VAR1;
wire signed [VAR3+1 : 0] VAR22;
reg VAR19,VAR14, VAR18; reg VAR4,VAR8,VAR10;
reg [VAR3-1:0] VAR17 ;
reg [VAR3-1:0] VAR12 ;
always @(posedge VAR5)
begin
if (VAR13) begin
VAR19<=VAR7;
VAR14<=VAR19;
VAR18<=VAR14;
VAR4<=VAR16;
VAR8<=VAR4;
VAR10<=VAR8;
if (VAR7) begin VAR23<=VAR21+(VAR21 >>>2); VAR20<=VAR21+(VAR21 >>>1); VAR15<=VAR21;
VAR6<=VAR11;
end
else begin
VAR23<=VAR6+(VAR6 >>>2); VAR20<=VAR6 +(VAR6 >>>1); VAR15<=VAR6;
end
VAR17<=VAR22 >>>2;
VAR12<=VAR17;
if (VAR18)
if (VAR10) begin
VAR2<=VAR17;
VAR9<= - VAR12; end
else begin
VAR2<=VAR12;
VAR9<= VAR17; end
end
end
assign VAR1=(VAR15<<1)+(VAR23>>>3);
assign VAR22= (VAR1+(VAR15>>>7) +(VAR20>>>11));
endmodule | gpl-2.0 |
EEorCS/Taximeter_on_Altera_DE2 | Verilog_sources/taxi_top.v | 1,824 | module MODULE1 (reset, pulse, clk,
VAR36, VAR40, VAR27,
VAR45, VAR31,
VAR30, VAR41, VAR37);
input reset;
input pulse,clk;
output [6:0] VAR36, VAR40, VAR27,
VAR45, VAR31,
VAR30, VAR41, VAR37;
wire [15:0] VAR14; wire [15:0] VAR33;
wire [15:0] VAR35;
wire [11:0] VAR44;
wire [11:0] VAR15; wire [11:0] VAR1;
wire VAR3;
wire VAR6;
wire [11:0] VAR7;
wire VAR28;
VAR24 VAR20(
.VAR22(VAR15),
.VAR9(VAR14)
);
VAR24 VAR11(
.VAR22(VAR44),
.VAR9(VAR33)
);
VAR24 VAR25(
.VAR22(VAR1),
.VAR9(VAR35)
);
VAR16 VAR12(
.clk(clk),
.VAR3(VAR3)
);
VAR34 VAR10(
.clk(clk),
.VAR6(VAR6)
);
VAR2 VAR23(
.reset(reset),
.VAR28(VAR28),
.pulse(pulse),
.VAR1(VAR1),
.clk(VAR6),
.VAR7(VAR7),
.VAR3(VAR3)
);
VAR29 VAR43(
.reset(reset),
.VAR15(VAR15),
.VAR7(VAR7),
.VAR44(VAR44)
);
VAR42 VAR19(
.reset(reset),
.VAR28(VAR28),
.clk(VAR3),
.VAR15(VAR15)
);
VAR4 VAR38 (.VAR21(VAR33 [3:0]),.VAR39(VAR36));
VAR4 VAR8 (.VAR21(VAR33 [7:4]),.VAR39(VAR40));
VAR4 VAR32 (.VAR21(VAR33 [11:8]),.VAR39(VAR27));
VAR4 VAR5 (.VAR21(VAR35 [3:0]),.VAR39(VAR30));
VAR4 VAR18 (.VAR21(VAR35 [7:4]),.VAR39(VAR41));
VAR4 VAR17 (.VAR21(VAR35 [11:8]),.VAR39(VAR37));
VAR4 VAR13 (.VAR21(VAR14 [3:0]),.VAR39(VAR45));
VAR4 VAR26 (.VAR21(VAR14 [7:4]),.VAR39(VAR31));
endmodule | gpl-2.0 |
MarkBlanco/FPGA_Sandbox | RecComp/Lab1/embedded_lab_1/embedded_lab_1.cache/ip/2017.2/8a4f3f63fe715aee/zynq_design_1_xbar_0_stub.v | 5,932 | module MODULE1(VAR15, VAR78, VAR53, VAR12,
VAR59, VAR13, VAR10, VAR8, VAR3, VAR50,
VAR42, VAR64, VAR67, VAR66, VAR62, VAR26,
VAR60, VAR20, VAR40, VAR23, VAR51, VAR7, VAR38,
VAR76, VAR9, VAR27, VAR47, VAR16, VAR22,
VAR77, VAR30, VAR44, VAR65, VAR72, VAR55, VAR39,
VAR70, VAR32, VAR28, VAR25, VAR29, VAR37, VAR68,
VAR58, VAR48, VAR19, VAR36, VAR31, VAR74,
VAR57, VAR14, VAR54, VAR2, VAR5, VAR17,
VAR35, VAR18, VAR71, VAR75, VAR49, VAR46, VAR63,
VAR41, VAR61, VAR52, VAR4, VAR73, VAR45,
VAR33, VAR6, VAR1, VAR24, VAR69, VAR11,
VAR21, VAR43, VAR56, VAR34)
;
input VAR15;
input VAR78;
input [11:0]VAR53;
input [31:0]VAR12;
input [7:0]VAR59;
input [2:0]VAR13;
input [1:0]VAR10;
input [0:0]VAR8;
input [3:0]VAR3;
input [2:0]VAR50;
input [3:0]VAR42;
input [0:0]VAR64;
output [0:0]VAR67;
input [31:0]VAR66;
input [3:0]VAR62;
input [0:0]VAR26;
input [0:0]VAR60;
output [0:0]VAR20;
output [11:0]VAR40;
output [1:0]VAR23;
output [0:0]VAR51;
input [0:0]VAR7;
input [11:0]VAR38;
input [31:0]VAR76;
input [7:0]VAR9;
input [2:0]VAR27;
input [1:0]VAR47;
input [0:0]VAR16;
input [3:0]VAR22;
input [2:0]VAR77;
input [3:0]VAR30;
input [0:0]VAR44;
output [0:0]VAR65;
output [11:0]VAR72;
output [31:0]VAR55;
output [1:0]VAR39;
output [0:0]VAR70;
output [0:0]VAR32;
input [0:0]VAR28;
output [23:0]VAR25;
output [63:0]VAR29;
output [15:0]VAR37;
output [5:0]VAR68;
output [3:0]VAR58;
output [1:0]VAR48;
output [7:0]VAR19;
output [5:0]VAR36;
output [7:0]VAR31;
output [7:0]VAR74;
output [1:0]VAR57;
input [1:0]VAR14;
output [63:0]VAR54;
output [7:0]VAR2;
output [1:0]VAR5;
output [1:0]VAR17;
input [1:0]VAR35;
input [23:0]VAR18;
input [3:0]VAR71;
input [1:0]VAR75;
output [1:0]VAR49;
output [23:0]VAR46;
output [63:0]VAR63;
output [15:0]VAR41;
output [5:0]VAR61;
output [3:0]VAR52;
output [1:0]VAR4;
output [7:0]VAR73;
output [5:0]VAR45;
output [7:0]VAR33;
output [7:0]VAR6;
output [1:0]VAR1;
input [1:0]VAR24;
input [23:0]VAR69;
input [63:0]VAR11;
input [3:0]VAR21;
input [1:0]VAR43;
input [1:0]VAR56;
output [1:0]VAR34;
endmodule | mit |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/fetch/fetch_db.v | 9,296 | module MODULE1 (
clk ,
VAR55 ,
VAR43 ,
VAR31 ,
VAR57 ,
VAR52 ,
VAR20 ,
VAR13 ,
VAR30 ,
VAR33 ,
VAR17 ,
VAR49 ,
VAR1 ,
VAR47 ,
VAR64 ,
VAR58 ,
VAR50 ,
VAR46 ,
VAR3 ,
VAR44 ,
VAR54 ,
VAR22
);
input [1-1:0] clk ; input [1-1:0] VAR55 ;
input [1-1:0] VAR43 ;
input [1-1:0] VAR31 ; input [5-1:0] VAR57 ; input [5-1:0] VAR52 ; input [1-1:0] VAR20 ; input [1-1:0] VAR13 ; input [2-1:0] VAR30 ; input [16*VAR18-1:0] VAR33 ;
input [1-1:0] VAR17 ; input [5-1:0] VAR49 ; input [2-1:0] VAR1 ; output reg [4*VAR18-1:0] VAR47 ;
input [8-1:0] VAR64 ; input [1-1:0] VAR58 ; output [1-1:0] VAR50 ; input [1-1:0] VAR3 ; output reg [32*VAR18-1:0] VAR46 ; input [5-1:0] VAR44 ; input [1-1:0] VAR54 ; input [16*VAR18-1:0] VAR22 ;
reg [1-1:0] VAR45;
wire [1-1:0] VAR37, VAR5;
wire [5-1:0] VAR28;
wire [5-1:0] VAR38;
wire [16*VAR18-1 : 0] VAR2 ;
wire [16*VAR18-1 : 0] VAR62 ;
wire [16*VAR18-1 : 0] VAR59 ;
wire [32*VAR18-1 : 0] VAR19 ;
wire [32*VAR18-1 : 0] VAR24 ;
wire [32*VAR18-1 : 0] VAR10 ;
reg [1 : 0] VAR61 ;
always @ (posedge clk or negedge VAR55) begin
if (~VAR55) begin
VAR45 <= 'd1;
end
else if (VAR43) begin
VAR45 <= ~VAR45;
end
end
assign VAR37 = VAR54 & ~VAR45;
assign VAR5 = VAR54 & VAR45;
assign VAR28 = ~VAR45 ? VAR44 : ( VAR17 ? ( VAR49[4] ? {1'b1,VAR1[1:0],VAR49[2:1]} : {1'b0,VAR49[4],VAR1,VAR49[3:2]} ) : 0 );
assign VAR38 = VAR45 ? VAR44 : ( VAR17 ? ( VAR49[4] ? {1'b1,VAR1[1:0],VAR49[2:1]} : {1'b0,VAR49[4],VAR1,VAR49[3:2]} ) : 0 );
assign VAR59 = VAR45 ? VAR2 : VAR62;
always @(posedge clk or negedge VAR55 ) begin
if( !VAR55 )
VAR61 <= 0 ;
end
else if( VAR49[4] )
VAR61 <= {VAR49[3],VAR49[0]} ;
else begin
VAR61 <= VAR49[1:0] ;
end
end
always @ begin
VAR46 = VAR19 ;
case( VAR56 )
0 : VAR46 = VAR19 ;
1 : VAR46 = VAR24 ;
2 : VAR46 = VAR10 ;
endcase
end
wire VAR15 ;
wire VAR39 ;
wire VAR34 ;
assign VAR15 = VAR58 & ( VAR56==0 );
assign VAR39 = VAR58 & ( VAR56==1 );
assign VAR34 = VAR58 & ( VAR56==2 );
reg VAR23 ;
reg VAR8 ;
reg VAR6 ;
always @(*) begin
if( VAR20 ) begin
VAR23 = VAR31 & ( VAR63==1 );
VAR8 = VAR31 & ( VAR63==2 );
VAR6 = VAR31 & ( VAR63==0 );
end
else begin
VAR23 = VAR31 & ( VAR63==0 );
VAR8 = VAR31 & ( VAR63==1 );
VAR6 = VAR31 & ( VAR63==2 );
end
end
VAR9 VAR29 (
.clk ( clk ),
.VAR55 ( VAR55 ),
.VAR7 ( VAR23 ),
.VAR11 ( VAR30 ),
.VAR53 ( VAR57[3:0] ),
.VAR48 ( VAR52 ),
.VAR4 ( VAR33 ),
.VAR51 ( VAR15 ),
.VAR27 ( VAR64 ),
.VAR60 ( VAR19 )
);
VAR9 VAR35(
.clk ( clk ),
.VAR55 ( VAR55 ),
.VAR7 ( VAR8 ),
.VAR11 ( VAR30 ),
.VAR53 ( VAR57[3:0] ),
.VAR48 ( VAR52 ),
.VAR4 ( VAR33 ),
.VAR51 ( VAR39 ),
.VAR27 ( VAR64 ),
.VAR60 ( VAR24 )
);
VAR9 VAR21(
.clk ( clk ),
.VAR55 ( VAR55 ),
.VAR7 ( VAR6 ),
.VAR11 ( VAR30 ),
.VAR53 ( VAR57[3:0] ),
.VAR48 ( VAR52 ),
.VAR4 ( VAR33 ),
.VAR51 ( VAR34 ),
.VAR27 ( VAR64 ),
.VAR60 ( VAR10 )
);
VAR14 #(.VAR32(5), .VAR25(VAR18*16))
VAR12 (
.clk ( clk ),
.VAR40 ( 1'b0 ),
.VAR16 ( 1'b0 ),
.VAR7 ( ~VAR37 ),
.VAR26 ( VAR28 ),
.VAR36 ( VAR22),
.VAR41 ( VAR2 )
);
VAR14 #(.VAR32(5), .VAR25(VAR18*16))
VAR42 (
.clk ( clk ),
.VAR40 ( 1'b0 ),
.VAR16 ( 1'b0 ),
.VAR7 ( ~VAR5 ),
.VAR26 ( VAR38 ),
.VAR36 ( VAR22),
.VAR41 ( VAR62 )
);
endmodule | gpl-3.0 |
rkrajnc/minimig-mist | rtl/minimig/gary.v | 6,994 | module MODULE1
(
input [23:1] VAR20, input [20:1] VAR3, output [18:1] VAR35, input [15:0] VAR39,
output [15:0] VAR8,
input [15:0] VAR1,
output [15:0] VAR18,
input [15:0] VAR36,
output [15:0] VAR24,
input VAR25,
input VAR17, input VAR11, input VAR27, input VAR33,
input VAR6, input VAR37, input VAR19, output VAR29, output VAR9,
input [3:0] VAR38, input VAR31, input VAR5,
output VAR30, output VAR12, output VAR14,
output VAR21, output reg [3:0] VAR32, output reg [2:0] VAR2, output reg VAR26, output reg VAR23, output VAR4, output VAR10, output VAR34, output VAR16, output VAR13, output VAR15 );
wire [2:0] VAR7;
wire VAR22;
wire VAR28;
assign VAR24 = VAR37 ? VAR1 : VAR39;
assign VAR18 = VAR37 ? VAR36 : VAR17 ? 16'hFFFF : VAR39;
assign VAR8 = VAR37 ? 16'h0000 : VAR1 | VAR36 | {16{VAR28}};
assign VAR30 = VAR37 ? ~VAR19 : VAR17;
assign VAR12 = VAR37 ? VAR19 : VAR11;
assign VAR14 = VAR37 ? VAR19 : VAR27;
assign VAR35 = VAR37 ? VAR3[18:1] : VAR20[18:1];
always @(*)
begin
if (VAR37) begin
VAR32[0] = ~VAR3[20] & ~VAR3[19];
VAR32[1] = ~VAR3[20] & VAR3[19];
VAR32[2] = VAR3[20] & ~VAR3[19];
VAR32[3] = VAR3[20] & VAR3[19];
VAR2[0] = ( VAR31 && VAR38==4'b0100 && VAR3[20:19]==2'b01) ? 1'b1 : 1'b0;
VAR2[1] = 1'b0;
VAR2[2] = 1'b0;
VAR26 = 1'b0;
VAR23 = 1'b0;
end
else
begin
VAR32[0] = VAR20[23:19]==5'b00000 && !VAR6 ? 1'b1 : 1'b0;
VAR32[1] = VAR20[23:19]==5'b00001 ? 1'b1 : 1'b0;
VAR32[2] = VAR20[23:19]==5'b00010 ? 1'b1 : 1'b0;
VAR32[3] = VAR20[23:19]==5'b00011 ? 1'b1 : 1'b0;
VAR2[0] = VAR7[0];
VAR2[1] = VAR7[1];
VAR2[2] = VAR7[2];
VAR26 = (VAR20[23:19]==5'b11111 && (VAR17 || VAR33)) || (VAR17 && VAR6 && VAR20[23:19]==5'b00000) ? 1'b1 : 1'b0; VAR23 = (VAR20[23:19]==5'b11100 && (VAR17 || VAR33)) ? 1'b1 : 1'b0; end
end
assign VAR7[0] = VAR20[23:19]==5'b11000 ? 1'b1 : 1'b0; assign VAR7[1] = VAR20[23:19]==5'b11001 ? 1'b1 : 1'b0; assign VAR7[2] = VAR20[23:19]==5'b11010 ? 1'b1 : 1'b0;
assign VAR22 = ((VAR7[0] & (VAR38[2] | VAR38[3]))
| (VAR7[1] & VAR38[3])
| (VAR7[2] & VAR38[2] & VAR38[3]));
assign VAR13 = VAR5 && VAR20[23:16]==8'b11011010 ? 1'b1 : 1'b0;
assign VAR15 = VAR5 && VAR20[23:12]==12'b110111100001 ? 1'b1 : 1'b0;
assign VAR16 = (VAR20[23:16]==8'b11011100) ? 1'b1 : 1'b0;
assign VAR21 = VAR20[23:21]==3'b110 ? ~(VAR22 | VAR16 | VAR13 | VAR15) : 1'b0;
assign VAR4 = VAR20[23:20]==4'b1011 ? 1'b1 : 1'b0;
assign VAR10 = VAR4 & ~VAR20[12];
assign VAR34 = VAR4 & ~VAR20[13];
assign VAR28 = VAR20[23:21]==3'b001 ? 1'b1 : 1'b0;
assign VAR29 = VAR20[23:21]==3'b000 || VAR20[23:20]==4'b1100 || VAR20[23:19]==5'b11010 || VAR20[23:16]==8'b11011111 ? 1'b1 : 1'b0;
assign VAR9 = ~(VAR4 | VAR15 | VAR13);
endmodule | gpl-3.0 |
fbalakirev/red-pitaya-notes | cores/axis_adder_v1_0/axis_adder.v | 1,384 | module MODULE1 #
(
parameter integer VAR6 = 32,
parameter VAR7 = "VAR9"
)
(
input wire VAR16,
output wire VAR5,
input wire [VAR6-1:0] VAR18,
input wire VAR13,
output wire VAR14,
input wire [VAR6-1:0] VAR15,
input wire VAR19,
input wire VAR17,
output wire [VAR6-1:0] VAR10,
output wire VAR1
);
wire [VAR6-1:0] VAR8;
wire VAR11, VAR3;
generate
if(VAR7 == "VAR4")
begin : VAR12
assign VAR8 = (VAR18) + (VAR15);
end
else
begin : VAR2
assign VAR8 = VAR18 + VAR15;
end
endgenerate
assign VAR3 = VAR13 & VAR19;
assign VAR11 = VAR3 & VAR17;
assign VAR5 = VAR11;
assign VAR14 = VAR11;
assign VAR10 = VAR8;
assign VAR1 = VAR3;
endmodule | mit |
lloves/Sora | FPGA/MIMO/rtl/pcie_userapp_wrapper/Sora_Fast_Radio_Link/old/RCB_FRL_STATUS_IN.v | 5,843 | module MODULE1(
input VAR13,
input VAR9,
output reg VAR1, output reg VAR16, output reg VAR14, input VAR7,
output reg VAR5 );
reg VAR8;
reg VAR12;
reg VAR4; reg [2:0] VAR17;
reg [7:0] VAR15;
parameter VAR10 = 2'b00;
parameter VAR6 = 2'b01;
parameter VAR3 = 2'b10;
parameter VAR11 = 2'b11;
reg [1:0] VAR2;
always @ ( negedge VAR13 ) begin
if ( VAR9 == 1'b1 ) begin
VAR15 <= 8'h00;
end
else begin
VAR15 <= {VAR15[6:0], VAR7};
end
end
always @ ( negedge VAR13 ) begin
VAR4 <= 1'b0;
if ( VAR15 == 8'h55 | VAR15 == 8'hAA) begin
VAR2 <= VAR10;
end
else if ( VAR15 == 8'hF0 | VAR15 == 8'h87 | VAR15 == 8'hC3 | VAR15 == 8'hE1 | VAR15 == 8'h78 | VAR15 == 8'h3C | VAR15 == 8'h1E | VAR15 == 8'h0F ) begin
VAR2 <= VAR6;
end
else if ( VAR15 == 8'h33 | VAR15 == 8'h66 | VAR15 == 8'hCC | VAR15 == 8'h99 ) begin
VAR2 <= VAR3;
end
else if ( VAR15 == 8'h00) begin
VAR2 <= VAR11;
end
else begin VAR2 <= VAR2;
VAR4 <= 1'b1;
end
end
always@ (negedge VAR13) begin
if (VAR9) begin
VAR17 <= 3'b000;
end else if(VAR4) begin
if (VAR17 != 3'b111)
VAR17 <= VAR17 + 3'b001;
end
else
VAR17 <= VAR17;
end else begin
VAR17 <= 3'b000;
end
end
always@ (negedge VAR13) begin
VAR5 <= (VAR17 == 3'b111) ? 1'b1 : 1'b0;
end
always @ (posedge VAR13) begin
if ( VAR9 == 1'b1 ) begin
VAR1 <= 1'b0;
VAR14 <= 1'b0;
VAR16 <= 1'b0;
VAR8 <= 0;
VAR12 <= 0;
end
else if ( VAR2 == VAR10) begin
VAR1 <= 1'b1;
VAR14 <= 1'b0;
VAR16 <= 1'b0;
VAR8 <= 0;
VAR12 <= 0;
end
else if ( VAR2 == VAR3 ) begin
VAR14 <= 1'b1;
VAR16 <= 1'b0;
VAR1 <= 1'b0;
VAR8 <= 0;
VAR12 <= 0;
end
else if ( VAR2 == VAR6 ) begin
VAR1 <= 1'b0;
VAR16 <= 1'b1;
VAR14 <= 1'b1;
VAR8 <= 0;
VAR12 <= 0;
end
else if ( VAR2 == VAR11 ) begin
if(VAR12 == 0) begin
VAR12 <= 1;
VAR8 <= 1;
end
else
begin
VAR8 <= 0;
end
VAR1 <= 1'b0;
VAR16 <= 1'b0;
VAR14 <= 1'b0;
end
end
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/dlrbn/sky130_fd_sc_lp__dlrbn_2.v | 2,480 | module MODULE1 (
VAR2 ,
VAR4 ,
VAR9,
VAR11 ,
VAR5 ,
VAR6 ,
VAR10 ,
VAR7 ,
VAR8
);
output VAR2 ;
output VAR4 ;
input VAR9;
input VAR11 ;
input VAR5 ;
input VAR6 ;
input VAR10 ;
input VAR7 ;
input VAR8 ;
VAR3 VAR1 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR2 ,
VAR4 ,
VAR9,
VAR11 ,
VAR5
);
output VAR2 ;
output VAR4 ;
input VAR9;
input VAR11 ;
input VAR5 ;
supply1 VAR6;
supply0 VAR10;
supply1 VAR7 ;
supply0 VAR8 ;
VAR3 VAR1 (
.VAR2(VAR2),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/sdfrbp/sky130_fd_sc_ms__sdfrbp.blackbox.v | 1,485 | module MODULE1 (
VAR10 ,
VAR9 ,
VAR6 ,
VAR5 ,
VAR11 ,
VAR4 ,
VAR2
);
output VAR10 ;
output VAR9 ;
input VAR6 ;
input VAR5 ;
input VAR11 ;
input VAR4 ;
input VAR2;
supply1 VAR1;
supply0 VAR8;
supply1 VAR3 ;
supply0 VAR7 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/isolatch/sky130_fd_sc_lp__isolatch_lp.v | 2,305 | module MODULE2 (
VAR1 ,
VAR6 ,
VAR4,
VAR10 ,
VAR2 ,
VAR7 ,
VAR9 ,
VAR8
);
output VAR1 ;
input VAR6 ;
input VAR4;
input VAR10 ;
input VAR2 ;
input VAR7 ;
input VAR9 ;
input VAR8 ;
VAR5 VAR3 (
.VAR1(VAR1),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR1 ,
VAR6 ,
VAR4
);
output VAR1 ;
input VAR6 ;
input VAR4;
supply1 VAR10;
supply1 VAR2 ;
supply0 VAR7 ;
supply1 VAR9 ;
supply0 VAR8 ;
VAR5 VAR3 (
.VAR1(VAR1),
.VAR6(VAR6),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
SymbiFlow/icestorm | icetime/cells.v | 13,863 | module MODULE52(VAR54, VAR60, VAR64);
input VAR54;
input VAR60;
output VAR64;
endmodule
module MODULE43(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE121(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE27(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE80(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE127(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE23(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE38(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE116(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE91(VAR35, VAR6);
input VAR6;
output VAR35;
endmodule
module MODULE51(VAR63, VAR15);
output VAR63;
input VAR15;
endmodule
module MODULE33(VAR76, VAR72, VAR92, VAR17, VAR1, VAR71, VAR20, VAR90, VAR11, VAR75, VAR63);
input VAR92;
output VAR75;
output VAR11;
input VAR90;
input VAR20;
output VAR63;
input VAR17;
input VAR72;
input VAR1;
input VAR71;
inout VAR76;
endmodule
module MODULE26(VAR76, VAR72, VAR92, VAR17, VAR1, VAR71, VAR20, VAR90, VAR11, VAR75);
input VAR92;
output VAR75;
output VAR11;
input VAR90;
input VAR20;
input VAR17;
input VAR72;
input VAR1;
input VAR71;
inout VAR76;
endmodule
module MODULE40(VAR76, VAR72, VAR92, VAR17, VAR1, VAR71, VAR20, VAR90, VAR11, VAR75, VAR31, VAR70, VAR57, VAR33);
input VAR92;
input VAR57;
output VAR75;
output VAR11;
input VAR90;
input VAR20;
input VAR17;
input VAR72;
input VAR1;
input VAR71;
inout VAR76;
input VAR31;
input VAR70;
output VAR33;
endmodule
module MODULE61(VAR76, VAR85, VAR72, VAR92, VAR17, VAR1, VAR71, VAR20, VAR90, VAR11, VAR75);
input VAR92;
output VAR75;
output VAR11;
input VAR90;
input VAR20;
input VAR17;
input VAR72;
input VAR1;
input VAR71;
inout VAR76;
inout VAR85;
endmodule
module MODULE88(VAR76, VAR72, VAR92, VAR17, VAR1, VAR71, VAR20, VAR90, VAR11, VAR75);
input VAR92;
output VAR75;
output VAR11;
input VAR90;
input VAR20;
input VAR17;
input VAR72;
input VAR1;
input VAR71;
inout VAR76;
endmodule
module MODULE9(VAR58, VAR80, VAR2, VAR39, VAR16, VAR65, VAR21);
input VAR2;
output VAR65;
output VAR21;
input VAR58;
input VAR39;
input VAR80;
input VAR16;
endmodule
module MODULE47(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE102(VAR76, VAR26, VAR29, VAR30);
input VAR29;
output VAR26;
input VAR30;
inout VAR76;
endmodule
module MODULE105(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE46(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE8(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE67(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE98(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE63(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE5(VAR77, VAR38, VAR49, clk, VAR41, VAR50, VAR32, VAR74, VAR69, VAR22);
input VAR49;
output VAR77;
input clk;
input VAR41;
input VAR50;
input VAR32;
input VAR74;
input VAR69;
output VAR38;
input VAR22;
endmodule
module MODULE37(VAR77, VAR38, VAR49, clk, VAR50, VAR32, VAR74, VAR69, VAR22, VAR19);
input VAR49;
output VAR77;
input VAR19;
input clk;
input VAR50;
input VAR32;
input VAR74;
input VAR69;
output VAR38;
input VAR22;
endmodule
module MODULE87(VAR77, VAR38, VAR45, VAR49, clk, VAR50, VAR32, VAR74, VAR69, VAR22, VAR19);
input VAR49;
output VAR77;
input VAR19;
input clk;
input VAR50;
input VAR32;
input VAR74;
input VAR69;
output VAR38;
output VAR45;
input VAR22;
endmodule
module MODULE69(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE15(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE42(VAR7, VAR83, VAR73, VAR3);
inout VAR7;
output VAR83;
input VAR3;
input VAR73;
endmodule
module MODULE31(VAR7, VAR83, VAR73, VAR3);
inout VAR7;
output VAR83;
input VAR3;
input VAR73;
endmodule
module MODULE93(VAR7, VAR83, VAR73, VAR3);
inout VAR7;
output VAR83;
input VAR3;
input VAR73;
endmodule
module MODULE32(VAR7, VAR83, VAR73, VAR3);
inout VAR7;
output VAR83;
input VAR3;
input VAR73;
endmodule
module MODULE96(VAR27, VAR88, VAR68, VAR42, VAR55, VAR62, VAR53, VAR44, VAR70, VAR33, VAR31, VAR72);
input VAR53;
input [7:0] VAR55;
input VAR42;
input VAR72;
output VAR62;
input VAR27;
output VAR88;
output VAR68;
input VAR44;
input VAR31;
input VAR70;
output VAR33;
endmodule
module MODULE72(VAR27, VAR52, VAR46, VAR14, VAR12, VAR42, VAR55, VAR62, VAR53, VAR44, VAR70, VAR33, VAR31, VAR72);
input VAR53;
input [7:0] VAR55;
input VAR42;
input VAR72;
output VAR62;
input VAR27;
output VAR52;
output VAR14;
output VAR46;
output VAR12;
input VAR44;
input VAR31;
input VAR70;
output VAR33;
endmodule
module MODULE20(VAR27, VAR52, VAR46, VAR14, VAR12, VAR42, VAR55, VAR62, VAR53, VAR44, VAR70, VAR33, VAR31, VAR72);
input VAR53;
input [7:0] VAR55;
input VAR42;
input VAR72;
output VAR62;
input VAR27;
output VAR52;
output VAR14;
output VAR46;
output VAR12;
input VAR44;
input VAR31;
input VAR70;
output VAR33;
endmodule
module MODULE1(VAR83, VAR73, VAR3, VAR72, VAR92, VAR17, VAR1, VAR71, VAR20, VAR90, VAR11, VAR75);
input VAR92;
output VAR75;
output VAR11;
input VAR90;
input VAR20;
input VAR17;
input VAR72;
input VAR1;
input VAR71;
input VAR83;
output VAR3;
output VAR73;
endmodule
module MODULE1(VAR83, VAR73, VAR3, VAR72, VAR92, VAR17, VAR1, VAR71, VAR20, VAR90, VAR11, VAR75);
input VAR92;
output VAR75;
output VAR11;
input VAR90;
input VAR20;
input VAR17;
input VAR72;
input VAR1;
input VAR71;
input VAR83;
output VAR3;
output VAR73;
endmodule
module MODULE108(VAR63, VAR48);
output VAR63;
input VAR48;
endmodule
module MODULE131(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE53(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE50(VAR56);
output VAR56;
endmodule
module MODULE59(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE34(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE44(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE89(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE114(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE128(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE112(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE101(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE75(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE70(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE73(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE48(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE90(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE79(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE12(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE109(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE56(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE94(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE16(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE95(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE68(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE66(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE11(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE124(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE134(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE6(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE64(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE126(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE84(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE106(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE21(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE123(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE58(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE19(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE133(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE39(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE36(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE18(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE107(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE97(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE130(VAR59, VAR49, VAR13, VAR51, VAR67, VAR79, VAR25);
input VAR13;
input VAR51;
input VAR67;
input VAR79;
input VAR49;
output VAR59;
input VAR25;
endmodule
module MODULE111(VAR66, VAR50, VAR32, VAR74, VAR69, VAR43, VAR4, VAR78, VAR24, VAR89);
input [15:0] VAR89;
input VAR50;
input VAR43;
input VAR32;
input VAR4;
input VAR74;
input VAR78;
input VAR69;
input VAR24;
output VAR66;
endmodule
module MODULE62(VAR87, VAR34, VAR8, VAR61, VAR89, clk, VAR41);
input VAR61;
input [1:0] VAR89;
input clk;
input VAR41;
input VAR34;
input VAR8;
output VAR87;
endmodule
module MODULE92(VAR87, VAR34, VAR8, VAR61, VAR89, clk, VAR41, VAR19);
input VAR61;
input [1:0] VAR89;
input VAR19;
input clk;
input VAR41;
input VAR34;
input VAR8;
output VAR87;
endmodule
module MODULE113(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE60(VAR5, VAR54);
input VAR54;
output VAR5;
endmodule
module MODULE54(VAR77, VAR38, VAR49, VAR89, clk, VAR41, VAR50, VAR32, VAR74, VAR69, VAR91, VAR8, VAR22);
input VAR49;
output VAR77;
input [20:0] VAR89;
input clk;
input VAR41;
input VAR50;
input VAR32;
input VAR74;
input VAR69;
output VAR38;
input VAR91;
input VAR8;
input VAR22;
endmodule
module MODULE77(VAR77, VAR38, VAR49, VAR89, clk, VAR41, VAR50, VAR32, VAR74, VAR69, VAR91, VAR8, VAR22, VAR19);
input VAR49;
output VAR77;
input [20:0] VAR89;
input VAR19;
input clk;
input VAR41;
input VAR50;
input VAR32;
input VAR74;
input VAR69;
output VAR38;
input VAR91;
input VAR8;
input VAR22;
endmodule
module MODULE22(VAR77, VAR38, VAR45, VAR49, VAR89, clk, VAR41, VAR50, VAR32, VAR74, VAR69, VAR91, VAR8, VAR22, VAR19);
input VAR49;
output VAR77;
input [20:0] VAR89;
input VAR19;
input clk;
input VAR41;
input VAR50;
input VAR32;
input VAR74;
input VAR69;
output VAR38;
output VAR45;
input VAR91;
input VAR8;
input VAR22;
endmodule
module MODULE74(VAR64, VAR50, VAR32, VAR89, VAR91);
output VAR64;
input VAR89;
input VAR50;
input VAR32;
input VAR91;
endmodule
module MODULE122(VAR9, VAR81, VAR82);
input VAR9;
input VAR81;
output VAR82;
endmodule
module MODULE2(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE71(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE86(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE41(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE125(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE83(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE99(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE7(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE4(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE110(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE55(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE45(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE129(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE35(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE119(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE10(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE115(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE24(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE118(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE65(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE82(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE29(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE13(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE85(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE25(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE100(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE17(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE120(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE103(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE132(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE78(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE3(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE28(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE30(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE81(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE117(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE57(VAR5);
output VAR5;
endmodule
module MODULE49(VAR5);
output VAR5;
endmodule
module MODULE104(VAR10, VAR64);
input VAR10;
output VAR64;
endmodule
module MODULE76(VAR93, VAR86, VAR36, VAR28, VAR37, VAR23, VAR94, VAR84, VAR40, VAR18, VAR47);
output [15:0] VAR93;
input VAR86, VAR36, VAR28;
input [10:0] VAR37;
input VAR23, VAR94, VAR84;
input [10:0] VAR40;
input [15:0] VAR18, VAR47;
endmodule | isc |
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC | bin_Erosion_Operation/system/synthesis/submodules/system_acl_iface_hps_hps_io.v | 6,777 | module MODULE1 (
output wire [14:0] VAR1, output wire [2:0] VAR26, output wire VAR22, output wire VAR37, output wire VAR29, output wire VAR42, output wire VAR33, output wire VAR41, output wire VAR3, output wire VAR2, inout wire [31:0] VAR15, inout wire [3:0] VAR31, inout wire [3:0] VAR19, output wire VAR8, output wire [3:0] VAR14, input wire VAR6, output wire VAR28, output wire VAR12, output wire VAR17, output wire VAR13, output wire VAR30, input wire VAR16, inout wire VAR20, output wire VAR27, input wire VAR23, output wire VAR38, input wire VAR35, input wire VAR21, input wire VAR25, input wire VAR7, inout wire VAR43, inout wire VAR11, inout wire VAR4, output wire VAR34, inout wire VAR40, inout wire VAR24, input wire VAR5, output wire VAR32, inout wire VAR18, inout wire VAR36, inout wire VAR9 );
VAR10 VAR39 (
.VAR1 (VAR1), .VAR26 (VAR26), .VAR22 (VAR22), .VAR37 (VAR37), .VAR29 (VAR29), .VAR42 (VAR42), .VAR33 (VAR33), .VAR41 (VAR41), .VAR3 (VAR3), .VAR2 (VAR2), .VAR15 (VAR15), .VAR31 (VAR31), .VAR19 (VAR19), .VAR8 (VAR8), .VAR14 (VAR14), .VAR6 (VAR6), .VAR28 (VAR28), .VAR12 (VAR12), .VAR17 (VAR17), .VAR13 (VAR13), .VAR30 (VAR30), .VAR16 (VAR16), .VAR20 (VAR20), .VAR27 (VAR27), .VAR23 (VAR23), .VAR38 (VAR38), .VAR35 (VAR35), .VAR21 (VAR21), .VAR25 (VAR25), .VAR7 (VAR7), .VAR43 (VAR43), .VAR11 (VAR11), .VAR4 (VAR4), .VAR34 (VAR34), .VAR40 (VAR40), .VAR24 (VAR24), .VAR5 (VAR5), .VAR32 (VAR32), .VAR18 (VAR18), .VAR36 (VAR36), .VAR9 (VAR9) );
endmodule | mit |
Ribeiro/sd2snes | verilog/sd2snes_cx4/msu.v | 5,244 | module MODULE1(
input VAR4,
input enable,
input [13:0] VAR2,
input [7:0] VAR33,
input VAR7,
input [2:0] VAR27,
input [7:0] VAR12,
output [7:0] VAR46,
input VAR32,
input VAR29,
input VAR43,
output [6:0] VAR37,
output [7:0] VAR5,
output VAR22,
output [31:0] VAR1,
output [15:0] VAR39,
input [5:0] VAR11,
input [5:0] VAR20,
input VAR13,
input [13:0] VAR35,
input VAR19,
output VAR3,
output VAR34,
output VAR8,
output [13:0] VAR44,
output VAR24
);
reg [1:0] VAR18;
always @(posedge VAR4) VAR18 = {VAR18[0], VAR13};
wire VAR36 = (VAR18 == 2'b01);
reg [13:0] VAR15;
wire [13:0] VAR31 = VAR15;
VAR40 VAR15 = 13'b0;
wire [7:0] VAR6;
reg [7:0] VAR10;
reg [2:0] VAR38;
always @(posedge VAR4)
VAR38 <= {VAR38[1:0], VAR19};
wire VAR14 = (VAR38[2:1] == 2'b01);
reg [31:0] VAR17;
assign VAR1 = VAR17;
reg [15:0] VAR42;
assign VAR39 = VAR42;
reg [7:0] VAR41;
assign VAR5 = VAR41;
reg VAR28;
assign VAR22 = VAR28;
reg VAR30;
reg VAR25;
reg VAR26;
reg VAR16;
reg VAR23;
reg VAR21;
reg [1:0] VAR45;
reg [1:0] VAR9; | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | models/udp_dff_p_pp_pg_n/sky130_fd_sc_ms__udp_dff_p_pp_pg_n.symbol.v | 1,413 | module MODULE1 (
input VAR2 ,
output VAR1 ,
input VAR5 ,
input VAR6,
input VAR3 ,
input VAR4
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/mux2i/sky130_fd_sc_hd__mux2i.behavioral.v | 1,654 | module MODULE1 (
VAR4 ,
VAR2,
VAR9,
VAR7
);
output VAR4 ;
input VAR2;
input VAR9;
input VAR7 ;
supply1 VAR5;
supply0 VAR1;
supply1 VAR6 ;
supply0 VAR10 ;
wire VAR11;
VAR3 VAR12 (VAR11, VAR2, VAR9, VAR7 );
buf VAR8 (VAR4 , VAR11);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/lsbufhv2hv_hl/sky130_fd_sc_hvl__lsbufhv2hv_hl.pp.symbol.v | 1,427 | module MODULE1 (
input VAR4 ,
output VAR1 ,
input VAR5,
input VAR3 ,
input VAR2 ,
input VAR6 ,
input VAR7
);
endmodule | apache-2.0 |
sh-chris110/chris | FPGA/chris.system_ok/db/ip/soc_design/submodules/soc_design_SystemID.v | 2,203 | module MODULE1 (
address,
VAR2,
VAR1,
VAR3
)
;
output [ 31: 0] VAR3;
input address;
input VAR2;
input VAR1;
wire [ 31: 0] VAR3;
assign VAR3 = address ? 1499934493 : 255;
endmodule | gpl-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig37/mig_37/example_design/rtl/controller/bank_cntrl.v | 25,516 | module MODULE1 #
(
parameter VAR131 = 100,
parameter VAR32 = "VAR33",
parameter VAR99 = 3,
parameter VAR62 = 2,
parameter VAR59 = "8",
parameter VAR90 = 12,
parameter VAR47 = 5,
parameter VAR15 = 8,
parameter VAR142 = "VAR137",
parameter VAR132 = "VAR41",
parameter VAR128 = 4,
parameter VAR18 = 4,
parameter VAR139 = 2,
parameter VAR13 = 2,
parameter VAR106 = 2,
parameter VAR48 = 0,
parameter VAR123 = 10,
parameter VAR130 = 5,
parameter VAR45 = 4,
parameter VAR21 = 10,
parameter VAR39 = 5,
parameter VAR20 = "VAR120",
parameter VAR54 = 2,
parameter VAR14 = 4,
parameter VAR105 = 5,
parameter VAR63 = 16,
parameter VAR51 = 2
)
(
VAR100, VAR93, VAR69, VAR80, VAR37, VAR67,
VAR82, VAR116, VAR75, VAR112, VAR65,
VAR71, VAR104, VAR141, VAR94,
VAR25, VAR57, VAR135, VAR136,
VAR83, VAR107, VAR79, VAR53,
VAR66, VAR24, VAR102, VAR91, VAR115,
VAR134, VAR125, VAR23, VAR55, VAR101, VAR49,
VAR143, VAR127, VAR77, VAR118,
VAR7, VAR10, VAR6, VAR31, VAR56,
VAR95, VAR111, VAR85, VAR17, VAR96, rst, VAR78,
VAR44, VAR117, VAR129, VAR28,
VAR92, VAR38, VAR35, VAR36,
VAR138, VAR5, VAR68,
VAR52, VAR29, VAR108, VAR26, VAR110,
VAR126, VAR4, VAR19, VAR34,
VAR11, VAR103, VAR74, VAR114,
VAR60, VAR8, VAR124, VAR64,
VAR81, VAR43, VAR121,
VAR72, VAR30, VAR87, clk, VAR109, VAR122, VAR88,
VAR3, VAR140
);
input VAR140; input VAR3; input VAR88; input [VAR99-1:0] VAR122; input [(VAR18*2)-1:0] VAR109; input clk; input [2:0] VAR87; input [VAR90-1:0] VAR30; input [VAR15-1:0] VAR72; input [(VAR18*2)-1:0] VAR121; input [(VAR18*2)-1:0] VAR43; input VAR81; input VAR64; input VAR124; input [VAR62-1:0] VAR8; input [VAR14-1:0] VAR60; input VAR114; input [VAR14-1:0] VAR74; input VAR103; input [VAR54:0] VAR11; input VAR34; input VAR19; input VAR4; input VAR126; input [VAR54-1:0] VAR110; input VAR26; input VAR108; input VAR29; input [VAR62-1:0] VAR52; input [(VAR18*2)-1:0] VAR68; input VAR5; input VAR138; input [VAR54-1:0] VAR36; input [VAR54-1:0] VAR35; input [(2*(VAR105*VAR18))-1:0] VAR38; input [VAR62-1:0] VAR92; input [(VAR18*2)-1:0] VAR28; input [VAR15-1:0] VAR129; input VAR117; input [(VAR54*VAR18*2)-1:0] VAR44; input [VAR63-1:0] VAR78; input rst; input VAR96; input VAR17; input VAR85; input VAR111; input VAR95; input [(VAR18*2)-1:0] VAR56; input VAR31; input VAR6; input VAR10; input [VAR14-1:0] VAR7;
output [VAR14-1:0] VAR91; output [VAR63-1:0] VAR102; output VAR24; output VAR66; output VAR53; output VAR79; output VAR107; output VAR83; output VAR136; output [VAR14-1:0] VAR135; output [VAR105-1:0] VAR57; output VAR25; output [VAR14-1:0] VAR94; output [VAR99-1:0] VAR141; output VAR104; output VAR71; output VAR65; output [VAR63-1:0] VAR112; output VAR75; output [VAR63-1:0] VAR116; output VAR82; output VAR67; output VAR37; output VAR80; output VAR69; output VAR93; output [VAR14-1:0] VAR100;
wire VAR119; wire VAR58; wire VAR50; wire VAR27; wire VAR61; wire VAR84; wire VAR12; wire VAR73; wire VAR46; wire VAR9; wire VAR16; wire [VAR18*2-1:0] VAR113; wire VAR70; wire VAR89; wire VAR1; wire VAR2; wire VAR98; wire VAR86;
output VAR115;
output VAR134;
output VAR125;
output VAR23;
output VAR55;
output VAR101;
output [VAR54-1:0] VAR49;
output VAR143;
output VAR127;
output VAR77;
output [VAR15-1:0] VAR118;
VAR133 #
(
.VAR99 (VAR99),
.VAR131 (VAR131),
.VAR59 (VAR59),
.VAR90 (VAR90),
.VAR15 (VAR15),
.VAR132 (VAR132),
.VAR54 (VAR54),
.VAR14 (VAR14),
.VAR63 (VAR63))
VAR22
(
.VAR118 (VAR118[VAR15-1:0]),
.VAR71 (VAR71),
.VAR75 (VAR75),
.VAR125 (VAR125),
.VAR49 (VAR49[VAR54-1:0]),
.VAR141 (VAR141[VAR99-1:0]),
.VAR112 (VAR112[VAR63-1:0]),
.VAR134 (VAR134),
.VAR1 (VAR1),
.VAR143 (VAR143),
.VAR25 (VAR25),
.VAR2 (VAR2),
.VAR77 (VAR77),
.VAR102 (VAR102[VAR63-1:0]),
.VAR65 (VAR65),
.VAR104 (VAR104),
.VAR82 (VAR82),
.VAR116 (VAR116[VAR63-1:0]),
.VAR135 (VAR135[VAR14-1:0]),
.clk (clk),
.VAR115 (VAR115),
.VAR55 (VAR55),
.VAR72 (VAR72[VAR15-1:0]),
.VAR138 (VAR138),
.VAR95 (VAR95),
.VAR87 (VAR87[2:0]),
.VAR96 (VAR96),
.VAR35 (VAR35[VAR54-1:0]),
.VAR36 (VAR36[VAR54-1:0]),
.VAR122 (VAR122[VAR99-1:0]),
.VAR78 (VAR78[VAR63-1:0]),
.VAR30 (VAR30[VAR90-1:0]),
.VAR124 (VAR124),
.VAR110 (VAR110[VAR54-1:0]),
.VAR108 (VAR108),
.VAR50 (VAR50),
.VAR89 (VAR89),
.VAR119 (VAR119));
VAR40 #
(
.VAR131 (VAR131),
.VAR32 (VAR32),
.VAR62 (VAR62),
.VAR59 (VAR59),
.VAR47 (VAR47),
.VAR15 (VAR15),
.VAR142 (VAR142),
.VAR132 (VAR132),
.VAR128 (VAR128),
.VAR18 (VAR18),
.VAR139 (VAR139),
.VAR13 (VAR13),
.VAR106 (VAR106),
.VAR48 (VAR48),
.VAR123 (VAR123),
.VAR21 (VAR21),
.VAR45 (VAR45),
.VAR130 (VAR130),
.VAR39 (VAR39),
.VAR20 (VAR20),
.VAR14 (VAR14),
.VAR54 (VAR54),
.VAR105 (VAR105),
.VAR51 (VAR51))
VAR76
(
.VAR93 (VAR93),
.VAR119 (VAR119),
.VAR89 (VAR89),
.VAR57 (VAR57[VAR105-1:0]),
.VAR79 (VAR79),
.VAR27 (VAR27),
.VAR69 (VAR69),
.VAR107 (VAR107),
.VAR73 (VAR73),
.VAR58 (VAR58),
.VAR46 (VAR46),
.VAR66 (VAR66),
.VAR80 (VAR80),
.VAR91 (VAR91[VAR14-1:0]),
.VAR53 (VAR53),
.VAR67 (VAR67),
.VAR24 (VAR24),
.VAR37 (VAR37),
.VAR100 (VAR100[VAR14-1:0]),
.VAR94 (VAR94[VAR14-1:0]),
.clk (clk),
.rst (rst),
.VAR23 (VAR23),
.VAR12 (VAR12),
.VAR17 (VAR17),
.VAR70 (VAR70),
.VAR96 (VAR96),
.VAR125 (VAR125),
.VAR134 (VAR134),
.VAR129 (VAR129[VAR15-1:0]),
.VAR118 (VAR118[VAR15-1:0]),
.VAR81 (VAR81),
.VAR117 (VAR117),
.VAR38 (VAR38[(2*(VAR105*VAR18))-1:0]),
.VAR113 (VAR113[(VAR18*2)-1:0]),
.VAR55 (VAR55),
.VAR127 (VAR127),
.VAR4 (VAR4),
.VAR29 (VAR29),
.VAR98 (VAR98),
.VAR50 (VAR50),
.VAR84 (VAR84),
.VAR49 (VAR49[VAR54-1:0]),
.VAR44 (VAR44[(VAR54*VAR18*2)-1:0]),
.VAR56 (VAR56[(VAR18*2)-1:0]),
.VAR60 (VAR60[VAR14-1:0]),
.VAR86 (VAR86),
.VAR101 (VAR101),
.VAR111 (VAR111),
.VAR121 (VAR121[(VAR18*2)-1:0]),
.VAR61 (VAR61),
.VAR85 (VAR85),
.VAR16 (VAR16),
.VAR9 (VAR9),
.VAR1 (VAR1),
.VAR115 (VAR115),
.VAR43 (VAR43[(VAR18*2)-1:0]),
.VAR34 (VAR34),
.VAR19 (VAR19),
.VAR11 (VAR11[VAR54:0]),
.VAR7 (VAR7[VAR14-1:0]),
.VAR114 (VAR114),
.VAR103 (VAR103),
.VAR74 (VAR74[VAR14-1:0]),
.VAR64 (VAR64));
VAR42 #
(
.VAR131 (VAR131),
.VAR62 (VAR62),
.VAR18 (VAR18),
.VAR20 (VAR20),
.VAR128 (VAR128))
VAR97
(
.VAR101 (VAR101),
.VAR98 (VAR98),
.VAR115 (VAR115),
.VAR55 (VAR55),
.VAR84 (VAR84),
.VAR12 (VAR12),
.VAR50 (VAR50),
.VAR23 (VAR23),
.VAR127 (VAR127),
.VAR83 (VAR83),
.VAR136 (VAR136),
.VAR61 (VAR61),
.VAR70 (VAR70),
.VAR113 (VAR113[VAR18*2-1:0]),
.VAR16 (VAR16),
.VAR9 (VAR9),
.VAR86 (VAR86),
.clk (clk),
.rst (rst),
.VAR140 (VAR140),
.VAR31 (VAR31),
.VAR5 (VAR5),
.VAR109 (VAR109[(VAR18*2)-1:0]),
.VAR8 (VAR8[VAR62-1:0]),
.VAR92 (VAR92[VAR62-1:0]),
.VAR3 (VAR3),
.VAR143 (VAR143),
.VAR126 (VAR126),
.VAR77 (VAR77),
.VAR2 (VAR2),
.VAR73 (VAR73),
.VAR58 (VAR58),
.VAR96 (VAR96),
.VAR134 (VAR134),
.VAR125 (VAR125),
.VAR27 (VAR27),
.VAR46 (VAR46),
.VAR88 (VAR88),
.VAR52 (VAR52[VAR62-1:0]),
.VAR28 (VAR28[(VAR18*2)-1:0]),
.VAR68 (VAR68[(VAR18*2)-1:0]),
.VAR10 (VAR10),
.VAR26 (VAR26),
.VAR6 (VAR6));
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/probe_p/sky130_fd_sc_hd__probe_p.behavioral.v | 1,360 | module MODULE1 (
VAR5,
VAR4
);
output VAR5;
input VAR4;
supply1 VAR6;
supply0 VAR7;
supply1 VAR3 ;
supply0 VAR9 ;
wire VAR2;
buf VAR8 (VAR2, VAR4 );
buf VAR1 (VAR5 , VAR2 );
endmodule | apache-2.0 |
disaderp/automatic-chainsaw | CPU/debugging/Buff.v | 1,795 | module MODULE2 #(parameter VAR5 = 16)(
input clk,
input [VAR5-1:0] in,
output reg [VAR5-1:0] out,
input read,
input VAR3,
output reg VAR4,
output VAR2);
reg [VAR5-1:0] VAR6 [20:0];
reg [6:0] VAR8;
reg [15:0] counter = 0;
reg VAR7 = 0;
reg [6:0] VAR10 = 0;
assign VAR2 = (VAR10 > 0);
always @(posedge clk) begin
if (counter > VAR3) begin VAR4 <= !VAR4;
counter <= 0;
if (in != 0) begin
for(VAR8=1;VAR8<21;VAR8=VAR8+1) begin VAR6[VAR8] <= VAR6[VAR8-1];
end
VAR6[0] <= in;
VAR10 <= VAR10 + 1;
end
end
if (!VAR7) begin
if (read) begin
if(VAR10 > 0) begin
out <= VAR6[VAR10 - 1];
VAR10 <= VAR10 - 1;
VAR7 <= 1;
end
end
end
if (!read) begin
VAR7 <= 0;
end
end
endmodule
module MODULE1 #(parameter VAR5 = 13)(
input clk,
input [VAR5-1:0] in,
output reg [VAR5-1:0] out,
input VAR1,
input VAR3,
output reg VAR4,
output VAR11);
reg [6:0] VAR8;
reg [VAR5-1:0] VAR9 [20:0];
reg [15:0] counter = 0;
reg VAR12 = 0;
reg [6:0] VAR10 = 0;
assign VAR11 = (VAR10 < 8'd19);
always @(posedge clk) begin
if (counter > VAR3) begin
VAR4 <= !VAR4;
counter <= 0;
if (VAR10 > 0) begin
out <= VAR9[VAR10 - 1];
VAR10 <= VAR10 - 1;
end
end
if (!VAR12) begin
if (VAR1) begin
if(VAR10 > 0) begin
for(VAR8=1;VAR8<21;VAR8=VAR8+1) begin VAR9[VAR8] <= VAR9[VAR8-1];
end
VAR9[0] <= in;
VAR10 <= VAR10 + 1;
VAR12 <= 1;
end
end
end
if (!VAR1) begin
VAR12 <= 0;
end
end
endmodule | gpl-3.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/FifoBuffer.v | 2,283 | module MODULE1(
din,
VAR15,
VAR2,
rst,
VAR11,
VAR5,
dout,
VAR4,
VAR16);
input [31 : 0] din;
input VAR15;
input VAR2;
input rst;
input VAR11;
input VAR5;
output [31 : 0] dout;
output VAR4;
output VAR16;
wire VAR3, VAR14;
VAR10 VAR7 (.din(din), .VAR15(VAR15), .VAR2(VAR2), .rst(rst), .VAR11(VAR11), .VAR5(VAR5), .dout(dout), .VAR16(VAR3), .VAR12(VAR14), .VAR4(VAR4) );
assign VAR16 = VAR3;
endmodule | gpl-2.0 |
scalable-networks/ext | uhd/fpga/usrp2/fifo/fifo72_to_fifo36.v | 3,043 | module MODULE1
(input clk, input reset, input VAR21,
input [71:0] VAR8,
input VAR15,
output VAR2,
output [35:0] VAR4,
output VAR32,
input VAR6 );
wire [35:0] VAR16;
wire VAR14, VAR29;
wire [71:0] VAR28;
wire VAR25, VAR23;
VAR1 #(.VAR11(72)) VAR30
(.clk(clk),.reset(reset),.VAR21(VAR21),
.VAR18(VAR8), .VAR33(VAR15), .VAR13(VAR2),
.VAR22(VAR28), .VAR17(VAR25), .VAR20(VAR23),
.VAR27(),.VAR5() );
wire [2:0] VAR3 = VAR28[68:66];
wire VAR9 = VAR28[64];
wire VAR26 = VAR28[65];
reg VAR31;
wire VAR19 = VAR26 & ( (VAR3==1)|(VAR3==2)|(VAR3==3)|(VAR3==4) );
assign VAR16[31:0] = (VAR7 ^ VAR31) ? VAR28[31:0] : VAR28[63:32];
assign VAR16[32] = VAR31 ? 0 : VAR9;
assign VAR16[33] = VAR31 ? VAR26 : VAR19;
assign VAR16[35:34] = VAR16[33] ? VAR3[1:0] : 2'b00;
assign VAR14 = VAR25;
assign VAR23 = (VAR31 | VAR19) & VAR29;
wire VAR10 = VAR14 & VAR29;
wire VAR12 = VAR25 & VAR23;
always @(posedge clk)
if(reset)
VAR31 <= 0;
else if(VAR12)
VAR31 <= 0;
else if(VAR10)
VAR31 <= 1;
VAR1 #(.VAR11(36)) VAR24
(.clk(clk),.reset(reset),.VAR21(VAR21),
.VAR18(VAR16), .VAR33(VAR14), .VAR13(VAR29),
.VAR22(VAR4), .VAR17(VAR32), .VAR20(VAR6),
.VAR27(),.VAR5() );
endmodule | gpl-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/nand4/gf180mcu_fd_sc_mcu9t5v0__nand4_2.behavioral.v | 1,341 | module MODULE1( VAR2, VAR1, VAR7, VAR3, VAR4 );
input VAR4, VAR3, VAR7, VAR1;
output VAR2;
VAR5 VAR8(.VAR2(VAR2),.VAR1(VAR1),.VAR7(VAR7),.VAR3(VAR3),.VAR4(VAR4));
VAR5 VAR6(.VAR2(VAR2),.VAR1(VAR1),.VAR7(VAR7),.VAR3(VAR3),.VAR4(VAR4)); | apache-2.0 |
DSDL2016/project2 | source/synthesizer/wave_gen_string.v | 1,668 | module MODULE1(
input [5:0] VAR2,
output reg [15:0]VAR1
);
always@(VAR2[5:0])
begin
case(VAR2[5:0])
0 :VAR1=16'h0;
1 :VAR1=16'h0;
2 :VAR1=16'h0;
3 :VAR1=16'h0;
4 :VAR1=16'h0;
5 :VAR1=16'h0;
6 :VAR1=16'h246;
7 :VAR1=16'hC36;
8 :VAR1=16'hCFC;
9 :VAR1=16'hC17;
10 :VAR1=16'hAEE;
11 :VAR1=16'hAA0;
12 :VAR1=16'hBB8;
13 :VAR1=16'hBAE;
14 :VAR1=16'h9E4;
15 :VAR1=16'h834;
16 :VAR1=16'h789;
17 :VAR1=16'hA89;
18 :VAR1=16'h115A;
19 :VAR1=16'h19D4;
20 :VAR1=16'h2316;
21 :VAR1=16'h2825;
22 :VAR1=16'h24BA;
23 :VAR1=16'h1D2E;
24 :VAR1=16'h143B;
25 :VAR1=16'hE10;
26 :VAR1=16'h1345;
27 :VAR1=16'h1E4B;
28 :VAR1=16'h2392;
29 :VAR1=16'h1E0A;
30 :VAR1=16'hF4A;
31 :VAR1=16'h37F;
32 :VAR1=16'h1E0;
33 :VAR1=16'h560;
34 :VAR1=16'h9B7;
35 :VAR1=16'hF84;
36 :VAR1=16'h16D8;
37 :VAR1=16'h1B1D;
38 :VAR1=16'h1B6C;
39 :VAR1=16'h1B5D;
40 :VAR1=16'h175E;
41 :VAR1=16'hD34;
42 :VAR1=16'h33A;
43 :VAR1=16'hFFFFFCF5;
44 :VAR1=16'hFFFFFAC0;
45 :VAR1=16'hFFFFF9B0;
46 :VAR1=16'hFFFFF3FE;
47 :VAR1=16'hFFFFF103;
48 :VAR1=16'hFFFFF394;
49 :VAR1=16'hFFFFEBEE;
50 :VAR1=16'hFFFFDD00;
51 :VAR1=16'hFFFFD7D4;
52 :VAR1=16'hFFFFE07A;
53 :VAR1=16'hFFFFEA88;
54 :VAR1=16'hFFFFE8BA;
55 :VAR1=16'hFFFFE507;
56 :VAR1=16'hFFFFE4C4;
57 :VAR1=16'hFFFFE68E;
58 :VAR1=16'hFFFFEBB8;
59 :VAR1=16'hFFFFED46;
60 :VAR1=16'hFFFFF2B2;
61 :VAR1=16'hFFFFF899;
62 :VAR1=16'hFFFFF4AF;
63 :VAR1=16'hFFFFFAA7;
default :VAR1=0;
endcase
end
endmodule | mit |
monotone-RK/FACE | IEICE-Trans/8-way/src/riffa/tx_multiplexer_64.v | 18,582 | module MODULE1
parameter VAR59 = 128,
parameter VAR52 = 12,
parameter VAR111 = 5, parameter VAR30 = "VAR12"
)
(
input VAR55,
input VAR6,
input [VAR52-1:0] VAR48, input [(VAR52*VAR5)-1:0] VAR37, input [(VAR52*VAR7)-1:0] VAR75, input [(VAR52*VAR59)-1:0] VAR94, output [VAR52-1:0] VAR84, output [VAR52-1:0] VAR34,
input [VAR52-1:0] VAR87, input [(VAR52*2)-1:0] VAR83, input [(VAR52*VAR5)-1:0] VAR11, input [(VAR52*VAR7)-1:0] VAR62, output [VAR52-1:0] VAR31,
output [5:0] VAR103, output VAR79, input [VAR111-1:0] VAR42, input VAR90,
output VAR54, input VAR77,
output VAR49,
output [VAR59-1:0] VAR65,
output VAR16,
output [VAR78(VAR59/32)-1:0] VAR38,
output VAR8,
output [VAR78(VAR59/32)-1:0] VAR67,
input VAR29,
output VAR110,
output [VAR73-1:0] VAR99,
output [VAR118-1:0] VAR108,
output [VAR5-1:0] VAR51,
output [VAR7-1:0] VAR41,
output [VAR96-1:0] VAR114,
output [VAR69-1:0] VAR68,
output [VAR81-1:0] VAR22,
output [VAR39-1:0] VAR47,
output VAR104,
input VAR18);
localparam VAR56 = 6'd6;
reg [3:0] VAR61=VAR60, VAR61=VAR60;
reg VAR82=0, VAR82=0;
reg [3:0] VAR91=0, VAR91=0;
reg [VAR111-1:0] VAR86=0, VAR86=0;
reg [9:0] VAR19=0, VAR19=0;
reg VAR102=0, VAR102=0;
reg VAR45=0,VAR45=0;
reg VAR95=0, VAR95=0;
reg VAR101=0, VAR101=0;
reg [9:0] VAR24=0, VAR24=0;
reg [VAR52-1:0] VAR17=0, VAR17=0;
reg VAR109, VAR109;
wire VAR63;
wire [3:0] VAR15;
wire VAR80;
wire [3:0] VAR14;
wire VAR119;
wire [3:0] VAR85;
wire [11:0] VAR93 = (VAR85*VAR59); wire [63:0] VAR98;
wire [9:0] VAR88;
wire [1:0] VAR64;
wire [63:0] VAR50;
wire [9:0] VAR27;
wire [VAR59-1:0] VAR10;
reg [3:0] VAR113=0, VAR113=0;
reg [61:0] VAR115=62'd0, VAR115=62'd0;
reg [9:0] VAR35=0, VAR35=0;
reg [1:0] VAR107=0, VAR107=0;
reg [3:0] VAR36=0, VAR36=0;
reg [61:0] VAR66=62'd0, VAR66=62'd0;
reg [9:0] VAR3=0, VAR3=0;
reg [VAR59-1:0] VAR70={VAR59{1'd0}}, VAR70={VAR59{1'd0}};
assign VAR98 = VAR11[VAR15 * VAR5 +: VAR5];
assign VAR88 = VAR62[VAR15 * VAR7 +: VAR7];
assign VAR64 = VAR83[VAR15 * 2 +: 2];
assign VAR50 = VAR37[VAR14 * VAR5 +: VAR5];
assign VAR27 = VAR75[VAR14 * VAR7 +: VAR7];
assign VAR10 = VAR94[VAR85 * VAR59 +: VAR59];
reg [3:0] VAR53=VAR21, VAR53=VAR21;
reg [VAR52-1:0] VAR92=0, VAR92=0;
reg [VAR52-1:0] VAR89=0, VAR89=0;
reg VAR71=0, VAR71=0;
reg [5:0] VAR76=0, VAR76=0;
reg [61:0] VAR58=62'd0, VAR58=62'd0;
reg VAR74=0, VAR74=0;
reg [9:0] VAR2=0, VAR2=0;
reg VAR33=0, VAR33=0;
reg VAR28=0, VAR28=0;
reg [VAR111-1:0] VAR4=0, VAR4=0;
reg [VAR56-1:0] VAR40=0, VAR40=0;
reg [(VAR56*4)-1:0] VAR57=0, VAR57=0;
reg [(VAR56*8)-1:0] VAR46=0, VAR46=0;
reg [(VAR56*62)-1:0] VAR100=0, VAR100=0;
reg [((VAR56+1)*10)-1:0] VAR13=0, VAR13=0;
reg [VAR56-1:0] VAR105=0, VAR105=0;
reg [VAR56-1:0] VAR1=0, VAR1=0;
reg [VAR56-1:0] VAR117=0, VAR117=0;
assign VAR84 = VAR17;
assign VAR34 = VAR89;
assign VAR31 = VAR92;
assign VAR103 = {VAR107, VAR113};
assign VAR79 = VAR28;
assign VAR54 = VAR109;
assign VAR119 = (VAR63 & VAR90 & VAR77);
VAR43 #(.VAR52(VAR52)) VAR72 (.VAR106(VAR6), .VAR55(VAR55), .VAR97(VAR87), .VAR44(VAR63), .VAR32(VAR15));
VAR43 #(.VAR52(VAR52)) VAR26 (.VAR106(VAR6), .VAR55(VAR55), .VAR97(VAR48), .VAR44(VAR80), .VAR32(VAR14));
always @ (posedge VAR55) begin
VAR113 <= VAR113;
VAR115 <= VAR115;
VAR35 <= VAR35;
VAR107 <= VAR107;
VAR36 <= VAR36;
VAR66 <= VAR66;
VAR3 <= VAR3;
VAR70 <= VAR70;
end
always @ begin
VAR53 = VAR53;
VAR92 = VAR92;
VAR89 = VAR89;
VAR71 = VAR71;
VAR76 = VAR76;
VAR58 = VAR58;
VAR74 = (VAR58[61:30] != 0);
VAR2 = VAR2;
VAR33 = VAR33;
VAR28 = VAR28;
VAR4 = VAR4;
VAR109 = VAR109;
case (VAR53)
VAR71 = !VAR63;
VAR92 = (VAR119<<VAR15);
VAR109 = VAR119;
VAR28 = VAR119;
VAR53 = (VAR119 ? VAR112 : VAR25);
end
VAR71 = VAR80;
VAR89 = (VAR80<<VAR14);
VAR53 = (VAR80 ? VAR112 : VAR21);
end
VAR109 = 0;
VAR92 = 0;
VAR89 = 0;
VAR33 = VAR71;
VAR28 = 0;
VAR4 = VAR42;
if (VAR71) begin
VAR76 = {2'd0, VAR36};
VAR58 = VAR66;
VAR2 = VAR3;
end
else begin
VAR76 = {VAR107, VAR113};
VAR58 = VAR115;
VAR2 = VAR35;
end
VAR53 = VAR116;
end
if (VAR18 & VAR61[0]) VAR53 = (VAR25>>(VAR33)); end
default : begin
VAR53 = VAR21;
end
endcase
end
always @ (posedge VAR55) begin
VAR61 <= (VAR6 ? VAR60 : VAR61);
VAR82 <= VAR82;
VAR24 <= VAR24;
VAR19 <= VAR19;
VAR102 <= VAR102;
VAR95 <= VAR95;
VAR91 <= VAR91;
VAR86 <= VAR86;
VAR101 <= VAR101;
VAR17 <= VAR17;
VAR45 <= VAR6 ? 0 : VAR45;
end
always @ begin
VAR40 = {VAR40[((VAR56-1)*1)-1:0], VAR33};
VAR100 = {VAR100[((VAR56-1)*62)-1:0], VAR58};
VAR13 = {VAR13[((VAR56-1)*10)-1:0], VAR24};
VAR57 = {VAR57[((VAR56-1)*4)-1:0], VAR91};
VAR46 = {VAR46[((VAR56-1)*8)-1:0], (8'd0 | VAR86)};
VAR105 = {VAR105[((VAR56-1)*1)-1:0], VAR45 & VAR82}; VAR1 = {VAR1[((VAR56-1)*1)-1:0], VAR102};
VAR117 = {VAR117[((VAR56-1)*1)-1:0], VAR95};
end
assign VAR65 = VAR70;
assign VAR49 = VAR105[(VAR56-1)*1 +:1];
assign VAR16 = VAR117[(VAR56-1)*1 +:1];
assign VAR38 = 0;
assign VAR8 = VAR1[(VAR56-1)*1 +:1];
assign VAR67 = VAR13[(VAR56-1)*10 +:VAR20] - 1;
assign VAR110 = VAR95;
assign VAR47 = VAR33 ? VAR9 : VAR23;
assign VAR51 = {VAR58,2'b00};
assign VAR41 = VAR2;
assign VAR108 = VAR2 == 10'd1 ? 0 : 4'b1111; assign VAR99 = 4'b1111;
assign VAR114 = VAR86;
assign VAR104 = 1'b0;
assign VAR22 = 3'b110;
assign VAR68 = 0;
endmodule | mit |
trivoldus28/pulsarch-verilog | design/sys/iop/ccx/rtl/cpx_databuf_ca2.v | 1,883 | module MODULE1(
VAR1,
VAR2
);
output [144:0] VAR1;
input [144:0] VAR2;
assign VAR1 = VAR2;
endmodule | gpl-2.0 |
asicguy/gplgpu | hdl/altera_project/fifo_238x128/fifo_238x128_bb.v | 6,096 | module MODULE1 (
VAR1,
VAR2,
VAR4,
VAR5,
VAR9,
VAR6,
VAR7,
VAR10,
VAR3,
VAR8);
input [237:0] VAR1;
input VAR2;
input VAR4;
input VAR5;
input VAR9;
output [237:0] VAR6;
output VAR7;
output VAR10;
output VAR3;
output [6:0] VAR8;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/a311oi/sky130_fd_sc_ms__a311oi.pp.blackbox.v | 1,429 | module MODULE1 (
VAR10 ,
VAR4 ,
VAR5 ,
VAR2 ,
VAR6 ,
VAR1 ,
VAR8,
VAR3,
VAR7 ,
VAR9
);
output VAR10 ;
input VAR4 ;
input VAR5 ;
input VAR2 ;
input VAR6 ;
input VAR1 ;
input VAR8;
input VAR3;
input VAR7 ;
input VAR9 ;
endmodule | apache-2.0 |
andrewandrepowell/axiplasma | hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_auto_cc_0/mig_wrap_auto_cc_0_stub.v | 5,776 | module MODULE1(VAR45, VAR64, VAR4,
VAR42, VAR77, VAR33, VAR28, VAR1, VAR11,
VAR79, VAR44, VAR60, VAR23, VAR61, VAR8,
VAR52, VAR39, VAR48, VAR56, VAR17, VAR41, VAR46,
VAR16, VAR70, VAR31, VAR76, VAR67, VAR57,
VAR53, VAR19, VAR78, VAR5, VAR50, VAR68,
VAR3, VAR38, VAR14, VAR25, VAR65, VAR55, VAR47,
VAR69, VAR82, VAR21, VAR13, VAR37, VAR34,
VAR59, VAR51, VAR27, VAR71, VAR12, VAR18,
VAR22, VAR72, VAR63, VAR73, VAR10, VAR6,
VAR9, VAR35, VAR20, VAR58, VAR74, VAR54, VAR36,
VAR43, VAR81, VAR80, VAR40, VAR49, VAR15,
VAR24, VAR2, VAR30, VAR75, VAR32, VAR66,
VAR26, VAR7, VAR62, VAR29)
;
input VAR45;
input VAR64;
input [3:0]VAR4;
input [31:0]VAR42;
input [7:0]VAR77;
input [2:0]VAR33;
input [1:0]VAR28;
input [0:0]VAR1;
input [3:0]VAR11;
input [2:0]VAR79;
input [3:0]VAR44;
input [3:0]VAR60;
input VAR23;
output VAR61;
input [31:0]VAR8;
input [3:0]VAR52;
input VAR39;
input VAR48;
output VAR56;
output [3:0]VAR17;
output [1:0]VAR41;
output VAR46;
input VAR16;
input [3:0]VAR70;
input [31:0]VAR31;
input [7:0]VAR76;
input [2:0]VAR67;
input [1:0]VAR57;
input [0:0]VAR53;
input [3:0]VAR19;
input [2:0]VAR78;
input [3:0]VAR5;
input [3:0]VAR50;
input VAR68;
output VAR3;
output [3:0]VAR38;
output [31:0]VAR14;
output [1:0]VAR25;
output VAR65;
output VAR55;
input VAR47;
input VAR69;
input VAR82;
output [3:0]VAR21;
output [31:0]VAR13;
output [7:0]VAR37;
output [2:0]VAR34;
output [1:0]VAR59;
output [0:0]VAR51;
output [3:0]VAR27;
output [2:0]VAR71;
output [3:0]VAR12;
output [3:0]VAR18;
output VAR22;
input VAR72;
output [31:0]VAR63;
output [3:0]VAR73;
output VAR10;
output VAR6;
input VAR9;
input [3:0]VAR35;
input [1:0]VAR20;
input VAR58;
output VAR74;
output [3:0]VAR54;
output [31:0]VAR36;
output [7:0]VAR43;
output [2:0]VAR81;
output [1:0]VAR80;
output [0:0]VAR40;
output [3:0]VAR49;
output [2:0]VAR15;
output [3:0]VAR24;
output [3:0]VAR2;
output VAR30;
input VAR75;
input [3:0]VAR32;
input [31:0]VAR66;
input [1:0]VAR26;
input VAR7;
input VAR62;
output VAR29;
endmodule | mit |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/xor3/gf180mcu_fd_sc_mcu7t5v0__xor3_4.behavioral.pp.v | 2,576 | module MODULE1( VAR4, VAR9, VAR5, VAR1, VAR2, VAR7 );
input VAR9, VAR4, VAR5;
inout VAR2, VAR7;
output VAR1;
VAR3 VAR6(.VAR4(VAR4),.VAR9(VAR9),.VAR5(VAR5),.VAR1(VAR1),.VAR2(VAR2),.VAR7(VAR7));
VAR3 VAR8(.VAR4(VAR4),.VAR9(VAR9),.VAR5(VAR5),.VAR1(VAR1),.VAR2(VAR2),.VAR7(VAR7)); | apache-2.0 |
tommythorn/yari | BeMicro/rtl/toplevel.v | 5,079 | module MODULE1(input clk, output reg [ 7:0] VAR50,
output VAR57,
output VAR61,
inout [15:0] VAR60,
output VAR33,
output VAR5,
output VAR58,
output VAR6,
output [17:0] VAR46,
input VAR38,
output VAR34
);
parameter VAR29 = 27'd50000000; parameter VAR7 = 230400;
wire VAR11 = 0 ;
reg [26:0] VAR53 = VAR29;
wire reset = ~VAR53[26];
wire VAR63;
wire VAR54;
wire [ 7:0] VAR32;
wire VAR52;
wire VAR22;
wire [ 7:0] VAR9;
wire VAR56;
wire VAR39;
wire [ 1:0] VAR15;
wire [29:0] VAR26;
wire VAR31;
wire VAR2;
wire [31:0] VAR23;
wire [ 3:0] VAR47;
wire [31:0] VAR1;
wire [ 1:0] VAR35;
wire VAR28 VAR64;
wire VAR20 VAR17;
always @(posedge VAR63)
if (VAR52)
VAR50 <= ~VAR32;
else if (VAR56)
VAR50 <= ~VAR9;
always @(posedge VAR63)
if (VAR11 | ~VAR54)
VAR53 <= VAR29; else if (~VAR53[26])
VAR53 <= VAR53 - 1'd1;
VAR30 VAR55 (
.VAR14 ( clk ),
.VAR66 ( VAR63 ),
.VAR21 ( VAR54 )
);
VAR8 VAR37
(.VAR63(VAR63)
,.rst(reset)
,.VAR39 (VAR39)
,.VAR1 (VAR1)
,.VAR35 (VAR35)
,.VAR15 (VAR15)
,.VAR26 (VAR26)
,.VAR31 (VAR31)
,.VAR2 (VAR2)
,.VAR23 (VAR23)
,.VAR47(VAR47)
,.VAR27(VAR64)
,.VAR45(VAR17)
);
assign VAR6 = 1;
VAR67 VAR10
(.VAR63(VAR63)
,.rst(reset)
,.VAR39(VAR39)
,.VAR15(VAR15)
,.VAR26(VAR26)
,.VAR31(VAR31)
,.VAR2(VAR2)
,.VAR23(VAR23)
,.VAR47(VAR47)
,.VAR1(VAR1)
,.VAR35(VAR35)
,.VAR44(VAR46)
,.VAR40(VAR60)
,.VAR16(VAR5)
,.VAR25({VAR61,VAR57})
,.VAR24(VAR33)
,.VAR62(VAR58)
);
VAR42 VAR13
(.VAR63(VAR63),
.VAR59(VAR34),
.VAR65(VAR32),
.VAR3(VAR52),
.VAR18(VAR22));
VAR13.VAR51 = VAR7;
VAR49 VAR36
(.VAR63(VAR63),
.VAR43(VAR38),
.VAR12(VAR9),
.VAR41(VAR56));
VAR36.VAR51 = VAR7;
VAR4 VAR48(.clk(VAR63),
.rst(reset),
.VAR64(VAR64),
.VAR17(VAR17),
.VAR56(VAR56),
.VAR9(VAR9),
.VAR22(VAR22),
.VAR52(VAR52),
.VAR32(VAR32));
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/o32ai/sky130_fd_sc_hdll__o32ai_1.v | 2,457 | module MODULE1 (
VAR12 ,
VAR3 ,
VAR1 ,
VAR10 ,
VAR9 ,
VAR5 ,
VAR11,
VAR8,
VAR6 ,
VAR7
);
output VAR12 ;
input VAR3 ;
input VAR1 ;
input VAR10 ;
input VAR9 ;
input VAR5 ;
input VAR11;
input VAR8;
input VAR6 ;
input VAR7 ;
VAR2 VAR4 (
.VAR12(VAR12),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR6(VAR6),
.VAR7(VAR7)
);
endmodule
module MODULE1 (
VAR12 ,
VAR3,
VAR1,
VAR10,
VAR9,
VAR5
);
output VAR12 ;
input VAR3;
input VAR1;
input VAR10;
input VAR9;
input VAR5;
supply1 VAR11;
supply0 VAR8;
supply1 VAR6 ;
supply0 VAR7 ;
VAR2 VAR4 (
.VAR12(VAR12),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR10(VAR10),
.VAR9(VAR9),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
YurongYou/MIPS_CPU | BranchControl.v | 2,893 | module MODULE1 (
input rst,
input[VAR9-1:0] VAR16,
input[VAR24-1:0] VAR19,
input[1:0] VAR6,
input[1:0] VAR12,
input[VAR15-1:0] VAR13,
input[VAR15-1:0] VAR22,
input[VAR15-1:0] VAR26,
input[VAR15-1:0] VAR1,
input[VAR15-1:0] VAR8,
output reg[VAR9-1:0] VAR30,
output reg VAR17,
output reg VAR21
);
reg[VAR15-1:0] VAR23;
reg[VAR15-1:0] VAR3;
always @ begin : VAR27
case (VAR12)
default : begin
end
endcase
end
wire[VAR9-1:0] VAR5;
wire[VAR9-1:0] VAR4;
wire[VAR9-1:0] VAR11;
assign VAR5 = {VAR16[31:28], VAR19[VAR2], 2'b00};
assign VAR4 = VAR16 + {{14{VAR19[15]}}, VAR19[VAR25], 2'b00};
assign VAR11 = VAR23;
always @(*) begin : VAR29
if (rst == ~VAR20) begin
case (VAR19[VAR14])
if (VAR19[VAR10] == VAR7) begin
VAR30 <= VAR11;
VAR17 <= VAR28;
VAR21 <= VAR20;
end
else begin
VAR30 <= VAR18;
VAR17 <= ~VAR28;
VAR21 <= ~VAR20;
end
end
VAR30 <= VAR5;
VAR17 <= VAR28;
VAR21 <= VAR20;
end
VAR30 <= VAR5;
VAR17 <= VAR28;
VAR21 <= VAR20;
end
if (VAR23 == VAR3) begin
VAR30 <= VAR4;
VAR17 <= VAR28;
VAR21 <= VAR20;
end
else begin
VAR30 <= VAR18;
VAR17 <= ~VAR28;
VAR21 <= ~VAR20;
end
end
if (VAR23 != VAR3) begin
VAR30 <= VAR4;
VAR17 <= VAR28;
VAR21 <= VAR20;
end
else begin
VAR30 <= VAR18;
VAR17 <= ~VAR28;
VAR21 <= ~VAR20;
end
end
default : begin
VAR30 <= VAR18;
VAR17 <= ~VAR28;
VAR21 <= ~VAR20;
end
endcase
end
else begin
VAR30 <= VAR18;
VAR17 <= ~VAR28;
VAR21 <= ~VAR20;
end
end
endmodule | mpl-2.0 |
eleqian/WiDSO | CPLD/DSO_LA/src/la_trig.v | 1,400 | module MODULE1(VAR12, clk, din, VAR11, VAR7, VAR9, VAR13, VAR8);
input VAR12;
input clk;
input [7:0] din;
input [7:0] VAR11;
input [7:0] VAR7;
input [2:0] VAR9;
input VAR13;
output VAR8;
reg VAR6;
wire VAR4;
wire VAR5;
wire VAR3;
wire [7:0] VAR1;
wire [7:0] VAR2;
wire VAR10;
assign VAR4 = din[VAR9];
always @(posedge clk or negedge VAR12) begin
if (~VAR12) begin
VAR6 <= 1'b0;
end else begin
VAR6 <= VAR4;
end
end
assign VAR5 = VAR6 ^ VAR4;
assign VAR3 = VAR5 | VAR13;
assign VAR1 = ~(din ^ VAR11);
assign VAR2 = VAR1 | VAR7;
assign VAR8 = &VAR2 & VAR3;
endmodule | mit |
alexforencich/hdg2000 | fpga/lib/axis/rtl/axis_async_fifo_64.v | 6,149 | module MODULE1 #
(
parameter VAR20 = 12,
parameter VAR11 = 64,
parameter VAR36 = (VAR11/8)
)
(
input wire VAR4,
input wire VAR34,
input wire [VAR11-1:0] VAR35,
input wire [VAR36-1:0] VAR28,
input wire VAR22,
output wire VAR29,
input wire VAR15,
input wire VAR21,
input wire VAR38,
input wire VAR10,
output wire [VAR11-1:0] VAR40,
output wire [VAR36-1:0] VAR6,
output wire VAR32,
input wire VAR5,
output wire VAR31,
output wire VAR19
);
reg [VAR20:0] VAR13 = {VAR20+1{1'b0}}, VAR1;
reg [VAR20:0] VAR23 = {VAR20+1{1'b0}};
reg [VAR20:0] VAR26 = {VAR20+1{1'b0}}, VAR14;
reg [VAR20:0] VAR24 = {VAR20+1{1'b0}};
reg [VAR20:0] VAR3 = {VAR20+1{1'b0}};
reg [VAR20:0] VAR25 = {VAR20+1{1'b0}};
reg [VAR20:0] VAR12 = {VAR20+1{1'b0}};
reg [VAR20:0] VAR9 = {VAR20+1{1'b0}};
reg VAR2 = 1;
reg VAR16 = 1;
reg VAR37 = 1;
reg VAR8 = 1;
reg [VAR11+VAR36+2-1:0] VAR7 = {1'b0, 1'b0, {VAR36{1'b0}}, {VAR11{1'b0}}};
reg [VAR11+VAR36+2-1:0] VAR39[(2**VAR20)-1:0];
reg VAR33 = 1'b0;
reg VAR27 = 1'b0;
wire [VAR11+VAR36+2-1:0] VAR17 = {VAR15, VAR21, VAR28, VAR35};
wire VAR18 = ((VAR23[VAR20] != VAR9[VAR20]) &&
(VAR23[VAR20-1] != VAR9[VAR20-1]) &&
(VAR23[VAR20-2:0] == VAR9[VAR20-2:0]));
wire VAR30 = VAR24 == VAR25;
wire write = VAR22 & ~VAR18;
wire read = (VAR5 | ~VAR27) & ~VAR30;
assign {VAR31, VAR19, VAR6, VAR40} = VAR7;
assign VAR29 = ~VAR18;
assign VAR32 = VAR27;
always @(posedge VAR4 or posedge VAR34 or posedge VAR10) begin
if (VAR34 | VAR10) begin
VAR2 <= 1;
VAR16 <= 1;
end else begin
VAR2 <= 0;
VAR16 <= VAR2;
end
end
always @(posedge VAR38 or posedge VAR34 or posedge VAR10) begin
if (VAR34 | VAR10) begin
VAR37 <= 1;
VAR8 <= 1;
end else begin
VAR37 <= 0;
VAR8 <= VAR37;
end
end
always @(posedge VAR4 or posedge VAR16) begin
if (VAR16) begin
VAR13 <= 0;
end else if (write) begin
VAR39[VAR13[VAR20-1:0]] <= VAR17;
VAR1 = VAR13 + 1;
VAR13 <= VAR1;
VAR23 <= VAR1 ^ (VAR1 >> 1);
end
end
always @(posedge VAR4 or posedge VAR16) begin
if (VAR16) begin
VAR12 <= 0;
VAR9 <= 0;
end else begin
VAR12 <= VAR24;
VAR9 <= VAR12;
end
end
always @(posedge VAR38 or posedge VAR8) begin
if (VAR8) begin
VAR26 <= 0;
end else if (read) begin
VAR7 <= VAR39[VAR26[VAR20-1:0]];
VAR14 = VAR26 + 1;
VAR26 <= VAR14;
VAR24 <= VAR14 ^ (VAR14 >> 1);
end
end
always @(posedge VAR38 or posedge VAR8) begin
if (VAR8) begin
VAR3 <= 0;
VAR25 <= 0;
end else begin
VAR3 <= VAR23;
VAR25 <= VAR3;
end
end
always @(posedge VAR38 or posedge VAR8) begin
if (VAR8) begin
VAR27 <= 1'b0;
end else if (VAR5 | ~VAR27) begin
VAR27 <= ~VAR30;
end else begin
VAR27 <= VAR27;
end
end
endmodule | mit |
freecores/eco32 | fpga/src/busctrl/busctrl.v | 5,873 | module MODULE1(VAR8, VAR45, VAR3, VAR38,
VAR41, VAR24, VAR32,
VAR27, VAR56, VAR34, VAR2,
VAR60, VAR61, VAR33,
VAR18, VAR29, VAR51, VAR40,
VAR55, VAR35,
VAR5, VAR21, VAR58,
VAR37, VAR13, VAR54,
VAR47, VAR28, VAR30,
VAR4, VAR9, VAR63,
VAR17, VAR50, VAR16,
VAR52, VAR23, VAR22,
VAR20, VAR19, VAR62,
VAR31, VAR48, VAR15,
VAR10, VAR14, VAR39,
VAR1, VAR46, VAR6,
VAR36, VAR42, VAR49,
VAR59, VAR25, VAR26,
VAR44, VAR7, VAR11,
VAR43, VAR12, VAR53);
input VAR8;
input VAR45;
input [1:0] VAR3;
input [31:0] VAR38;
input [31:0] VAR41;
output [31:0] VAR24;
output VAR32;
output VAR27;
output VAR56;
output [1:0] VAR34;
output [24:0] VAR2;
output [31:0] VAR60;
input [31:0] VAR61;
input VAR33;
output VAR18;
output VAR29;
output [1:0] VAR51;
output [20:0] VAR40;
input [31:0] VAR55;
input VAR35;
output VAR5;
output VAR21;
output [3:2] VAR58;
output [31:0] VAR37;
input [31:0] VAR13;
input VAR54;
output VAR47;
output VAR28;
output [3:2] VAR30;
output [31:0] VAR4;
input [31:0] VAR9;
input VAR63;
output VAR17;
output VAR50;
output [13:2] VAR16;
output [15:0] VAR52;
input [15:0] VAR23;
input VAR22;
output VAR20;
output VAR19;
output VAR62;
output [7:0] VAR31;
input [7:0] VAR48;
input VAR15;
output VAR10;
output VAR14;
output [3:2] VAR39;
output [7:0] VAR1;
input [7:0] VAR46;
input VAR6;
output VAR36;
output VAR42;
output [3:2] VAR49;
output [7:0] VAR59;
input [7:0] VAR25;
input VAR26;
output VAR44;
output VAR7;
output [19:2] VAR11;
output [31:0] VAR43;
input [31:0] VAR12;
input VAR53;
wire VAR57;
assign VAR27 =
(VAR8 == 1 && VAR38[31:29] == 3'b000
&& VAR38[28:25] == 4'b0000) ? 1 : 0;
assign VAR18 =
(VAR8 == 1 && VAR38[31:28] == 4'b0010
&& VAR38[27:21] == 7'b0000000) ? 1 : 0;
assign VAR57 =
(VAR8 == 1 && VAR38[31:28] == 4'b0011) ? 1 : 0;
assign VAR5 =
(VAR57 == 1 && VAR38[27:20] == 8'h00
&& VAR38[19:12] == 8'h00) ? 1 : 0;
assign VAR47 =
(VAR57 == 1 && VAR38[27:20] == 8'h00
&& VAR38[19:12] == 8'h01) ? 1 : 0;
assign VAR17 =
(VAR57 == 1 && VAR38[27:20] == 8'h01) ? 1 : 0;
assign VAR20 =
(VAR57 == 1 && VAR38[27:20] == 8'h02) ? 1 : 0;
assign VAR10 =
(VAR57 == 1 && VAR38[27:20] == 8'h03
&& VAR38[19:12] == 8'h00) ? 1 : 0;
assign VAR36 =
(VAR57 == 1 && VAR38[27:20] == 8'h03
&& VAR38[19:12] == 8'h01) ? 1 : 0;
assign VAR44 =
(VAR57 == 1 && VAR38[27:20] == 8'h04) ? 1 : 0;
assign VAR32 =
(VAR27 == 1) ? VAR33 :
(VAR18 == 1) ? VAR35 :
(VAR5 == 1) ? VAR54 :
(VAR47 == 1) ? VAR63 :
(VAR17 == 1) ? VAR22 :
(VAR20 == 1) ? VAR15 :
(VAR10 == 1) ? VAR6 :
(VAR36 == 1) ? VAR26 :
(VAR44 == 1) ? VAR53 :
1;
assign VAR24[31:0] =
(VAR27 == 1) ? VAR61[31:0] :
(VAR18 == 1) ? VAR55[31:0] :
(VAR5 == 1) ? VAR13[31:0] :
(VAR47 == 1) ? VAR9[31:0] :
(VAR17 == 1) ? { 16'h0000, VAR23[15:0] } :
(VAR20 == 1) ? { 24'h000000, VAR48[7:0] } :
(VAR10 == 1) ? { 24'h000000, VAR46[7:0] } :
(VAR36 == 1) ? { 24'h000000, VAR25[7:0] } :
(VAR44 == 1) ? VAR12[31:0] :
32'h00000000;
assign VAR56 = VAR45;
assign VAR34[1:0] = VAR3[1:0];
assign VAR2[24:0] = VAR38[24:0];
assign VAR60[31:0] = VAR41[31:0];
assign VAR29 = VAR45;
assign VAR51[1:0] = VAR3[1:0];
assign VAR40[20:0] = VAR38[20:0];
assign VAR21 = VAR45;
assign VAR58[3:2] = VAR38[3:2];
assign VAR37[31:0] = VAR41[31:0];
assign VAR28 = VAR45;
assign VAR30[3:2] = VAR38[3:2];
assign VAR4[31:0] = VAR41[31:0];
assign VAR50 = VAR45;
assign VAR16[13:2] = VAR38[13:2];
assign VAR52[15:0] = VAR41[15:0];
assign VAR19 = VAR45;
assign VAR62 = VAR38[2];
assign VAR31[7:0] = VAR41[7:0];
assign VAR14 = VAR45;
assign VAR39[3:2] = VAR38[3:2];
assign VAR1[7:0] = VAR41[7:0];
assign VAR42 = VAR45;
assign VAR49[3:2] = VAR38[3:2];
assign VAR59[7:0] = VAR41[7:0];
assign VAR7 = VAR45;
assign VAR11[19:2] = VAR38[19:2];
assign VAR43[31:0] = VAR41[31:0];
endmodule | bsd-2-clause |
davidjabon/Verilog | Binary_to_BCD/binary_to_BCD_fourteen_bit.v | 2,314 | module MODULE1(
input [13:0] in,
output [3:0] VAR75,
output [3:0] VAR56,
output [3:0] VAR64,
output [3:0] VAR70
);
wire [3:0] VAR46,VAR77,VAR48,VAR1,VAR38,VAR62,VAR59,VAR4,VAR74,VAR63,VAR5,VAR29,VAR50,VAR24,VAR16,VAR68,VAR30,VAR14,VAR49,VAR31,VAR2,VAR69,VAR79,VAR6,VAR78;
wire [3:0] VAR8,VAR55,VAR42,VAR10,VAR37,VAR25,VAR33,VAR71,VAR17,VAR7,VAR45,VAR34,VAR44,VAR65,VAR28,VAR43,VAR51,VAR21,VAR73,VAR61,VAR53,VAR3,VAR72,VAR60,VAR67;
assign VAR8 = {1'b0,in[13:11]};
assign VAR55 = {VAR46[2:0],in[10]};
assign VAR42 = {VAR77[2:0],in[9]};
assign VAR10 = {1'b0,VAR46[3],VAR77[3],VAR48[3]};
assign VAR37 = {VAR48[2:0],in[8]};
assign VAR25 = {VAR1[2:0],VAR38[3]};
assign VAR33 = {VAR38[2:0],in[7]};
assign VAR71 = {VAR62[2:0],VAR59[3]};
assign VAR17 = {VAR59[2:0],in[6]};
assign VAR7 = {1'b0,VAR1[3],VAR62[3],VAR4[3]};
assign VAR45 = {VAR4[2:0],VAR74[3]};
assign VAR34 = {VAR74[2:0],in[5]};
assign VAR44 = {VAR63[2:0],VAR5[3]};
assign VAR65 = {VAR5[2:0],VAR29[3]};
assign VAR28 = {VAR29[2:0],in[4]};
assign VAR43 = {VAR50[2:0],VAR24[3]};
assign VAR51 = {VAR24[2:0],VAR16[3]};
assign VAR21 = {VAR16[2:0],in[3]};
assign VAR73 = {VAR68[2:0],VAR30[3]};
assign VAR61 = {VAR30[2:0],VAR14[3]};
assign VAR53 = {VAR14[2:0],in[2]};
assign VAR3 = {VAR63[3],VAR50[3],VAR68[3],VAR49[3]};
assign VAR72 = {VAR49[2:0],VAR31[3]};
assign VAR60 = {VAR31[2:0],VAR2[3]};
assign VAR67 = {VAR2[2:0],in[1]};
VAR19 VAR23(VAR8,VAR46);
VAR19 VAR41(VAR55,VAR77);
VAR19 VAR54(VAR42,VAR48);
VAR19 VAR52(VAR10,VAR1);
VAR19 VAR32(VAR37,VAR38);
VAR19 VAR80(VAR25,VAR62);
VAR19 VAR47(VAR33,VAR59);
VAR19 VAR36(VAR71,VAR4);
VAR19 VAR11(VAR17,VAR74);
VAR19 VAR12(VAR7,VAR63);
VAR19 VAR58(VAR45,VAR5);
VAR19 VAR39(VAR34,VAR29);
VAR19 VAR57(VAR44,VAR50);
VAR19 VAR27(VAR65,VAR24);
VAR19 VAR40(VAR28,VAR16);
VAR19 VAR18(VAR43,VAR68);
VAR19 VAR26(VAR51,VAR30);
VAR19 VAR66(VAR21,VAR14);
VAR19 VAR9(VAR73,VAR49);
VAR19 VAR35(VAR61,VAR31);
VAR19 VAR22(VAR53,VAR2);
VAR19 VAR15(VAR3,VAR69);
VAR19 VAR20(VAR72,VAR79);
VAR19 VAR76(VAR60,VAR6);
VAR19 VAR13(VAR67,VAR78);
assign VAR75 = {VAR78[2:0],in[0]};
assign VAR56 = {VAR6[2:0],VAR78[3]};
assign VAR64 = {VAR79[2:0],VAR6[3]};
assign VAR70 ={VAR69[2:0],VAR79[3]};
endmodule | gpl-2.0 |
VCTLabs/DE1_SOC_Linux_FB | soc_system/submodules/altera_avalon_st_handshake_clock_crosser.v | 7,547 | module MODULE1
parameter VAR18 = 8,
VAR33 = 8,
VAR17 = 0,
VAR30 = 0,
VAR12 = 1,
VAR15 = 0,
VAR6 = 1,
VAR10 = 2,
VAR1 = 2,
VAR40 = 1,
VAR38 = VAR18 / VAR33,
VAR13 = VAR41(VAR38)
)
(
input VAR22,
input VAR5,
input VAR16,
input VAR29,
output VAR36,
input VAR43,
input [VAR18 - 1 : 0] VAR21,
input [VAR12 - 1 : 0] VAR8,
input [VAR6 - 1 : 0] VAR9,
input VAR25,
input VAR45,
input [(VAR13 ? (VAR13 - 1) : 0) : 0] VAR2,
input VAR7,
output VAR20,
output [VAR18 - 1 : 0] VAR24,
output [VAR12 - 1 : 0] VAR11,
output [VAR6 - 1 : 0] VAR26,
output VAR35,
output VAR39,
output [(VAR13 ? (VAR13 - 1) : 0) : 0] VAR44
);
localparam VAR14 = (VAR17) ? 2 + VAR13 : 0;
localparam VAR23 = (VAR30) ? VAR12 : 0;
localparam VAR19 = (VAR15) ? VAR6 : 0;
localparam VAR3 = VAR18 +
VAR14 +
VAR23 +
VAR13 +
VAR19;
wire [VAR3 - 1: 0] VAR27;
wire [VAR3 - 1: 0] VAR31;
assign VAR27[VAR18 - 1 : 0] = VAR21;
generate
if (VAR14) begin
assign VAR27[
VAR18 + VAR14 - 1 :
VAR18
] = {VAR25, VAR45};
end
if (VAR30) begin
assign VAR27[
VAR18 + VAR14 + VAR23 - 1 :
VAR18 + VAR14
] = VAR8;
end
if (VAR13) begin
assign VAR27[
VAR18 + VAR14 + VAR23 + VAR13 - 1 :
VAR18 + VAR14 + VAR23
] = VAR2;
end
if (VAR15) begin
assign VAR27[
VAR18 + VAR14 + VAR23 + VAR13 + VAR19 - 1 :
VAR18 + VAR14 + VAR23 + VAR13
] = VAR9;
end
endgenerate
VAR34
.VAR38 (1),
.VAR33 (VAR3),
.VAR32 (VAR10),
.VAR42 (VAR1),
.VAR40 (VAR40)
) VAR37 (
.VAR22 (VAR22 ),
.VAR5 (VAR5 ),
.VAR36 (VAR36 ),
.VAR43 (VAR43 ),
.VAR21 (VAR27 ),
.VAR16 (VAR16 ),
.VAR29 (VAR29 ),
.VAR7 (VAR7 ),
.VAR20 (VAR20 ),
.VAR24 (VAR31 )
);
assign VAR24 = VAR31[VAR18 - 1 : 0];
generate
if (VAR17) begin
assign {VAR35, VAR39} =
VAR31[VAR18 + VAR14 - 1 : VAR18];
end else begin
assign {VAR35, VAR39} = 2'b0;
end
if (VAR30) begin
assign VAR11 = VAR31[
VAR18 + VAR14 + VAR23 - 1 :
VAR18 + VAR14
];
end else begin
assign VAR11 = 1'b0;
end
if (VAR13) begin
assign VAR44 = VAR31[
VAR18 + VAR14 + VAR23 + VAR13 - 1 :
VAR18 + VAR14 + VAR23
];
end else begin
assign VAR44 = 1'b0;
end
if (VAR15) begin
assign VAR26 = VAR31[
VAR18 + VAR14 + VAR23 + VAR13 + VAR19 - 1 :
VAR18 + VAR14 + VAR23 + VAR13
];
end else begin
assign VAR26 = 1'b0;
end
endgenerate
function integer VAR41;
input integer VAR28;
integer VAR4;
begin
VAR4 = 1;
VAR41 = 0;
while (VAR4 < VAR28) begin
VAR41 = VAR41 + 1;
VAR4 = VAR4 << 1;
end
end
endfunction
endmodule | epl-1.0 |
ultraembedded/riscv | core/riscv/riscv_core.v | 22,201 | module MODULE1
parameter VAR7 = 1
,parameter VAR107 = 0
,parameter VAR16 = 0
,parameter VAR354 = 1
,parameter VAR190 = 1
,parameter VAR193 = 0
,parameter VAR27 = 0
,parameter VAR41 = 32'h80000000
,parameter VAR92 = 32'h8fffffff
)
(
input VAR355
,input VAR54
,input [ 31:0] VAR344
,input VAR232
,input VAR311
,input VAR17
,input [ 10:0] VAR292
,input VAR287
,input VAR35
,input VAR72
,input [ 31:0] VAR89
,input VAR169
,input [ 31:0] VAR360
,input [ 31:0] VAR15
,output [ 31:0] VAR82
,output [ 31:0] VAR268
,output VAR264
,output [ 3:0] VAR50
,output VAR3
,output [ 10:0] VAR150
,output VAR100
,output VAR149
,output VAR158
,output VAR381
,output VAR273
,output VAR284
,output [ 31:0] VAR201
);
wire VAR68;
wire [ 1:0] VAR42;
wire [ 4:0] VAR295;
wire VAR367;
wire [ 31:0] VAR380;
wire VAR178;
wire [ 4:0] VAR384;
wire [ 31:0] VAR324;
wire [ 31:0] VAR34;
wire [ 31:0] VAR104;
wire VAR393;
wire VAR79;
wire [ 31:0] VAR298;
wire [ 31:0] VAR404;
wire [ 4:0] VAR365;
wire VAR83;
wire VAR78;
wire VAR97;
wire [ 1:0] VAR132;
wire VAR385;
wire VAR348;
wire [ 5:0] VAR69;
wire VAR18;
wire VAR122;
wire [ 31:0] VAR351;
wire [ 3:0] VAR108;
wire VAR400;
wire VAR219;
wire [ 31:0] VAR238;
wire VAR46;
wire [ 5:0] VAR187;
wire [ 31:0] VAR244;
wire [ 31:0] VAR269;
wire VAR91;
wire [ 10:0] VAR45;
wire [ 10:0] VAR335;
wire [ 31:0] VAR26;
wire VAR206;
wire VAR115;
wire [ 31:0] VAR362;
wire VAR183;
wire VAR289;
wire [ 31:0] VAR70;
wire [ 4:0] VAR204;
wire [ 4:0] VAR98;
wire VAR25;
wire VAR62;
wire [ 31:0] VAR282;
wire [ 31:0] VAR240;
wire [ 31:0] VAR151;
wire VAR275;
wire [ 31:0] VAR278;
wire VAR28;
wire VAR31;
wire VAR375;
wire VAR10;
wire VAR325;
wire [ 31:0] VAR135;
wire VAR58;
wire VAR346;
wire VAR60;
wire [ 31:0] VAR361;
wire VAR87;
wire [ 31:0] VAR195;
wire [ 1:0] VAR320;
wire VAR63;
wire [ 31:0] VAR369;
wire VAR262;
wire [ 1:0] VAR388;
wire VAR407;
wire [ 31:0] VAR99;
wire VAR343;
wire VAR36;
wire [ 5:0] VAR327;
wire VAR13;
wire [ 1:0] VAR85;
wire [ 4:0] VAR394;
wire [ 31:0] VAR342;
wire [ 31:0] VAR209;
wire VAR20;
wire [ 4:0] VAR44;
wire VAR280;
wire VAR294;
wire [ 31:0] VAR371;
wire VAR175;
wire VAR6;
wire [ 4:0] VAR314;
wire [ 31:0] VAR171;
wire VAR263;
wire [ 31:0] VAR56;
wire [ 31:0] VAR223;
wire [ 31:0] VAR136;
wire VAR231;
wire VAR347;
wire [ 4:0] VAR159;
wire VAR43;
wire VAR329;
wire [ 4:0] VAR11;
wire [ 31:0] VAR313;
wire VAR279;
wire VAR248;
wire [ 31:0] VAR182;
wire [ 31:0] VAR306;
wire VAR288;
wire [ 11:0] VAR252;
wire VAR75;
wire VAR5;
wire VAR47;
wire VAR33;
wire [ 31:0] VAR23;
wire VAR181;
wire [ 31:0] VAR254;
wire VAR39;
wire [ 31:0] VAR340;
wire VAR38;
wire VAR322;
wire [ 31:0] VAR305;
wire VAR229;
wire [ 31:0] VAR236;
wire VAR299;
wire [ 4:0] VAR117;
wire VAR310;
wire [ 31:0] VAR186;
wire VAR53;
wire [ 31:0] VAR224;
wire [ 4:0] VAR80;
wire [ 31:0] VAR401;
wire VAR383;
wire VAR81;
VAR110
VAR387
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR421(VAR229)
,.VAR221(VAR240)
,.VAR188(VAR404)
,.VAR212(VAR280)
,.VAR422(VAR11)
,.VAR395(VAR394)
,.VAR345(VAR365)
,.VAR24(VAR26)
,.VAR285(VAR136)
,.VAR57(VAR183)
,.VAR88(VAR63)
,.VAR233(VAR28)
,.VAR249(VAR62)
,.VAR133(VAR324)
,.VAR358(VAR81)
,.VAR55(VAR122)
,.VAR102(VAR75)
,.VAR411(VAR282)
,.VAR333(VAR275)
,.VAR168(VAR306)
,.VAR230(VAR132)
,.VAR103(VAR224)
);
VAR218
.VAR27(VAR27)
,.VAR7(VAR7)
)
VAR222
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR30(VAR375)
,.VAR137(VAR23)
,.VAR161(VAR99)
,.VAR359(VAR31)
,.VAR67(VAR115)
,.VAR165(VAR178)
,.VAR270(VAR206)
,.VAR130(VAR347)
,.VAR398(VAR288)
,.VAR93(VAR340)
,.VAR66(VAR361)
,.VAR366(VAR10)
,.VAR349(VAR91)
,.VAR172(VAR329)
,.VAR406(VAR13)
,.VAR239(VAR294)
,.VAR202(VAR18)
,.VAR114(VAR39)
,.VAR386(VAR47)
,.VAR397(VAR322)
,.VAR138(VAR289)
);
VAR227
.VAR92(VAR92)
,.VAR16(VAR16)
,.VAR41(VAR41)
)
VAR413
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR152(VAR85)
,.VAR312(VAR53)
,.VAR265(VAR97)
,.VAR144(VAR367)
,.VAR196(VAR56)
,.VAR185(VAR175)
,.VAR290(VAR6)
,.VAR266(VAR87)
,.VAR161(VAR371)
,.VAR126(VAR42)
,.VAR165(VAR287)
,.VAR174(VAR35)
,.VAR141(VAR72)
,.VAR111(VAR89)
,.VAR153(VAR135)
,.VAR61(VAR269)
,.VAR2(VAR407)
,.VAR77(VAR108)
,.VAR76(VAR5)
,.VAR259(VAR335)
,.VAR338(VAR231)
,.VAR368(VAR68)
,.VAR192(VAR299)
,.VAR40(VAR344)
,.VAR267(VAR232)
,.VAR372(VAR311)
,.VAR205(VAR17)
,.VAR363(VAR292)
,.VAR130(VAR346)
,.VAR350(VAR385)
,.VAR353(VAR36)
,.VAR323(VAR298)
,.VAR19(VAR381)
,.VAR164(VAR273)
,.VAR216(VAR284)
,.VAR66(VAR201)
,.VAR291(VAR400)
,.VAR86(VAR305)
,.VAR90(VAR310)
,.VAR148(VAR60)
,.VAR143(VAR83)
,.VAR253(VAR45)
,.VAR318(VAR82)
,.VAR197(VAR268)
,.VAR308(VAR264)
,.VAR131(VAR50)
,.VAR377(VAR3)
,.VAR116(VAR150)
,.VAR105(VAR100)
,.VAR376(VAR149)
,.VAR74(VAR158)
,.VAR228(VAR263)
,.VAR48(VAR383)
);
VAR382
.VAR92(VAR92)
,.VAR41(VAR41)
)
VAR14
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR421(VAR33)
,.VAR221(VAR171)
,.VAR188(VAR380)
,.VAR212(VAR325)
,.VAR422(VAR314)
,.VAR395(VAR80)
,.VAR345(VAR117)
,.VAR24(VAR369)
,.VAR285(VAR186)
,.VAR207(VAR305)
,.VAR189(VAR310)
,.VAR94(VAR60)
,.VAR184(VAR83)
,.VAR392(VAR45)
,.VAR241(VAR263)
,.VAR321(VAR383)
,.VAR370(VAR135)
,.VAR226(VAR269)
,.VAR170(VAR407)
,.VAR408(VAR108)
,.VAR203(VAR5)
,.VAR326(VAR335)
,.VAR96(VAR231)
,.VAR145(VAR68)
,.VAR316(VAR299)
,.VAR243(VAR46)
,.VAR103(VAR209)
,.VAR121(VAR327)
,.VAR242(VAR25)
);
VAR286
.VAR107(VAR107)
,.VAR7(VAR7)
)
VAR119
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR169(VAR169)
,.VAR421(VAR393)
,.VAR221(VAR254)
,.VAR188(VAR238)
,.VAR212(VAR348)
,.VAR422(VAR384)
,.VAR395(VAR159)
,.VAR345(VAR98)
,.VAR24(VAR342)
,.VAR285(VAR34)
,.VAR334(VAR279)
,.VAR65(VAR252)
,.VAR399(VAR313)
,.VAR373(VAR69)
,.VAR300(VAR401)
,.VAR198(VAR351)
,.VAR15(VAR15)
,.VAR360(VAR360)
,.VAR277(VAR343)
,.VAR374(VAR182)
,.VAR71(VAR181)
,.VAR176(VAR223)
,.VAR95(VAR187)
,.VAR129(VAR79)
,.VAR250(VAR244)
,.VAR303(VAR320)
,.VAR255(VAR248)
,.VAR134(VAR43)
,.VAR112(VAR85)
,.VAR352(VAR53)
,.VAR220(VAR97)
,.VAR412(VAR367)
,.VAR336(VAR56)
);
VAR237
VAR214
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR421(VAR78)
,.VAR221(VAR362)
,.VAR188(VAR151)
,.VAR212(VAR38)
,.VAR422(VAR295)
,.VAR395(VAR204)
,.VAR345(VAR44)
,.VAR24(VAR278)
,.VAR285(VAR195)
,.VAR57(VAR58)
,.VAR103(VAR236)
);
VAR405
VAR304
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR421(VAR262)
,.VAR221(VAR240)
,.VAR188(VAR404)
,.VAR212(VAR280)
,.VAR422(VAR11)
,.VAR395(VAR394)
,.VAR345(VAR365)
,.VAR24(VAR26)
,.VAR285(VAR136)
,.VAR243(VAR20)
,.VAR103(VAR104)
);
VAR8
.VAR193(VAR193)
,.VAR354(VAR354)
,.VAR7(VAR7)
,.VAR190(VAR190)
,.VAR156(1)
)
VAR418
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR420(VAR288)
,.VAR154(VAR340)
,.VAR64(VAR361)
,.VAR274(VAR10)
,.VAR213(VAR91)
,.VAR410(VAR329)
,.VAR423(VAR13)
,.VAR297(VAR294)
,.VAR118(VAR18)
,.VAR177(VAR39)
,.VAR302(VAR47)
,.VAR32(VAR322)
,.VAR296(VAR289)
,.VAR128(VAR63)
,.VAR378(VAR28)
,.VAR167(VAR62)
,.VAR337(VAR324)
,.VAR162(VAR81)
,.VAR199(VAR122)
,.VAR215(VAR75)
,.VAR356(VAR282)
,.VAR37(VAR275)
,.VAR261(VAR306)
,.VAR409(VAR132)
,.VAR29(VAR79)
,.VAR4(VAR244)
,.VAR73(VAR320)
,.VAR332(VAR224)
,.VAR424(VAR46)
,.VAR125(VAR209)
,.VAR258(VAR327)
,.VAR155(VAR236)
,.VAR414(VAR20)
,.VAR247(VAR104)
,.VAR293(VAR182)
,.VAR49(VAR181)
,.VAR84(VAR223)
,.VAR140(VAR187)
,.VAR217(VAR25)
,.VAR307(VAR248)
,.VAR403(VAR178)
,.VAR88(VAR219)
,.VAR411(VAR70)
,.VAR389(VAR388)
,.VAR396(VAR229)
,.VAR309(VAR33)
,.VAR339(VAR393)
,.VAR59(VAR78)
,.VAR331(VAR262)
,.VAR12(VAR240)
,.VAR208(VAR404)
,.VAR166(VAR280)
,.VAR317(VAR11)
,.VAR301(VAR394)
,.VAR146(VAR365)
,.VAR415(VAR26)
,.VAR256(VAR136)
,.VAR194(VAR171)
,.VAR272(VAR380)
,.VAR419(VAR325)
,.VAR319(VAR314)
,.VAR251(VAR80)
,.VAR124(VAR117)
,.VAR163(VAR369)
,.VAR281(VAR186)
,.VAR173(VAR362)
,.VAR234(VAR151)
,.VAR106(VAR38)
,.VAR235(VAR295)
,.VAR283(VAR204)
,.VAR157(VAR44)
,.VAR276(VAR278)
,.VAR402(VAR195)
,.VAR225(VAR254)
,.VAR101(VAR238)
,.VAR120(VAR348)
,.VAR160(VAR384)
,.VAR180(VAR159)
,.VAR211(VAR98)
,.VAR330(VAR342)
,.VAR391(VAR34)
,.VAR139(VAR279)
,.VAR51(VAR252)
,.VAR417(VAR313)
,.VAR210(VAR69)
,.VAR21(VAR401)
,.VAR271(VAR351)
,.VAR142(VAR183)
,.VAR357(VAR58)
,.VAR328(VAR343)
);
VAR245
.VAR16(VAR16)
)
VAR200
(
.VAR355(VAR355)
,.VAR54(VAR54)
,.VAR257(VAR347)
,.VAR113(VAR346)
,.VAR1(VAR385)
,.VAR425(VAR36)
,.VAR390(VAR298)
,.VAR260(VAR400)
,.VAR416(VAR43)
,.VAR364(VAR219)
,.VAR315(VAR70)
,.VAR147(VAR388)
,.VAR379(VAR375)
,.VAR341(VAR23)
,.VAR123(VAR99)
,.VAR179(VAR31)
,.VAR127(VAR115)
,.VAR9(VAR175)
,.VAR246(VAR6)
,.VAR191(VAR87)
,.VAR22(VAR371)
,.VAR109(VAR42)
,.VAR52(VAR206)
);
endmodule | bsd-3-clause |
shailcoolboy/Warp-Trinity | PlatformSupport/CustomPeripherals/pcores/eeprom_v1_07_a/hdl/verilog/user_logic.v | 11,291 | module MODULE1
(
VAR8,
VAR11,
VAR19,
VAR37,
VAR49,
VAR9,
VAR48,
VAR43,
VAR41,
VAR39,
VAR10,
VAR23,
VAR53,
VAR50,
VAR31,
VAR26,
VAR5,
VAR51,
VAR54,
VAR15,
VAR52,
VAR40,
VAR25,
VAR46,
VAR20, VAR34, VAR2, VAR60, VAR4, VAR3, VAR45, VAR17, VAR6, VAR21, VAR47 );
parameter VAR28 = 32;
parameter VAR36 = 32;
parameter VAR18 = 1;
output VAR8;
output VAR37;
output VAR48;
output VAR39;
output VAR53;
output VAR26;
output VAR54;
output VAR40;
output VAR11;
output VAR49;
output VAR43;
output VAR10;
output VAR50;
output VAR5;
output VAR15;
output VAR25;
input VAR19;
input VAR9;
input VAR41;
input VAR23;
input VAR31;
input VAR51;
input VAR52;
input VAR46;
input VAR20;
input VAR34;
input [0 : VAR28-1] VAR2;
input [0 : VAR18-1] VAR60;
input VAR4;
input [0 : VAR36-1] VAR3;
input [0 : VAR36/8-1] VAR45;
output [0 : VAR36-1] VAR17;
output VAR6;
output VAR21;
output VAR47;
wire [ 7:0] VAR58;
wire [31:0] VAR12;
wire [31:0] VAR35;
assign VAR12 = VAR3;
assign VAR35 = VAR2;
reg [ 2:0] VAR38;
reg VAR55;
reg VAR27;
reg VAR42;
reg VAR24;
always @ (posedge VAR20 or posedge VAR34)
begin
if (VAR34)
begin
VAR38 <= 3'b000;
VAR55 <= 1'b1;
VAR27 <= 1'b1;
VAR42 <= 1'b0;
VAR24 <= 1'b0;
end
else
begin
if ( ~VAR60) VAR38 <= 3'b000;
end
else if (VAR38 == 3'b111) VAR38 <= 3'b111;
end
else VAR38 <= VAR38 + 1;
VAR55 <= ~( VAR60 & ~VAR4 & (VAR38 == 1)
| VAR60 & ~VAR4 & (VAR38 == 2)
);
VAR27 <= ~( VAR60 & VAR4 & (VAR38 == 1)
| VAR60 & VAR4 & (VAR38 == 2)
| VAR60 & VAR4 & (VAR38 == 3)
| VAR60 & VAR4 & (VAR38 == 4)
);
VAR42 <= VAR60 & (VAR38 == 4);
VAR24 <= ~VAR24 & VAR60 & (VAR38 == 0)
| VAR24 & VAR60 & ~VAR42;
end
end
wire VAR33;
reg VAR1;
always @(posedge VAR20)
begin
VAR1 <= VAR34;
end
VAR7 VAR61
(
.VAR22(VAR35[4:2]),
.VAR44(1'b0),
.VAR57(VAR20),
.VAR13(1'b0),
.VAR14(VAR1),
.VAR59(VAR27),
.VAR32(VAR55),
.VAR30(),
.VAR16(),
.VAR29(VAR12[7:0]),
.VAR56(VAR58),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR19(VAR19),
.VAR37(VAR37),
.VAR49(VAR49),
.VAR9(VAR9),
.VAR48(VAR48),
.VAR43(VAR43),
.VAR41(VAR41),
.VAR39(VAR39),
.VAR10(VAR10),
.VAR23(VAR23),
.VAR53(VAR53),
.VAR50(VAR50),
.VAR31(VAR31),
.VAR26(VAR26),
.VAR5(VAR5),
.VAR51(VAR51),
.VAR54(VAR54),
.VAR15(VAR15),
.VAR52(VAR52),
.VAR40(VAR40),
.VAR25(VAR25),
.VAR46(VAR46)
);
assign VAR17 = {32{VAR42}} & {24'h000000, VAR58};
assign VAR6 = VAR42 & VAR60 & VAR4;
assign VAR21 = VAR42 & VAR60 & ~VAR4;
assign VAR47 = 1'b0;
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o311a/sky130_fd_sc_lp__o311a_0.v | 2,422 | module MODULE1 (
VAR6 ,
VAR1 ,
VAR9 ,
VAR12 ,
VAR8 ,
VAR7 ,
VAR3,
VAR4,
VAR10 ,
VAR11
);
output VAR6 ;
input VAR1 ;
input VAR9 ;
input VAR12 ;
input VAR8 ;
input VAR7 ;
input VAR3;
input VAR4;
input VAR10 ;
input VAR11 ;
VAR2 VAR5 (
.VAR6(VAR6),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR11(VAR11)
);
endmodule
module MODULE1 (
VAR6 ,
VAR1,
VAR9,
VAR12,
VAR8,
VAR7
);
output VAR6 ;
input VAR1;
input VAR9;
input VAR12;
input VAR8;
input VAR7;
supply1 VAR3;
supply0 VAR4;
supply1 VAR10 ;
supply0 VAR11 ;
VAR2 VAR5 (
.VAR6(VAR6),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR7(VAR7)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/o2111ai/sky130_fd_sc_hd__o2111ai_1.v | 2,461 | module MODULE2 (
VAR9 ,
VAR3 ,
VAR4 ,
VAR5 ,
VAR11 ,
VAR1 ,
VAR6,
VAR7,
VAR10 ,
VAR8
);
output VAR9 ;
input VAR3 ;
input VAR4 ;
input VAR5 ;
input VAR11 ;
input VAR1 ;
input VAR6;
input VAR7;
input VAR10 ;
input VAR8 ;
VAR2 VAR12 (
.VAR9(VAR9),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR9 ,
VAR3,
VAR4,
VAR5,
VAR11,
VAR1
);
output VAR9 ;
input VAR3;
input VAR4;
input VAR5;
input VAR11;
input VAR1;
supply1 VAR6;
supply0 VAR7;
supply1 VAR10 ;
supply0 VAR8 ;
VAR2 VAR12 (
.VAR9(VAR9),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
alexforencich/verilog-flowgen | rtl/fg_burst_gen.v | 9,974 | module MODULE1 #(
parameter VAR25 = 5,
parameter VAR7 = 8,
parameter VAR26 = 8
)
(
input wire clk,
input wire rst,
input wire VAR17,
output wire VAR23,
input wire [VAR7-1:0] VAR37,
input wire [15:0] VAR28,
input wire [15:0] VAR12,
input wire [31:0] VAR41,
input wire [31:0] VAR24,
output wire VAR39,
input wire VAR9,
output wire [VAR7-1:0] VAR22,
output wire [31:0] VAR27,
output wire VAR34,
output wire [VAR25-1:0] VAR33
);
reg [VAR25-1:0] VAR36 = 0, VAR1;
reg VAR35;
reg VAR2[(2**VAR25)-1:0], VAR32, VAR38;
reg [VAR7-1:0] VAR4[(2**VAR25)-1:0], VAR30, VAR5;
reg [15:0] VAR11[(2**VAR25)-1:0], VAR16, VAR21;
reg [15:0] VAR8[(2**VAR25)-1:0], VAR40, VAR19;
reg [31:0] VAR13[(2**VAR25)-1:0], VAR14, VAR18;
reg [31:0] VAR29[(2**VAR25)-1:0], VAR10, VAR15;
reg [31:0] VAR31[(2**VAR25)-1:0], VAR20, VAR6;
integer VAR3; | mit |
alexforencich/verilog-ethernet | example/ADM_PCIE_9V3/fpga_25g/rtl/fpga.v | 21,727 | module MODULE1 (
input wire VAR22,
input wire VAR302,
output wire [1:0] VAR110,
output wire VAR311,
output wire [1:0] VAR6,
input wire [1:0] VAR104,
output wire VAR253,
output wire VAR191,
input wire VAR102,
input wire VAR77,
output wire VAR119,
output wire VAR51,
input wire VAR242,
input wire VAR168,
output wire VAR12,
output wire VAR273,
input wire VAR78,
input wire VAR213,
output wire VAR260,
output wire VAR133,
input wire VAR27,
input wire VAR227,
input wire VAR128,
input wire VAR49,
input wire VAR65,
output wire VAR60,
output wire VAR9,
output wire VAR244,
input wire VAR316,
input wire VAR90,
output wire VAR112,
output wire VAR37,
input wire VAR36,
input wire VAR69,
output wire VAR283,
output wire VAR201,
input wire VAR98,
input wire VAR184,
output wire VAR240,
output wire VAR200,
input wire VAR81,
input wire VAR298,
input wire VAR97,
input wire VAR100,
input wire VAR270,
output wire VAR31,
output wire VAR40,
input wire VAR7
);
wire VAR57;
wire VAR53;
wire VAR86;
wire VAR20;
wire VAR8;
wire VAR56;
wire VAR186 = 1'b0;
wire VAR163;
wire VAR92;
VAR139 #(
.VAR207("VAR286"),
.VAR25("VAR286")
)
VAR45 (
.VAR62 (VAR57),
.VAR194 (VAR22),
.VAR309 (VAR302)
);
VAR43 #(
.VAR199("VAR28"),
.VAR181(8),
.VAR124(0.5),
.VAR44(0),
.VAR197(1),
.VAR180(0.5),
.VAR122(0),
.VAR145(1),
.VAR296(0.5),
.VAR150(0),
.VAR48(1),
.VAR215(0.5),
.VAR50(0),
.VAR15(1),
.VAR228(0.5),
.VAR89(0),
.VAR154(1),
.VAR237(0.5),
.VAR29(0),
.VAR269(1),
.VAR285(0.5),
.VAR208(0),
.VAR176(10),
.VAR256(0),
.VAR169(3),
.VAR231(0.010),
.VAR30(3.333),
.VAR248("VAR286"),
.VAR271("VAR286")
)
VAR103 (
.VAR221(VAR57),
.VAR73(VAR92),
.VAR82(VAR186),
.VAR177(1'b0),
.VAR284(VAR53),
.VAR151(),
.VAR266(),
.VAR225(),
.VAR166(),
.VAR61(),
.VAR41(),
.VAR235(),
.VAR101(),
.VAR294(),
.VAR83(),
.VAR171(VAR92),
.VAR222(),
.VAR268(VAR163)
);
VAR315
VAR105 (
.VAR194(VAR53),
.VAR62(VAR86)
);
VAR52 #(
.VAR204(4)
)
VAR99 (
.clk(VAR86),
.rst(~VAR163),
.out(VAR20)
);
wire [1:0] VAR217;
VAR277 #(
.VAR179(2),
.VAR204(4),
.VAR230(125000)
)
VAR267 (
.clk(VAR86),
.rst(VAR20),
.in({VAR104}),
.out({VAR217})
);
assign VAR40 = 1'b1;
assign VAR60 = 1'b0;
wire VAR116;
wire VAR125;
wire [63:0] VAR121;
wire [7:0] VAR132;
wire VAR252;
wire VAR32;
wire [63:0] VAR136;
wire [7:0] VAR10;
wire VAR319;
wire VAR157;
wire [63:0] VAR310;
wire [7:0] VAR259;
wire VAR314;
wire VAR264;
wire [63:0] VAR312;
wire [7:0] VAR94;
wire VAR162;
wire VAR306;
wire [63:0] VAR178;
wire [7:0] VAR96;
wire VAR55;
wire VAR23;
wire [63:0] VAR117;
wire [7:0] VAR250;
wire VAR170;
wire VAR313;
wire [63:0] VAR254;
wire [7:0] VAR3;
wire VAR210;
wire VAR87;
wire [63:0] VAR72;
wire [7:0] VAR148;
assign VAR8 = VAR116;
assign VAR56 = VAR125;
wire VAR187;
wire VAR232;
wire VAR212;
wire VAR236;
wire VAR301;
VAR144 VAR33 (
.VAR194 (VAR128),
.VAR309 (VAR49),
.VAR290 (1'b0),
.VAR62 (VAR301),
.VAR219 ()
);
wire VAR174;
wire VAR152;
wire VAR158;
VAR281 #(
.VAR35(1),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR106 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(VAR301),
.VAR265(VAR174),
.VAR88(VAR152),
.VAR299(VAR158),
.VAR159(1'b0),
.VAR155(),
.VAR16(1'b0),
.VAR246(1'b0),
.VAR21(VAR253),
.VAR146(VAR191),
.VAR130(VAR102),
.VAR165(VAR77),
.VAR113(VAR116),
.VAR18(VAR125),
.VAR39(VAR121),
.VAR84(VAR132),
.VAR114(VAR252),
.VAR13(VAR32),
.VAR161(VAR136),
.VAR76(VAR10),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR187),
.VAR307(),
.VAR203(),
.VAR305()
);
VAR281 #(
.VAR35(0),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR220 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(1'b0),
.VAR265(),
.VAR88(),
.VAR299(),
.VAR159(VAR174),
.VAR155(),
.VAR16(VAR152),
.VAR246(VAR158),
.VAR21(VAR119),
.VAR146(VAR51),
.VAR130(VAR242),
.VAR165(VAR168),
.VAR113(VAR319),
.VAR18(VAR157),
.VAR39(VAR310),
.VAR84(VAR259),
.VAR114(VAR314),
.VAR13(VAR264),
.VAR161(VAR312),
.VAR76(VAR94),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR232),
.VAR307(),
.VAR203(),
.VAR305()
);
VAR281 #(
.VAR35(0),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR241 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(1'b0),
.VAR265(),
.VAR88(),
.VAR299(),
.VAR159(VAR174),
.VAR155(),
.VAR16(VAR152),
.VAR246(VAR158),
.VAR21(VAR12),
.VAR146(VAR273),
.VAR130(VAR78),
.VAR165(VAR213),
.VAR113(VAR162),
.VAR18(VAR306),
.VAR39(VAR178),
.VAR84(VAR96),
.VAR114(VAR55),
.VAR13(VAR23),
.VAR161(VAR117),
.VAR76(VAR250),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR212),
.VAR307(),
.VAR203(),
.VAR305()
);
VAR281 #(
.VAR35(0),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR143 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(1'b0),
.VAR265(),
.VAR88(),
.VAR299(),
.VAR159(VAR174),
.VAR155(),
.VAR16(VAR152),
.VAR246(VAR158),
.VAR21(VAR260),
.VAR146(VAR133),
.VAR130(VAR27),
.VAR165(VAR227),
.VAR113(VAR170),
.VAR18(VAR313),
.VAR39(VAR254),
.VAR84(VAR3),
.VAR114(VAR210),
.VAR13(VAR87),
.VAR161(VAR72),
.VAR76(VAR148),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR236),
.VAR307(),
.VAR203(),
.VAR305()
);
assign VAR31 = 1'b0;
wire VAR160;
wire VAR63;
wire [63:0] VAR164;
wire [7:0] VAR211;
wire VAR245;
wire VAR261;
wire [63:0] VAR243;
wire [7:0] VAR239;
wire VAR303;
wire VAR279;
wire [63:0] VAR127;
wire [7:0] VAR123;
wire VAR206;
wire VAR255;
wire [63:0] VAR291;
wire [7:0] VAR156;
wire VAR147;
wire VAR198;
wire [63:0] VAR79;
wire [7:0] VAR2;
wire VAR209;
wire VAR216;
wire [63:0] VAR140;
wire [7:0] VAR58;
wire VAR274;
wire VAR129;
wire [63:0] VAR188;
wire [7:0] VAR202;
wire VAR26;
wire VAR118;
wire [63:0] VAR111;
wire [7:0] VAR288;
wire VAR17;
wire VAR214;
wire VAR183;
wire VAR282;
wire VAR141;
VAR144 VAR263 (
.VAR194 (VAR97),
.VAR309 (VAR100),
.VAR290 (1'b0),
.VAR62 (VAR141),
.VAR219 ()
);
wire VAR262;
wire VAR135;
wire VAR108;
VAR281 #(
.VAR35(1),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR258 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(VAR141),
.VAR265(VAR262),
.VAR88(VAR135),
.VAR299(VAR108),
.VAR159(1'b0),
.VAR155(),
.VAR16(1'b0),
.VAR246(1'b0),
.VAR21(VAR9),
.VAR146(VAR244),
.VAR130(VAR316),
.VAR165(VAR90),
.VAR113(VAR160),
.VAR18(VAR63),
.VAR39(VAR164),
.VAR84(VAR211),
.VAR114(VAR245),
.VAR13(VAR261),
.VAR161(VAR243),
.VAR76(VAR239),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR17),
.VAR307(),
.VAR203(),
.VAR305()
);
VAR281 #(
.VAR35(0),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR297 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(1'b0),
.VAR265(),
.VAR88(),
.VAR299(),
.VAR159(VAR262),
.VAR155(),
.VAR16(VAR135),
.VAR246(VAR108),
.VAR21(VAR112),
.VAR146(VAR37),
.VAR130(VAR36),
.VAR165(VAR69),
.VAR113(VAR303),
.VAR18(VAR279),
.VAR39(VAR127),
.VAR84(VAR123),
.VAR114(VAR206),
.VAR13(VAR255),
.VAR161(VAR291),
.VAR76(VAR156),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR214),
.VAR307(),
.VAR203(),
.VAR305()
);
VAR281 #(
.VAR35(0),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR272 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(1'b0),
.VAR265(),
.VAR88(),
.VAR299(),
.VAR159(VAR262),
.VAR155(),
.VAR16(VAR135),
.VAR246(VAR108),
.VAR21(VAR283),
.VAR146(VAR201),
.VAR130(VAR98),
.VAR165(VAR184),
.VAR113(VAR147),
.VAR18(VAR198),
.VAR39(VAR79),
.VAR84(VAR2),
.VAR114(VAR209),
.VAR13(VAR216),
.VAR161(VAR140),
.VAR76(VAR58),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR183),
.VAR307(),
.VAR203(),
.VAR305()
);
VAR281 #(
.VAR35(0),
.VAR80(2),
.VAR185(2),
.VAR67(125000/2.56)
)
VAR304 (
.VAR280(VAR86),
.VAR59(VAR20),
.VAR107(),
.VAR193(1'b0),
.VAR265(),
.VAR88(),
.VAR299(),
.VAR159(VAR262),
.VAR155(),
.VAR16(VAR135),
.VAR246(VAR108),
.VAR21(VAR240),
.VAR146(VAR200),
.VAR130(VAR81),
.VAR165(VAR298),
.VAR113(VAR274),
.VAR18(VAR129),
.VAR39(VAR188),
.VAR84(VAR202),
.VAR114(VAR26),
.VAR13(VAR118),
.VAR161(VAR111),
.VAR76(VAR288),
.VAR131(),
.VAR153(),
.VAR249(),
.VAR195(),
.VAR91(VAR282),
.VAR307(),
.VAR203(),
.VAR305()
);
assign VAR6[0] = VAR187;
assign VAR6[1] = VAR17;
VAR317
VAR229 (
.clk(VAR8),
.rst(VAR56),
.VAR110(VAR110),
.VAR311(VAR311),
.VAR104(VAR217),
.VAR257(VAR116),
.VAR19(VAR125),
.VAR74(VAR121),
.VAR85(VAR132),
.VAR300(VAR252),
.VAR47(VAR32),
.VAR5(VAR136),
.VAR142(VAR10),
.VAR287(VAR319),
.VAR289(VAR157),
.VAR192(VAR310),
.VAR1(VAR259),
.VAR233(VAR314),
.VAR247(VAR264),
.VAR11(VAR312),
.VAR134(VAR94),
.VAR234(VAR162),
.VAR320(VAR306),
.VAR109(VAR178),
.VAR64(VAR96),
.VAR126(VAR55),
.VAR238(VAR23),
.VAR4(VAR117),
.VAR14(VAR250),
.VAR190(VAR170),
.VAR70(VAR313),
.VAR293(VAR254),
.VAR42(VAR3),
.VAR218(VAR210),
.VAR137(VAR87),
.VAR308(VAR72),
.VAR189(VAR148),
.VAR95(VAR160),
.VAR120(VAR63),
.VAR295(VAR164),
.VAR38(VAR211),
.VAR275(VAR245),
.VAR167(VAR261),
.VAR93(VAR243),
.VAR34(VAR239),
.VAR196(VAR303),
.VAR226(VAR279),
.VAR173(VAR127),
.VAR292(VAR123),
.VAR66(VAR206),
.VAR276(VAR255),
.VAR75(VAR291),
.VAR318(VAR156),
.VAR138(VAR147),
.VAR149(VAR198),
.VAR278(VAR79),
.VAR175(VAR2),
.VAR224(VAR209),
.VAR205(VAR216),
.VAR54(VAR140),
.VAR24(VAR58),
.VAR223(VAR274),
.VAR46(VAR129),
.VAR172(VAR188),
.VAR115(VAR202),
.VAR68(VAR26),
.VAR251(VAR118),
.VAR71(VAR111),
.VAR182(VAR288)
);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/einvn/sky130_fd_sc_lp__einvn.behavioral.v | 1,314 | module MODULE1 (
VAR6 ,
VAR7 ,
VAR4
);
output VAR6 ;
input VAR7 ;
input VAR4;
supply1 VAR3;
supply0 VAR8;
supply1 VAR1 ;
supply0 VAR5 ;
notif0 VAR2 (VAR6 , VAR7, VAR4 );
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/sdffsnq/gf180mcu_fd_sc_mcu9t5v0__sdffsnq_4.behavioral.v | 18,884 | module MODULE1( VAR239, VAR182, VAR96, VAR55, VAR295, VAR179 );
input VAR55, VAR96, VAR239, VAR295, VAR182;
output VAR179;
reg VAR303;
VAR189 VAR92(.VAR239(VAR239),.VAR182(VAR182),.VAR96(VAR96),.VAR55(VAR55),.VAR295(VAR295),.VAR179(VAR179),.VAR303(VAR303));
VAR189 VAR177(.VAR239(VAR239),.VAR182(VAR182),.VAR96(VAR96),.VAR55(VAR55),.VAR295(VAR295),.VAR179(VAR179),.VAR303(VAR303));
not VAR268(VAR302,VAR96);
not VAR294(VAR52,VAR239);
and VAR29(VAR137,VAR52,VAR302);
and VAR98(VAR212,VAR295,VAR137);
not VAR275(VAR13,VAR182);
and VAR31(VAR309,VAR13,VAR212);
not VAR104(VAR157,VAR96);
not VAR2(VAR53,VAR239);
and VAR149(VAR288,VAR53,VAR157);
and VAR10(VAR150,VAR295,VAR288);
and VAR299(VAR305,VAR182,VAR150);
not VAR297(VAR100,VAR96);
and VAR206(VAR245,VAR239,VAR100);
and VAR148(VAR1,VAR295,VAR245);
not VAR50(VAR18,VAR182);
and VAR181(VAR152,VAR18,VAR1);
not VAR22(VAR26,VAR96);
and VAR108(VAR35,VAR239,VAR26);
and VAR176(VAR19,VAR295,VAR35);
and VAR145(VAR17,VAR182,VAR19);
not VAR282(VAR20,VAR239);
and VAR270(VAR28,VAR20,VAR96);
and VAR156(VAR301,VAR295,VAR28);
not VAR286(VAR251,VAR182);
and VAR273(VAR15,VAR251,VAR301);
not VAR7(VAR76,VAR239);
and VAR122(VAR174,VAR76,VAR96);
and VAR136(VAR63,VAR295,VAR174);
and VAR237(VAR260,VAR182,VAR63);
and VAR99(VAR190,VAR239,VAR96);
and VAR106(VAR154,VAR295,VAR190);
not VAR241(VAR133,VAR182);
and VAR169(VAR14,VAR133,VAR154);
and VAR279(VAR238,VAR239,VAR96);
and VAR139(VAR198,VAR295,VAR238);
and VAR261(VAR119,VAR182,VAR198);
not VAR5(VAR250,VAR239);
and VAR42(VAR228,VAR295,VAR250);
not VAR101(VAR107,VAR182);
and VAR272(VAR83,VAR107,VAR228);
not VAR70(VAR6,VAR239);
and VAR71(VAR130,VAR295,VAR6);
and VAR214(VAR244,VAR182,VAR130);
not VAR54(VAR117,VAR96);
and VAR203(VAR51,VAR295,VAR117);
and VAR118(VAR225,VAR182,VAR51);
and VAR284(VAR9,VAR295,VAR96);
not VAR293(VAR155,VAR182);
and VAR60(VAR227,VAR155,VAR9);
not VAR77(VAR72,VAR96);
not VAR215(VAR121,VAR239);
and VAR24(VAR66,VAR121,VAR72);
not VAR183(VAR226,VAR182);
and VAR41(VAR168,VAR226,VAR66);
not VAR40(VAR274,VAR96);
not VAR207(VAR180,VAR239);
and VAR135(VAR186,VAR180,VAR274);
and VAR285(VAR23,VAR182,VAR186);
not VAR271(VAR64,VAR96);
and VAR49(VAR112,VAR239,VAR64);
not VAR47(VAR131,VAR182);
and VAR78(VAR199,VAR131,VAR112);
and VAR243(VAR211,VAR239,VAR96);
not VAR259(VAR208,VAR182);
and VAR30(VAR240,VAR208,VAR211);
not VAR306(VAR184,VAR55);
not VAR187(VAR201,VAR96);
and VAR134(VAR234,VAR201,VAR184);
not VAR246(VAR48,VAR239);
and VAR278(VAR61,VAR48,VAR234);
not VAR223(VAR59,VAR182);
and VAR141(VAR110,VAR59,VAR61);
not VAR283(VAR281,VAR55);
not VAR232(VAR269,VAR96);
and VAR267(VAR307,VAR269,VAR281);
not VAR172(VAR126,VAR239);
and VAR16(VAR74,VAR126,VAR307);
and VAR280(VAR204,VAR182,VAR74);
not VAR36(VAR292,VAR55);
not VAR84(VAR56,VAR96);
and VAR264(VAR164,VAR56,VAR292);
and VAR39(VAR151,VAR239,VAR164);
not VAR93(VAR43,VAR182);
and VAR178(VAR58,VAR43,VAR151);
not VAR123(VAR114,VAR55);
not VAR34(VAR173,VAR96);
and VAR68(VAR103,VAR173,VAR114);
and VAR170(VAR220,VAR239,VAR103);
and VAR213(VAR165,VAR182,VAR220);
not VAR153(VAR33,VAR55);
and VAR224(VAR120,VAR96,VAR33);
not VAR12(VAR263,VAR239);
and VAR310(VAR266,VAR263,VAR120);
not VAR197(VAR159,VAR182);
and VAR95(VAR287,VAR159,VAR266);
not VAR97(VAR109,VAR55);
and VAR222(VAR219,VAR96,VAR109);
not VAR277(VAR291,VAR239);
and VAR146(VAR304,VAR291,VAR219);
and VAR3(VAR308,VAR182,VAR304);
not VAR102(VAR116,VAR55);
and VAR73(VAR21,VAR96,VAR116);
and VAR171(VAR202,VAR239,VAR21);
not VAR27(VAR138,VAR182);
and VAR200(VAR296,VAR138,VAR202);
not VAR236(VAR111,VAR55);
and VAR25(VAR143,VAR96,VAR111);
and VAR124(VAR298,VAR239,VAR143);
and VAR191(VAR62,VAR182,VAR298);
not VAR87(VAR80,VAR96);
and VAR88(VAR161,VAR80,VAR55);
not VAR45(VAR90,VAR239);
and VAR257(VAR65,VAR90,VAR161);
not VAR235(VAR147,VAR182);
and VAR230(VAR242,VAR147,VAR65);
not VAR290(VAR276,VAR96);
and VAR37(VAR205,VAR276,VAR55);
not VAR81(VAR38,VAR239);
and VAR86(VAR210,VAR38,VAR205);
and VAR248(VAR125,VAR182,VAR210);
not VAR256(VAR44,VAR96);
and VAR67(VAR8,VAR44,VAR55);
and VAR144(VAR253,VAR239,VAR8);
not VAR233(VAR193,VAR182);
and VAR91(VAR160,VAR193,VAR253);
not VAR249(VAR209,VAR96);
and VAR247(VAR194,VAR209,VAR55);
and VAR127(VAR140,VAR239,VAR194);
and VAR300(VAR252,VAR182,VAR140);
and VAR192(VAR89,VAR96,VAR55);
not VAR218(VAR229,VAR239);
and VAR4(VAR11,VAR229,VAR89);
not VAR94(VAR163,VAR182);
and VAR142(VAR185,VAR163,VAR11);
and VAR262(VAR82,VAR96,VAR55);
not VAR129(VAR105,VAR239);
and VAR167(VAR254,VAR105,VAR82);
and VAR79(VAR265,VAR182,VAR254);
and VAR217(VAR289,VAR96,VAR55);
and VAR231(VAR255,VAR239,VAR289);
not VAR162(VAR221,VAR182);
and VAR132(VAR196,VAR221,VAR255);
and VAR69(VAR46,VAR96,VAR55);
and VAR32(VAR195,VAR239,VAR46);
and VAR113(VAR188,VAR182,VAR195);
not VAR115(VAR128,VAR96);
and VAR175(VAR158,VAR239,VAR128);
and VAR258(VAR85,VAR295,VAR158);
and VAR57(VAR75,VAR239,VAR96);
and VAR166(VAR216,VAR295,VAR75); | apache-2.0 |
szanni/aeshw | zybo-base/zybo_bsd/zybo_bsd.srcs/sources_1/bd/system/ip/system_auto_pc_5/axi_data_fifo_v2_1/hdl/verilog/axi_data_fifo_v2_1_fifo_gen.v | 15,071 | module MODULE1 #(
parameter VAR178 = "VAR319",
parameter integer VAR58 = 1,
parameter integer VAR361 = 3,
parameter integer VAR11 = 5,
parameter integer VAR75 = 64,
parameter VAR213 = "lut"
)(
clk,
rst,
VAR378,
VAR414,
VAR335,
VAR146,
VAR72,
VAR172,
VAR226,
VAR76);
input clk;
input VAR378;
input VAR72;
input rst;
input [VAR75-1 : 0] VAR146;
input VAR414;
input VAR172;
output [VAR75-1 : 0] VAR76;
output VAR335;
output VAR226;
wire VAR333;
wire VAR310;
wire VAR226 = ~VAR310;
wire VAR335 = ~VAR333;
localparam VAR150 = (VAR213 == "VAR55")? 1 : 2;
localparam VAR154 = (VAR58 == 1)? 0 : 2;
VAR314 #(
.VAR58(VAR58),
.VAR286(VAR75),
.VAR82(VAR75),
.VAR178(VAR178),
.VAR154(VAR154),
.VAR150(VAR150),
.VAR196(1<<VAR11),
.VAR64(VAR11),
.VAR401(1<<VAR11),
.VAR267(VAR11),
.VAR15(0),
.VAR132(0),
.VAR109(0),
.VAR168(0),
.VAR120(0),
.VAR10(0),
.VAR380(0),
.VAR420(64),
.VAR263(4),
.VAR180(8),
.VAR140(4),
.VAR403(4),
.VAR352(4),
.VAR57(0),
.VAR252(32),
.VAR265(1),
.VAR167(1),
.VAR320(1),
.VAR27(64),
.VAR412(4),
.VAR397(8),
.VAR65(2),
.VAR199(1),
.VAR365(0),
.VAR334(1),
.VAR331(0),
.VAR297(6),
.VAR183("VAR204"),
.VAR185(1),
.VAR114(32),
.VAR179(64),
.VAR360(32),
.VAR34(64),
.VAR174(2),
.VAR123("0"),
.VAR117(0),
.VAR105(1),
.VAR74(0),
.VAR300(0),
.VAR127(0),
.VAR316(0),
.VAR195(0),
.VAR126(0),
.VAR248(0),
.VAR125(0),
.VAR113(0),
.VAR347(0),
.VAR187(0),
.VAR358(0),
.VAR348(0),
.VAR23(0),
.VAR372(0),
.VAR386(1),
.VAR14(0),
.VAR163(0),
.VAR408(0),
.VAR349(0),
.VAR104(0),
.VAR91(0),
.VAR33(0),
.VAR256(0),
.VAR242(0),
.VAR279(0),
.VAR35(0),
.VAR247(0),
.VAR121(0),
.VAR143(0),
.VAR62(0),
.VAR153(0),
.VAR60(0),
.VAR274(0),
.VAR418(0),
.VAR88(0),
.VAR234(0),
.VAR16(0),
.VAR136(0),
.VAR160(0),
.VAR95(0),
.VAR53(0),
.VAR56(0),
.VAR322(0),
.VAR83(0),
.VAR48(1),
.VAR344(0),
.VAR8(0),
.VAR388(0),
.VAR164(0),
.VAR20(0),
.VAR266(0),
.VAR84(0),
.VAR175(1),
.VAR381(1),
.VAR144(1),
.VAR278(1),
.VAR398(1),
.VAR149(1),
.VAR419(0),
.VAR94(0),
.VAR307("VAR204"),
.VAR210(1),
.VAR129(0),
.VAR221(0),
.VAR138(0),
.VAR186(1),
.VAR50("512x36"),
.VAR119(4),
.VAR312(1022),
.VAR317(1022),
.VAR262(1022),
.VAR287(1022),
.VAR66(1022),
.VAR245(1022),
.VAR315(5),
.VAR405(0),
.VAR229(0),
.VAR410(0),
.VAR134(0),
.VAR87(0),
.VAR426(0),
.VAR383(0),
.VAR147(31),
.VAR424(1023),
.VAR259(1023),
.VAR182(1023),
.VAR112(1023),
.VAR51(1023),
.VAR421(1023),
.VAR377(30),
.VAR176(0),
.VAR250(0),
.VAR270(0),
.VAR93(0),
.VAR222(0),
.VAR12(0),
.VAR52(0),
.VAR282(0),
.VAR219(0),
.VAR31(6),
.VAR394(1),
.VAR309(0),
.VAR236(0),
.VAR227(0),
.VAR224(0),
.VAR38(0),
.VAR203(0),
.VAR361(VAR361),
.VAR423(0),
.VAR106(0),
.VAR81(0),
.VAR223(0),
.VAR79(0),
.VAR96(0),
.VAR148(0),
.VAR305(0),
.VAR32(0),
.VAR101(0),
.VAR289(0),
.VAR370(0),
.VAR275(0),
.VAR214(0),
.VAR217(1),
.VAR283(0),
.VAR115(0),
.VAR135(0),
.VAR111(0),
.VAR99(0),
.VAR130(6),
.VAR19(1024),
.VAR302(16),
.VAR343(1024),
.VAR268(16),
.VAR425(1024),
.VAR207(16),
.VAR170(1),
.VAR30(10),
.VAR273(4),
.VAR339(10),
.VAR78(4),
.VAR9(10),
.VAR384(4),
.VAR102(1)
)
VAR228 (
.clk(clk),
.din(VAR146),
.dout(VAR76),
.VAR310(VAR310),
.VAR333(VAR333),
.VAR72(VAR72),
.VAR172(VAR172),
.rst(rst),
.VAR378(VAR378),
.VAR414(VAR414),
.VAR173(),
.VAR346(),
.VAR382(),
.VAR5(),
.VAR70(1'b0),
.VAR152(1'b0),
.VAR61(),
.VAR155(),
.VAR288(4'b0),
.VAR359(),
.VAR198(4'b0),
.VAR189(),
.VAR280(),
.VAR89(),
.VAR385(),
.VAR42(),
.VAR151(),
.VAR402(1'b0),
.VAR63(1'b0),
.VAR409(),
.VAR304(),
.VAR128(4'b0),
.VAR362(),
.VAR321(4'b0),
.VAR318(),
.VAR206(),
.VAR392(),
.VAR272(),
.VAR389(),
.VAR24(),
.VAR379(1'b0),
.VAR59(1'b0),
.VAR218(),
.VAR411(),
.VAR327(4'b0),
.VAR325(),
.VAR2(4'b0),
.VAR356(),
.VAR311(),
.VAR407(),
.VAR293(),
.VAR137(),
.VAR295(),
.VAR368(1'b0),
.VAR357(1'b0),
.VAR269(),
.VAR367(),
.VAR291(10'b0),
.VAR108(),
.VAR326(10'b0),
.VAR369(),
.VAR157(),
.VAR253(),
.VAR116(),
.VAR351(),
.VAR184(),
.VAR54(1'b0),
.VAR345(1'b0),
.VAR77(),
.VAR342(),
.VAR285(10'b0),
.VAR133(),
.VAR324(10'b0),
.VAR395(),
.VAR97(),
.VAR110(),
.VAR353(),
.VAR340(),
.VAR281(),
.VAR284(1'b0),
.VAR142(1'b0),
.VAR200(),
.VAR28(),
.VAR260(10'b0),
.VAR400(),
.VAR290(10'b0),
.VAR261(),
.VAR100(),
.VAR98(),
.VAR181(),
.VAR303(1'b0),
.VAR36(1'b0),
.VAR350(),
.VAR239(),
.VAR41(1'b0),
.VAR387(1'b0),
.VAR194(1'b0),
.VAR18(1'b0),
.VAR68(1'b0),
.VAR37(),
.VAR415(),
.VAR4(),
.VAR162(),
.VAR92(),
.VAR330(),
.VAR390(),
.VAR103(),
.VAR323(1'b0),
.VAR235(),
.VAR107(),
.VAR232(),
.VAR329(),
.VAR264(),
.VAR80(),
.VAR298(),
.VAR145(),
.VAR393(),
.VAR396(),
.VAR413(),
.VAR13(),
.VAR171(1'b0),
.VAR29(),
.VAR141(),
.VAR161(),
.VAR45(),
.VAR193(4'b0),
.VAR225(),
.VAR238(2'b0),
.VAR3(1'b0),
.VAR86(1'b0),
.VAR308(64'b0),
.VAR73(4'b0),
.VAR332(1'b0),
.VAR374(),
.VAR131(2'b0),
.VAR246(1'b0),
.VAR376(1'b0),
.VAR251(),
.VAR271(),
.VAR220(),
.VAR243(1'b0),
.VAR336(),
.VAR294(),
.VAR1(),
.VAR416(),
.VAR276(),
.VAR122(),
.VAR240(),
.VAR313(),
.VAR371(1'b0),
.VAR296(),
.VAR249(),
.VAR17(),
.VAR40(),
.VAR26(),
.VAR216(5'b0),
.VAR215(5'b0),
.VAR165(5'b0),
.VAR355(),
.VAR47(5'b0),
.VAR375(5'b0),
.VAR158(5'b0),
.VAR188(),
.VAR337(1'b0),
.VAR7(1'b0),
.VAR233(1'b0),
.VAR211(1'b0),
.VAR257(32'b0),
.VAR306(2'b0),
.VAR391(4'b0),
.VAR237(4'b0),
.VAR90(8'b0),
.VAR39(2'b0),
.VAR231(3'b0),
.VAR254(4'b0),
.VAR205(),
.VAR404(4'b0),
.VAR422(3'b0),
.VAR44(1'b0),
.VAR21(1'b0),
.VAR341(32'b0),
.VAR363(2'b0),
.VAR166(4'b0),
.VAR244(4'b0),
.VAR6(8'b0),
.VAR71(2'b0),
.VAR191(3'b0),
.VAR292(4'b0),
.VAR124(),
.VAR212(4'b0),
.VAR43(3'b0),
.VAR255(1'b0),
.VAR69(1'b0),
.VAR156(),
.VAR406(1'b0),
.VAR192(),
.VAR208(),
.VAR46(),
.VAR354(),
.VAR25(),
.VAR301(),
.VAR190(1'b0),
.VAR338(),
.VAR241(),
.VAR177(),
.VAR169(64'b0),
.VAR159(4'b0),
.VAR209(1'b0),
.VAR258(),
.VAR399(8'b0),
.VAR49(1'b0),
.VAR417(1'b0),
.VAR201(64'b0),
.VAR299(4'b0),
.VAR366(8'b0),
.VAR118(4'b0),
.VAR139(1'b0),
.VAR197(),
.VAR328(4'b0),
.VAR67(4'b0),
.VAR277(1'b0),
.VAR22(),
.VAR230(1'b0),
.VAR373(),
.valid(),
.VAR202(),
.VAR364(),
.VAR85(1'b0)
);
endmodule | bsd-2-clause |
elegabriel/myzju | junior1/CA/mips_pipeline2/code/cpu_ctl.v | 2,622 | module MODULE1(VAR31,VAR37,VAR39,VAR35,VAR36,VAR6,VAR2,VAR8,VAR9,VAR23,VAR24,VAR13,VAR16,VAR32,VAR12
);
input wire [5:0] VAR31, VAR37;
input wire VAR39;
output wire VAR35,VAR36,VAR6,VAR2,VAR8,VAR9,VAR23,VAR24,VAR13,VAR16,VAR32;
output wire [4:0] VAR12;
wire VAR25, VAR10, VAR26, VAR30, VAR5; wire VAR15,VAR19,VAR22,VAR21,VAR20,VAR29,VAR1,VAR38,VAR14,VAR11; wire VAR3,VAR18,VAR34,VAR27,VAR17,VAR28;
and(VAR25,~VAR31[5],~VAR31[4],~VAR31[3],~VAR31[2],~VAR31[1],~VAR31[0]);
and(VAR10, VAR25, ~VAR37[5], ~VAR37[4], VAR37[3], ~VAR37[2], ~VAR37[1], ~VAR37[0]); and(VAR26, VAR25, ~VAR37[5], ~VAR37[4], ~VAR37[3], ~VAR37[2], ~VAR37[1], ~VAR37[0]); and(VAR30, VAR25, ~VAR37[5], ~VAR37[4], ~VAR37[3], ~VAR37[2], VAR37[1], ~VAR37[0]); and(VAR5, VAR25, ~VAR37[5], ~VAR37[4], ~VAR37[3], ~VAR37[2], VAR37[1], VAR37[0]);
or(VAR29, VAR15, VAR19, VAR22, VAR21, VAR20, VAR33, VAR1, VAR38 );
and(VAR15,~VAR31[5],~VAR31[4], VAR31[3],~VAR31[2],~VAR31[1],~VAR31[0]); and(VAR19,~VAR31[5],~VAR31[4], VAR31[3], VAR31[2],~VAR31[1],~VAR31[0]); and(VAR22, ~VAR31[5],~VAR31[4], VAR31[3], VAR31[2],~VAR31[1], VAR31[0]);
and(VAR21,~VAR31[5],~VAR31[4], VAR31[3], VAR31[2], VAR31[1],~VAR31[0]);
and(VAR20,~VAR31[5],~VAR31[4], VAR31[3], ~VAR31[2], VAR31[1],~VAR31[0]);
and(VAR1, VAR31[5],~VAR31[4],~VAR31[3],~VAR31[2], VAR31[1], VAR31[0]);
and(VAR38, VAR31[5],~VAR31[4], VAR31[3],~VAR31[2], VAR31[1], VAR31[0]);
or(VAR33, VAR3, VAR18, VAR34, VAR27, VAR17, VAR28);
and(VAR3, ~VAR31[5],~VAR31[4],~VAR31[3], VAR31[2],~VAR31[1],~VAR31[0]); and(VAR18,~VAR31[5],~VAR31[4],~VAR31[3], ~VAR31[2],~VAR31[1],VAR31[0]); and(VAR34,~VAR31[5],~VAR31[4],~VAR31[3], VAR31[2],VAR31[1],VAR31[0]); and(VAR27,~VAR31[5],~VAR31[4],~VAR31[3], VAR31[2],VAR31[1],~VAR31[0]); and(VAR17,~VAR31[5],~VAR31[4],VAR31[3], ~VAR31[2],~VAR31[1],VAR31[0]); and(VAR28, ~VAR31[5],~VAR31[4],~VAR31[3], VAR31[2],~VAR31[1], VAR31[0]);
and(VAR14, ~VAR31[5],~VAR31[4],~VAR31[3],~VAR31[2], VAR31[1],~VAR31[0]);
and(VAR11, ~VAR31[5],~VAR31[4],~VAR31[3],~VAR31[2], VAR31[1], VAR31[0]);
assign VAR35 = VAR10;
assign VAR36 = VAR14;
assign VAR6 = VAR11;
assign VAR2 = VAR1;
assign VAR8 = VAR11 | (VAR16 & ~VAR38) | (VAR25 & ~VAR10);
assign VAR9 = VAR38;
assign VAR23 = VAR25 & ~VAR10;
assign VAR24 = VAR15 | VAR1 |VAR38; assign VAR13 = VAR26 | VAR30 | VAR5;
assign VAR16 = VAR29 & ~VAR33;
VAR4 VAR40(.VAR31(VAR31),.VAR37(VAR37),.VAR7(VAR12));
assign VAR32 = ( VAR3 & VAR39 ) | ( VAR28 & ~VAR39 );
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/sedfxbp/sky130_fd_sc_ls__sedfxbp_2.v | 2,564 | module MODULE1 (
VAR8 ,
VAR2 ,
VAR12 ,
VAR7 ,
VAR11 ,
VAR6 ,
VAR10 ,
VAR13,
VAR3,
VAR9 ,
VAR5
);
output VAR8 ;
output VAR2 ;
input VAR12 ;
input VAR7 ;
input VAR11 ;
input VAR6 ;
input VAR10 ;
input VAR13;
input VAR3;
input VAR9 ;
input VAR5 ;
VAR4 VAR1 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR13(VAR13),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR8 ,
VAR2,
VAR12,
VAR7 ,
VAR11 ,
VAR6,
VAR10
);
output VAR8 ;
output VAR2;
input VAR12;
input VAR7 ;
input VAR11 ;
input VAR6;
input VAR10;
supply1 VAR13;
supply0 VAR3;
supply1 VAR9 ;
supply0 VAR5 ;
VAR4 VAR1 (
.VAR8(VAR8),
.VAR2(VAR2),
.VAR12(VAR12),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR6(VAR6),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
alanachtenberg/CSCE-350 | Project 2/IdealMemory.v | 1,596 | module MODULE2 (VAR1, VAR6);
parameter VAR9 = 10;
parameter VAR8 = 1024, VAR2 = 32;
input [VAR2-1:0] VAR1;
output [VAR2-1:0] VAR6;
reg [VAR2-1:0] VAR6;
reg [VAR2-1:0] VAR12[0:VAR8-1];
always
endmodule
module MODULE1 (VAR1, VAR3, VAR5, VAR11, VAR4, VAR7);
parameter VAR9 = 10, VAR14 = 10;
parameter VAR8 = 1024, VAR2 = 32;
input [VAR2-1:0] VAR1;
input VAR3, VAR5, VAR11;
input [VAR2-1:0] VAR4;
output [VAR2-1:0] VAR7;
reg [VAR2-1:0] VAR7;
reg [VAR2-1:0] VAR12[0:VAR8-1];
integer VAR13;
always @( VAR1 or VAR5 )
if ( ~VAR11 && VAR5 )
VAR7 <= #VAR9 VAR12[VAR1 >> 2];
always @(negedge VAR3)
if (VAR11 == 1)
begin
VAR12[VAR1 >> 2] <= #VAR14 VAR4;
end
endmodule VAR10 | gpl-2.0 |
asicguy/gplgpu | hdl/mc_graph/mc_arb.v | 30,387 | module MODULE1
parameter VAR163 = 16
)
(
input VAR121,
input VAR39,
input [27:0] VAR118,
input [4:0] VAR56,
input VAR18,
input VAR2,
input [31:0] VAR87,
input [3:0] VAR125,
input [1:0] VAR72,
input VAR156,
input [31:0] VAR54,
input VAR96,
input [66:0] VAR127,
input VAR100,
input [6:0] VAR112,
input [4:0] VAR60,
input [22:0] VAR101, input [1:0] VAR136, input VAR89, input VAR151,
input VAR128,
input [4:0] VAR44,
input [20:0] VAR80,
input VAR126,
input VAR159,
input [17:0] VAR109,
input VAR95,
input [31:0] VAR94,
input [5:0] VAR22,
input VAR129,
output reg VAR113,
input [31:0] VAR51,
input VAR64,
input VAR105,
output reg VAR30,
input VAR63,
input VAR9,
input VAR141,
output reg [24:0] VAR143,
output reg VAR50,
output reg VAR148,
output reg VAR52,
output reg [5:0] VAR120,
output VAR7,
output reg VAR97,
output VAR13,
output VAR29,
output VAR92,
output VAR66,
output VAR17,
output VAR110,
output VAR27,
output reg VAR130,
output reg VAR106,
output reg VAR81,
output reg VAR138,
output reg VAR103,
output reg VAR28,
output reg VAR70,
output reg VAR47,
output VAR157,
output reg VAR55,
output reg VAR116,
output [3:0] VAR35,
output reg [66:0] VAR115,
output reg VAR23,
output reg [3:0] VAR88,
output reg [1:0] VAR68,
output reg [4:0] VAR117,
output reg [2:0] VAR41,
output [8:0] VAR38,
output [8:0] VAR142
);
localparam
VAR76 = 2'b01,
VAR21 = 2'b00,
VAR37 = 2'b10,
VAR168 = 4'h0,
VAR48 = 4'h1,
VAR104 = 4'h2,
VAR43 = 4'h3,
VAR155 = 4'h4,
VAR167 = 4'h5,
VAR161 = 4'h6,
VAR111 = 4'h7,
VAR49 = 4'h8,
VAR77 = 1'b0,
VAR32 = 1'b1,
VAR137 = 1'b0,
VAR139 = 1'b1,
VAR122 = 4'h0,
VAR99 = 4'h1,
VAR152 = 4'h2,
VAR34 = 4'h3,
VAR102 = 4'h4,
VAR158 = 1'b0,
VAR134 = 1'b1;
reg [3:0] VAR91; reg [1:0] VAR31; reg [5:0] VAR98; reg [31:0] VAR107; reg [31:0] VAR16; reg [31:0] VAR57; reg [5:0] VAR42; reg [5:0] VAR93; reg [7:0] VAR75; reg VAR132, VAR3; reg VAR90, VAR150; reg VAR133, VAR71; reg VAR154; reg VAR8; reg VAR53; reg VAR114; reg VAR140; reg VAR147; reg [4:0] VAR4; reg VAR11, VAR164;
reg [4:0] VAR124;
reg VAR20; reg VAR5; reg VAR135; reg VAR146;
reg [5:0] VAR6;
reg [6:0] VAR58;
reg [8:0] VAR149;
reg VAR19;
reg VAR165;
reg VAR36;
reg VAR144;
reg VAR24;
reg VAR166;
reg VAR1;
reg VAR46;
reg VAR83;
reg VAR108;
reg VAR79;
reg VAR162; reg VAR40; reg VAR78; reg [5:0] VAR67; reg VAR73; reg VAR82; reg VAR85;
reg [4:0] VAR86;
reg VAR45;
wire VAR10; wire [5:0] VAR160;
wire VAR119;
wire VAR12;
wire [3:0] VAR123;
wire VAR145;
wire VAR153;
wire VAR74;
assign VAR38 = {
1'b0,
VAR159,
1'b0,
VAR147, VAR114, VAR151,
VAR18,
VAR8,
VAR128
} &
{8{~VAR20}} & ~VAR75 & {VAR126, {7{~VAR126}}};
assign VAR92 = VAR75[7];
assign VAR74= VAR75[5];
assign VAR153 = VAR75[4];
assign VAR13 = VAR75[3];
assign VAR7 = VAR75[2];
assign VAR10 = VAR75[1];
assign VAR29 = VAR75[0];
always @* begin
if (VAR126) VAR5 = ~|VAR4 & ~VAR166;
end
else VAR5 = ~|VAR4 & ~VAR165 & ~VAR144 & ~VAR1 & ~VAR83 & ~VAR46;
if (VAR91 == VAR161 || VAR91 == VAR49) begin
VAR116 = VAR4[3] & VAR63;
VAR50 = VAR4[4];
VAR20 = VAR4[4];
end else begin
VAR116 = VAR4[0] & VAR63;
VAR50 = VAR4[1];
VAR20 = VAR4[1];
end
end
always @* begin
VAR113 = 1'b0;
VAR53 = 1'b0;
VAR150 = VAR90;
case (VAR90)
VAR77: begin
if (VAR129) begin
VAR113 = 1'b1;
VAR150 = VAR32;
end else
VAR150 = VAR77;
end VAR32: begin
VAR53 = 1'b1;
if (VAR153) begin
VAR150 = VAR77;
VAR53 = 1'b0;
end else
VAR150 = VAR32;
end
endcase end
always @* begin
VAR30 = 1'b0;
VAR140 = 1'b0;
VAR71 = VAR133;
case (VAR133)
VAR77: begin
if (VAR64) begin
VAR30 = 1'b1;
VAR71 = VAR32;
end else
VAR71 = VAR77;
end VAR32: begin
VAR140 = 1'b1;
if (VAR74) begin
VAR71 = VAR77;
VAR140 = 1'b0;
end else
VAR71 = VAR32;
end
endcase end
always @* begin
VAR97 = 1'b0;
VAR154 = 1'b0;
VAR3 = VAR132;
case (VAR132)
VAR137: begin
if (VAR156) begin
VAR97 = 1'b1;
VAR3 = VAR139;
end else
VAR3 = VAR137;
end VAR139: begin
VAR154 = 1'b1;
if (VAR10) begin
VAR3 = VAR137;
VAR154 = 1'b0;
end else
VAR3 = VAR139;
end
endcase end
always @(posedge VAR121, negedge VAR39) begin
if (!VAR39) VAR86 <= 5'h0;
end
else if(VAR19) VAR86 <= VAR60;
end
always @* begin
VAR57 = 32'h0;
VAR42 = 6'h0;
case (VAR91)
VAR48, VAR49: begin
if (VAR82 && VAR86[3]) begin
end
if(VAR86[2:1] == 2'b00) VAR68 = VAR21; else VAR68 = VAR37;
if (VAR163 == 32) begin
VAR57 = {VAR16, 1'b0};
VAR42 = {2'b0, VAR98[4:1]};
end else if (VAR163 == 16) begin
VAR57 = VAR16;
VAR42 = VAR98;
end else if (VAR163 == 8) begin
VAR57 = VAR16>>1;
VAR42 = (VAR2) ? 6'b0 : {1'b0, VAR98,1'b1};
end else begin
VAR57 = VAR16>>2;
VAR42 = (VAR2) ? 6'b0 : {VAR98,2'b11};
end
end else begin
VAR68 = VAR31;
if (VAR163 == 32) begin
VAR57 = {VAR107, 1'b0};
VAR42 = {3'b0, VAR98[4:1]};
end else if (VAR163 == 16) begin
VAR57 = VAR107;
VAR42 = VAR98;
end else if (VAR163 == 8) begin
VAR57 = VAR107>>1;
VAR42 = (VAR2) ? 6'b0 : {1'b0, VAR98,1'b1};
end else begin
VAR57 = VAR107>>2;
VAR42 = (VAR2) ? 6'b0 : {VAR98,2'b11};
end
end
end
VAR104: begin
VAR68 = 2'b1;
VAR57 = VAR118;
if (VAR163 == 32) begin
VAR42 = 7'h0;
end else if (VAR163 == 16) begin
VAR42 = VAR56;
end else if (VAR163 == 8) begin
VAR42 = 7'h1;
end else begin
VAR42 = 7'h3;
end
end VAR43: begin
VAR68 = VAR89;
VAR57 = VAR101;
VAR42 = VAR136;
end
VAR168: begin
VAR68 = VAR76;
VAR57 = VAR80;
VAR42 = VAR44;
end
VAR111: begin
VAR68 = VAR95 ? VAR76 : VAR21;
VAR57 = VAR109 >> 1;
VAR42 = 0;
end
VAR155: begin
VAR68 = VAR76;
VAR57 = VAR94;
VAR42 = VAR22;
end
VAR167: begin
VAR68 = VAR76;
VAR57 = VAR45 ? ((VAR51) + 32) :
(VAR51);
VAR42 = 6'h1F;
end
default: begin
VAR68 = 2'b1;
VAR57 = {VAR118, 4'b0};
if (VAR163 == 32) begin
VAR42 = 7'h0;
end else if (VAR163 == 16) begin
VAR42 = 6'h0;
end else if (VAR163 == 8) begin
VAR42 = 7'h1;
end else begin
VAR42 = 7'h3;
end
end
endcase
VAR41 = VAR168[2:0];
casex ({VAR126, VAR91})
{1'b1, 4'VAR131}: VAR41 = VAR111[2:0];
{1'b0, VAR168}: begin
case (1'b1)
VAR38[VAR168]: VAR41 = VAR168[2:0];
VAR38[VAR104]: VAR41 = VAR104[2:0];
VAR38[VAR43]: VAR41 = VAR43[2:0];
VAR38[VAR167]: VAR41 = VAR167[2:0];
VAR38[VAR155]: VAR41 = VAR155[2:0];
VAR38[VAR48]: VAR41 = VAR48[2:0];
default: VAR41 = VAR168[2:0];
endcase
end
{1'b0, VAR104}: begin
case (1'b1)
VAR38[VAR168]: VAR41 = VAR168[2:0];
VAR38[VAR43]: VAR41 = VAR43[2:0];
VAR38[VAR167]: VAR41 = VAR167[2:0];
VAR38[VAR155]: VAR41 = VAR155[2:0];
VAR38[VAR48]: VAR41 = VAR48[2:0];
VAR38[VAR104]: VAR41 = VAR104[2:0];
default: VAR41 = VAR168[2:0];
endcase
end
{1'b0, VAR43}: begin
case (1'b1)
VAR38[VAR168]: VAR41 = VAR168[2:0];
VAR38[VAR104]: VAR41 = VAR104[2:0];
VAR38[VAR167]: VAR41 = VAR167[2:0];
VAR38[VAR155]: VAR41 = VAR155[2:0];
VAR38[VAR48]: VAR41 = VAR48[2:0];
VAR38[VAR43]: VAR41 = VAR43[2:0];
default: VAR41 = VAR168[2:0];
endcase
end
{1'b0, VAR167}: begin
case (1'b1)
VAR38[VAR168]: VAR41 = VAR168[2:0];
VAR38[VAR104]: VAR41 = VAR104[2:0];
VAR38[VAR43]: VAR41 = VAR43[2:0];
VAR38[VAR155]: VAR41 = VAR155[2:0];
VAR38[VAR48]: VAR41 = VAR48[2:0];
VAR38[VAR167]: VAR41 = VAR167[2:0];
default: VAR41 = VAR168[2:0];
endcase
end
{1'b0, VAR155}: begin
case (1'b1)
VAR38[VAR168]: VAR41 = VAR168[2:0];
VAR38[VAR104]: VAR41 = VAR104[2:0];
VAR38[VAR43]: VAR41 = VAR43[2:0];
VAR38[VAR167]: VAR41 = VAR167[2:0];
VAR38[VAR48]: VAR41 = VAR48[2:0];
VAR38[VAR155]: VAR41 = VAR155[2:0];
default: VAR41 = VAR168[2:0];
endcase
end
{1'b0, VAR48}, {1'b0, VAR49}: begin
case (1'b1)
VAR38[VAR168]: VAR41 = VAR168[2:0];
VAR38[VAR104]: VAR41 = VAR104[2:0];
VAR38[VAR43]: VAR41 = VAR43[2:0];
VAR38[VAR167]: VAR41 = VAR167[2:0];
VAR38[VAR155]: VAR41 = VAR155[2:0];
VAR38[VAR48]: VAR41 = VAR48[2:0];
default: VAR41 = VAR168[2:0];
endcase
end
default: begin
case (1'b1)
VAR38[VAR168]: VAR41 = VAR168[2:0];
VAR38[VAR104]: VAR41 = VAR104[2:0];
VAR38[VAR43]: VAR41 = VAR43[2:0];
VAR38[VAR167]: VAR41 = VAR167[2:0];
VAR38[VAR155]: VAR41 = VAR155[2:0];
VAR38[VAR48]: VAR41 = VAR48[2:0];
default: VAR41 = VAR168[2:0];
endcase
end
endcase end
assign VAR35 = VAR91;
assign VAR66 = (VAR165 | VAR1) & VAR63;
assign VAR17 = (VAR36 | VAR46) & VAR63;
assign VAR110 = (VAR24 | VAR108) & VAR63;
assign VAR27 = (VAR144 | VAR83) & VAR63;
assign VAR157 = (VAR166 | VAR79) & VAR63;
always @* begin
VAR73 = 1'b0;
VAR162 = 1'b0;
case (VAR40)
VAR158: begin
if (~VAR119) begin
VAR162 = 1'b1;
VAR78 = VAR134;
VAR73 = 1'b1;
end else
VAR78 = VAR158;
end
VAR134: begin
if (VAR9 && (VAR67 == VAR160))
begin
if (~VAR119) begin
VAR162 = 1'b1;
VAR78 = VAR134;
VAR73 = 1'b1;
end
else VAR78 = VAR158;
end
else VAR78 = VAR134;
end
endcase end
always @(posedge VAR121, negedge VAR39)
if (!VAR39) begin
VAR45 <= 1'b0;
end else begin
if (VAR30) VAR45 <= VAR105;
end
always @(posedge VAR121, negedge VAR39) begin
if (!VAR39) begin
VAR1 <= 1'b0;
VAR46 <= 1'b0;
VAR108 <= 1'b0;
VAR83 <= 1'b0;
VAR79 <= 1'b0;
end
else if (VAR63) begin
VAR1 <= 1'b0;
VAR46 <= 1'b0;
VAR108 <= 1'b0;
VAR83 <= 1'b0;
VAR79 <= 1'b0;
end
else begin
VAR1 <= VAR165 | VAR1;
VAR46 <= VAR36 | VAR46;
VAR108 <= VAR24 | VAR108;
VAR83 <= VAR144 | VAR83;
VAR79 <= VAR166 | VAR79;
end end
always @(posedge VAR121, negedge VAR39) begin
if (!VAR39) begin
VAR4 <= 5'b0;
VAR124 <= 1'b0;
end
else if (VAR63) begin
if (VAR91 == VAR161 || VAR91 == VAR49) begin
VAR4 <= {VAR4[3:0], (VAR66 | VAR17)};
VAR124 <= {VAR124[3:0], VAR11};
end
else begin
VAR4 <= {3'b0, VAR4[0], (VAR66 | VAR27 | VAR157)};
VAR124 <= {3'b0, VAR124[0], VAR11};
end
end
end
always @(posedge VAR121, negedge VAR39) begin
if (~VAR39) VAR85 <= 1'b0;
end
else if (VAR47) VAR85 <= 1'b1;
end
else if (VAR17) VAR85 <= 1'b0;
end
always @(posedge VAR121, negedge VAR39) begin
if (!VAR39) begin
VAR88 <= VAR122;
VAR91 <= VAR168;
VAR75 <= 8'b0;
VAR115 <= 67'b0;
VAR132 <= VAR137;
VAR90 <= VAR77;
VAR133 <= VAR77;
VAR40 <= VAR158;
VAR8 <= 1'b0;
VAR114 <= 1'b0;
VAR147 <= 1'b0;
VAR165 <= 1'b0;
VAR36 <= 1'b0;
VAR24 <= 1'b0;
VAR144 <= 1'b0;
VAR166 <= 1'b0;
VAR120 <= 6'b0;
VAR143 <= 'b0;
VAR148 <= 1'b0;
VAR52 <= 1'b0;
VAR93 <= 6'b0;
VAR146 <= 1'b0;
VAR6 <= 6'b0;
VAR58 <= 6'b0;
VAR149 <= 9'b0;
VAR19 <= 1'b0;
VAR11 <= 1'b0;
VAR130 <= 1'b0;
VAR106 <= 1'b0;
VAR81 <= 1'b0;
VAR138 <= 1'b0;
VAR103 <= 1'b0;
VAR28 <= 1'b0;
VAR70 <= 1'b0;
VAR23 <= 1'b0;
VAR67 <= 6'h0;
VAR82 <= 1'b1;
VAR164 <= 1'b0;
end else begin VAR11 <= 1'b0;
VAR75 <= 8'b0;
VAR148 <= 1'b0;
VAR146 <= 1'b0;
VAR144 <= 1'b0;
VAR165 <= 1'b0;
VAR36 <= 1'b0;
VAR24 <= 1'b0;
VAR166 <= 1'b0;
VAR130 <= VAR142[VAR48] & VAR9;
VAR106 <= VAR142[VAR168] & VAR9;
VAR81 <= VAR142[VAR104] & VAR9;
VAR138 <= VAR142[VAR155] & VAR9;
VAR103 <= VAR142[VAR167] & VAR9;
VAR28 <= VAR142[VAR43] & VAR9;
VAR70 <= VAR142[VAR161] & VAR9;
VAR55 <= VAR142[VAR111] & VAR9;
VAR47 <= VAR142[VAR49] & VAR9;
VAR40 <= VAR78;
VAR132 <= VAR3;
VAR90 <= VAR150;
VAR133 <= VAR71;
VAR19 <= VAR97;
VAR8 <= VAR154;
VAR114 <= VAR53;
VAR147 <= VAR140;
if (VAR73) VAR67 <= 6'h0;
end
else if (VAR9) VAR67 <= VAR67 + 6'h1;
if (VAR19) begin
VAR31 <= VAR72;
VAR98 <= VAR125;
VAR107 <= VAR87;
VAR16 <= VAR54;
end
if ((VAR88 == VAR99) && ((VAR68 == VAR76) || VAR68 == VAR37) && !VAR12 && VAR63 && (VAR38[VAR91]))
VAR52 <= 1'b1;
end
else if (VAR91 == VAR161 || VAR91 == VAR49) VAR52 <= VAR124[3];
end
else VAR52 <= VAR124[0];
case (VAR88)
VAR122: if (VAR141) VAR88 <= VAR99;
end
else VAR88 <= VAR122;
VAR99: begin VAR23 <= VAR156 || ~VAR96;
if(VAR38[VAR91]) begin
VAR115 <= VAR127;
if (VAR91 == VAR48) VAR117 <= VAR86;
end
else VAR117 <= 5'b0;
VAR143 <= VAR57[24:0];
VAR120 <= VAR42 + 1'b1;
VAR93 <= VAR42 + 1'b1;
VAR164 <= 1'b1;
case (VAR68)
VAR21: begin VAR88 <= VAR152;
if (VAR86[3] & VAR82 & (VAR91 == VAR48)) VAR91 <= VAR49;
end
else VAR75[VAR91] <= 1'b1;
end
VAR76: begin if(!VAR12 && VAR63) begin
VAR148 <= 1'b1;
VAR75[VAR91] <= 1'b1;
VAR146 <= 1'b1;
VAR149 <= 1'b1 << VAR91;
VAR6 <= VAR42;
VAR58 <= VAR42 + 7'h1;
VAR88 <= VAR99;
end
end
VAR37: begin if(!VAR12 && VAR63) begin
VAR148 <= 1'b1;
VAR75[VAR91] <= ~VAR86[3] || ~VAR82;
VAR146 <= 1'b1;
if (VAR86[3] & VAR82) begin
VAR149 <= 'h100;
VAR91 <= VAR49;
end
else begin
VAR149 <= 'h40;
VAR91 <= VAR161;
end
VAR6 <= VAR42;
VAR58 <= VAR42 + 7'h1;
VAR88 <= VAR152;
end
end
default: VAR88 <= VAR99;
endcase end else begin
VAR91 <= VAR41;
VAR88 <= VAR99;
end
end VAR152: begin if (
(!VAR96 && (VAR91 == VAR48)) ||
(!VAR96 && (VAR85 || (VAR117[2:1] == 2'b00)) && (VAR91 == VAR49)) ||
((VAR112 == VAR58) && (VAR91 == VAR161) && !VAR100) ||
(VAR91 == VAR43) ||
(VAR91 == VAR111)
) begin
if (VAR63) begin
VAR11 <= VAR164;
VAR164 <= 1'b0;
VAR165 <= ((VAR91 == VAR48) || (VAR91 == VAR161)) & |VAR93 & (~VAR82 | ~VAR117[3]); VAR36 <= ((VAR91 == VAR49)) & |VAR93 & VAR82;
VAR24 <= (VAR91 == VAR161) & |VAR93;
VAR144 <= (VAR91 == VAR43) & |VAR93;
VAR166 <= (VAR91 == VAR111) & |VAR93;
VAR93 <= VAR93 - |VAR93;
if (VAR91 == VAR161 || VAR91 == VAR49) VAR88 <= VAR34;
end
else if (~|VAR93) VAR88 <= VAR102;
end else VAR88 <= VAR152;
end else VAR88 <= VAR152;
end VAR34: begin VAR165 <= ((VAR91 == VAR48) || (VAR91 == VAR161)) & |VAR93 & (~VAR82 | ~VAR117[3]); VAR36 <= (VAR91 == VAR49) & |VAR93 & VAR82;
VAR24 <= (VAR91 == VAR161) & |VAR93;
VAR144 <= (VAR91 == VAR43) & |VAR93;
VAR166 <= (VAR91 == VAR111) & |VAR93;
if (VAR63) begin
VAR93 <= VAR93 - |VAR93;
if (VAR4[3]) VAR88 <= VAR102;
end
else VAR88 <= VAR34;
end else VAR88 <= VAR34;
end
VAR102: begin VAR165 <= ((VAR91 == VAR48) || (VAR91 == VAR161)) & |VAR93 & (~VAR82 | ~VAR117[3]); VAR36 <= (VAR91 == VAR49) & |VAR93 & VAR82;
VAR24 <= (VAR91 == VAR161) & |VAR93;
VAR144 <= (VAR91 == VAR43) & |VAR93;
VAR166 <= (VAR91 == VAR111) & |VAR93;
if (VAR63) begin
VAR93 <= VAR93 - |VAR93;
if (VAR5) begin
if ((VAR117[3] ) & (VAR91 != VAR43)) VAR82 <= ~VAR82;
VAR88 <= VAR99;
VAR91 <= VAR41; end
else VAR88 <= VAR102;
end
else VAR88 <= VAR102;
end
endcase end end
VAR65 VAR14
(
.VAR25 ({VAR149, VAR6}),
.VAR61 (VAR146),
.VAR15 (VAR162),
.VAR62 (VAR121),
.VAR69 (~VAR39),
.VAR84 ({VAR142, VAR160}),
.VAR33 (),
.VAR26 (VAR119),
.VAR59 (VAR123),
.VAR12 (VAR12)
);
always @(posedge VAR121) begin
if(!VAR50 && !VAR148 && VAR52) begin
end
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/fill/sky130_fd_sc_ls__fill.functional.pp.v | 1,147 | module MODULE1 (
VAR2,
VAR4,
VAR3 ,
VAR1
);
input VAR2;
input VAR4;
input VAR3 ;
input VAR1 ;
endmodule | apache-2.0 |
ShepardSiegel/ocpi | rtl/mkBiasWorker32B.v | 58,918 | module MODULE1(VAR69,
VAR31,
VAR123,
VAR73,
VAR145,
VAR47,
VAR137,
VAR222,
VAR285,
VAR327,
VAR244,
VAR107,
VAR276,
VAR18,
VAR79,
VAR149,
VAR39,
VAR122,
VAR6,
VAR336,
VAR14,
VAR19,
VAR208,
VAR98,
VAR306,
VAR29,
VAR339,
VAR236,
VAR8,
VAR22,
VAR193,
VAR116);
parameter [0 : 0] VAR232 = 1'b0;
input VAR69;
input VAR31;
input [2 : 0] VAR123;
input VAR73;
input [3 : 0] VAR145;
input [31 : 0] VAR47;
input [31 : 0] VAR137;
output [1 : 0] VAR222;
output [31 : 0] VAR285;
output VAR327;
output [1 : 0] VAR244;
input [1 : 0] VAR107;
input [2 : 0] VAR276;
input VAR18;
input VAR79;
input [11 : 0] VAR149;
input [255 : 0] VAR39;
input [31 : 0] VAR122;
input [7 : 0] VAR6;
output VAR336;
output VAR14;
input VAR19;
output [2 : 0] VAR208;
output VAR98;
output VAR306;
output [11 : 0] VAR29;
output [255 : 0] VAR339;
output [31 : 0] VAR236;
output [7 : 0] VAR8;
input VAR22;
output VAR193;
input VAR116;
wire [255 : 0] VAR339;
wire [31 : 0] VAR285, VAR236;
wire [11 : 0] VAR29;
wire [7 : 0] VAR8;
wire [2 : 0] VAR208;
wire [1 : 0] VAR244, VAR222;
wire VAR327,
VAR306,
VAR98,
VAR193,
VAR14,
VAR336;
wire [312 : 0] VAR21, VAR138;
wire [255 : 0] VAR12;
wire [95 : 0] VAR57, VAR26;
wire [71 : 0] VAR272;
wire [33 : 0] VAR136;
wire [31 : 0] VAR289,
VAR52,
VAR282;
wire [11 : 0] VAR260;
wire [7 : 0] VAR156;
wire [3 : 0] VAR66;
wire [2 : 0] VAR351,
VAR175,
VAR343;
wire VAR91,
VAR314,
VAR319,
VAR256,
VAR64,
VAR153,
VAR129,
VAR335,
VAR352,
VAR275,
VAR264,
VAR148,
VAR77,
VAR20,
VAR201,
VAR124,
VAR163,
VAR302,
VAR280,
VAR24,
VAR88,
VAR309,
VAR179,
VAR225,
VAR30,
VAR169,
VAR76,
VAR353,
VAR126,
VAR229,
VAR240,
VAR294,
VAR168,
VAR82,
VAR44,
VAR106,
VAR127,
VAR160,
VAR101,
VAR40,
VAR189,
VAR330,
VAR217,
VAR150,
VAR65,
VAR120,
VAR62,
VAR246,
VAR197,
VAR78,
VAR10;
reg [31 : 0] VAR51;
wire [31 : 0] VAR251;
wire VAR234;
reg [31 : 0] VAR317;
wire [31 : 0] VAR204;
wire VAR288;
reg [2 : 0] VAR235;
wire [2 : 0] VAR33;
wire VAR284;
reg [2 : 0] VAR133;
wire [2 : 0] VAR5;
wire VAR56;
reg VAR45;
wire VAR74, VAR81;
reg VAR55;
wire VAR174, VAR203;
reg VAR11;
wire VAR70, VAR292;
reg VAR165;
wire VAR144, VAR331;
reg [2 : 0] VAR15;
reg [2 : 0] VAR93;
wire VAR299;
reg [1 : 0] VAR210;
wire [1 : 0] VAR311;
wire VAR94;
reg [1 : 0] VAR108;
wire [1 : 0] VAR46;
wire VAR143;
reg [33 : 0] VAR329;
reg [33 : 0] VAR253;
wire VAR36;
reg [33 : 0] VAR41;
reg [33 : 0] VAR59;
wire VAR115;
reg VAR180;
wire VAR186, VAR188;
reg VAR128;
wire VAR269, VAR90;
reg [1 : 0] VAR342;
wire [1 : 0] VAR290;
wire VAR281;
reg VAR102;
wire VAR95, VAR117;
reg [31 : 0] VAR202;
wire [31 : 0] VAR125;
wire VAR205;
reg VAR262;
wire VAR32, VAR152;
reg VAR224;
wire VAR344, VAR187;
reg [31 : 0] VAR237;
wire [31 : 0] VAR305;
wire VAR322;
reg VAR167;
wire VAR16, VAR346;
reg [1 : 0] VAR337;
wire [1 : 0] VAR28;
wire VAR250;
reg [312 : 0] VAR170;
reg [312 : 0] VAR287;
wire VAR278;
reg [312 : 0] VAR140;
reg [312 : 0] VAR96;
wire VAR184;
reg VAR85;
wire VAR313, VAR1;
reg [7 : 0] VAR243;
wire [7 : 0] VAR296;
wire VAR155;
reg [31 : 0] VAR255;
wire [31 : 0] VAR89;
wire VAR221;
reg VAR231;
wire VAR325, VAR83;
reg [1 : 0] VAR4;
wire [1 : 0] VAR17;
wire VAR196;
reg VAR173;
wire VAR114, VAR86;
reg [31 : 0] VAR347;
wire [31 : 0] VAR131;
wire VAR130;
reg VAR271;
wire VAR23, VAR97;
reg [11 : 0] VAR147;
wire [11 : 0] VAR218;
wire VAR42;
reg VAR151;
wire VAR273, VAR113;
reg [31 : 0] VAR318;
wire [31 : 0] VAR132;
wire VAR233;
reg VAR176;
wire VAR303, VAR37;
reg [1 : 0] VAR13;
wire [1 : 0] VAR248;
wire VAR206;
reg VAR53;
wire VAR249, VAR35;
reg [7 : 0] VAR279;
wire [7 : 0] VAR324;
wire VAR328;
reg [31 : 0] VAR213;
wire [31 : 0] VAR134;
wire VAR266;
reg VAR241;
wire VAR348, VAR43;
reg [11 : 0] VAR54;
wire [11 : 0] VAR191;
wire VAR110;
wire [71 : 0] VAR239, VAR172;
wire VAR245,
VAR263,
VAR92,
VAR109;
wire [312 : 0] VAR27, VAR2;
wire VAR61,
VAR141,
VAR178,
VAR25,
VAR75;
wire VAR103,
VAR283,
VAR159,
VAR334,
VAR118,
VAR71,
VAR298,
VAR277,
VAR181,
VAR68,
VAR308,
VAR87,
VAR100,
VAR312,
VAR295;
reg [33 : 0] VAR261;
wire [312 : 0] VAR267,
VAR355,
VAR158;
wire [33 : 0] VAR182,
VAR207,
VAR162,
VAR349;
wire [1 : 0] VAR190,
VAR199,
VAR258;
wire VAR307,
VAR216,
VAR60,
VAR323,
VAR223,
VAR194,
VAR58,
VAR354,
VAR111,
VAR230,
VAR119,
VAR185,
VAR211,
VAR301;
reg [63 : 0] VAR268, VAR320, VAR209, VAR142, VAR286;
reg [31 : 0] VAR63;
wire [255 : 0] VAR293;
wire [31 : 0] VAR200,
VAR227,
VAR242,
VAR164,
VAR350,
VAR139,
VAR238;
wire [15 : 0] VAR341;
wire [1 : 0] VAR80;
wire VAR3, VAR121, VAR333, VAR104;
assign VAR222 = VAR329[33:32] ;
assign VAR285 = VAR329[31:0] ;
assign VAR327 =
VAR210 > 2'd1 || VAR165 ;
assign VAR244 = { 1'd1, VAR180 } ;
assign VAR336 =
!VAR330 || VAR189 ;
assign VAR14 = !VAR271 && VAR151 ;
assign VAR208 = VAR85 ? 3'd0 : VAR170[312:310] ;
assign VAR98 = !VAR85 && VAR170[309] ;
assign VAR306 = !VAR85 && VAR170[308] ;
assign VAR29 =
VAR85 ? 12'd0 : VAR170[307:296] ;
assign VAR339 = VAR170[295:40] ;
assign VAR236 = VAR170[39:8] ;
assign VAR8 = VAR85 ? 8'd0 : VAR170[7:0] ;
assign VAR193 = !VAR262 && VAR224 ;
VAR315 #(.VAR274(32'd72),
.VAR345(32'd3),
.VAR195(32'd1),
.VAR338(32'd1)) VAR326(.VAR105(VAR31),
.VAR257(VAR69),
.VAR228(VAR239),
.VAR38(VAR109),
.VAR220(VAR263),
.VAR291(VAR245),
.VAR215(VAR172),
.VAR166(),
.VAR183(VAR92));
VAR315 #(.VAR274(32'd313),
.VAR345(32'd3),
.VAR195(32'd1),
.VAR338(32'd1)) VAR192(.VAR105(VAR31),
.VAR257(VAR69),
.VAR228(VAR27),
.VAR38(VAR25),
.VAR220(VAR141),
.VAR291(VAR61),
.VAR215(VAR2),
.VAR166(VAR75),
.VAR183(VAR178));
assign VAR71 =
VAR92 && VAR309 &&
!VAR118 ;
assign VAR159 =
VAR309 &&
VAR71 &&
VAR133 == 3'd1 &&
VAR172[36:34] == 3'd1 ;
assign VAR334 =
VAR309 &&
VAR71 &&
VAR133 == 3'd2 &&
VAR172[36:34] == 3'd3 ;
assign VAR283 =
VAR108 != 2'd2 && VAR92 &&
VAR88 &&
!VAR71 &&
!VAR118 ;
assign VAR118 =
VAR108 != 2'd2 && VAR55 &&
VAR45 ;
assign VAR103 =
VAR108 != 2'd2 && VAR92 &&
VAR24 &&
!VAR71 &&
!VAR118 ;
assign VAR181 =
VAR20 && VAR77 &&
!(VAR108 != 2'd0) ;
assign VAR277 =
VAR108 != 2'd0 &&
!VAR77 ;
assign VAR298 =
VAR20 && VAR108 != 2'd0 &&
VAR77 ;
assign VAR87 =
VAR337 != 2'd0 && !VAR85 ;
assign VAR100 =
VAR301 &&
VAR301 &&
!VAR87 ;
assign VAR308 =
VAR87 &&
!VAR301 ;
assign VAR68 =
VAR301 &&
VAR87 &&
VAR301 ;
assign VAR312 =
VAR75 && VAR151 && VAR176 &&
VAR138[312:310] == 3'd1 ;
assign VAR295 =
VAR312 ||
VAR301 ;
assign VAR307 =
VAR283 && VAR172[39:32] == 8'h0 ;
assign VAR216 =
VAR309 &&
VAR71 &&
VAR133 == 3'd0 &&
VAR172[36:34] == 3'd0 ;
assign VAR60 =
VAR283 && VAR172[39:32] == 8'h04 ;
assign VAR323 =
VAR71 &&
(VAR172[36:34] == 3'd0 && VAR133 != 3'd0 ||
VAR172[36:34] == 3'd1 && VAR133 != 3'd1 &&
VAR133 != 3'd3 ||
VAR172[36:34] == 3'd2 && VAR133 != 3'd2 ||
VAR172[36:34] == 3'd3 && VAR133 != 3'd3 &&
VAR133 != 3'd2 &&
VAR133 != 3'd1 ||
VAR172[36:34] == 3'd4 ||
VAR172[36:34] == 3'd5 ||
VAR172[36:34] == 3'd6 ||
VAR172[36:34] == 3'd7) ;
assign VAR194 =
VAR298 && VAR121 ;
assign VAR58 =
VAR181 &&
VAR108 == 2'd0 ;
assign VAR354 =
VAR298 && VAR3 ;
assign VAR111 =
VAR181 &&
VAR108 == 2'd1 ;
assign VAR230 =
VAR68 && VAR104 ;
assign VAR119 =
VAR100 && VAR337 == 2'd0 ;
assign VAR185 =
VAR68 && VAR333 ;
assign VAR211 =
VAR100 && VAR337 == 2'd1 ;
assign VAR301 =
VAR337 != 2'd2 && VAR178 &&
VAR133 == 3'd2 ;
assign VAR223 =
VAR172[36:34] != 3'd4 &&
VAR172[36:34] != 3'd5 &&
VAR172[36:34] != 3'd6 ;
assign VAR190 =
VAR108 + 2'd1 ;
assign VAR182 =
(VAR108 == 2'd1) ?
VAR261 :
VAR41 ;
always@(VAR118 or
VAR162 or
VAR103 or
VAR349 or VAR283)
begin
case (1'b1) VAR118:
VAR261 =
VAR162;
VAR103:
VAR261 =
VAR349;
VAR283:
VAR261 = 34'h1C0DE4201;
default: VAR261 =
34'h2AAAAAAAA ;
endcase
end
assign VAR207 =
(VAR108 == 2'd2) ?
VAR261 :
34'h0AAAAAAAA ;
assign VAR162 =
VAR11 ? 34'h3C0DE4202 : 34'h1C0DE4201 ;
assign VAR349 =
{ 2'd1, VAR63 } ;
assign VAR199 = VAR337 - 2'd1 ;
assign VAR258 = VAR337 + 2'd1 ;
assign VAR267 =
(VAR337 == 2'd1) ?
VAR355 :
VAR140 ;
assign VAR355 =
{ VAR2[312:296],
VAR293,
VAR2[39:0] } ;
assign VAR158 =
(VAR337 == 2'd2) ?
VAR355 :
313'h00000AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA00 ;
assign VAR272 =
{ VAR123,
VAR73,
VAR145,
VAR47,
VAR137 } ;
assign VAR280 = 1'd1 ;
assign VAR136 = VAR261 ;
assign VAR20 =
VAR118 || VAR103 ||
VAR283 ;
assign VAR175 = VAR172[36:34] ;
assign VAR302 = VAR71 ;
assign VAR201 = 1'b0 ;
assign VAR124 = 1'b0 ;
assign VAR129 = 1'd1 ;
assign VAR335 =
VAR334 || VAR159 ||
VAR216 ;
assign VAR351 = VAR123 ;
assign VAR64 = 1'd1 ;
assign VAR91 = VAR73 ;
assign VAR314 = 1'd1 ;
assign VAR66 = VAR145 ;
assign VAR256 = 1'd1 ;
assign VAR289 = VAR47 ;
assign VAR319 = 1'd1 ;
assign VAR52 = VAR137 ;
assign VAR153 = 1'd1 ;
assign VAR138 =
{ VAR276,
VAR18,
VAR79,
VAR149,
VAR39,
VAR122,
VAR6 } ;
assign VAR217 = 1'd1 ;
assign VAR240 = 1'd1 ;
assign VAR294 = VAR133 == 3'd2 ;
assign VAR168 = 1'd1 ;
assign VAR82 = VAR19 ;
assign VAR189 = VAR13 > 2'd1 ;
assign VAR330 =
VAR53 && VAR151 && VAR176 ;
assign VAR21 = VAR355 ;
assign VAR126 =
VAR301 ;
assign VAR179 = 1'd1 ;
assign VAR225 = VAR133 == 3'd2 ;
assign VAR30 = 1'd1 ;
assign VAR169 = VAR116 ;
assign VAR343 = VAR276 ;
assign VAR62 = 1'd1 ;
assign VAR260 = VAR149 ;
assign VAR150 = 1'd1 ;
assign VAR12 = VAR39 ;
assign VAR197 = 1'd1 ;
assign VAR282 = VAR122 ;
assign VAR120 = 1'd1 ;
assign VAR156 = VAR6 ;
assign VAR78 = 1'd1 ;
assign VAR264 = VAR272[71:69] != 3'd0 ;
assign VAR275 =
VAR103 || VAR283 ||
VAR71 ;
assign VAR352 = 1'b0 ;
assign VAR77 =
VAR103 || VAR283 ||
VAR118 ;
assign VAR148 = VAR108 != 2'd0 ;
assign VAR163 = 1'b0 ;
assign VAR88 =
VAR92 && VAR172[68] &&
VAR172[71:69] == 3'd1 ;
assign VAR24 =
VAR92 && VAR172[68] &&
VAR172[71:69] == 3'd2 ;
assign VAR309 =
VAR92 && !VAR172[68] &&
VAR172[71:69] == 3'd2 ;
assign VAR40 = VAR312 ;
assign VAR101 =
VAR301 ;
assign VAR160 = 1'b0 ;
assign VAR127 = VAR312 ;
assign VAR106 =
VAR301 ;
assign VAR44 = 1'b0 ;
assign VAR353 =
VAR301 ;
assign VAR76 = VAR87 ;
assign VAR229 = VAR22 ;
assign VAR10 = VAR18 ;
assign VAR65 = VAR79 ;
assign VAR246 = 1'd1 ;
assign VAR26 =
{ VAR318, VAR347, VAR213 } ;
assign VAR57 =
{ VAR237, VAR202, VAR255 } ;
assign VAR251 =
VAR307 ?
VAR172[31:0] :
32'd0 ;
assign VAR234 =
VAR283 && VAR172[39:32] == 8'h0 ||
VAR216 ;
assign VAR204 =
VAR60 ?
VAR172[31:0] :
32'd0 ;
assign VAR288 =
VAR283 && VAR172[39:32] == 8'h04 ||
VAR216 ;
assign VAR33 = VAR172[36:34] ;
assign VAR284 = VAR71 ;
assign VAR5 = VAR15 ;
assign VAR56 =
VAR118 && !VAR11 ;
assign VAR74 = VAR335 ;
assign VAR81 = 1'd1 ;
assign VAR174 = !VAR118 ;
assign VAR203 =
VAR118 ||
VAR71 ;
assign VAR70 =
VAR323 &&
VAR223 ;
assign VAR292 =
VAR323 ||
VAR118 && VAR11 ;
assign VAR144 = 1'd0 ;
assign VAR331 = VAR165 ;
always@(VAR172)
begin
case (VAR172[36:34])
3'd0: VAR93 = 3'd1;
3'd1: VAR93 = 3'd2;
3'd2: VAR93 = 3'd3;
default: VAR93 = 3'd0;
endcase
end
assign VAR299 =
VAR71 &&
(VAR172[36:34] == 3'd0 && VAR133 == 3'd0 ||
VAR172[36:34] == 3'd1 &&
(VAR133 == 3'd1 || VAR133 == 3'd3) ||
VAR172[36:34] == 3'd2 && VAR133 == 3'd2 ||
VAR172[36:34] == 3'd3 &&
(VAR133 == 3'd3 || VAR133 == 3'd2 ||
VAR133 == 3'd1)) ;
assign VAR311 =
(VAR272[71:69] != 3'd0) ?
VAR210 + 2'd1 :
VAR210 - 2'd1 ;
assign VAR94 =
(VAR272[71:69] != 3'd0) !=
VAR275 ;
assign VAR46 =
VAR277 ?
VAR80 :
VAR190 ;
assign VAR143 =
VAR277 ||
VAR181 ;
always@(VAR194 or
VAR182 or
VAR58 or
VAR261 or
VAR277 or VAR41)
begin
case (1'b1) VAR194:
VAR253 = VAR182;
VAR58:
VAR253 = VAR261;
VAR277:
VAR253 = VAR41;
default: VAR253 =
34'h2AAAAAAAA ;
endcase
end
assign VAR36 =
VAR298 && VAR121 ||
VAR181 &&
VAR108 == 2'd0 ||
VAR277 ;
always@(VAR354 or
VAR207 or
VAR111 or
VAR261 or
VAR277)
begin
case (1'b1) VAR354:
VAR59 = VAR207;
VAR111:
VAR59 = VAR261;
VAR277:
VAR59 = 34'h0AAAAAAAA;
default: VAR59 =
34'h2AAAAAAAA ;
endcase
end
assign VAR115 =
VAR298 && VAR3 ||
VAR181 &&
VAR108 == 2'd1 ||
VAR277 ;
assign VAR186 = 1'b0 ;
assign VAR188 = 1'd1 ;
assign VAR269 = 1'b0 ;
assign VAR90 = 1'd1 ;
assign VAR290 =
(VAR342 == 2'd0) ?
(VAR170[308] ? 2'd1 : 2'd2) :
2'd0 ;
assign VAR281 =
VAR87 &&
VAR170[312:310] == 3'd1 &&
(VAR342 == 2'd0 ||
(VAR342 == 2'd1 || VAR342 == 2'd2) &&
VAR170[309]) ;
assign VAR95 = 1'b0 ;
assign VAR117 = 1'b0 ;
assign VAR125 = VAR202 + 32'd1 ;
assign VAR205 =
VAR87 &&
VAR170[312:310] == 3'd1 &&
VAR342 == 2'd2 &&
VAR170[309] ;
assign VAR32 = 1'd0 ;
assign VAR152 = VAR262 ;
assign VAR344 = VAR133 == 3'd2 ;
assign VAR187 = 1'd1 ;
assign VAR305 = VAR237 + 32'd1 ;
assign VAR322 =
VAR87 &&
VAR170[312:310] == 3'd1 &&
VAR342 == 2'd1 &&
VAR170[309] ;
assign VAR16 = VAR116 ;
assign VAR346 = 1'd1 ;
assign VAR28 =
VAR308 ?
VAR199 :
VAR258 ;
assign VAR250 =
VAR308 ||
VAR100 ;
always@(VAR230 or
VAR267 or
VAR119 or
VAR355 or
VAR308 or VAR140)
begin
case (1'b1) VAR230:
VAR287 = VAR267;
VAR119:
VAR287 = VAR355;
VAR308:
VAR287 = VAR140;
default: VAR287 =
313'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA ;
endcase
end
assign VAR278 =
VAR68 && VAR104 ||
VAR100 &&
VAR337 == 2'd0 ||
VAR308 ;
always@(VAR185 or
VAR158 or
VAR211 or
VAR355 or
VAR308)
begin
case (1'b1) VAR185:
VAR96 = VAR158;
VAR211:
VAR96 = VAR355;
VAR308:
VAR96 =
313'h00000AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA00;
default: VAR96 =
313'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA ;
endcase
end
assign VAR184 =
VAR68 && VAR333 ||
VAR100 &&
VAR337 == 2'd1 ||
VAR308 ;
assign VAR313 = VAR22 ;
assign VAR1 = 1'd1 ;
assign VAR296 =
{ VAR262,
!VAR167,
!VAR224,
VAR102,
VAR342 != 2'd0,
VAR85,
1'd0,
VAR231 } ;
assign VAR155 = 1'd1 ;
assign VAR89 = VAR255 + 32'd1 ;
assign VAR221 =
VAR224 && VAR167 && VAR85 ;
assign VAR325 = 1'd1 ;
assign VAR83 =
VAR87 &&
VAR170[312:310] == 3'd1 ;
assign VAR17 =
(VAR4 == 2'd0) ?
(VAR138[308] ? 2'd1 : 2'd2) :
2'd0 ;
assign VAR196 =
VAR312 &&
(VAR4 == 2'd0 ||
(VAR4 == 2'd1 || VAR4 == 2'd2) &&
VAR138[309]) ;
assign VAR114 = 1'b0 ;
assign VAR86 = 1'b0 ;
assign VAR131 = VAR347 + 32'd1 ;
assign VAR130 =
VAR312 && VAR4 == 2'd2 &&
VAR138[309] ;
assign VAR23 = 1'd0 ;
assign VAR97 = VAR271 ;
assign VAR218 = VAR54 ;
assign VAR42 =
VAR312 && VAR138[309] ;
assign VAR273 = VAR133 == 3'd2 ;
assign VAR113 = 1'd1 ;
assign VAR132 = VAR318 + 32'd1 ;
assign VAR233 =
VAR312 && VAR4 == 2'd1 &&
VAR138[309] ;
assign VAR303 = VAR19 ;
assign VAR37 = 1'd1 ;
assign VAR248 =
VAR312 ?
VAR13 + 2'd1 :
VAR13 - 2'd1 ;
assign VAR206 =
VAR312 !=
VAR301 ;
assign VAR249 = VAR295 ;
assign VAR35 =
VAR337 != 2'd2 && VAR178 &&
VAR133 == 3'd2 ||
VAR312 ||
VAR295 ;
assign VAR324 =
{ VAR271,
!VAR176,
!VAR151,
VAR173,
VAR4 != 2'd0,
!VAR330 || VAR189,
1'd0,
VAR241 } ;
assign VAR328 = 1'd1 ;
assign VAR134 = VAR213 + 32'd1 ;
assign VAR266 =
VAR151 && VAR176 &&
(!VAR330 || VAR189) ;
assign VAR348 = 1'd1 ;
assign VAR43 = VAR312 ;
assign VAR191 =
VAR138[309] ? 12'd1 : VAR54 + 12'd1 ;
assign VAR110 = VAR312 ;
assign VAR239 = VAR272 ;
assign VAR109 = VAR272[71:69] != 3'd0 ;
assign VAR263 = VAR275 ;
assign VAR245 = 1'b0 ;
assign VAR27 = VAR138 ;
assign VAR25 = VAR312 ;
assign VAR141 = VAR301 ;
assign VAR61 = 1'b0 ;
assign VAR3 =
VAR108 != 2'd2 ||
VAR80 == 2'd1 ;
assign VAR121 =
VAR108 != 2'd1 ||
VAR80 == 2'd0 ;
assign VAR333 =
VAR337 != 2'd2 ||
VAR199 == 2'd1 ;
assign VAR104 =
VAR337 != 2'd1 ||
VAR199 == 2'd0 ;
assign VAR200 = VAR232 ? { 16'd0, VAR341 } : 32'd0 ;
assign VAR227 = VAR232 ? VAR26[95:64] : 32'd0 ;
assign VAR242 = VAR232 ? VAR26[63:32] : 32'd0 ;
assign VAR164 = VAR232 ? VAR26[31:0] : 32'd0 ;
assign VAR350 = VAR232 ? VAR57[95:64] : 32'd0 ;
assign VAR139 = VAR232 ? VAR57[63:32] : 32'd0 ;
assign VAR238 = VAR232 ? VAR57[31:0] : 32'd0 ;
assign VAR80 =
VAR108 - 2'd1 ;
assign VAR341 = { VAR279, VAR243 } ;
assign VAR293 =
{ VAR2[295:264] + VAR51,
VAR2[263:232] + VAR51,
VAR2[231:200] + VAR51,
VAR2[199:168] + VAR51,
VAR2[167:136] + VAR51,
VAR2[135:104] + VAR51,
VAR2[103:72] + VAR51,
VAR2[71:40] + VAR51 } ;
always@(VAR172 or
VAR51 or
VAR317 or
VAR200 or
VAR227 or
VAR242 or
VAR164 or VAR350 or VAR139 or VAR238)
begin
case (VAR172[39:32])
8'h0: VAR63 = VAR51;
8'h04: VAR63 = VAR317;
8'h20: VAR63 = VAR200;
8'h24: VAR63 = VAR227;
8'h28: VAR63 = VAR242;
8'h2C: VAR63 = VAR164;
8'h30: VAR63 = VAR350;
8'h34: VAR63 = VAR139;
8'h38: VAR63 = VAR238;
default: VAR63 = 32'd0;
endcase
end
always@(posedge VAR69)
begin
if (VAR31 == VAR265)
begin
VAR235 <= VAR340 3'h2;
VAR133 <= VAR340 3'd0;
VAR45 <= VAR340 1'd0;
VAR55 <= VAR340 1'd0;
VAR11 <= VAR340 1'd0;
VAR15 <= VAR340 3'd0;
VAR210 <= VAR340 2'd0;
VAR108 <= VAR340 2'd0;
VAR329 <= VAR340 34'h0AAAAAAAA;
VAR41 <= VAR340 34'h0AAAAAAAA;
VAR180 <= VAR340 1'd0;
VAR128 <= VAR340 1'd1;
VAR342 <= VAR340 2'd0;
VAR102 <= VAR340 1'd0;
VAR202 <= VAR340 32'd0;
VAR224 <= VAR340 1'd0;
VAR237 <= VAR340 32'd0;
VAR167 <= VAR340 1'd0;
VAR337 <= VAR340 2'd0;
VAR170 <= VAR340
313'h00000AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA00;
VAR140 <= VAR340
313'h00000AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA00;
VAR85 <= VAR340 1'd1;
VAR255 <= VAR340 32'd0;
VAR231 <= VAR340 1'd0;
VAR4 <= VAR340 2'd0;
VAR173 <= VAR340 1'd0;
VAR347 <= VAR340 32'd0;
VAR151 <= VAR340 1'd0;
VAR318 <= VAR340 32'd0;
VAR176 <= VAR340 1'd0;
VAR13 <= VAR340 2'd0;
VAR53 <= VAR340 1'd1;
VAR213 <= VAR340 32'd0;
VAR241 <= VAR340 1'd0;
VAR54 <= VAR340 12'd1;
end
else
begin
if (VAR284)
VAR235 <= VAR340 VAR33;
if (VAR56)
VAR133 <= VAR340 VAR5;
if (VAR81)
VAR45 <= VAR340 VAR74;
if (VAR203)
VAR55 <= VAR340
VAR174;
if (VAR292)
VAR11 <= VAR340
VAR70;
if (VAR299)
VAR15 <= VAR340 VAR93;
if (VAR94)
VAR210 <= VAR340
VAR311;
if (VAR143)
VAR108 <= VAR340
VAR46;
if (VAR36)
VAR329 <= VAR340 VAR253;
if (VAR115)
VAR41 <= VAR340 VAR59;
if (VAR188)
VAR180 <= VAR340 VAR186;
if (VAR90)
VAR128 <= VAR340
VAR269;
if (VAR281)
VAR342 <= VAR340 VAR290;
if (VAR117)
VAR102 <= VAR340 VAR95;
if (VAR205)
VAR202 <= VAR340 VAR125;
if (VAR187)
VAR224 <= VAR340 VAR344;
if (VAR322)
VAR237 <= VAR340 VAR305;
if (VAR346)
VAR167 <= VAR340 VAR16;
if (VAR250)
VAR337 <= VAR340
VAR28;
if (VAR278)
VAR170 <= VAR340 VAR287;
if (VAR184)
VAR140 <= VAR340 VAR96;
if (VAR1)
VAR85 <= VAR340 VAR313;
if (VAR221)
VAR255 <= VAR340 VAR89;
if (VAR83)
VAR231 <= VAR340 VAR325;
if (VAR196)
VAR4 <= VAR340 VAR17;
if (VAR86)
VAR173 <= VAR340 VAR114;
if (VAR130)
VAR347 <= VAR340 VAR131;
if (VAR113)
VAR151 <= VAR340 VAR273;
if (VAR233)
VAR318 <= VAR340 VAR132;
if (VAR37)
VAR176 <= VAR340 VAR303;
if (VAR206)
VAR13 <= VAR340
VAR248;
if (VAR35)
VAR53 <= VAR340
VAR249;
if (VAR266)
VAR213 <= VAR340 VAR134;
if (VAR43)
VAR241 <= VAR340 VAR348;
if (VAR110)
VAR54 <= VAR340 VAR191;
end
if (VAR234) VAR51 <= VAR340 VAR251;
if (VAR288) VAR317 <= VAR340 VAR204;
if (VAR155)
VAR243 <= VAR340 VAR296;
if (VAR42)
VAR147 <= VAR340 VAR218;
if (VAR328)
VAR279 <= VAR340 VAR324;
end
always@(posedge VAR69 or VAR50 VAR31)
if (VAR31 == VAR265)
begin
VAR165 <= VAR340 1'd1;
VAR262 <= VAR340 1'd1;
VAR271 <= VAR340 1'd1;
end
else
begin
if (VAR331)
VAR165 <= VAR340
VAR144;
if (VAR152)
VAR262 <= VAR340
VAR32;
if (VAR97)
VAR271 <= VAR340
VAR23;
end
begin
VAR51 = 32'hAAAAAAAA;
VAR317 = 32'hAAAAAAAA;
VAR235 = 3'h2;
VAR133 = 3'h2;
VAR45 = 1'h0;
VAR55 = 1'h0;
VAR11 = 1'h0;
VAR165 = 1'h0;
VAR15 = 3'h2;
VAR210 = 2'h2;
VAR108 = 2'h2;
VAR329 = 34'h2AAAAAAAA;
VAR41 = 34'h2AAAAAAAA;
VAR180 = 1'h0;
VAR128 = 1'h0;
VAR342 = 2'h2;
VAR102 = 1'h0;
VAR202 = 32'hAAAAAAAA;
VAR262 = 1'h0;
VAR224 = 1'h0;
VAR237 = 32'hAAAAAAAA;
VAR167 = 1'h0;
VAR337 = 2'h2;
VAR170 =
313'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA;
VAR140 =
313'h0AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA;
VAR85 = 1'h0;
VAR243 = 8'hAA;
VAR255 = 32'hAAAAAAAA;
VAR231 = 1'h0;
VAR4 = 2'h2;
VAR173 = 1'h0;
VAR347 = 32'hAAAAAAAA;
VAR271 = 1'h0;
VAR147 = 12'hAAA;
VAR151 = 1'h0;
VAR318 = 32'hAAAAAAAA;
VAR176 = 1'h0;
VAR13 = 2'h2;
VAR53 = 1'h0;
VAR279 = 8'hAA;
VAR213 = 32'hAAAAAAAA;
VAR241 = 1'h0;
VAR54 = 12'hAAA;
end
always@(negedge VAR69)
begin
if (VAR31 != VAR265)
if (VAR71)
begin
VAR209 =
end
if (VAR31 != VAR265)
if (VAR71)
VAR154("[%0d]: %VAR99: VAR219 VAR48: VAR112-VAR212 edge:%VAR252 VAR72:%VAR252",
VAR209,
VAR172[36:34],
VAR133);
if (VAR31 != VAR265)
if (VAR216 && VAR334)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR300] and\VAR297 [VAR157] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR216 && VAR159)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR300] and\VAR297 [VAR7] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR159 && VAR334)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR7] and\VAR297 [VAR157] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR283)
begin
VAR268 =
end
if (VAR31 != VAR265)
if (VAR283)
VAR154("[%0d]: %VAR99: VAR219 VAR259 VAR310 VAR49:%0x VAR9:%0x VAR84:%0x",
VAR268,
VAR172[63:32],
VAR172[67:64],
VAR172[31:0]);
if (VAR31 != VAR265)
if (VAR118 && VAR11)
begin
VAR286 =
end
if (VAR31 != VAR265)
if (VAR118 && VAR11)
VAR154("[%0d]: %VAR99: VAR219 VAR48: VAR270-VAR198 VAR161-VAR212 edge:%VAR252 VAR72:%VAR252",
VAR286,
VAR235,
VAR133);
if (VAR31 != VAR265)
if (VAR118 && !VAR11)
begin
VAR142 =
end
if (VAR31 != VAR265)
if (VAR118 && !VAR11)
VAR154("[%0d]: %VAR99: VAR219 VAR48: VAR161-VAR212 edge:%VAR252 VAR72:%VAR252 VAR34:%VAR252",
VAR142,
VAR235,
VAR133,
VAR15);
if (VAR31 != VAR265)
if (VAR103)
begin
VAR320 =
end
if (VAR31 != VAR265)
if (VAR103)
VAR154("[%0d]: %VAR99: VAR219 VAR259 VAR226 VAR49:%0x VAR9:%0x VAR84:%0x",
VAR320,
VAR172[63:32],
VAR172[67:64],
VAR63);
if (VAR31 != VAR265)
if (VAR283 && VAR334)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR135] and\VAR297 [VAR157] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR283 && VAR159)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR135] and\VAR297 [VAR7] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR283 && VAR216)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR135] and\VAR297 [VAR300] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR283 && VAR103)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR135] and [VAR254] )\VAR297 VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR103 && VAR334)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR254] and\VAR297 [VAR157] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR103 && VAR159)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR254] and\VAR297 [VAR7] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
if (VAR31 != VAR265)
if (VAR103 && VAR216)
\VAR297 VAR247 VAR214 VAR146 (VAR72 VAR304 VAR177 VAR171 [VAR254] and\VAR297 [VAR300] ) VAR321 in VAR304 VAR316 VAR67 VAR332.\VAR297");
end
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/nand2/sky130_fd_sc_ls__nand2_4.v | 2,097 | module MODULE2 (
VAR7 ,
VAR4 ,
VAR1 ,
VAR5,
VAR6,
VAR9 ,
VAR3
);
output VAR7 ;
input VAR4 ;
input VAR1 ;
input VAR5;
input VAR6;
input VAR9 ;
input VAR3 ;
VAR2 VAR8 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR7,
VAR4,
VAR1
);
output VAR7;
input VAR4;
input VAR1;
supply1 VAR5;
supply0 VAR6;
supply1 VAR9 ;
supply0 VAR3 ;
VAR2 VAR8 (
.VAR7(VAR7),
.VAR4(VAR4),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/ebufn/sky130_fd_sc_hdll__ebufn_4.v | 2,164 | module MODULE2 (
VAR1 ,
VAR9 ,
VAR7,
VAR5,
VAR6,
VAR8 ,
VAR3
);
output VAR1 ;
input VAR9 ;
input VAR7;
input VAR5;
input VAR6;
input VAR8 ;
input VAR3 ;
VAR2 VAR4 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR1 ,
VAR9 ,
VAR7
);
output VAR1 ;
input VAR9 ;
input VAR7;
supply1 VAR5;
supply0 VAR6;
supply1 VAR8 ;
supply0 VAR3 ;
VAR2 VAR4 (
.VAR1(VAR1),
.VAR9(VAR9),
.VAR7(VAR7)
);
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/util_bsplit/util_bsplit.v | 3,929 | module MODULE1 (
VAR8,
VAR2,
VAR6,
VAR4,
VAR5,
VAR10,
VAR12,
VAR1,
VAR9);
parameter VAR11 = 1;
parameter VAR13 = 8;
localparam VAR3 = 9;
input [((VAR13*VAR11)-1):0] VAR8;
output [(VAR11-1):0] VAR2;
output [(VAR11-1):0] VAR6;
output [(VAR11-1):0] VAR4;
output [(VAR11-1):0] VAR5;
output [(VAR11-1):0] VAR10;
output [(VAR11-1):0] VAR12;
output [(VAR11-1):0] VAR1;
output [(VAR11-1):0] VAR9;
wire [((VAR3*VAR11)-1):0] VAR7;
assign VAR7[((VAR3*VAR11)-1):(VAR13*VAR11)] = 'd0;
assign VAR7[((VAR13*VAR11)-1):0] = VAR8;
assign VAR2 = VAR7[((VAR11*1)-1):(VAR11*0)];
assign VAR6 = VAR7[((VAR11*2)-1):(VAR11*1)];
assign VAR4 = VAR7[((VAR11*3)-1):(VAR11*2)];
assign VAR5 = VAR7[((VAR11*4)-1):(VAR11*3)];
assign VAR10 = VAR7[((VAR11*5)-1):(VAR11*4)];
assign VAR12 = VAR7[((VAR11*6)-1):(VAR11*5)];
assign VAR1 = VAR7[((VAR11*7)-1):(VAR11*6)];
assign VAR9 = VAR7[((VAR11*8)-1):(VAR11*7)];
endmodule | gpl-3.0 |
GSejas/Karatsuba_FPU | Resultados/CORDIC/CORDIC_Arch3_Vivado/CORDIC_Arch3_Vivado.srcs/sources_1/imports/addsub/FSM_input_enable.v | 3,812 | module MODULE1(
input wire clk,
input wire rst,
input wire VAR10,
output reg VAR11,
output wire VAR6,
output reg VAR5
);
parameter [3:0] VAR2 = 3'd0,
VAR1 = 3'd1,
VAR14 = 3'd2,
VAR13 = 3'd3,
VAR12 = 3'd4,
VAR8= 3'd5,
VAR3 = 3'd6,
VAR9 = 3'd7;
reg [2:0] VAR4, VAR7;
always @(posedge clk, posedge rst)
if(rst)
VAR4 <= VAR2;
else
VAR4 <= VAR7;
always @*
begin
VAR7 = VAR4;
VAR11=1; VAR5 = 0;
case(VAR4)
VAR2:
begin
if(VAR10)
end
VAR7 = VAR1; else begin
VAR7 = VAR2; end
end
VAR1:
begin
VAR11=1;
VAR5 = 1;
VAR7 = VAR3;
end
VAR3:
begin
VAR11=1;
VAR5 = 1;
VAR7 = VAR14;
end
VAR14:
begin
VAR11=1;
VAR5 = 1;
VAR7 = VAR13;
end
VAR13:
begin
VAR11=0;
VAR5 = 1;
VAR7 = VAR12;
end
VAR12:
begin
VAR11=0;
VAR5 = 1;
VAR7 = VAR8;
end
VAR8:
begin
VAR11=0;
VAR5 = 1;
if (VAR10) begin
VAR7 = VAR1;
end else begin
VAR7 = VAR2;
end
end
default:
begin
VAR7 =VAR2;
end
endcase
end
assign VAR6 = VAR11 & VAR10;
endmodule | gpl-3.0 |
MarcoVogt/basil | firmware/modules/timestamp/timestamp.v | 1,846 | module MODULE1
parameter VAR20 = 16'h0000,
parameter VAR27 = 16'h0000,
parameter VAR5 = 16,
parameter VAR17 = 4'b0001
)(
input wire VAR15,
input wire [VAR5-1:0] VAR13,
inout wire [7:0] VAR11,
input wire VAR8,
input wire VAR24,
input wire VAR18,
input wire VAR29,
input wire VAR3,
input wire [63:0] VAR10,
output wire [63:0] VAR7,
input wire VAR4,
input wire VAR22,
output wire VAR23,
output wire [31:0] VAR21
);
wire VAR2, VAR14;
wire [VAR5-1:0] VAR9;
wire [7:0] VAR16;
wire [7:0] VAR6;
VAR26 #( .VAR20(VAR20), .VAR27(VAR27), .VAR5(VAR5) ) VAR25
(
.VAR18(VAR18),
.VAR24(VAR24),
.VAR13(VAR13),
.VAR11(VAR11),
.VAR2(VAR2),
.VAR14(VAR14),
.VAR9(VAR9),
.VAR16(VAR16),
.VAR6(VAR6)
);
VAR19
.VAR5(VAR5),
.VAR17(VAR17)
) VAR12
(
.VAR15(VAR15),
.VAR8(VAR8),
.VAR13(VAR9),
.VAR1(VAR16),
.VAR18(VAR2),
.VAR24(VAR14),
.VAR28(VAR6),
.VAR29(VAR29),
.VAR3(VAR3),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR4(VAR4),
.VAR22(VAR22),
.VAR23(VAR23),
.VAR21(VAR21)
);
endmodule | bsd-3-clause |
alexforencich/xfcp | lib/eth/lib/axis/rtl/arbiter.v | 4,984 | module MODULE1 #
(
parameter VAR21 = 4,
parameter VAR22 = 0,
parameter VAR28 = 0,
parameter VAR11 = 1,
parameter VAR2 = 0
)
(
input wire clk,
input wire rst,
input wire [VAR21-1:0] request,
input wire [VAR21-1:0] acknowledge,
output wire [VAR21-1:0] VAR30,
output wire VAR8,
output wire [VAR12(VAR21)-1:0] VAR15
);
reg [VAR21-1:0] VAR20 = 0, VAR26;
reg VAR24 = 0, VAR14;
reg [VAR12(VAR21)-1:0] VAR29 = 0, VAR6;
assign VAR8 = VAR24;
assign VAR30 = VAR20;
assign VAR15 = VAR29;
wire VAR13;
wire [VAR12(VAR21)-1:0] VAR1;
wire [VAR21-1:0] VAR9;
VAR27 #(
.VAR5(VAR21),
.VAR32(VAR2)
)
VAR10 (
.VAR25(request),
.VAR18(VAR13),
.VAR19(VAR1),
.VAR31(VAR9)
);
reg [VAR21-1:0] VAR3 = 0, VAR4;
wire VAR23;
wire [VAR12(VAR21)-1:0] VAR7;
wire [VAR21-1:0] VAR17;
VAR27 #(
.VAR5(VAR21),
.VAR32(VAR2)
)
VAR16 (
.VAR25(request & VAR3),
.VAR18(VAR23),
.VAR19(VAR7),
.VAR31(VAR17)
);
always @* begin
VAR26 = 0;
VAR14 = 0;
VAR6 = 0;
VAR4 = VAR3;
if (VAR28 && !VAR11 && VAR20 & request) begin
VAR14 = VAR24;
VAR26 = VAR20;
VAR6 = VAR29;
end else if (VAR28 && VAR11 && VAR8 && !(VAR20 & acknowledge)) begin
VAR14 = VAR24;
VAR26 = VAR20;
VAR6 = VAR29;
end else if (VAR13) begin
if (VAR22) begin
if (VAR23) begin
VAR14 = 1;
VAR26 = VAR17;
VAR6 = VAR7;
if (VAR2) begin
VAR4 = {VAR21{1'b1}} << (VAR7 + 1);
end else begin
VAR4 = {VAR21{1'b1}} >> (VAR21 - VAR7);
end
end else begin
VAR14 = 1;
VAR26 = VAR9;
VAR6 = VAR1;
if (VAR2) begin
VAR4 = {VAR21{1'b1}} << (VAR1 + 1);
end else begin
VAR4 = {VAR21{1'b1}} >> (VAR21 - VAR1);
end
end
end else begin
VAR14 = 1;
VAR26 = VAR9;
VAR6 = VAR1;
end
end
end
always @(posedge clk) begin
if (rst) begin
VAR20 <= 0;
VAR24 <= 0;
VAR29 <= 0;
VAR3 <= 0;
end else begin
VAR20 <= VAR26;
VAR24 <= VAR14;
VAR29 <= VAR6;
VAR3 <= VAR4;
end
end
endmodule | mit |
mithro/HDMI2USB | hdl/hdmi/hdmimatrix.v | 8,400 | module MODULE1 (
input wire VAR134, input wire [3:0] VAR52,
input wire [3:0] VAR94,
input wire [3:0] VAR89,
input wire [3:0] VAR28,
output wire [3:0] VAR36,
output wire [3:0] VAR147,
output wire [3:0] VAR153,
output wire [3:0] VAR78,
output wire VAR130, VAR42,
output wire VAR9, VAR41,
output wire VAR144, VAR63,
output wire VAR103,VAR50,
output wire VAR57, VAR111,
output wire [23:0] VAR2,VAR102,
input wire [23:0] VAR131,
input wire VAR159,
input wire VAR160,
input wire VAR79,
input wire VAR83,
input wire rst
);
assign VAR57 = VAR55 | VAR80 | VAR1;
assign VAR111 = VAR29 | VAR121 | VAR7;
assign VAR2 = {VAR120 , VAR37, VAR95};
assign VAR102 = {VAR43 , VAR16, VAR33};
wire [7:0] VAR43, VAR120;
wire [7:0] VAR16, VAR37;
wire [7:0] VAR33, VAR95;
wire [7:0] VAR100;
wire [7:0] VAR58;
wire [7:0] VAR8;
assign VAR100 = VAR131[23:16];
assign VAR58 = VAR131[15:8];
assign VAR8 = VAR131[7:0];
wire VAR86, VAR82, VAR158;
wire VAR141;
wire VAR107;
wire VAR85;
wire VAR156; wire [29:0] VAR162;
wire VAR6;
wire VAR56;
wire VAR96;
wire VAR1;
wire VAR80;
wire VAR55;
VAR34 VAR117 (
.VAR45 (VAR52[0]),
.VAR69 (VAR94[0]),
.VAR116 (VAR52[1]),
.VAR145 (VAR94[1]),
.VAR20 (VAR52[2]),
.VAR127 (VAR94[2]),
.VAR138 (VAR52[3]),
.VAR99 (VAR94[3]),
.VAR67 (~VAR134),
.reset (VAR107),
.VAR161 (VAR50),
.VAR19 (VAR86),
.VAR77 (VAR82),
.VAR70 (VAR158), .VAR47 (VAR65), .VAR76 (VAR97), .VAR49 (VAR141),
.VAR14 (VAR68),
.VAR132(VAR85),
.VAR18 (VAR41),
.VAR40 (VAR63),
.VAR31 (VAR130),
.VAR53 (VAR6),
.VAR73 (VAR56),
.VAR142 (VAR96),
.VAR136 (VAR1),
.VAR129 (VAR80),
.VAR133 (VAR55),
.VAR155 (VAR156),
.VAR3 (VAR162),
.VAR75 (VAR95),
.VAR54 (VAR37),
.VAR23 (VAR120));
wire VAR128, VAR157, VAR24;
wire VAR26;
wire VAR114;
wire VAR10;
wire VAR108; wire [29:0] VAR93;
wire VAR137;
wire VAR44;
wire VAR17;
wire VAR7;
wire VAR121;
wire VAR29;
VAR34 VAR105 (
.VAR45 (VAR89[0]),
.VAR69 (VAR28[0]),
.VAR116 (VAR89[1]),
.VAR145 (VAR28[1]),
.VAR20 (VAR89[2]),
.VAR127 (VAR28[2]),
.VAR138 (VAR89[3]),
.VAR99 (VAR28[3]),
.VAR67 (~VAR134),
.reset (VAR114),
.VAR161 (VAR103),
.VAR19 (VAR128),
.VAR77 (VAR157),
.VAR70 (VAR24), .VAR47 (VAR150), .VAR76 (VAR84), .VAR49 (VAR26),
.VAR14 (VAR112),
.VAR132(VAR10),
.VAR18 (VAR9),
.VAR40 (VAR144),
.VAR31 (VAR42),
.VAR53 (VAR137),
.VAR73 (VAR44),
.VAR142 (VAR17),
.VAR136 (VAR7),
.VAR129 (VAR121),
.VAR133 (VAR29),
.VAR155 (VAR108),
.VAR3 (VAR93),
.VAR75 (VAR33),
.VAR54 (VAR16),
.VAR23 (VAR43));
wire VAR90;
wire VAR126;
wire VAR4;
wire VAR124;
wire VAR119;
wire VAR60;
wire VAR25;
wire VAR118;
wire VAR13;
wire VAR125;
assign VAR125 = ~VAR134;
wire VAR110, VAR66, VAR21;
wire VAR5, VAR88;
VAR101 # (
.VAR106(10),
.VAR109(10), .VAR39(1),
.VAR104(10),
.VAR151(5),
.VAR38("VAR22")
) VAR115 (
.VAR139(VAR110),
.VAR15(VAR5),
.VAR92(),
.VAR32(VAR88),
.VAR59(),
.VAR152(),
.VAR35(),
.VAR12(VAR21),
.VAR122(VAR66),
.VAR140(VAR83),
.VAR72(VAR125)
);
VAR81 VAR146 (.VAR148(VAR110), .VAR123(VAR66));
VAR81 VAR48 (.VAR148(VAR88), .VAR123(VAR90));
VAR27 #(.VAR91(5)) VAR30 (.VAR135(VAR5), .VAR62(VAR90), .VAR12(VAR21),
.VAR98(VAR126), .VAR61(VAR4), .VAR71(VAR124));
assign VAR119 = ~VAR124;
VAR143 VAR149 (
.VAR161 (VAR83),
.VAR19 (VAR90),
.VAR77 (VAR126),
.VAR132(VAR4),
.VAR74 (VAR119),
.VAR87 (VAR100),
.VAR11 (VAR58),
.VAR64 (VAR8),
.VAR18 (VAR160),
.VAR40 (VAR79),
.VAR31 (VAR159),
.VAR46 (VAR36),
.VAR51 (VAR147));
VAR27 #(.VAR91(5)) VAR113 (.VAR135(VAR5), .VAR62(VAR90), .VAR12(VAR21),
.VAR98(VAR60), .VAR61(VAR25), .VAR71(VAR118));
assign VAR13 = ~VAR118;
VAR143 VAR154 (
.VAR161 (VAR83),
.VAR19 (VAR90),
.VAR77 (VAR60),
.VAR132(VAR25),
.VAR74 (VAR13),
.VAR87 (VAR100),
.VAR11 (VAR58),
.VAR64 (VAR8),
.VAR18 (VAR160),
.VAR40 (VAR79),
.VAR31 (VAR159),
.VAR46 (VAR153),
.VAR51 (VAR78));
endmodule | bsd-2-clause |
aj-michael/Digital-Systems | Pong/Phase1/pong.v | 1,162 | module MODULE1(
input VAR11,
input VAR6,
input VAR9,
output [2:0] VAR17,
output [2:0] VAR16,
output [1:0] VAR4,
output VAR3,
output VAR15
);
wire VAR13;
VAR14 VAR5(VAR11, VAR13);
reg VAR2;
always @(posedge VAR13) begin
VAR2 <= ~VAR2;
end
wire VAR7;
VAR8 VAR10(VAR7, VAR2);
wire [9:0] VAR12;
wire [9:0] VAR20;
VAR1 VAR21(VAR7, VAR3, VAR15, VAR12, VAR20);
VAR19 VAR18(VAR7, VAR12, VAR20, VAR6, VAR9, VAR17, VAR16, VAR4);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/ebufn/sky130_fd_sc_hdll__ebufn_1.v | 2,164 | module MODULE2 (
VAR4 ,
VAR5 ,
VAR3,
VAR6,
VAR8,
VAR2 ,
VAR9
);
output VAR4 ;
input VAR5 ;
input VAR3;
input VAR6;
input VAR8;
input VAR2 ;
input VAR9 ;
VAR1 VAR7 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR8(VAR8),
.VAR2(VAR2),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR4 ,
VAR5 ,
VAR3
);
output VAR4 ;
input VAR5 ;
input VAR3;
supply1 VAR6;
supply0 VAR8;
supply1 VAR2 ;
supply0 VAR9 ;
VAR1 VAR7 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
iafnan/es2-hardwaresecurity | or1200/rtl/verilog/or1200/or1200_dpram_32x32.v | 14,007 | module MODULE1(
VAR82, VAR166, VAR15, VAR10, VAR22, VAR59,
VAR117, VAR106, VAR119, VAR131, VAR124, VAR52
);
parameter VAR29 = 5;
parameter VAR65 = 32;
input VAR82; input VAR166; input VAR15; input VAR10; input [VAR29-1:0] VAR22; output [VAR65-1:0] VAR59; input VAR117; input VAR106; input VAR119; input VAR131; input [VAR29-1:0] VAR124; input [VAR65-1:0] VAR52;
VAR56 #(VAR65, 1<<VAR29, VAR29) VAR164(
VAR56 VAR164(
.VAR116(VAR59),
.VAR82(VAR82),
.VAR149(~VAR15),
.VAR66(1'b1),
.VAR154(VAR22),
.VAR94(32'h00000000),
.VAR157(~VAR10),
.VAR96(),
.VAR117(VAR117),
.VAR45(~VAR119),
.VAR145(~VAR131),
.VAR42(VAR124),
.VAR139(VAR52),
.VAR83(1'b1)
);
VAR75 VAR75(
.VAR131(~VAR98),
.VAR160(),
.VAR123(~VAR34),
.VAR137(),
.VAR112(),
.VAR36(addr),
.VAR58(addr),
.VAR92(VAR92),
.VAR142(VAR142)
);
VAR121 VAR121(
.VAR89(VAR59),
.VAR26(),
.VAR132(VAR22),
.VAR54(32'h00000000),
.VAR102(1'b0),
.VAR130(VAR10),
.VAR148(VAR15),
.VAR60(VAR82),
.VAR6(VAR124),
.VAR165(VAR52),
.VAR136(VAR131),
.VAR146(1'b1),
.VAR53(VAR119),
.VAR1(VAR117)
);
VAR125 #(1<<VAR29, VAR29-1, VAR65-1) VAR155(
VAR125 VAR155(
.VAR99(VAR82),
.VAR62(~VAR15),
.VAR3(1'b1),
.VAR33(~VAR10),
.VAR64({1'b0, VAR22}),
.VAR12(32'h00000000),
.VAR115(VAR59),
.VAR63(VAR117),
.VAR86(~VAR119),
.VAR79(~VAR119),
.VAR90(1'b1),
.VAR134({1'b0, VAR124}),
.VAR25(VAR52),
.VAR101()
);
VAR76 #(1<<VAR29, VAR29-1, VAR65-1) VAR155(
VAR76 VAR155(
.VAR7(VAR82),
.VAR4(~VAR15),
.VAR127(~VAR10),
.VAR46(VAR22),
.VAR126(VAR59),
.VAR51(VAR117),
.VAR72(~VAR119),
.VAR16(VAR124),
.VAR158(VAR52)
);
reg [4:0] VAR138;
always @(posedge VAR82 or posedge VAR166)
if (VAR166)
VAR138 <= 5'b00000;
else if (VAR15)
VAR138 <= VAR22;
VAR95 VAR67 (
.VAR40(VAR59[7:0]),
.VAR118(),
.VAR88(VAR124),
.VAR169(VAR52[7:0]),
.VAR69(VAR138),
.VAR156(VAR117),
.VAR80(VAR131)
);
VAR95 VAR21 (
.VAR40(VAR59[15:8]),
.VAR118(),
.VAR88(VAR124),
.VAR169(VAR52[15:8]),
.VAR69(VAR138),
.VAR156(VAR117),
.VAR80(VAR131)
);
VAR95 VAR5 (
.VAR40(VAR59[23:16]),
.VAR118(),
.VAR88(VAR124),
.VAR169(VAR52[23:16]),
.VAR69(VAR138),
.VAR156(VAR117),
.VAR80(VAR131)
);
VAR95 VAR85 (
.VAR40(VAR59[31:24]),
.VAR118(),
.VAR88(VAR124),
.VAR169(VAR52[31:24]),
.VAR69(VAR138),
.VAR156(VAR117),
.VAR80(VAR131)
);
VAR55 VAR109(
.VAR60(VAR82),
.VAR57(VAR166),
.VAR120({3'b000, VAR22}),
.VAR163(16'h0000),
.VAR162(VAR15),
.VAR102(1'b0),
.VAR70(VAR59[15:0]),
.VAR1(VAR117),
.VAR152(VAR106),
.VAR81({3'b000, VAR124}),
.VAR28(VAR52[15:0]),
.VAR141(VAR119),
.VAR136(VAR131),
.VAR147()
);
VAR55 VAR151(
.VAR60(VAR82),
.VAR57(VAR166),
.VAR120({3'b000, VAR22}),
.VAR163(16'h0000),
.VAR162(VAR15),
.VAR102(1'b0),
.VAR70(VAR59[31:16]),
.VAR1(VAR117),
.VAR152(VAR106),
.VAR81({3'b000, VAR124}),
.VAR28(VAR52[31:16]),
.VAR141(VAR119),
.VAR136(VAR131),
.VAR147()
);
VAR91 VAR27(
.VAR60(VAR82),
.VAR17(VAR166),
.VAR120({4'b0000, VAR22}),
.VAR163(32'h00000000),
.VAR24(4'h0),
.VAR162(VAR15),
.VAR102(1'b0),
.VAR70(VAR59),
.VAR84(),
.VAR1(VAR117),
.VAR41(VAR106),
.VAR81({4'b0000, VAR124}),
.VAR28(VAR52),
.VAR35(4'h0),
.VAR141(VAR119),
.VAR136(VAR131),
.VAR147(),
.VAR87()
);
VAR44 VAR8 (
.VAR113 (VAR22),
.VAR105 (VAR15),
.VAR122 (VAR124),
.VAR129 (VAR30),
.VAR37 (VAR119),
.VAR104 (VAR131),
.VAR168 (VAR166),
.VAR2 (VAR106),
.VAR23 (VAR82),
.VAR78 (VAR117),
.VAR43 (VAR61),
.VAR111 (VAR52),
.VAR116 (VAR59),
.VAR96 (VAR140)
);
VAR8.VAR32 = VAR65,
VAR8.VAR13 = VAR29,
VAR8.VAR50 = VAR65,
VAR8.VAR19 = VAR65,
VAR8.VAR49 = VAR29,
VAR8.VAR31 = VAR65,
VAR8.VAR38 = VAR65,
VAR8.VAR135 = VAR29,
VAR8.VAR128 = VAR65,
VAR8.VAR9 = VAR65,
VAR8.VAR97 = VAR29,
VAR8.VAR133 = VAR65,
VAR8.VAR74 = "VAR144",
VAR8.VAR161 = "VAR144",
VAR8.VAR143 = "VAR144",
VAR8.VAR107 = "VAR159",
VAR8.VAR103 = "VAR159",
VAR8.VAR167 = "VAR159",
VAR8.VAR100 = "VAR20",
VAR8.VAR108 = "VAR20",
VAR8.VAR77 = "VAR20",
VAR8.VAR110 = "VAR20",
VAR8.VAR39 = "VAR47",
VAR8.VAR71 = "VAR47",
VAR8.VAR153 = "VAR47",
VAR8.VAR93 = "VAR150",
VAR8.VAR48 = "VAR11=VAR14";
reg [VAR65-1:0] VAR73 [(1<<VAR29)-1:0]; reg [VAR29-1:0] VAR18;
assign VAR59 = (VAR10) ? VAR73[VAR18] : {VAR65{1'b0}};
always @(posedge VAR82 or posedge VAR166)
if (VAR166)
VAR18 <= {VAR29{1'b0}};
else if (VAR15)
VAR18 <= VAR22;
always @(posedge VAR117)
if (VAR119 && VAR131)
VAR73[VAR124] <= VAR52;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/or4bb/sky130_fd_sc_ms__or4bb.functional.pp.v | 1,988 | module MODULE1 (
VAR16 ,
VAR10 ,
VAR14 ,
VAR17 ,
VAR3 ,
VAR7,
VAR15,
VAR5 ,
VAR4
);
output VAR16 ;
input VAR10 ;
input VAR14 ;
input VAR17 ;
input VAR3 ;
input VAR7;
input VAR15;
input VAR5 ;
input VAR4 ;
wire VAR8 ;
wire VAR11 ;
wire VAR2;
nand VAR9 (VAR8 , VAR3, VAR17 );
or VAR6 (VAR11 , VAR14, VAR10, VAR8 );
VAR1 VAR13 (VAR2, VAR11, VAR7, VAR15);
buf VAR12 (VAR16 , VAR2 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dfrtn/sky130_fd_sc_hd__dfrtn.pp.symbol.v | 1,436 | module MODULE1 (
input VAR6 ,
output VAR7 ,
input VAR2,
input VAR4 ,
input VAR1 ,
input VAR5 ,
input VAR8 ,
input VAR3
);
endmodule | apache-2.0 |
MIPSfpga/schoolMIPS | board/de0_cv/de0_cv.v | 2,331 | module MODULE1
(
input VAR53,
input VAR20,
inout VAR19,
input VAR30,
input VAR2,
input [ 3:0] VAR11,
input [ 9:0] VAR14,
output [ 9:0] VAR18,
output [ 6:0] VAR8,
output [ 6:0] VAR47,
output [ 6:0] VAR23,
output [ 6:0] VAR46,
output [ 6:0] VAR15,
output [ 6:0] VAR21,
output [12:0] VAR29,
output [ 1:0] VAR10,
output VAR22,
output VAR52,
output VAR28,
output VAR49,
inout [15:0] VAR44,
output VAR41,
output VAR38,
output VAR48,
output VAR32,
output [ 3:0] VAR6,
output [ 3:0] VAR35,
output VAR33,
output [ 3:0] VAR4,
output VAR3,
inout VAR40,
inout VAR24,
inout VAR31,
inout VAR45,
output VAR9,
inout VAR42,
inout [ 3:0] VAR50,
inout [35:0] VAR54,
inout [35:0] VAR51
);
wire clk;
wire VAR43 = VAR30;
wire VAR17 = VAR11[0] & VAR2;
wire VAR34 = VAR14 [9] | ~VAR11[1];
wire [ 3:0 ] VAR26 = VAR14 [8:5];
wire [ 4:0 ] VAR36 = VAR14 [4:0];
wire [ 31:0 ] VAR12;
VAR27 VAR27
(
.VAR43 ( VAR43 ),
.VAR17 ( VAR17 ),
.VAR26 ( VAR26 ),
.VAR34 ( VAR34 ),
.clk ( clk ),
.VAR36 ( VAR36 ),
.VAR12 ( VAR12 )
);
assign VAR18[0] = clk;
assign VAR18[9:1] = VAR12[8:0];
wire [ 31:0 ] VAR39 = VAR12;
VAR5 VAR25 ( VAR39 [23:20] , VAR21 [6:0] );
VAR5 VAR37 ( VAR39 [19:16] , VAR15 [6:0] );
VAR5 VAR16 ( VAR39 [15:12] , VAR46 [6:0] );
VAR5 VAR13 ( VAR39 [11: 8] , VAR23 [6:0] );
VAR5 VAR1 ( VAR39 [ 7: 4] , VAR47 [6:0] );
VAR5 VAR7 ( VAR39 [ 3: 0] , VAR8 [6:0] );
endmodule | mit |
olgirard/openmsp430 | core/synthesis/actel/src/omsp_dbg_uart.v | 10,482 | module MODULE1 (
VAR32, VAR13, VAR7, VAR27, VAR26,
VAR5, VAR49, VAR33, VAR25, VAR44, VAR20, VAR23, VAR31, VAR8, VAR46 );
output [5:0] VAR32; output [15:0] VAR13; output VAR7; output VAR27; output VAR26;
input [15:0] VAR5; input VAR49; input VAR33; input VAR25; input VAR44; input VAR20; input VAR23; input VAR31; input VAR8; input VAR46;
reg [3:0] VAR40;
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR40 <= 4'h0;
else VAR40 <= {VAR40[2:0], VAR33};
reg VAR16;
wire [1:0] VAR1 = {1'b0, VAR40[1]} +
{1'b0, VAR40[2]} +
{1'b0, VAR40[3]};
wire VAR6 = (VAR1>=2'b10);
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR16 <= 1'b0;
else VAR16 <= VAR6;
wire VAR14 = VAR16;
wire VAR9 = VAR16 & ~VAR6;
wire VAR10 = ~VAR16 & VAR6;
reg [2:0] VAR12;
reg [2:0] VAR43;
wire VAR47;
wire VAR39;
reg [19:0] VAR19;
parameter VAR17 = 3'h0;
parameter VAR11 = 3'h1;
parameter VAR28 = 3'h2;
parameter VAR2 = 3'h3;
parameter VAR4 = 3'h4;
parameter VAR35 = 3'h5;
always @(VAR12 or VAR19 or VAR44 or VAR31 or VAR23 or VAR20 or VAR8)
case (VAR12)
VAR17 : VAR43 = VAR11;
VAR11 : VAR43 = VAR31 ?
(VAR8 ? VAR2 : VAR28) :
VAR23 ?
(VAR8 ? VAR35 : VAR4) :
(VAR19[VAR18] ?
(VAR19[VAR22] ? VAR2 : VAR28) :
(VAR19[VAR22] ? VAR35 : VAR4));
VAR28 : VAR43 = VAR2;
VAR2 : VAR43 = (VAR44 & ~VAR20) ?
(VAR8 ? VAR2 : VAR28) :
VAR11;
VAR4 : VAR43 = VAR35;
VAR35 : VAR43 = (VAR44 & ~VAR20) ?
(VAR8 ? VAR35 : VAR4) :
VAR11;
default : VAR43 = VAR11;
endcase
always @(posedge VAR25 or posedge VAR46)
if (VAR46) VAR12 <= VAR17;
else if (VAR39 | VAR47 |
VAR31 | VAR23) VAR12 <= VAR43;
wire VAR30 = (VAR12==VAR11) & VAR39;
wire VAR45 = (VAR12==VAR4) | (VAR12==VAR35);
reg VAR48;
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR48 <= 1'b0;
else if ((VAR12==VAR17) & VAR9) VAR48 <= 1'b1;
else if ((VAR12==VAR17) & VAR10) VAR48 <= 1'b0;
assign VAR47 = (VAR12==VAR17) & VAR10 & VAR48;
reg [14:0] VAR36;
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR36 <= 15'h7ff8;
else if (VAR48) VAR36 <= VAR36+15'h0001;
wire [11:0] VAR41 = VAR36[14:3];
wire [11:0] VAR41 = VAR29;
reg [3:0] VAR50;
reg [11:0] VAR34;
wire VAR24 = VAR49 | (VAR39 & (VAR12==VAR4));
wire VAR21 = (VAR50==4'h0) & VAR9 & ((VAR12!=VAR17));
wire VAR38 = (VAR50!=4'h0) & (VAR34==12'h000);
assign VAR39 = (VAR50==4'hb);
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR50 <= 4'h0;
else if (VAR24 | VAR21) VAR50 <= 4'h1;
else if (VAR39) VAR50 <= 4'h0;
else if (VAR38) VAR50 <= VAR50+4'h1;
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR34 <= 12'h000;
else if (VAR21) VAR34 <= {1'b0, VAR41[11:1]};
else if (VAR24 | VAR38) VAR34 <= VAR41;
else VAR34 <= VAR34+12'hfff;
wire [19:0] VAR37 = {VAR14, VAR19[19:1]};
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR19 <= 18'h00000;
else if (VAR49) VAR19 <= {1'b1, VAR5[15:8], 2'b01, VAR5[7:0], 1'b0};
else if (VAR38) VAR19 <= VAR37;
reg VAR27;
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR27 <= 1'b1;
else if (VAR38 & VAR45) VAR27 <= VAR19[0];
reg [5:0] VAR32;
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR32 <= 6'h00;
else if (VAR30) VAR32 <= VAR19[VAR15];
reg VAR3;
always @ (posedge VAR25 or posedge VAR46)
if (VAR46) VAR3 <= 1'b0;
else if (VAR30) VAR3 <= VAR19[VAR22];
wire VAR42 = VAR44 ? VAR8 : VAR3;
wire [15:0] VAR13 = VAR42 ? {8'h00, VAR19[18:11]} :
{VAR19[18:11], VAR19[8:1]};
wire VAR26 = (VAR39 & (VAR12==VAR2));
wire VAR7 = VAR44 ? (VAR39 & (VAR12==VAR35)) :
(VAR30 & ~VAR19[VAR18]) | VAR23;
endmodule | bsd-3-clause |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.