repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/nor4b/sky130_fd_sc_lp__nor4b_1.v
2,302
module MODULE1 ( VAR10 , VAR4 , VAR8 , VAR9 , VAR1 , VAR2, VAR5, VAR7 , VAR11 ); output VAR10 ; input VAR4 ; input VAR8 ; input VAR9 ; input VAR1 ; input VAR2; input VAR5; input VAR7 ; input VAR11 ; VAR6 VAR3 ( .VAR10(VAR10), .VAR4(VAR4), .VAR8(VAR8), .VAR9(VAR9), .VAR1(VAR1), .VAR2(VAR2), .VAR5(VAR5), .VAR7(VAR7), .VAR11(VAR11) ); endmodule module MODULE1 ( VAR10 , VAR4 , VAR8 , VAR9 , VAR1 ); output VAR10 ; input VAR4 ; input VAR8 ; input VAR9 ; input VAR1; supply1 VAR2; supply0 VAR5; supply1 VAR7 ; supply0 VAR11 ; VAR6 VAR3 ( .VAR10(VAR10), .VAR4(VAR4), .VAR8(VAR8), .VAR9(VAR9), .VAR1(VAR1) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a21o/sky130_fd_sc_hd__a21o.behavioral.v
1,502
module MODULE1 ( VAR5 , VAR3, VAR9, VAR12 ); output VAR5 ; input VAR3; input VAR9; input VAR12; supply1 VAR1; supply0 VAR13; supply1 VAR11 ; supply0 VAR8 ; wire VAR4 ; wire VAR10; and VAR7 (VAR4 , VAR3, VAR9 ); or VAR2 (VAR10, VAR4, VAR12 ); buf VAR6 (VAR5 , VAR10 ); endmodule
apache-2.0
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
bin_Dilation_Operation/ip/Dilation/acl_fp_custom_mul_op_s5.v
13,483
module MODULE1 ( VAR24, VAR27, VAR47, VAR10, VAR20, VAR30, VAR11, VAR65, VAR38, VAR2, VAR31, VAR41, VAR53, VAR26, VAR48, enable); parameter VAR33 = 1; parameter VAR52 = 0; parameter VAR62 = 1; parameter VAR4 = 1; parameter VAR54 = 1; input VAR24, VAR27; input [26:0] VAR47; input [8:0] VAR10; input VAR20; input [26:0] VAR30; input [8:0] VAR11; input VAR65; input enable; input VAR41, VAR53; output VAR26, VAR48; output [27:0] VAR38; output [8:0] VAR2; output VAR31; wire [53:0] VAR6; wire VAR40; VAR28 VAR14(VAR24,VAR40,VAR47,VAR30,VAR6); reg [9:0] VAR59; reg [8:0] VAR12; reg [8:0] VAR7; reg [5:0] VAR1; reg [5:0] VAR25; reg VAR64; reg VAR16, VAR5, VAR32, VAR43, VAR34, VAR50, VAR37; reg VAR44, VAR23, VAR17, VAR55, VAR63, VAR56; wire VAR8; assign VAR40 = (VAR33 == 1) ? (~VAR23 | ~VAR8) : enable; assign VAR26 = VAR23 & VAR8; generate if (VAR54 == 1) begin reg VAR18; always@(posedge VAR24 or negedge VAR27) begin if (~VAR27) begin VAR59 <= 10'VAR39; VAR12 <= 9'VAR39; VAR7 <= 9'VAR39; VAR43 <= 1'VAR9; VAR16 <= 1'VAR9; VAR5 <= 1'VAR9; VAR32 <= 1'VAR9; VAR18 <= 1'VAR9; VAR55 <= 1'VAR9; VAR1 <= 6'VAR39; VAR25 <= 6'VAR39; VAR56 <= 1'VAR9; VAR63 <= 1'VAR9; VAR44 <= 1'b0; VAR23 <= 1'b0; VAR17 <= 1'b0; VAR50 <= 1'VAR9; VAR37 <= 1'VAR9; VAR64 <= 1'VAR9; end else if (VAR40) begin VAR44 <= VAR53; VAR23 <= VAR44; VAR16 <= VAR20 ^ VAR65; VAR5 <= VAR16; VAR50 <= VAR10[8]; VAR37 <= VAR11[8]; VAR43 <= (VAR10[8] | VAR11[8]); if ((VAR10[8] || VAR11[8]) && (VAR4 == 0)) VAR59 <= 10'h1ff; end else VAR59 <= VAR10 + VAR11 - 10'd127; VAR1 <= {VAR47[26], |VAR47[25:21], |VAR47[20:15], |VAR47[14:10], |VAR47[9:5], |VAR47[4:0]}; VAR25 <= {VAR30[26], |VAR30[25:21], |VAR30[20:15], |VAR30[14:10], |VAR30[9:5], |VAR30[4:0]}; VAR18 <= 1'b0; VAR56 <= 1'b0; if (VAR43 && (VAR4 == 0)) begin VAR12 <= 9'h1ff; if (((VAR50 & (~|VAR1[4:0])) & (~VAR37 & (~|VAR25))) || ((VAR37 & (~|VAR25[4:0])) & (~VAR50 & (~|VAR1))) || ((VAR50 & (|VAR1[4:0])) || (VAR37 & (|VAR25[4:0])))) VAR56 <= 1'b1; end else VAR18 <= 1'b1; end else if (VAR59[9]) begin VAR12 <= 9'd0; VAR18 <= 1'b1; end else if ((VAR59[8:0] >= 9'd255) && (VAR4 == 0)) begin VAR18 <= 1'b1; VAR12 <= 9'h1ff; end else VAR12 <= VAR59[8:0]; VAR64 <= ~|VAR12; VAR55 <= VAR18; VAR7 <= VAR12; VAR32 <= VAR5; VAR17 <= VAR23; VAR63 <= VAR56; end end end else begin always@(posedge VAR24 or negedge VAR27) begin if (~VAR27) begin VAR59 <= 10'VAR39; VAR12 <= 9'VAR39; VAR43 <= 1'VAR9; VAR16 <= 1'VAR9; VAR5 <= 1'VAR9; VAR55 <= 1'VAR9; VAR1 <= 5'VAR39; VAR25 <= 5'VAR39; VAR63 <= 1'VAR9; VAR44 <= 1'b0; VAR23 <= 1'b0; VAR50 <= 1'VAR9; VAR37 <= 1'VAR9; VAR64 <= 1'VAR9; end else if (VAR40) begin VAR44 <= VAR53; VAR23 <= VAR44; VAR16 <= VAR20 ^ VAR65; VAR5 <= VAR16; VAR43 <= VAR10[8] | VAR11[8]; VAR50 <= VAR10[8]; VAR37 <= VAR11[8]; if ((VAR10[8] || VAR11[8]) && (VAR4 == 0)) VAR59 <= 10'h1ff; end else VAR59 <= VAR10 + VAR11 - 10'd127; VAR1 <= {VAR47[26], |VAR47[25:21], |VAR47[20:15], |VAR47[14:10], |VAR47[9:5], |VAR47[4:0]}; VAR25 <= {VAR30[26], |VAR30[25:21], |VAR30[20:15], |VAR30[14:10], |VAR30[9:5], |VAR30[4:0]}; VAR55 <= 1'b0; VAR63 <= 1'b0; if (VAR43 && (VAR4 == 0)) begin VAR12 <= 9'h1ff; if (((VAR50 & (~|VAR1[4:0])) & (~VAR37 & (~|VAR25))) || ((VAR37 & (~|VAR25[4:0])) & (~VAR50 & (~|VAR1))) || ((VAR50 & (|VAR1[4:0])) || (VAR37 & (|VAR25[4:0])))) VAR63 <= 1'b1; end else VAR55 <= 1'b1; end else if (VAR59[9]) begin VAR12 <= 9'd0; VAR55 <= 1'b1; end else if ((VAR59[8:0] >= 9'd255) && (VAR4 == 0)) begin VAR55 <= 1'b1; VAR12 <= 9'h1ff; end else VAR12 <= VAR59[8:0]; VAR64 <= ~|VAR59; end end end endgenerate reg [27:0] VAR36; reg [8:0] VAR3; reg VAR45; reg VAR35; reg [4:0] VAR42; reg VAR58; reg VAR46; reg VAR66; wire VAR15; wire VAR13; assign VAR13 = (VAR33 == 1) ? (~VAR35 | ~VAR15) : enable; assign VAR8 = VAR35 & VAR15; generate if (VAR54 == 1) begin always@(posedge VAR24 or negedge VAR27) begin if (~VAR27) begin VAR35 <= 1'b0; VAR36 <= 28'VAR39; VAR3 <= 9'VAR39; VAR46 <= 1'VAR9; VAR42 <= 5'VAR39; VAR58 <= 1'VAR9; VAR66 <= 1'VAR9; VAR45 <= 1'VAR9; end else if (VAR13) begin VAR35 <= (VAR54 == 1) ? VAR17 : VAR23; VAR66 <= VAR63; VAR46 <= VAR55 | ((VAR52 == 1) & VAR64 & (~|VAR6[53:52])); VAR36 <= VAR6[53:26]; VAR58 <= VAR64; VAR3 <= (VAR54 == 1) ? VAR7 : VAR12; VAR45 <= (VAR54 == 1) ? VAR32 : VAR5; if (VAR62 == 1) VAR42 <= 5'd0; end else VAR42 <= {|VAR6[25:20],|VAR6[19:15],|VAR6[14:10],|VAR6[9:5],|VAR6[4:0]}; end end end else begin always@ begin VAR29 <= VAR35; if (VAR62 == 1) begin if (VAR58 & ~VAR66 &~VAR46) VAR22 <= {1'b0, VAR36[27:1]}; end else VAR22 <= VAR66 ? 28'h7ffffff : {28{~VAR46}} & VAR36[27:0]; end else begin if (VAR58 & ~VAR66 &~VAR46) VAR22 <= {1'b0, VAR36[27:2], |VAR36[1:0] | (|VAR42)}; end else VAR22 <= VAR66 ? 28'h7ffffff : {28{~VAR46}} & {VAR36[27:1], VAR36[0] | (|VAR42)}; end if (VAR58 & ~VAR66 & VAR36[27] & ~VAR46) VAR51 <= 9'd1; else VAR51 <= VAR3; VAR61 <= VAR45; end end endgenerate generate if (VAR33 == 1) begin reg [27:0] VAR49; reg [8:0] VAR57; reg VAR21; reg VAR60; always@(posedge VAR24 or negedge VAR27) begin if (~VAR27) begin VAR49 <= 28'VAR39; VAR57 <= 9'VAR39; VAR21 <= 1'VAR9; VAR60 <= 1'b0; end else begin if (~VAR41) VAR60 <= 1'b0; end else if (~VAR60) VAR60 <= VAR29; if (~VAR60) begin VAR49 <= VAR22; VAR57 <= VAR51; VAR21 <= VAR61; end end end assign VAR38 = VAR60 ? VAR49 : VAR22; assign VAR2 = VAR60 ? VAR57 : VAR51; assign VAR31 = VAR60 ? VAR21 : VAR61; assign VAR48 = VAR60 | VAR29; assign VAR19 = VAR60; end else begin assign VAR38 = VAR22; assign VAR2 = VAR51; assign VAR31 = VAR61; assign VAR48 = VAR29; assign VAR19 = VAR41; end endgenerate endmodule
mit
chenm001/connectal
verilog/FpgaReset.v
2,339
module MODULE1 ( VAR5, VAR6 ); parameter VAR7 = 1 ; input VAR5 ; output VAR6 ; reg [VAR7:0] VAR4 ; wire [VAR7+1:0] VAR2 = {VAR4, ~ VAR1} ; assign VAR6 = VAR4[VAR7] ; always @( posedge VAR5 ) begin VAR4 <= VAR3 VAR2[VAR7:0]; end begin VAR4 = {(VAR7 + 1) {VAR1 }} ; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/clkdlybuf4s25/sky130_fd_sc_lp__clkdlybuf4s25.behavioral.v
1,439
module MODULE1 ( VAR5, VAR9 ); output VAR5; input VAR9; supply1 VAR1; supply0 VAR3; supply1 VAR4 ; supply0 VAR7 ; wire VAR8; buf VAR6 (VAR8, VAR9 ); buf VAR2 (VAR5 , VAR8 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/a31oi/sky130_fd_sc_hdll__a31oi.pp.symbol.v
1,382
module MODULE1 ( input VAR3 , input VAR5 , input VAR1 , input VAR7 , output VAR9 , input VAR6 , input VAR4, input VAR2, input VAR8 ); endmodule
apache-2.0
jairov4/accel-oil
solution_virtex5/syn/verilog/nfa_get_initials.v
10,533
module MODULE1 ( VAR34, VAR28, VAR5, VAR7, VAR12, VAR36, VAR25, VAR11, VAR13, VAR4, VAR1, VAR22, VAR10, VAR37, VAR8, VAR19, VAR21, VAR39 ); parameter VAR16 = 1'b1; parameter VAR9 = 1'b0; parameter VAR30 = 2'b10; parameter VAR29 = 2'b00; parameter VAR27 = 2'b1; parameter VAR3 = 2'b11; parameter VAR32 = 64'b1; parameter VAR31 = 32'b00000000000000000000000000000000; parameter VAR24 = 32'b1; parameter VAR15 = 1'b1; input VAR34; input VAR28; input VAR5; output VAR7; output VAR12; output VAR36; input VAR25; output VAR11; input VAR13; output VAR4; input VAR1; output VAR22; output [31:0] VAR10; input [31:0] VAR37; output [31:0] VAR8; output [31:0] VAR19; output [31:0] VAR21; output [31:0] VAR39; reg VAR7; reg VAR12; reg VAR36; reg VAR4; reg VAR22; reg[31:0] VAR10; reg [1:0] VAR18 = 2'b10; reg VAR40; reg VAR6 = 1'b0; reg [31:0] VAR41; reg VAR35 = 1'b0; reg [1:0] VAR26; reg VAR23; reg VAR14; reg VAR38; always @ (posedge VAR34) begin : VAR17 if (VAR28 == 1'b1) begin VAR18 <= VAR30; end else begin VAR18 <= VAR26; end end always @ (posedge VAR34) begin : VAR33 if (VAR28 == 1'b1) begin VAR35 <= VAR9; end else begin if (((VAR30 == VAR18) & ~(~(VAR16 == VAR25) | ((VAR16 == VAR40) & (VAR5 == VAR9))))) begin VAR35 <= VAR5; end end end always @ (posedge VAR34) begin : VAR2 if (VAR28 == 1'b1) begin VAR6 <= VAR9; end else begin if (((VAR29 == VAR18) & ~(~(VAR16 == VAR25) | ((VAR16 == VAR6) & (VAR1 == VAR9))) & ~(VAR16 == VAR40))) begin VAR6 <= VAR9; end else if (((VAR3 == VAR18) & (VAR16 == VAR25))) begin VAR6 <= VAR40; end end end always @(posedge VAR34) begin if (((VAR16 == VAR40) & (VAR16 == VAR25) & (VAR27 == VAR18) & ~((VAR16 == VAR40) & (VAR1 == VAR9)))) begin VAR41 <= VAR37; end end always @ (VAR5 or VAR18 or VAR40 or VAR6 or VAR25 or VAR1) begin if (((~(VAR16 == VAR5) & (VAR30 == VAR18) & (VAR16 == VAR40)) | ((VAR16 == VAR6) & (VAR16 == VAR25) & (VAR29 == VAR18) & ~((VAR16 == VAR6) & (VAR1 == VAR9))))) begin VAR7 = VAR16; end else begin VAR7 = VAR9; end end always @ (VAR5 or VAR18 or VAR40 or VAR6) begin if ((~(VAR16 == VAR5) & (VAR30 == VAR18) & (VAR9 == VAR40) & (VAR9 == VAR6))) begin VAR12 = VAR16; end else begin VAR12 = VAR9; end end always @ (VAR18 or VAR40 or VAR25) begin if (((VAR16 == VAR40) & (VAR3 == VAR18) & (VAR16 == VAR25))) begin VAR36 = VAR16; end else begin VAR36 = VAR9; end end always @ (VAR5 or VAR18 or VAR35) begin if ((VAR30 == VAR18)) begin VAR40 = VAR5; end else begin VAR40 = VAR35; end end always @ (VAR5 or VAR40) begin if (((VAR9 == VAR40) & (VAR9 == VAR5))) begin VAR23 = VAR16; end else begin VAR23 = VAR9; end end always @ (VAR18 or VAR14 or VAR38) begin if (VAR38) begin if ((VAR3 == VAR18)) begin VAR10 = VAR32; end else if (VAR14) begin VAR10 = VAR31; end else begin VAR10 = 'VAR20; end end else begin VAR10 = 'VAR20; end end always @ (VAR5 or VAR18 or VAR40 or VAR25) begin if ((((VAR16 == VAR40) & (VAR3 == VAR18) & (VAR16 == VAR25)) | ((VAR30 == VAR18) & (VAR16 == VAR40) & (VAR16 == VAR25) & ~((VAR16 == VAR40) & (VAR5 == VAR9))))) begin VAR4 = VAR16; end else begin VAR4 = VAR9; end end always @ (VAR18 or VAR40 or VAR6 or VAR25 or VAR1) begin if ((((VAR16 == VAR40) & (VAR16 == VAR25) & (VAR27 == VAR18) & ~((VAR16 == VAR40) & (VAR1 == VAR9))) | ((VAR16 == VAR6) & (VAR16 == VAR25) & (VAR29 == VAR18) & ~((VAR16 == VAR6) & (VAR1 == VAR9))))) begin VAR22 = VAR16; end else begin VAR22 = VAR9; end end always @ (VAR5 or VAR18 or VAR40 or VAR6 or VAR25 or VAR1 or VAR23) begin case (VAR18) VAR30 : if ((~(~(VAR16 == VAR25) | ((VAR16 == VAR40) & (VAR5 == VAR9))) & ~((VAR9 == VAR6) & (VAR9 == VAR5)))) begin VAR26 = VAR29; end else begin VAR26 = VAR30; end VAR29 : if ((~(~(VAR16 == VAR25) | ((VAR16 == VAR6) & (VAR1 == VAR9))) & ~(VAR16 == VAR23))) begin VAR26 = VAR27; end else if ((~(~(VAR16 == VAR25) | ((VAR16 == VAR6) & (VAR1 == VAR9))) & (VAR16 == VAR23))) begin VAR26 = VAR30; end else begin VAR26 = VAR29; end VAR27 : if (~(~(VAR16 == VAR25) | ((VAR16 == VAR40) & (VAR1 == VAR9)))) begin VAR26 = VAR3; end else begin VAR26 = VAR27; end VAR3 : if ((VAR16 == VAR25)) begin VAR26 = VAR30; end else begin VAR26 = VAR3; end default : VAR26 = 'VAR20; endcase end assign VAR21 = VAR41; assign VAR39 = VAR37; always @ (VAR40 or VAR25) begin VAR38 = ((VAR16 == VAR40) & (VAR16 == VAR25)); end always @ (VAR5 or VAR18 or VAR40) begin VAR14 = ((VAR30 == VAR18) & ~((VAR16 == VAR40) & (VAR5 == VAR9))); end assign VAR8 = VAR31; assign VAR11 = VAR9; assign VAR19 = VAR24; endmodule
lgpl-3.0
jameshegarty/rigel
platform/camera/vsrc/CamReader.v
4,311
module MODULE1 ( input VAR22, input VAR1, input [7:0] din, input VAR24, input VAR26, output VAR33, output reg [7:0] VAR20, input VAR32, input VAR11, output reg [31:0] VAR5, output reg [31:0] VAR12 ); reg VAR7; reg VAR29; reg VAR28; reg VAR13; reg VAR9; reg VAR10; reg VAR2; wire VAR4; wire VAR3; reg VAR8; wire VAR31; reg VAR27; VAR19(VAR22, VAR27, 0, VAR32 ? 1'b1 : VAR27) VAR19(VAR22, VAR29, 0, (VAR27 && !VAR29 && VAR3) ? 1'b1 : VAR29) reg [7:0] VAR6; reg [7:0] VAR34; VAR19(VAR22, VAR6[7:0], 8'hFF, din[7:0]) VAR19(VAR22, VAR34[7:0], 8'hFF, VAR6[7:0]) VAR19(VAR22, VAR20[7:0], 8'hFF, VAR34[7:0]) localparam VAR18=0, VAR14=1, VAR21=2; reg [10:0] VAR35; reg [10:0] VAR23; reg [1:0] VAR15; reg [1:0] VAR36; assign VAR33 = (VAR36 == VAR21); always @(*) begin case(VAR36) VAR18 : begin VAR15 = VAR29 ? VAR14 : VAR18; VAR35 = 0; end VAR14 : begin VAR15 = VAR4 ? VAR21 : VAR14 ; VAR35 = VAR4 ? VAR16 : 0; end VAR21 : begin VAR15 = (VAR23 == 1) ? VAR14 : VAR21 ; VAR35 = VAR23 - 1'b1; end default : begin VAR15 = VAR18 ; VAR35 = 0; end endcase end VAR19(VAR22, VAR36, VAR18, VAR15) VAR19(VAR22, VAR23, 0, VAR35) assign VAR31 = !VAR8 && VAR3; assign VAR4 = VAR26 && VAR28 && VAR13 && !VAR9 && !VAR3; assign VAR3 = VAR24 && VAR10 && VAR2; VAR19(VAR22, VAR28, 1'b0, VAR26) VAR19(VAR22, VAR13, 1'b0, VAR28) VAR19(VAR22, VAR9, 1'b0, VAR13) VAR19(VAR22, VAR10, 1'b0, VAR24) VAR19(VAR22, VAR2, 1'b0, VAR10) VAR19(VAR22, VAR8, 1'b0, VAR3) reg VAR17; VAR19(VAR22, VAR17, 0, VAR33) reg [10:0] VAR30; VAR19(VAR22, VAR30, 32'h0, VAR33 ? (VAR30+1'b1) : 0 ) VAR19(VAR22, VAR5, 0, (VAR29 && VAR17 && !VAR33 && (VAR30!= 640)) ? VAR30 : VAR5) reg [10:0] VAR25; VAR19(VAR22, VAR25, 32'h0, VAR3 ? 32'h0 : (VAR33 && !VAR17 ? VAR25+1'b1 : VAR25)) VAR19(VAR22, VAR12, 32'h0, (VAR31 && (VAR25 !=480) ) ? VAR25 : VAR12) endmodule
mit
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
source/hardware/nfc-substrate/tiger4_nfc_substrate-1.0.0/d_BCH_CS_X.v
6,327
module MODULE1 ( parameter VAR5 = 2, parameter VAR28 = 12 ) ( VAR26, VAR11, VAR34, VAR4, VAR8, VAR33, VAR38, VAR9, VAR24, VAR40, VAR32, VAR19, VAR29, VAR7, VAR3, VAR13, VAR1, VAR36, VAR35, VAR30, VAR14, VAR31, VAR39, VAR37, VAR16, VAR25, VAR20, VAR6 ); input VAR26; input VAR11; input VAR34; input [VAR5 - 1:0] VAR4; input VAR8; input [VAR5*8 - 1:0] VAR33; input [VAR28*VAR5 - 1:0] VAR38; input [VAR28*VAR5 - 1:0] VAR9; input [VAR28*VAR5 - 1:0] VAR24; input [VAR28*VAR5 - 1:0] VAR40; input [VAR28*VAR5 - 1:0] VAR32; input [VAR28*VAR5 - 1:0] VAR19; input [VAR28*VAR5 - 1:0] VAR29; input [VAR28*VAR5 - 1:0] VAR7; input [VAR28*VAR5 - 1:0] VAR3; input [VAR28*VAR5 - 1:0] VAR13; input [VAR28*VAR5 - 1:0] VAR1; input [VAR28*VAR5 - 1:0] VAR36; input [VAR28*VAR5 - 1:0] VAR35; input [VAR28*VAR5 - 1:0] VAR30; input [VAR28*VAR5 - 1:0] VAR14; output VAR31; output [VAR5 - 1:0] VAR39; output [VAR5 - 1:0] VAR37; output [VAR5*8 - 1:0] VAR16; output [VAR5 - 1:0] VAR25; output [VAR5 - 1:0] VAR20; output [VAR5*8 - 1:0] VAR6; wire [VAR5 - 1:0] VAR21; wire [VAR5 - 1:0] VAR2; wire [VAR5 - 1:0] VAR27; wire [VAR5 - 1:0] VAR15; genvar VAR10; generate for (VAR10 = 0; VAR10 < VAR5; VAR10 = VAR10 + 1) begin VAR17 VAR23 ( .VAR26 (VAR26 ), .VAR11 (VAR11 ), .VAR22 (1'b0 ), .VAR31 (VAR21[VAR10] ), .VAR34 (VAR34 ), .VAR4 (VAR4[VAR10] ), .VAR8 (VAR8 ), .VAR38 (VAR38[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR9 (VAR9[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR24 (VAR24[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR40 (VAR40[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR32 (VAR32[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR19 (VAR19[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR29 (VAR29[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR7 (VAR7[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR3 (VAR3[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR13 (VAR13[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR1 (VAR1[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR36 (VAR36[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR35 (VAR35[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR30 (VAR30[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR14 (VAR14[VAR28 * (VAR10+1) - 1 : VAR28 * VAR10] ), .VAR12 ( ), .VAR39 (VAR39[VAR10] ), .VAR18 ( ), .VAR37 (VAR37[VAR10] ), .VAR16 (VAR16[(VAR10+1)*8 - 1:VAR10*8] ), .VAR33 (VAR33[(VAR10+1)*8 - 1:VAR10*8] ), .VAR25 (VAR25[VAR10] ), .VAR41 ( ), .VAR20 (VAR20[VAR10] ), .VAR6 (VAR6[(VAR10+1)*8 - 1:VAR10*8] ) ); end endgenerate assign VAR31 = VAR21[0] ; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/edfxtp/sky130_fd_sc_ls__edfxtp.functional.v
1,753
module MODULE1 ( VAR10 , VAR11, VAR9 , VAR4 ); output VAR10 ; input VAR11; input VAR9 ; input VAR4 ; wire VAR7 ; wire VAR2; VAR8 VAR5 (VAR2, VAR7, VAR9, VAR4 ); VAR6 VAR12 VAR3 (VAR7 , VAR2, VAR11 ); buf VAR1 (VAR10 , VAR7 ); endmodule
apache-2.0
freecores/tiny_tate_bilinear_pairing
group_size_is_911_bits/rtl/fsm.v
4,382
module MODULE1(clk, reset, VAR10, VAR25, VAR13, VAR2, VAR17, VAR18, VAR8); input clk; input reset; output reg [8:0] VAR10; input [28:0] VAR25; output reg [5:0] VAR13; output reg [5:0] VAR2; output VAR17; output reg [10:0] VAR18; output reg VAR8; reg [5:0] state; parameter VAR33=0, VAR23=1, VAR24=2, VAR26=4, VAR21=8, VAR4=16, VAR1=32; wire [5:0] VAR9, VAR7, VAR20; wire [8:0] VAR6; wire [1:0] VAR32; assign {VAR9, VAR7, VAR32, VAR6, VAR20} = VAR25; reg [8:0] VAR5; always @ (posedge clk) if (reset) state<=VAR33; else case (state) VAR33: state<=VAR23; VAR23: state<=VAR24; VAR24: if (VAR6==0) state<=VAR1; else state<=VAR26; VAR26: if (VAR5==1) state<=VAR21; VAR21: state<=VAR4; VAR4: state<=VAR23; endcase parameter VAR12 = 9'd21, VAR19 = 9'd116, VAR30 = 9'd288, VAR3 = 9'd301; reg [294:0] VAR14, VAR11; always @ (posedge clk) if (reset) VAR10<=0; else if (state==VAR21) begin if(VAR10 == VAR19 && VAR14[0]) VAR10 <= VAR12; end else if(VAR10 == VAR3 && VAR11[0]) VAR10 <= VAR30; else VAR10 <= VAR10 + 1'd1; end always @ (posedge clk) if (reset) VAR14 <= ~0; else if(state==VAR21 && VAR10==VAR19) VAR14 <= VAR14 >> 1; always @ (posedge clk) if (reset) VAR11 <= ~0; else if(state==VAR21 && VAR10==VAR3) VAR11 <= VAR11 >> 1; always @ (posedge clk) if (reset) VAR5 <= 0; else if (state==VAR23) VAR5 <= VAR6; else if (state==VAR26) VAR5 <= VAR5 - 1'd1; always @ (posedge clk) if (reset) VAR8<=0; else if (state==VAR1) VAR8<=1; else VAR8<=0; always @ (state, VAR7, VAR20) case (state) VAR23: VAR13=VAR7; VAR24: VAR13=VAR20; default: VAR13=0; endcase parameter VAR16=6'd4, VAR29=6'd8, VAR28=6'd16, VAR22=2'd0, VAR31=2'd1, VAR27=2'd2, VAR15=2'd3; always @ (posedge clk) case (state) VAR23: case (VAR32) VAR22: VAR18<=11'b11001000000; VAR31: VAR18<=11'b11001000000; VAR27: VAR18<=11'b11111000000; VAR15: VAR18<=11'b11110000000; default: VAR18<=0; endcase VAR24: case (VAR32) VAR22: VAR18<=11'b00110000000; VAR31: VAR18<=11'b00110000000; VAR27: VAR18<=0; VAR15: VAR18<=11'b00001000000; default: VAR18<=0; endcase VAR26: case (VAR32) VAR22: VAR18<=11'b00000010001; VAR31: VAR18<=11'b00000010001; VAR27: VAR18<=11'b01010000001; VAR15: VAR18<=11'b00000111111; default: VAR18<=0; endcase default: VAR18<=0; endcase always @ (state, VAR32, VAR20, VAR9) case (state) VAR23: case (VAR32) VAR22: VAR2=VAR16; VAR31: VAR2=VAR29; VAR27: VAR2=VAR28; default: VAR2=0; endcase VAR24: VAR2=VAR20; VAR4: VAR2=VAR9; default: VAR2=0; endcase assign VAR17 = (state==VAR4) ? 1'b1 : 1'b0; endmodule
apache-2.0
asicguy/gplgpu
hdl/ramdac_sp/ram_blks.v
5,762
module MODULE1 ( input VAR42, input VAR38, input VAR35, input VAR44, input VAR27, input [7:0] VAR20, input [7:0] VAR52, input [7:0] VAR13, input [7:0] VAR25, input VAR12, input VAR48, input [10:0] VAR16, input [7:0] VAR17, input VAR41, input VAR31, input [7:0] VAR15, input [7:0] VAR55, input [7:0] VAR51, input [7:0] VAR46, output [7:0] VAR2, output [7:0] VAR24, output [7:0] VAR50, output [7:0] VAR3, output [7:0] VAR57, output [7:0] VAR26, output reg [7:0] VAR22, output [7:0] VAR28, output [7:0] VAR40, output [7:0] VAR1, output [7:0] VAR39 ); wire [7:0] VAR34, VAR14, VAR8, VAR21; reg VAR54, VAR11; always @(posedge VAR42 or negedge VAR38) if (!VAR38) begin VAR54 <= 1'b0; VAR11 <= 1'b0; end else begin VAR54 <= VAR35; VAR11 <= VAR54; end wire VAR43 = VAR54 & !VAR11; wire VAR56 = !VAR16[10] & !VAR16[9] & VAR16[8] & VAR43; wire VAR10 = !VAR16[10] & VAR16[9] & !VAR16[8] & VAR43; wire VAR37 = !VAR16[10] & VAR16[9] & VAR16[8] & VAR43; wire VAR9 = VAR16[10] & !VAR16[9] & !VAR16[8] & VAR43; always @* begin case(VAR16[10:8]) 3'b001 : VAR22 <= VAR34 ; 3'b010 : VAR22 <= VAR14 ; 3'b011 : VAR22 <= VAR8 ; default : VAR22 <= VAR21 ; endcase end VAR53 VAR5 ( .VAR42 (VAR42), .write (VAR27), .VAR23 ({VAR12,VAR20}), .VAR30 (VAR52), .VAR44 (VAR44), .VAR49 ({VAR41, VAR15}), .VAR6 (VAR2), .VAR45 (VAR24) ); VAR53 VAR47 ( .VAR42 (VAR42), .write (VAR27), .VAR23 ({VAR12,VAR20}), .VAR30 (VAR13), .VAR44 (VAR44), .VAR49 ({VAR41, VAR55}), .VAR6 (VAR50), .VAR45 (VAR3) ); VAR53 VAR29 ( .VAR42 (VAR42), .write (VAR27), .VAR23 ({VAR12,VAR20}), .VAR30 (VAR25), .VAR44 (VAR44), .VAR49 ({VAR41, VAR51}), .VAR6 (VAR57), .VAR45 (VAR26) ); VAR7 VAR18 ( .VAR42 (VAR42), .write (VAR56), .VAR23 ({VAR48,VAR16[7:0]}), .VAR30 (VAR17), .VAR44 (VAR44), .VAR19 ({VAR31, VAR46}), .VAR33 (VAR28), .VAR45 (VAR34) ); VAR7 VAR32( .VAR42 (VAR42), .write (VAR10), .VAR23 ({VAR48,VAR16[7:0]}), .VAR30 (VAR17), .VAR44 (VAR44), .VAR19 ({VAR31, VAR46}), .VAR33 (VAR40), .VAR45 (VAR14) ); VAR7 VAR4 ( .VAR42 (VAR42), .write (VAR37), .VAR23 ({VAR48,VAR16[7:0]}), .VAR30 (VAR17), .VAR44 (VAR44), .VAR19 ({VAR31, VAR46}), .VAR33 (VAR1), .VAR45 (VAR8) ); VAR7 VAR36 ( .VAR42 (VAR42), .write (VAR9), .VAR23 ({VAR48,VAR16[7:0]}), .VAR30 (VAR17), .VAR44 (VAR44), .VAR19 ({VAR31, VAR46}), .VAR33 (VAR39), .VAR45 (VAR21) ); endmodule
gpl-3.0
MeshSr/onetswitch30
ons30-app52-ref_ofshw/vivado/onets_7030_4x_ref_ofshw/ip/packet_pipeline_v1_0/src/user_data_path/header_parser.v
21,127
module MODULE1 parameter VAR9 = VAR69/8, parameter VAR77 = 12, parameter VAR6 = 16, parameter VAR43 = 16'h0fff, parameter VAR58 = 224, parameter VAR24 = 8'h40, parameter VAR12 = 16'hffff, parameter VAR75 = 240, parameter VAR27 = 64, parameter VAR38 = 0 ) ( input [VAR69-1:0] VAR28, input [VAR9-1:0] VAR42, input VAR49, output reg[VAR27-1:0] VAR55, output reg [VAR77-1:0] VAR25, output reg VAR20, input[7:0] VAR32, output VAR23, input reset, input clk ); reg [VAR33-1:0] VAR21; assign VAR23=(VAR21>VAR38)?1:0; reg [VAR75-1:0] VAR65; reg[7:0] VAR22; always@(*) begin VAR55[95:64]={24'h0,VAR22}; if(VAR32 == 0)begin VAR55[15:0] = VAR65[VAR67 +: VAR3]; VAR55[63:16] = VAR65[VAR66 +:VAR5]; end else if(VAR32 == 1)begin VAR55[15:0] = VAR65[VAR29 +:VAR70]; VAR55[31:16] = VAR65[VAR56 +:VAR4]; VAR55[63:32] = VAR65[VAR50 +:VAR14]; end else if(VAR32 == 2)begin VAR55[15:0]= VAR65[VAR18+:VAR52]; VAR55[63:16] = VAR65[VAR68+:VAR60]; end else if(VAR32 == 3)begin VAR55[15:0] = VAR65[VAR76 +: VAR7]; VAR55[63:16] = VAR65[VAR68 +:VAR60]; end else if(VAR32 == 4)begin VAR55[7:0] = VAR65[VAR47 +: VAR41]; VAR55[15:8] = VAR65[VAR30 +: VAR72]; VAR55[31:16] = VAR65[VAR18+:VAR52]; VAR55[63:32] = VAR65[VAR13 +:VAR63]; end else begin VAR55[15:0] = 0 ; VAR55[63:16] = 0 ; end end localparam VAR39 = 0; localparam VAR17 = 1; localparam VAR59 = 2; localparam VAR10 = 3; localparam VAR61 = 1, VAR8 = 2, VAR2 = 3, VAR46 = 4, VAR26 = 5, VAR45 = 6; reg [1:0] state; reg [2:0] counter; reg VAR53; reg VAR15; reg VAR16; reg VAR48; reg VAR35; reg [3:0] VAR37; wire [VAR75-1:0] VAR31; generate if(VAR75 > VAR58+VAR6) begin:VAR1 assign VAR31[VAR75-1:VAR58+VAR6] = 0; end endgenerate assign VAR31[VAR58+VAR6-1:VAR58] = VAR12; assign VAR31[VAR58-1:0] = 0; always @(posedge clk) begin if(reset) begin counter <= 0; VAR20 <= 0; VAR65 <= VAR31; VAR25 <= 0; state <= VAR39; VAR53 <= 0; VAR15 <= 0; VAR16 <= 0; VAR48 <= 0; VAR37 <= 0; VAR22 <= 0; end else begin VAR20 <= 0; case (state) VAR10: begin VAR65 <= VAR31; state <= VAR39; if(VAR49) begin if(VAR42==VAR24) begin VAR65[VAR58 + VAR6 - 1 : VAR58] <= VAR28[VAR6-1 : 0] & VAR43; VAR48 <= (VAR42==VAR62); end else if(VAR42==VAR34) VAR22=VAR28[7:0]; end else if(VAR42==VAR36) begin VAR65[VAR67 + VAR3 - 1 : VAR67] <= VAR28[VAR74 + VAR3 - 1 : VAR74]; VAR21=VAR28[VAR11 +: VAR19]; if(VAR48) begin VAR25 <= (VAR28[VAR71 + VAR77 - 1:VAR71] + 4); end else begin VAR25 <= VAR28[VAR71 + VAR77 - 1:VAR71]; end VAR48 <= 0; end else if(VAR42==0) begin end end end VAR39: begin if(VAR49) begin if(VAR42==VAR24) begin VAR65[VAR58 + VAR6 - 1 : VAR58] <= VAR28[VAR6-1 : 0] & VAR43; VAR48 <= (VAR42==VAR62); end else if(VAR42==VAR62) VAR65[VAR57+VAR54-1:VAR57] <= VAR28 [15:0]; end else if(VAR42==VAR34) VAR22=VAR28[7:0]; end else if(VAR42==VAR36) begin VAR65[VAR67 + VAR3 - 1:VAR67] <= VAR28[VAR74 + VAR3 - 1:VAR74]; VAR21=VAR28[VAR11 +: VAR19]; if(VAR48) begin VAR25 <= (VAR28[VAR71 + VAR77 - 1:VAR71] + 4); end else begin VAR25 <= VAR28[VAR71 + VAR77 - 1:VAR71]; end VAR48 <= 0; end else if(VAR42==0) begin VAR65[VAR66 + 47 : VAR66] <= VAR28[63:16]; VAR65[VAR68 + 47 : VAR68 + 32] <= VAR28[15:0]; state <= VAR17; end counter <= 1; end end VAR17: begin if(VAR49) begin if(VAR42!=0) begin VAR20 <= 1'b1; state <= VAR39; VAR65 <= VAR31; VAR25 <= 0; end else begin counter <= counter + 1; case(counter) VAR61: begin VAR65[VAR68 + 31 : VAR68] <= VAR28[63:32]; VAR65[VAR18 + 15 : VAR18] <= VAR28[31:16]; if (VAR28[31:16] == VAR44) begin VAR65[VAR30 + 7 : VAR30 ]<= VAR28[7:2]; end VAR53 <= VAR28[31:16] == VAR44; VAR35 <= VAR28[31:16] == VAR64; VAR37 <= VAR28[11:8]; end VAR8: begin if(VAR53) begin VAR65[VAR47 + 7 : VAR47] <= VAR28[7:0]; if(VAR37 < 5) begin VAR65 <= VAR31; VAR20 <= 1'b1; state <= VAR59; end end else if(VAR35) begin VAR65[VAR47 + 7 : VAR47] <= VAR28[23:16]; end VAR15 <= VAR53 && ((VAR28[7:0] == VAR51) || (VAR28[7:0] == VAR73)); VAR16 <= VAR53 && (VAR28[7:0] == VAR40); end VAR2: begin if(VAR53) begin VAR65[VAR13 + 31:VAR13]<= VAR28[47:16]; VAR65[VAR50 + 31: VAR50 + 16]<= VAR28[15:0]; end else if(VAR35) begin counter <= counter + 2; VAR65[VAR13 + 31:VAR13]<= VAR28[31:0]; end end VAR46: begin counter <= counter; VAR37 <= VAR37 - 2'h2; if(VAR53) begin VAR65[VAR50 + 15:VAR50] <= VAR28[63:48]; VAR53 <= 0; end else if(VAR35) begin VAR65[VAR50 + 31:VAR50+15] <= VAR28[15:0]; end if(VAR15) begin if(VAR37 == 5) begin VAR65[VAR29 + 15:VAR29] <= VAR28[47:32]; VAR65[VAR56 + 15:VAR56] <= VAR28[31:16]; end else if(VAR37 == 6) begin VAR65[VAR29 + 15:VAR29] <= VAR28[15:0]; end else if(VAR37 == 4) begin VAR65[VAR56 + 15:VAR56] <= VAR28[63:48]; end end else if (VAR16) begin if(VAR37 == 5) begin VAR65[VAR29 + 15:VAR29 + 8] <= 8'h0; VAR65[VAR29 + 7:VAR29] <= VAR28[47:40]; VAR65[VAR56 + 15:VAR56 + 8] <= 8'h0; VAR65[VAR56 + 7:VAR56] <= VAR28[39:32]; end else if(VAR37 == 6) begin VAR65[VAR29 + 15:VAR29 + 8] <= 8'h0; VAR65[VAR29 + 7:VAR29] <= VAR28[15:8]; VAR65[VAR56 + 15:VAR56 + 8] <= 8'h0; VAR65[VAR56 + 7:VAR56] <= VAR28[7:0]; end end if(!(VAR15 || VAR16) || ((VAR15 || VAR16) && (VAR37 == 5 || VAR37 == 4))) begin VAR20 <= 1'b1; if(VAR42 != 0) begin state <= VAR10; end else begin state <= VAR59; end end end VAR26: begin VAR65[VAR50 + 31:VAR50 + 16] <= VAR28[15:0]; end default: begin VAR65[VAR50 + 15:VAR50] <= VAR28[63:48]; VAR35 <= 0; VAR20 <= 1'b1; if(VAR42 != 0) begin state <= VAR10; end else begin state <= VAR59; end end endcase end end end VAR59: begin VAR20 <= 1'b0; if(VAR42!=0 & VAR49) begin state <= VAR39; VAR65 <= VAR31; VAR25 <= 0; end end endcase end end endmodule
lgpl-2.1
Chapna/TTCache
src/cache_t.v
1,187
module MODULE1; reg [0:15] VAR3; reg [0:4] VAR6; reg enable; reg write; reg [0:1] word; reg VAR1; reg [0:3] VAR7; reg VAR2; reg rst; wire [0:15] VAR4; wire [0:4] VAR9; wire VAR8; wire VAR5; wire valid; wire ack;
gpl-2.0
lbl-cal/StanfordNoC
router/src/clib/c_decode.v
221,608
module MODULE1 (VAR6, VAR8); parameter VAR3 = 8; parameter VAR5 = 0; parameter VAR4 = 0; localparam VAR7 = VAR2(VAR3); localparam [0:0] VAR1 = VAR4 ? 1'b1 : 1'b0; input [0:VAR7-1] VAR6; output [0:VAR3-1] VAR8; reg [0:VAR3-1] VAR8; generate if(VAR3 < 2) begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin begin
bsd-2-clause
cyrozap/mimas_v2_hex_display
display_hex_byte.v
3,699
module MODULE2( input clk, input [7:0] VAR4, output wire [7:0] VAR10, output wire [2:0] VAR14 ); parameter VAR7 = 1000; parameter VAR2 = 100000000; localparam VAR5 = VAR2 / (VAR7 * 3); reg [31:0] VAR9; reg [7:0] VAR3; reg [2:0] VAR13; assign VAR10 = ~VAR3; assign VAR14 = ~VAR13; wire [7:0] VAR11; wire [7:0] VAR12; MODULE1 MODULE1(VAR4[7:4], VAR11); MODULE1 MODULE2(VAR4[3:0], VAR12); always @(posedge clk) begin if (VAR9 < VAR5) VAR9 <= VAR9 + 1; end else begin VAR9 <= 0; case (VAR13) 3'b001: begin VAR3 <= 8'b00101110; VAR13 <= 3'b100; end 3'b100: begin VAR3 <= VAR11; VAR13 <= 3'b010; end 3'b010: begin VAR3 <= VAR12; VAR13 <= 3'b001; end default: begin VAR3 <= 8'h00; VAR13 <= 3'b001; end endcase end end endmodule module MODULE1( input [3:0] VAR8, output wire [7:0] VAR10 ); reg [7:0] VAR3; assign VAR10 = VAR3; always begin case (VAR8) 4'h0: VAR3 = 8'b11111100; 4'h1: VAR3 = 8'b01100000; 4'h2: VAR3 = 8'b11011010; 4'h3: VAR3 = 8'b11110010; 4'h4: VAR3 = 8'b01100110; 4'h5: VAR3 = 8'b10110110; 4'h6: VAR3 = 8'b10111110; 4'h7: VAR3 = 8'b11100000; 4'h8: VAR3 = 8'b11111110; 4'h9: VAR3 = 8'b11110110; 4'ha: VAR3 = 8'b11101110; 4'hb: VAR3 = 8'b00111110; 4'hc: VAR3 = 8'b10011100; 4'hd: VAR3 = 8'b01111010; 4'he: VAR3 = 8'b10011110; 4'hf: VAR3 = 8'b10001110; endcase end endmodule
apache-2.0
dawsonjon/fpu
double_to_long/double_to_long.v
2,658
module MODULE1( VAR16, VAR14, VAR3, clk, rst, VAR19, VAR18, VAR13); input clk; input rst; input [63:0] VAR16; input VAR14; output VAR13; output [63:0] VAR19; output VAR18; input VAR3; reg VAR12; reg [63:0] VAR17; reg VAR8; reg [2:0] state; parameter VAR5 = 3'd0, VAR9 = 3'd1, VAR2 = 3'd2, VAR1 = 3'd3, VAR10 = 3'd4; reg [63:0] VAR15, VAR7, VAR6; reg [11:0] VAR4; reg VAR11; always @(posedge clk) begin case(state) VAR5: begin VAR8 <= 1; if (VAR8 && VAR14) begin VAR7 <= VAR16; VAR8 <= 0; state <= VAR2; end end VAR2: begin VAR15[63:11] <= {1'b1, VAR7[51 : 0]}; VAR15[10:0] <= 0; VAR4 <= VAR7[62 : 52] - 1023; VAR11 <= VAR7[63]; state <= VAR9; end VAR9: begin if ((VAR4) == -1023) begin VAR6 <= 0; state <= VAR10; end else if ((VAR4) == 1024 && VAR7[51:0] != 0) begin VAR6 <= 64'h8000000000000000; state <= VAR10; end else if ((VAR4) > 63) begin if (VAR11) begin VAR6 <= 64'h8000000000000000; end else begin VAR6 <= 64'h0000000000000000; end state <= VAR10; end else begin state <= VAR1; end end VAR1: begin if ((VAR4) < 63 && VAR15) begin VAR4 <= VAR4 + 1; VAR15 <= VAR15 >> 1; end else begin if (VAR15[63] && VAR11) begin VAR6 <= 64'h8000000000000000; end else begin VAR6 <= VAR11 ? -VAR15 : VAR15; end state <= VAR10; end end VAR10: begin VAR12 <= 1; VAR17 <= VAR6; if (VAR12 && VAR3) begin VAR12 <= 0; state <= VAR5; end end endcase if (rst == 1) begin state <= VAR5; VAR8 <= 0; VAR12 <= 0; end end assign VAR13 = VAR8; assign VAR18 = VAR12; assign VAR19 = VAR17; endmodule
mit
ZipCPU/wbuart32
rtl/ufifo.v
12,382
module MODULE1 #( parameter VAR21=8, parameter [3:0] VAR32=4, parameter [0:0] VAR23=1'b1, localparam VAR19=(1<<VAR32) ) ( input wire VAR4, VAR15, input wire VAR27, input wire [(VAR21-1):0] VAR41, output wire VAR12, input wire VAR29, output wire [(VAR21-1):0] VAR18, output wire [15:0] VAR10, output wire VAR6 ); reg [(VAR21-1):0] VAR25[0:(VAR19-1)]; reg [(VAR21-1):0] VAR44, VAR39; reg [(VAR32-1):0] VAR37, VAR42, VAR14; reg VAR36, VAR38; reg VAR2; wire [(VAR32-1):0] VAR13, VAR7; wire VAR30, VAR5; reg [(VAR32-1):0] VAR16; wire [3:0] VAR1; wire VAR17; reg [9:0] VAR31; assign VAR30 = (VAR27 && (!VAR36 || VAR29)); assign VAR5 = (VAR29 && VAR12); assign VAR7 = VAR37 + 2; assign VAR13 = VAR37 + 1; VAR8 VAR36 = 1'b0; always @(posedge VAR4) if (VAR15) VAR36 <= 1'b0; else if (VAR29) VAR36 <= (VAR36)&&(VAR27); else if (VAR30) VAR36 <= (VAR36)||(VAR7 == VAR42); else if (VAR13 == VAR42) VAR36 <= 1'b1; VAR8 VAR37 = 0; always @(posedge VAR4) if (VAR15) VAR37 <= { (VAR32){1'b0} }; else if (VAR30) VAR37 <= VAR13; always @(posedge VAR4) if (VAR30) VAR25[VAR37] <= VAR41; VAR8 VAR38 = 1'b1; always @(posedge VAR4) if (VAR15) VAR38 <= 1'b1; else if (VAR27) VAR38 <= 1'b0; else if (VAR5) VAR38 <= (VAR38)||(VAR14 == VAR37); VAR8 VAR42 = 0; VAR8 VAR14 = 1; always @(posedge VAR4) if (VAR15) begin VAR42 <= 0; VAR14 <= 1; end else if (VAR5) begin VAR42 <= VAR42 + 1; VAR14 <= VAR42 + 2; end always @(posedge VAR4) if (VAR5) VAR44 <= VAR25[VAR14[VAR32-1:0]]; always @(posedge VAR4) if (VAR27 && (!VAR12 || (VAR5 && VAR14 == VAR37))) VAR39 <= VAR41; VAR8 VAR2 = 1'b0; always @(posedge VAR4) if (VAR15) VAR2 <= 1'b0; end else if (VAR27 && (!VAR12 || (VAR5 && VAR14 == VAR37))) VAR2 <= 1'b1; else if (VAR29) VAR2 <= 1'b0; assign VAR18 = (VAR2) ? VAR39 : VAR44; generate if (VAR23) begin : VAR28 VAR8 VAR16 = 0; always @(posedge VAR4) if (VAR15) VAR16 <= 0; end else case({ VAR30, VAR5 }) 2'b01: VAR16 <= VAR16 - 1'b1; 2'b10: VAR16 <= VAR16 + 1'b1; default: begin end endcase end else begin : VAR9 VAR8 VAR16 = -1; always @(posedge VAR4) if (VAR15) VAR16 <= -1; end else case({ VAR30, VAR5 }) 2'b01: VAR16 <= VAR16 + 1'b1; 2'b10: VAR16 <= VAR16 - 1'b1; default: begin end endcase end endgenerate assign VAR6 = (VAR27 && !VAR30); assign VAR1 = VAR32; always @ VAR43 = VAR37 - VAR42; always @ assert(VAR36 == (&VAR43)); assign VAR34 = VAR42 + 1; always @ if (VAR38) begin assert(!VAR5); assert(!VAR2); end always @(posedge VAR4) if (VAR23) assert(VAR16 == VAR43); else assert(VAR16 == (~VAR43)); reg [VAR32-1:0] VAR33; reg [VAR21-1:0] VAR11, VAR3; reg [VAR32-1:0] VAR45; reg [1:0] VAR22; reg VAR26, VAR20; reg [VAR32-1:0] VAR40, VAR35; always @ case(VAR22) 2'b00: begin end 2'b01: begin assert(!VAR38); assert(VAR26); assert(!VAR20); assert(VAR37 == VAR45); assert(VAR25[VAR33] == VAR11); if (VAR42 == VAR33) assert(VAR18 == VAR11); end 2'b10: begin assert(VAR26); assert(VAR20); end 2'b11: begin assert(VAR20); assert(VAR42 == VAR45); assert(VAR18 == VAR3); end endcase reg VAR24; always @ cover(VAR6); VAR8 VAR24 = 0; always @(posedge VAR4) if (VAR15) VAR24 <= 0; end else if (&VAR43[VAR32-1:0]) VAR24 <= 1; always @(*) cover(VAR24 && !VAR12); endmodule
gpl-3.0
puroh/Procesador_monociclo
memoria_datos.v
2,009
module MODULE1(clk,VAR9,VAR3,VAR15,VAR13,VAR6); input clk; input VAR9; input [31:0] VAR3; input [31:0] VAR15; input VAR13; output reg [31:0] VAR6; wire VAR17; wire VAR8; wire [2:0] VAR2; wire [31:0] VAR10; wire [31:0] VAR14; wire [31:0] VAR5; wire VAR7; VAR12 VAR4(.clk(clk), .VAR1(VAR17), .VAR3(VAR3[7:0]), .VAR15(VAR15), .VAR13(VAR13), .VAR6(VAR10), .VAR9(VAR9) ); VAR12 VAR11(.clk(clk), .VAR1(VAR8), .VAR3(VAR3[7:0]), .VAR15(VAR15), .VAR13(VAR13), .VAR6(VAR14), .VAR9(VAR9) ); VAR12 VAR16( .clk(clk), .VAR1(VAR7), .VAR3(VAR3[7:0]), .VAR15(VAR15), .VAR13(VAR13), .VAR6(VAR5), .VAR9(VAR9) ); assign VAR7 = (VAR3[31:8]==24'b000000000000000000000100) ? 1 : 0 ; assign VAR17 = ((VAR3[31:8]==24'b000000000000000000000000)|(VAR3[31:8]==24'b000000000000000000000001)) ? 1 : 0 ; assign VAR8 = ((VAR3[31:8]==24'b000000000000000000000010)|(VAR3[31:8]==24'b000000000000000000000011)) ? 1 : 0 ; assign VAR2 = {VAR7,VAR17,VAR8}; always @ (*) begin case(VAR2) 3'b100:VAR6 = VAR5; 3'b010:VAR6 = VAR10; 3'b001:VAR6 = VAR14; endcase end endmodule
gpl-3.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v
6,370
module MODULE1 import VAR20::VAR10; ( input VAR10 VAR6 ,input VAR10 VAR28 ,input VAR5 ,input VAR9 ,output VAR22 ); wire VAR12; wire VAR30 = ~VAR5; VAR23 VAR13; wire VAR1; wire VAR16, VAR38; VAR14 ,.VAR26(1) ) VAR29 (.VAR6(VAR6) ,.VAR15(VAR13) ); VAR14 ,.VAR26(1) ) VAR19 (.VAR6(VAR28) ,.VAR15(VAR1) ); wire VAR32, VAR25; wire VAR17; assign VAR17 = VAR12; wire VAR27; assign VAR27 = ~VAR9; VAR33 VAR7 (.VAR31(VAR27) ,.VAR3 (VAR1 ) ,.VAR37(VAR28.en ) ,.VAR18(VAR30 ) ,.VAR34(VAR13.VAR8[0] ) ,.VAR36(VAR16 ) ,.VAR35(VAR32 ) ); VAR21 VAR4 (.VAR31 (VAR32) ,.VAR39 (VAR16) ,.VAR18(VAR30 ) ,.VAR34 (VAR13.VAR4 ) ,.VAR36 (VAR38) ,.VAR35 (VAR25) ); VAR11 VAR24 (.VAR31 (VAR25) ,.VAR39 (VAR38) ,.VAR18(VAR30) ,.VAR34 (VAR13.VAR24) ,.VAR35 (VAR12) ,.VAR2 (VAR22) ); endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dlymetal6s6s/sky130_fd_sc_ls__dlymetal6s6s.behavioral.v
1,441
module MODULE1 ( VAR3, VAR9 ); output VAR3; input VAR9; supply1 VAR8; supply0 VAR7; supply1 VAR2 ; supply0 VAR1 ; wire VAR4; buf VAR5 (VAR4, VAR9 ); buf VAR6 (VAR3 , VAR4 ); endmodule
apache-2.0
tmatsuya/milkymist-ml401
cores/tmu2/rtl/tmu2_qpram32.v
1,613
module MODULE1 #( parameter VAR16 = 11 ) ( input VAR9, input [VAR16-1:0] VAR1, output [31:0] VAR21, input [VAR16-1:0] VAR3, output [31:0] VAR8, input [VAR16-1:0] VAR14, output [31:0] VAR5, input [VAR16-1:0] VAR18, output [31:0] VAR17, input VAR11, input [VAR16-1-1:0] VAR19, input [63:0] VAR13 ); VAR4 #( .VAR16(VAR16), .VAR20(32) ) VAR15 ( .VAR9(VAR9), .VAR2(VAR11 ? {VAR19, 1'b0} : VAR1), .VAR11(VAR11), .VAR7(VAR13[63:32]), .do(VAR21), .VAR3(VAR11 ? {VAR19, 1'b1} : VAR3), .VAR12(VAR11), .VAR6(VAR13[31:0]), .VAR10(VAR8) ); VAR4 #( .VAR16(VAR16), .VAR20(32) ) VAR22 ( .VAR9(VAR9), .VAR2(VAR11 ? {VAR19, 1'b0} : VAR14), .VAR11(VAR11), .VAR7(VAR13[63:32]), .do(VAR5), .VAR3(VAR11 ? {VAR19, 1'b1} : VAR18), .VAR12(VAR11), .VAR6(VAR13[31:0]), .VAR10(VAR17) ); endmodule
lgpl-3.0
mgohde/MiniMicroII
old/intpipe.v
2,793
module MODULE1( VAR34, VAR28, VAR6, VAR23, VAR16, VAR20, VAR8, VAR21, VAR3, VAR25, VAR18, VAR15, VAR4, VAR5, VAR13, VAR22 ); input VAR34; input VAR28; input VAR6; input [3:0] VAR23; input [2:0] VAR16; input [2:0] VAR20; input [2:0] VAR8; input [15:0] VAR21; input [15:0] VAR3; input [7:0] VAR25; output [2:0] VAR18; output [2:0] VAR15; output [2:0] VAR4; output [15:0] VAR5; output VAR13; output [7:0] VAR22; wire [15:0] VAR10 [1:0]; wire [15:0] VAR1 [1:0]; wire [15:0] VAR9; wire [3:0] VAR27; wire [7:0] VAR14; wire [7:0] VAR17; reg [7:0] VAR22; wire VAR26; reg [3:0] VAR32[1:0]; reg [3:0] VAR33[2:0]; reg [15:0] VAR24; reg [15:0] VAR2[1:0]; reg [15:0] VAR31[1:0]; reg write; assign VAR13=write&&(~VAR28); wire VAR12; wire VAR11; assign VAR22=VAR17; assign VAR25=VAR14; assign VAR12=VAR33[2]==VAR16; assign VAR11=VAR33[2]==VAR20; assign VAR18=VAR16; assign VAR15=VAR20; assign VAR4=VAR33[2]; assign VAR5=VAR24; assign VAR10[0]=VAR2[1]; assign VAR10[1]=VAR9; assign VAR1[0]=VAR31[1]; assign VAR1[1]=VAR9; assign VAR27=VAR32[1]; wire [15:0] VAR19; assign VAR19=VAR2[0]; wire [15:0] VAR29; assign VAR29=VAR2[1]; VAR7 VAR30(VAR27, VAR14, VAR10[VAR12], VAR1[VAR11], VAR17, VAR9, VAR26); always@(posedge VAR34) begin if(VAR28==1) begin end else if(VAR6==0) begin VAR32[0]<=VAR23; VAR32[1]<=VAR32[0]; VAR33[0]<=VAR8; VAR33[1]<=VAR33[0]; VAR33[2]<=VAR33[1]; VAR24<=VAR9; write<=VAR26; VAR2[0]<=VAR21; VAR31[0]<=VAR3; VAR2[1]<=VAR2[0]; VAR31[1]<=VAR31[0]; end else begin VAR32[0]<=0; VAR32[1]<=0; VAR33[0]<=0; VAR33[1]<=0; VAR33[2]<=0; VAR24<=0; write<=0; VAR2[0]<=0; VAR2[1]<=0; VAR31[0]<=0; VAR31[1]<=0; end end
bsd-2-clause
sam-falvo/remex
example/rtl/CSRs.v
6,184
module MODULE1( input [11:0] VAR99, output VAR62, output [63:0] VAR32, input [63:0] VAR91, input VAR19, input VAR61, input VAR31, input VAR28, input VAR20, input VAR21, output [63:0] VAR98, output [63:0] VAR50, output [3:0] VAR88, input [63:0] VAR65, input [63:0] VAR17, output VAR87, output VAR89, input VAR48, input VAR37, input VAR51, input VAR43, input VAR2, input VAR52, input VAR15, input VAR4, input VAR76, output VAR34, input VAR33, input VAR22 ); reg VAR9, VAR85; reg [63:0] VAR29; reg [63:0] VAR90; reg [63:0] VAR18; reg [4:0] VAR6; reg [63:0] VAR92; reg [63:0] VAR41; reg [63:0] VAR83; reg [63:0] VAR46; reg VAR71; wire VAR58, VAR3; wire [63:0] VAR67; wire [63:0] VAR81; wire [63:0] VAR16; wire [4:0] VAR35; wire [63:0] VAR80; wire [63:0] VAR55; wire [63:0] VAR25; assign VAR98 = VAR29; assign VAR50 = VAR18; wire VAR84 = (VAR99 == 12'hF10); wire VAR86 = (VAR99 == 12'hF11); wire VAR30 = (VAR99 == 12'hF12); wire VAR24 = (VAR99 == 12'hF13); wire VAR82 = (VAR99 == 12'hF14); wire VAR69 = (VAR99 == 12'h300); wire VAR54 = (VAR99 == 12'h302); wire VAR5 = (VAR99 == 12'h303); wire VAR45 = (VAR99 == 12'h304); wire VAR72 = (VAR99 == 12'h305); wire VAR60 = (VAR99 == 12'h340); wire VAR44 = (VAR99 == 12'h341); wire VAR53 = (VAR99 == 12'h342); wire VAR8 = (VAR99 == 12'h343); wire VAR47 = (VAR99 == 12'h344); wire VAR57 = (VAR99 == 12'hF00); wire VAR94 = (VAR99 == 12'hF01); wire VAR75 = (VAR99 == 12'hF02); assign VAR62 = |{ VAR84, VAR86, VAR30, VAR24, VAR82, VAR69, VAR54, VAR5, VAR45, VAR72, VAR60, VAR44, VAR53, VAR8, VAR47, VAR57, VAR94, VAR75 }; wire [63:0] VAR49 = {2'b10, 36'd0, 26'b00000001000000000100000000}; wire [63:0] VAR12 = 64'd0; wire [63:0] VAR79 = 64'd0; wire [63:0] VAR73 = 64'h1161008010000000; wire [63:0] VAR70 = 64'd0; wire [63:0] VAR59 = { 1'b0, 34'd0, 5'b00000, 4'b0000, 3'b000, 2'b00, 2'b00, 2'b11, 2'b10, 1'b1, VAR9, 3'b000, VAR85, 3'b000 }; wire [63:0] VAR7 = 64'd0; wire [63:0] VAR13 = 64'd0; wire [63:0] VAR93 = { 52'd0, VAR71, 11'd0 }; wire [63:0] VAR64 = VAR29; wire [63:0] VAR56 = VAR90; wire [63:0] VAR68 = VAR18; wire [63:0] VAR36 = { VAR6[4], 59'd0, VAR6[3:0] }; wire [63:0] VAR23 = VAR92; wire [63:0] VAR97 = { 52'd0, VAR76, 11'd0 }; wire [63:0] VAR38 = VAR41; wire [63:0] VAR63 = VAR83; wire [63:0] VAR1 = VAR46; assign VAR34 = VAR85 & VAR71 & VAR76; assign VAR32 = (VAR84 ? VAR49 : 0) | (VAR86 ? VAR12 : 0) | (VAR30 ? VAR79 : 0) | (VAR24 ? VAR73 : 0) | (VAR82 ? VAR70 : 0) | (VAR69 ? VAR59 : 0) | (VAR54 ? VAR7 : 0) | (VAR5 ? VAR13 : 0) | (VAR45 ? VAR93 : 0) | (VAR72 ? VAR64 : 0) | (VAR60 ? VAR56 : 0) | (VAR44 ? VAR68 : 0) | (VAR53 ? VAR36 : 0) | (VAR8 ? VAR23 : 0) | (VAR47 ? VAR97 : 0) | (VAR57 ? VAR38 : 0) | (VAR94 ? VAR63 : 0) | (VAR75 ? VAR1 : 0); wire VAR77 = VAR45 & VAR61; wire VAR74 = ~|{VAR77, VAR33}; wire VAR96 = (VAR77 ? VAR91[11] : 0) | (VAR74 ? VAR71 : 0); wire VAR11 = VAR69 & VAR61; wire VAR78 = ~|{VAR31, VAR28, VAR11}; assign VAR3 = (VAR31 ? 0 : 0) | (VAR28 ? VAR9 : 0) | (VAR11 ? VAR91[3] : 0) | (VAR78 ? VAR85 : 0); wire VAR39 = ~|{VAR20, VAR21, VAR11}; assign VAR58 = (VAR20 ? VAR85 : 0) | (VAR21 ? 1 : 0) | (VAR11 ? VAR91[7] : 0) | (VAR39 ? VAR9 : 0); assign VAR87 = VAR85; assign VAR89 = VAR9; wire VAR42 = VAR72 & VAR61; wire VAR40 = ~|{VAR42, VAR33}; assign VAR67 = (VAR42 ? VAR91 : 0) | (VAR33 ? 64'hFFFFFFFFFFFFFE00 : 0) | (VAR40 ? VAR29 : 0); wire VAR27 = VAR60 & VAR61; assign VAR81 = (VAR27 ? VAR91 : VAR90); wire VAR26 = VAR44 & VAR61; wire VAR10 = ~|{VAR26, VAR15, VAR4}; assign VAR16 = (VAR26 ? VAR91 : 0) | (VAR15 ? VAR65 : 0) | (VAR4 ? VAR17 : 0) | (VAR10 ? VAR18 : 0); wire VAR66 = VAR53 & VAR61; wire VAR14 = ~|{VAR51, VAR43, VAR2, VAR66}; assign VAR88 = VAR35[3:0]; assign VAR35 = (VAR66 ? {VAR91[63], VAR91[3:0]} : 0) | (VAR51 ? {VAR52, 4'd2} : 0) | (VAR43 ? {VAR52, 4'd3} : 0) | (VAR2 ? {VAR52, 4'd11} : 0) | (VAR14 ? VAR6 : 0); wire VAR95 = VAR8 & VAR61; assign VAR80 = (VAR95 ? VAR91 : VAR92); assign VAR55 = (~VAR33 ? VAR41 + 1 : 0); assign VAR25 = (~VAR33 & VAR37 ? VAR83 + 64'd1 : 0) | (~VAR33 & ~VAR37 ? VAR83 : 0); always @(posedge VAR22) begin VAR46 <= VAR46; if (VAR33) begin VAR46 <= 64'd0; end else begin if (VAR48) begin VAR46 <= VAR46 + 1; end end end always @(posedge VAR22) begin VAR85 <= VAR3; VAR9 <= VAR58; VAR29 <= VAR67; VAR90 <= VAR81; VAR18 <= VAR16; VAR6 <= VAR35; VAR92 <= VAR80; VAR41 <= VAR55; VAR83 <= VAR25; VAR71 <= VAR96; end endmodule
mpl-2.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_NVMeHostController_0_0/src/pcie_7x_0_core_top/source/pcie_7x_0_core_top_qpll_wrapper.v
29,715
module MODULE1 # ( parameter VAR151 = "VAR50", parameter VAR119 = "VAR135", parameter VAR43 = "3.0", parameter VAR73 = "VAR29", parameter VAR80 = 0 ) ( input VAR124, input VAR91, input VAR104, output VAR137, output VAR103, output VAR1, input VAR45, input VAR60, input VAR146, input [ 7:0] VAR125, input VAR62, input [15:0] VAR42, input VAR21, output [15:0] VAR96, output VAR52 ); localparam VAR130 = (VAR80 == 2) && (VAR73 == "VAR101") ? 10'b0010000000 : (VAR80 == 1) && (VAR73 == "VAR101") ? 10'b0100100000 : (VAR80 == 0) && (VAR73 == "VAR101") ? 10'b0101110000 : (VAR80 == 2) && (VAR73 == "VAR29") ? 10'b0001100000 : (VAR80 == 1) && (VAR73 == "VAR29") ? 10'b0011100000 : 10'b0100100000; localparam VAR81 = (VAR80 == 2) ? 3'd2 : (VAR80 == 1) ? 3'd4 : 3'd5; localparam VAR23 = ((VAR43 == "1.0") && (VAR73 == "VAR29")) ? 64'h0000042000001000 : 64'h0000040000001000; wire VAR88; wire VAR75; generate if (VAR119 == "VAR13") begin : VAR30 VAR6 # ( .VAR132 (3'b001), .VAR8 (3'b001), .VAR48 (VAR151), .VAR61 (VAR43), .VAR148 (27'h01F024C), .VAR87 (27'h01F024C), .VAR116 (8'd0), .VAR79 (1'b0), .VAR10 (1'b0), .VAR114 (VAR81), .VAR127 (VAR81), .VAR139 (5), .VAR82 (5), .VAR19 (24'h00001E), .VAR150 (24'h00001E), .VAR90 ( 9'h1E8), .VAR2 ( 9'h1E8), .VAR58 (1), .VAR107 (1), .VAR23 (64'h0000000000050001), .VAR4 (16'd0), .VAR51 (16'd0) ) VAR123 ( .VAR33 ( 1'd0), .VAR118 ( 1'd0), .VAR95 (VAR91), .VAR38 ( 1'd0), .VAR140 ( 1'd0), .VAR74 ( 1'd0), .VAR71 ( 1'd0), .VAR9 ( 1'd0), .VAR27 (VAR104), .VAR129 (VAR104), .VAR76 ( 1'd1), .VAR56 ( 1'd1), .VAR69 ( 3'd1), .VAR108 ( 3'd1), .VAR77 (16'd0), .VAR133 ( 5'd0), .VAR14 (VAR137), .VAR122 (), .VAR105 (VAR103), .VAR63 (), .VAR93 (VAR1), .VAR112 (), .VAR70 (), .VAR145 (), .VAR72 (), .VAR22 (), .VAR64 (), .VAR44 (VAR88 | VAR45), .VAR26 ( 1'd1), .VAR89 (VAR75 | VAR60), .VAR94 ( 1'd1), .VAR35 (VAR146), .VAR141 (VAR125), .VAR128 (VAR62), .VAR25 (VAR42), .VAR134 (VAR21), .VAR12 (VAR96), .VAR111 (VAR52), .VAR86 ( 1'd1), .VAR99 ( 1'd1), .VAR144 ( 1'd1), .VAR20 ( 5'd31), .VAR65 ( 1'd1), .VAR68 ( 8'd0), .VAR106 ( 1'd1), .VAR121 (), .VAR18 (), .VAR109 () ); end else if (VAR119 == "VAR120") begin : VAR41 VAR113 # ( .VAR34 (3'b001), .VAR48 (VAR151), .VAR61 ("2.0"), .VAR149 (27'h04801C7), .VAR5 ( 4'b1111), .VAR85 ( 6'b010000), .VAR36 ( 1'd0), .VAR24 (10'h0FF), .VAR110 ( 1'd0), .VAR7 ( 1'd0), .VAR130 (VAR130), .VAR67 ( 1'd0), .VAR136 ( 1'd1), .VAR117 (24'h000006), .VAR100 (16'h05E8), .VAR47 ( 4'hD), .VAR143 ( 1), .VAR54 ( 1'd0), .VAR16 ( 2'd0), .VAR23 (64'h0000040000001050), .VAR78 (32'd0), .VAR147 ( 2'b00), .VAR4 (16'd0), .VAR51 (16'd0) ) VAR57 ( .VAR142 ( 1'd0), .VAR95 (VAR91), .VAR38 ( 1'd0), .VAR40 ( 1'd0), .VAR126 ( 1'd0), .VAR15 ( 1'd0), .VAR115 ( 1'd0), .VAR46 (VAR104), .VAR59 ( 1'd1), .VAR66 ( 3'd1), .VAR11 (16'd0), .VAR28 ( 5'b11111), .VAR131 (VAR137), .VAR49 (VAR103), .VAR97 (VAR1), .VAR3 (), .VAR17 (), .VAR31 (), .VAR92 (VAR45), .VAR138 (VAR60), .VAR83 ( 1'd0), .VAR35 (VAR146), .VAR141 (VAR125), .VAR128 (VAR62), .VAR25 (VAR42), .VAR134 (VAR21), .VAR12 (VAR96), .VAR111 (VAR52), .VAR86 ( 1'd1), .VAR99 ( 1'd1), .VAR144 ( 1'd1), .VAR20 ( 5'd31), .VAR65 ( 1'd1), .VAR68 ( 8'd0), .VAR106 ( 1'd1), .VAR98 (), .VAR109 () ); end else begin : VAR152 VAR37 # ( .VAR34 ( 3'b001), .VAR48 (VAR151), .VAR61 (VAR43), .VAR149 (27'h06801C1), .VAR85 ( 6'b010000), .VAR36 ( 1'd0), .VAR24 (10'h01F), .VAR110 ( 1'd0), .VAR7 ( 1'd0), .VAR130 (VAR130), .VAR67 ( 1'd0), .VAR136 ( 1'd1), .VAR100 (16'h21E8), .VAR47 ( 4'hD), .VAR143 (1), .VAR23 (VAR23) ) VAR55 ( .VAR142 ( 1'd0), .VAR95 (VAR91), .VAR38 ( 1'd0), .VAR40 ( 1'd0), .VAR126 ( 1'd0), .VAR15 ( 1'd0), .VAR115 ( 1'd0), .VAR46 (VAR104), .VAR59 ( 1'd1), .VAR66 ( 3'd1), .VAR11 (16'd0), .VAR28 ( 5'b11111), .VAR131 (VAR137), .VAR49 (VAR103), .VAR97 (VAR1), .VAR3 (), .VAR17 (), .VAR31 (), .VAR92 (VAR45), .VAR138 (VAR60), .VAR83 ( 1'd0), .VAR35 (VAR146), .VAR141 (VAR125), .VAR128 (VAR62), .VAR25 (VAR42), .VAR134 (VAR21), .VAR12 (VAR96), .VAR111 (VAR52), .VAR86 ( 1'd1), .VAR99 ( 1'd1), .VAR144 ( 1'd1), .VAR20 ( 5'd31), .VAR68 ( 8'd0), .VAR106 ( 1'd1), .VAR98 () ); end endgenerate VAR32 VAR84 ( .VAR39(VAR124), .VAR53(VAR88), .VAR102(VAR75)); endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/jbi/jbi_dbg/rtl/jbi_dbg_buf.v
9,470
module MODULE1( VAR49, VAR28, clk, VAR48, VAR20, VAR8, VAR54, VAR4, VAR19, VAR7, VAR33, VAR39, VAR50, VAR22, VAR35, VAR37, VAR13 ); input clk; input VAR48; input VAR20; input VAR8; input [4:0] VAR54; input [VAR10-1:0] VAR4; input [VAR10-1:0] VAR19; input [VAR10-1:0] VAR7; input [VAR10-1:0] VAR33; input VAR39; input VAR50; input VAR22; input VAR35; input [VAR45-1:0] VAR37; input [VAR45-1:0] VAR13; output [VAR45-1:0] VAR49; output [VAR45-1:0] VAR28; reg [VAR45-1:0] VAR49; reg [VAR45-1:0] VAR28; wire VAR25; wire VAR29; wire [VAR45-1:0] VAR41; wire [VAR45-1:0] VAR23; wire VAR14; wire VAR5; wire VAR40; wire VAR2; wire [VAR45-1:0] VAR36; wire [VAR45-1:0] VAR16; wire VAR38; wire VAR18; wire [65-VAR45-1:0] VAR47; wire [65-VAR45-1:0] VAR52; wire [65-VAR45-1:0] VAR27; wire [65-VAR45-1:0] VAR3; assign VAR25 = ~(~VAR39 & ~VAR7[VAR10-1]); assign VAR29 = ~(~VAR39 & VAR7[VAR10-1]); assign VAR5 = VAR4[VAR10-1]; always @ ( VAR41 or VAR23 or VAR14) begin if (VAR14) VAR49 = VAR23; end else VAR49 = VAR41; end VAR15 #(1, 1, 1, 0) VAR30 (.VAR9(clk), .VAR34(clk), .VAR26(VAR22), .VAR17(VAR25), .VAR48(VAR48), .VAR8(VAR8), .VAR44(VAR54), .VAR21(VAR4[VAR10-2:0]), .VAR1(VAR7[VAR10-2:0]), .VAR24({ {65-VAR45{1'b0}}, VAR37[VAR45-1:0] }), .VAR20(VAR20), .VAR11(), .VAR42(), .VAR31(), .do( {VAR47, VAR41[VAR45-1:0]} ) ); VAR15 #(1, 1, 1, 0) VAR12 (.VAR9(clk), .VAR34(clk), .VAR26(VAR22), .VAR17(VAR29), .VAR48(VAR48), .VAR8(VAR8), .VAR44(VAR54), .VAR21(VAR4[VAR10-2:0]), .VAR1(VAR7[VAR10-2:0]), .VAR24({ {65-VAR45{1'b0}}, VAR37[VAR45-1:0] }), .VAR20(VAR20), .VAR11(), .VAR42(), .VAR31(), .do( {VAR52, VAR23[VAR45-1:0]} ) ); VAR43 #(1) VAR53 (.din(VAR5), .clk(clk), .VAR46(VAR14) ); assign VAR40 = ~(~VAR50 & ~VAR33[VAR10-1]); assign VAR2 = ~(~VAR50 & VAR33[VAR10-1]); assign VAR18 = VAR19[VAR10-1]; always @ ( VAR36 or VAR16 or VAR38) begin if (VAR38) VAR28 = VAR16; end else VAR28 = VAR36; end VAR15 #(1, 1, 1, 0) VAR32 (.VAR9(clk), .VAR34(clk), .VAR26(VAR35), .VAR17(VAR40), .VAR48(VAR48), .VAR8(VAR8), .VAR44(VAR54), .VAR21(VAR19[VAR10-2:0]), .VAR1(VAR33[VAR10-2:0]), .VAR24({ {65-VAR45{1'b0}}, VAR13[VAR45-1:0] }), .VAR20(VAR20), .VAR11(), .VAR42(), .VAR31(), .do( {VAR27, VAR36[VAR45-1:0]} ) ); VAR15 #(1, 1, 1, 0) VAR6 (.VAR9(clk), .VAR34(clk), .VAR26(VAR35), .VAR17(VAR2), .VAR48(VAR48), .VAR8(VAR8), .VAR44(VAR54), .VAR21(VAR19[VAR10-2:0]), .VAR1(VAR33[VAR10-2:0]), .VAR24({ {65-VAR45{1'b0}}, VAR13[VAR45-1:0] }), .VAR20(VAR20), .VAR11(), .VAR42(), .VAR31(), .do( {VAR3, VAR16[VAR45-1:0]} ) ); VAR43 #(1) VAR51 (.din(VAR18), .clk(clk), .VAR46(VAR38) ); endmodule
gpl-2.0
ThomasLee969/verilog-homework
project/framing_encoding/fifo.v
3,285
module MODULE1( output [7:0] dout, output VAR17, input [7:0] din, input VAR3, input clk, input VAR5 ); parameter VAR14 = 20, VAR1 = 8, VAR13 = VAR14 * 8 - 1; localparam VAR16 = 0, VAR7 = 1, VAR9 = 2, VAR11 = 3, VAR2 = 4; reg [2:0] state, VAR15; reg [VAR13:0] VAR10, VAR12; reg [VAR1 - 1:0] head, VAR4; reg [6:0] VAR8, VAR6; always @(*) begin case (state) VAR16: begin if (VAR3) begin VAR15 = VAR7; VAR12 = {VAR10[VAR13 - 8:0], din}; VAR4 = 8; end else begin VAR15 = VAR16; VAR12 = VAR10; VAR4 = 0; end VAR6 = 0; end VAR7: begin if (VAR3) begin VAR15 = VAR7; VAR12 = {VAR10[VAR13 - 8:0], din}; VAR4 = head + 8; end else begin VAR15 = VAR9; VAR12 = VAR10; VAR4 = head; end VAR6 = 0; end VAR9: begin if (VAR8 < 79) begin VAR15 = VAR9; VAR6 = VAR8 + 1; end else begin VAR15 = VAR11; VAR6 = 0; end VAR4 = head; VAR12 = VAR10; end VAR11: begin if (VAR8 < 7) begin VAR15 = VAR11; VAR4 = head; VAR6 = VAR8 + 1; end else begin if (head == 8) VAR15 = VAR2; end else VAR15 = VAR11; VAR4 = head - 8; VAR6 = 0; end VAR12 = VAR10; end VAR2: begin if (VAR8 < 15) begin VAR15 = VAR2; VAR6 = VAR8 + 1; end else begin VAR15 = VAR16; VAR6 = 0; end VAR4 = 0; VAR12 = 0; end default: begin VAR15 = VAR16; VAR12 = 0; VAR4 = 0; VAR6 = 0; end endcase end always @(posedge clk or negedge VAR5) begin if (~VAR5) begin state <= VAR16; VAR10 <= 0; head <= 0; VAR8 <= 0; end else begin state <= VAR15; VAR10 <= VAR12; head <= VAR4; VAR8 <= VAR6; end end assign dout = (head == 0) ? 0 : VAR10[(head - 1)-:8], VAR17 = (state == VAR7 && ~VAR3) || (state == VAR11 && VAR15 == VAR2); endmodule
mit
olajep/oh
src/elink/hdl/etx_io.v
6,531
module MODULE1 ( VAR17, VAR16, VAR59, VAR33, VAR40, VAR20, VAR42, VAR48, VAR38, VAR22, VAR51, VAR30, VAR2, VAR19, VAR28, VAR1, VAR14 ); parameter VAR54 = VAR13; parameter VAR32 = "VAR75"; parameter VAR57 = 104; parameter VAR63 = 0; input VAR38; input VAR22; input VAR51; output VAR17, VAR16; output VAR59, VAR33; output [7:0] VAR40, VAR20; input VAR30,VAR2; input VAR19, VAR28; input [63:0] VAR1; input [3:0] VAR14; output VAR42; output VAR48; reg [63:0] VAR9; reg [3:0] VAR37; wire [15:0] VAR47; wire VAR53; reg VAR8; reg VAR23; reg VAR6; reg VAR46; reg VAR43; reg VAR73; wire [15:0] VAR70; wire VAR36; wire VAR15; wire VAR71; wire VAR18; wire [7:0] VAR25; wire VAR62; assign VAR62=1'b1; assign VAR62=1'b0; VAR44 VAR50 (.VAR45 (VAR45), .VAR5 (VAR38), .VAR60 (VAR22) ); always @ (posedge VAR38) if(VAR45) begin VAR9[63:0] <= VAR1[63:0]; VAR37[3:0] <= VAR14[3:0]; end else begin VAR9[63:0] <= {16'b0,VAR9[63:16]}; VAR37[3:0] <= {VAR37[2:0],1'b0}; end assign VAR47[15:0] = VAR9[15:0]; assign VAR53 = VAR37[3]; always @ (negedge VAR38) begin VAR8 <= VAR71 ^ VAR62; VAR23 <= VAR18 ^ VAR62; end always @ (posedge VAR22) begin VAR6 <= VAR8; VAR43 <= VAR6; VAR46 <= VAR23; VAR73 <= VAR46; end assign VAR42 = VAR6 | VAR43; assign VAR48 = VAR46 | VAR73; generate genvar VAR39; if(VAR54=="VAR4") begin : VAR64 for(VAR39=0; VAR39<8; VAR39=VAR39+1) begin : VAR66 VAR74 VAR12 (.VAR49 (VAR25[VAR39]), .VAR26 (VAR38), .VAR61 (VAR47[VAR39+8] ^ VAR62), .VAR27 (VAR47[VAR39] ^ VAR62)); end VAR74 VAR65 (.VAR49 (VAR36), .VAR26 (VAR38), .VAR61 (VAR53 ^ VAR62), .VAR27 (VAR53 ^ VAR62)); VAR74 VAR11 (.VAR49 (VAR15), .VAR26 (VAR51), .VAR61 (1'b1 ^ VAR62), .VAR27 (1'b0 ^ VAR62)); end else begin : VAR3 for(VAR39=0; VAR39<8; VAR39=VAR39+1) begin : VAR66 VAR35 #(.VAR21 ("VAR55")) VAR12 ( .VAR49 (VAR25[VAR39]), .VAR26 (VAR38), .VAR56 (1'b1), .VAR61 (VAR47[VAR39+8] ^ VAR62), .VAR27 (VAR47[VAR39] ^ VAR62), .VAR34 (1'b0), .VAR52 (1'b0) ); end VAR35 #(.VAR21 ("VAR55")) VAR65 ( .VAR49 (VAR36), .VAR26 (VAR38), .VAR56 (1'b1), .VAR61 (VAR53 ^ VAR62), .VAR27 (VAR53 ^ VAR62), .VAR34 (1'b0), .VAR52 (1'b0) ); VAR35 #(.VAR21 ("VAR55")) VAR11 ( .VAR49 (VAR15), .VAR26 (VAR51), .VAR56 (1'b1), .VAR61 (1'b1 ^ VAR62), .VAR27 (1'b0 ^ VAR62), .VAR34 (1'b0), .VAR52 (1'b0) ); end endgenerate VAR24 VAR41[7:0] (.VAR72 (VAR40[7:0]), .VAR69 (VAR20[7:0]), .VAR58 (VAR25[7:0])); VAR24 VAR29 (.VAR72 (VAR59), .VAR69 (VAR33), .VAR58 (VAR36)); VAR24 VAR31 (.VAR72 (VAR17), .VAR69 (VAR16), .VAR58 (VAR15)); generate if(VAR63==1) begin assign VAR71 = VAR30; end else if (VAR63==0) begin VAR67 VAR7 (.VAR58 (VAR30), .VAR68 (VAR2), .VAR72 (VAR71)); end endgenerate generate if(VAR54=="VAR4") VAR67 VAR10 (.VAR58 (VAR19), .VAR68 (VAR28), .VAR72 (VAR18)); else assign VAR18 = VAR19; endgenerate endmodule
mit
Elphel/x393_sata
x393/util_modules/axi_hp_clk.v
3,217
module MODULE1#( parameter VAR9 = 20, parameter VAR27 = 18, parameter VAR29 = 6 )( input rst, input VAR17, output VAR11, output VAR26 ); wire VAR28, VAR19; VAR13 VAR2 (.VAR23(VAR11), .VAR7(VAR19)); VAR25 #( .VAR9(VAR9), .VAR10("VAR4"), .VAR8(VAR27), .VAR14(VAR29), .VAR21(0.010), .VAR18("VAR24") ) VAR20 ( .VAR17(VAR17), .VAR31(VAR28), .rst(rst), .VAR12(1'b0), .VAR3(VAR19), .VAR6(), .VAR30(), .VAR15(), .VAR22(), .VAR5(), .VAR1(VAR28), .VAR16(VAR26) ); endmodule
gpl-3.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/7k325ffg900/ddr_core/user_design/rtl/phy/mig_7series_v1_8_ddr_byte_lane.v
28,426
module MODULE1 #( parameter VAR34 = "VAR172", parameter VAR69 = "VAR269", parameter VAR322 = 12'b111111111111, parameter VAR320 = 12'b111111111111, parameter VAR246 = 24'b001000100010001000100010, parameter VAR109 = "VAR114", parameter VAR298 = 4, parameter VAR283 = "VAR269", parameter VAR293 = 1, parameter VAR270 = 1, parameter VAR13 = "VAR229", parameter VAR295 = "VAR269", parameter VAR297 = "VAR219", parameter VAR264 = 1, parameter VAR59 = 1, parameter VAR304 = "VAR229", parameter VAR136 = "VAR219", parameter VAR142 = "VAR219", parameter VAR161 = 2, parameter VAR9 = "VAR272", parameter VAR85 = 1, parameter VAR98 = "VAR38" , parameter VAR119 = 0, parameter VAR70 = "VAR269", parameter VAR39 = (VAR69 == "VAR269") ? 4 : 2, parameter VAR30 = 0, parameter VAR46 = "VAR269", parameter VAR314 = 0, parameter VAR26 = 0, parameter VAR310 = "VAR219", parameter VAR354 = "VAR38", parameter VAR216 = "VAR269", parameter VAR49 = "VAR340", parameter VAR287 = 4, parameter VAR292 = "VAR82", parameter VAR61 = 00, parameter VAR240 = "VAR53", parameter VAR222 = "VAR303", parameter real VAR250 = 0.00, parameter VAR213 = "VAR269", parameter VAR312 = 12, parameter VAR65 = 3, parameter VAR5 = 7, parameter VAR197 = VAR5 + 8, parameter VAR257 = VAR197 + 1 ,parameter VAR281 = "VAR269" )( input rst, input VAR105, input VAR12, input VAR179, input VAR133, input VAR97, output [VAR312-1:0] VAR106, output [VAR312-1:0] VAR291, input [9:0] VAR226, output VAR289, output VAR151, input VAR108, output [11:0] VAR28, output VAR77, input VAR315, output VAR234, output VAR339, output VAR242, output VAR23, output VAR149, output VAR326, output VAR187, output VAR182, output VAR125, output [79:0] VAR36, input [79:0] VAR134, input VAR127, input VAR45, input VAR24, input [VAR257-1:0] VAR279, input VAR95, input VAR6, input VAR173, input VAR78, input [2:0] VAR282, input [1:0] VAR31, output VAR347, output VAR201, output VAR40, output [8:0] VAR318, input VAR203, input VAR169, input [1:0] VAR84, input VAR73, input VAR191, input VAR205, input VAR245, input VAR32, input [8:0] VAR337, input [1:0] VAR308, input VAR273, input VAR243, input VAR63, input VAR238, input VAR132, input [5:0] VAR113, output wire VAR178, output VAR278, output VAR174, output [5:0] VAR177, output wire VAR288, output VAR185 ); localparam VAR76 = (VAR34=="VAR114" ? 1 : (VAR34 == "VAR275") ? 2 : (VAR34 == "VAR58" ? 3 : 0)); localparam VAR104 = (VAR13 != "VAR229") ? VAR13 : (VAR69 == "VAR269" || VAR298 == 2) ? "VAR353" : "VAR256"; localparam VAR175 = (VAR304 != "VAR229") ? VAR304 : (VAR298 == 2) ? "VAR353" : "VAR221"; localparam VAR158 = (VAR49 != "VAR229") ? VAR49 : ((VAR69 == "VAR269" && VAR298 == 4) ? "VAR140" : "VAR340") ; localparam VAR146 = (VAR287 != "VAR229") ? VAR287 : 4; localparam real VAR11 = VAR250 > 2500.0 ? (VAR250/(VAR9 == "VAR248" ? 2 : 1)/1000.0) : VAR250/1000.0; localparam real VAR184 = VAR250/1000.0; localparam real VAR215 = VAR250/1000.0; localparam VAR99 = "VAR135"; localparam VAR44 = "VAR260"; localparam [2:0] VAR144 = (VAR44 == "VAR260") ? 3'b111 : 3'b000; localparam VAR280 = (VAR222 == "VAR92" || VAR222 == "VAR21" || (VAR222 == "VAR247" && VAR250 > 2500)) ? 1 : 0; localparam VAR225 = (VAR222 == "VAR92" || VAR222 == "VAR21" || (VAR222 == "VAR247" && VAR250 > 2500)) ? "001" : "000"; wire [1:0] VAR194; wire [1:0] VAR254; wire [1:0] VAR4; wire [3:0] VAR301; wire [3:0] VAR120; wire [3:0] VAR170; wire [7:0] VAR117; wire [7:0] VAR64; wire [3:0] VAR217; wire [3:0] VAR71; wire [3:0] VAR121; wire [3:0] VAR129; wire [3:0] VAR261; wire [7:0] VAR35; wire [7:0] VAR338; wire [7:0] VAR266; wire [7:0] VAR276; wire [7:0] VAR277; wire [7:0] VAR66; wire [7:0] VAR112; wire [7:0] VAR351; wire [7:0] VAR309; wire [7:0] VAR48; wire [7:0] VAR15; wire [7:0] VAR331; wire [7:0] VAR88; wire [7:0] VAR192; wire [7:0] VAR100; wire [7:0] VAR343; wire [7:0] VAR91; wire [7:0] VAR27; wire [7:0] VAR352; wire [7:0] VAR126; wire [3:0] VAR271; wire [3:0] VAR220; wire [3:0] VAR60; wire [3:0] VAR274; wire [3:0] VAR244; wire [3:0] VAR145; wire [3:0] VAR332; wire [3:0] VAR89; wire [3:0] VAR214; wire [3:0] VAR96; wire [3:0] VAR204; wire [3:0] VAR171; wire [48-1:0] VAR195; wire [10*4-1:0] VAR123; wire VAR176; wire VAR190; wire VAR258; wire VAR24; wire VAR7; wire VAR212 = ( VAR69 == "VAR269" ) ? VAR127 : VAR45; wire VAR339; wire VAR234; wire VAR23; wire VAR242; wire VAR232; wire VAR334; reg [3:0] VAR218 ; wire [79:0] VAR207; reg [79:0] VAR79; reg VAR181 = 1'b1; reg VAR350 = 1'b1; wire VAR235; wire [79:0] VAR321; wire VAR43; wire VAR299; wire [5:0] VAR128; wire VAR47; always @(posedge VAR105) begin VAR181 <= VAR273 | VAR78 ; VAR350 <= (VAR273 & VAR69 == "VAR219") | rst; end assign #(25) VAR24 = 1'b1; generate if ( VAR69 == "VAR269" ) begin : VAR163 assign VAR339 = 0; assign VAR234 = 0; assign VAR23 = 0; assign VAR242 = 0; end else begin : VAR323 assign VAR339 = VAR334; assign VAR234 = VAR234; assign VAR23 = VAR23; assign VAR242 = VAR242; end endgenerate generate if ( VAR69 == "VAR269" ) begin : VAR152 assign VAR195[48-1:0] = {VAR117[7:4], VAR64[7:4], VAR301, VAR120, VAR170, VAR117[3:0], VAR64[3:0], VAR217, VAR71, VAR121, VAR129, VAR261}; assign VAR36 = 80'h0; assign VAR347 = 1'b1; end else begin : VAR327 assign VAR195[40-1:0] = {VAR301, VAR120, VAR170, VAR117[3:0], VAR64[3:0], VAR217, VAR71, VAR121, VAR129, VAR261}; assign VAR47 = !VAR315 ? ((&VAR31) && (&VAR282) && VAR347) : ((|VAR31) || (|VAR282) || VAR347); if (VAR283 == "VAR219") begin : VAR202 assign VAR207 = {VAR15, VAR331, VAR88, VAR192, VAR100, VAR343, VAR91, VAR27, VAR352, VAR126}; always @(posedge VAR105) begin VAR79 <= #(025) VAR207; VAR218[0] <= #(025) VAR339; VAR218[1] <= #(025) VAR339; VAR218[2] <= #(025) VAR339; VAR218[3] <= #(025) VAR339; end VAR186 # ( .VAR2 (25), .VAR101 (4), .VAR307 (80) ) VAR285 ( .clk (VAR105), .rst (VAR181), .VAR102 (VAR218), .VAR37 (VAR47), .din (VAR79), .VAR259 (VAR334), .VAR347 (VAR347), .dout (VAR36) ); end else begin : VAR296 assign VAR36 = {VAR15, VAR331, VAR88, VAR192, VAR100, VAR343, VAR91, VAR27, VAR352, VAR126}; assign VAR334 = VAR339; end end endgenerate assign { VAR271, VAR220, VAR60, VAR274, VAR244, VAR145, VAR332, VAR89, VAR214, VAR96} = VAR123; wire [1:0] VAR355 = ((VAR279[VAR197 :VAR197 -7] >> (VAR76 << 1)) & 2'b11); generate if ( VAR283 == "VAR219" ) begin : VAR107 assign {VAR35, VAR338, VAR266, VAR276, VAR277, VAR66, VAR112, VAR351, VAR309, VAR48} = VAR321; VAR1 # ( .VAR2 (25), .VAR101 (9), .VAR307 (80) ) VAR74 ( .clk (VAR105), .rst (VAR350), .VAR131 (VAR182), .VAR180 (VAR212), .din (VAR134), .VAR29 (VAR235), .dout (VAR321), .VAR68 (VAR125) ); end else begin assign {VAR35, VAR338, VAR266, VAR276, VAR277, VAR66, VAR112, VAR351, VAR309, VAR48} = VAR134; assign VAR235 = VAR212; end endgenerate generate if ( VAR69 == "VAR219" || ((VAR109==VAR34) && (VAR281 =="VAR219"))) begin : VAR138 VAR162 #( .VAR348 ( VAR142), .VAR268 ( VAR161), .VAR280 ( VAR280), .VAR225 ( VAR225), .VAR118 ( VAR119), .VAR200 ( VAR85), .VAR147 ( VAR9), .VAR302 ( VAR98), .VAR251 ( VAR70), .VAR262 ( VAR11), .VAR18 ( VAR184), .VAR210 ( VAR215) ) VAR87 ( .VAR166 (VAR288), .VAR150 (VAR185), .VAR208 (VAR174), .VAR80 (VAR278), .VAR255 (VAR178), .VAR17 (VAR190), .VAR211 (VAR176), .VAR263 (VAR177), .VAR83 (VAR77), .VAR265 (VAR258), .VAR86 (VAR279[VAR5 - 3 + VAR76]), .VAR14 (VAR308), .VAR206 (VAR243), .VAR103 (VAR12), .VAR160 (VAR179), .VAR305 (VAR355), .VAR20 (VAR7), .VAR22 (VAR273), .VAR56 (rst), .VAR267 (VAR63), .VAR67 (VAR238), .VAR198 (VAR132), .VAR286 (VAR113), .VAR124 (VAR97), .VAR168 (VAR105) ); end else begin assign VAR288 = 1'b1; assign VAR115 = 1'b0; assign VAR278 = 1'b1; end endgenerate wire VAR154 = VAR12; wire VAR62; VAR50 #( .VAR268 ( VAR39), .VAR41 ( VAR69 ), .VAR200 ( VAR30), .VAR156 ( VAR46 ), .VAR165 ( VAR314), .VAR148 ( VAR26), .VAR199 ( VAR310), .VAR302 ( VAR354), .VAR251 ( VAR216), .VAR262 ( VAR11), .VAR210 ( 1), .VAR93 ( VAR144 ), .VAR18 ( VAR184) ) VAR189 ( .VAR122 (VAR201), .VAR42 (VAR254), .VAR159 (VAR194), .VAR153 (VAR4), .VAR208 (VAR40), .VAR55 (VAR223), .VAR230 (VAR62), .VAR311 (VAR51), .VAR263 (VAR318), .VAR86 (VAR279[VAR65 -3 + VAR76]), .VAR14 (VAR84), .VAR227 (VAR333), .VAR103 (VAR12), .VAR160 (VAR179), .VAR20 (), .VAR56 (rst), .VAR317 (VAR232), .VAR75 (VAR169), .VAR206 (VAR203), .VAR139 (VAR191), .VAR267 (VAR73), .VAR324 (VAR32), .VAR67 (VAR205), .VAR198 (VAR245), .VAR286 (VAR337), .VAR124 (VAR97), .VAR168 (VAR105) ); generate if (VAR69 == "VAR219") begin : VAR57 VAR330 #( .VAR116 ( VAR264 ), .VAR94 ( VAR59 ), .VAR253 ( VAR175), .VAR239 ( VAR136) ) VAR90 ( .VAR346 (VAR234), .VAR344 (VAR242), .VAR130 (VAR339), .VAR241 (VAR23), .VAR52 (VAR126), .VAR164 (VAR352), .VAR141 (VAR27), .VAR328 (VAR91), .VAR329 (VAR343), .VAR290 (VAR100), .VAR228 (VAR192), .VAR252 (VAR88), .VAR196 (VAR331), .VAR193 (VAR15), .VAR316 (VAR96), .VAR143 (VAR214), .VAR8 (VAR89), .VAR325 (VAR332), .VAR54 (VAR145), .VAR349 ({VAR204,VAR244}), .VAR284 ({VAR171,VAR274}), .VAR341 (VAR60), .VAR72 (VAR220), .VAR345 (VAR271), .VAR10 (VAR105), .VAR16 (VAR24), .VAR111 (VAR181), .VAR231 (VAR190), .VAR300 (VAR258) ); end endgenerate VAR249 #( .VAR116 (VAR293), .VAR94 (VAR270), .VAR253 (VAR104), .VAR209 (VAR295), .VAR239 (VAR297) ) VAR294 ( .VAR346 (VAR149), .VAR344 (VAR187), .VAR130 (VAR326), .VAR241 (VAR182), .VAR52 (VAR261), .VAR164 (VAR129), .VAR141 (VAR121), .VAR328 (VAR71), .VAR329 (VAR217), .VAR290 (VAR64), .VAR228 (VAR117), .VAR252 (VAR170), .VAR196 (VAR120), .VAR193 (VAR301), .VAR316 (VAR48), .VAR143 (VAR309), .VAR8 (VAR351), .VAR325 (VAR112), .VAR54 (VAR66), .VAR349 (VAR277), .VAR284 (VAR276), .VAR341 (VAR266), .VAR72 (VAR338), .VAR345 (VAR35), .VAR10 (VAR223), .VAR16 (VAR333), .VAR111 (VAR350), .VAR231 (VAR105), .VAR300 (VAR235) ); VAR81 # ( .VAR69 (VAR69), .VAR322 (VAR322), .VAR320 (VAR320), .VAR49 (VAR158), .VAR287 (VAR146), .VAR240 (VAR240), .VAR292 (VAR292), .VAR61 (VAR61), .VAR213 (VAR213) ) VAR167 ( .VAR106 (VAR106), .VAR291 (VAR291), .VAR226 (VAR226), .VAR108 (VAR108), .VAR289 (VAR289), .VAR151 (VAR151), .rst (rst), .VAR236 (VAR232), .VAR335 (VAR178 ), .VAR123 (VAR123), .VAR7 (VAR7), .VAR105 (VAR105), .VAR176 (VAR176), .VAR188 (!VAR176), .VAR190 (VAR190), .VAR95 (VAR95), .VAR6 (VAR6), .VAR173 (VAR173), .VAR133 (VAR133), .VAR62 (VAR62), .VAR51 (VAR51), .VAR223 (VAR223), .VAR194 ({VAR194[1], VAR194[0]}), .VAR254 ({VAR254[1], VAR254[0]}), .VAR342 (VAR195), .VAR4 ({VAR4[1], VAR4[0]}) ); genvar VAR183; generate for (VAR183 = 0; VAR183 <= 5; VAR183 = VAR183+1) begin : VAR25 if (VAR69== "VAR269" && (VAR246[VAR183*4+VAR76])) begin : VAR137 VAR306 #(.VAR19 (VAR99)) VAR336 ( .VAR275 (VAR62), .VAR313 (1'b0), .VAR157 (), .VAR143 (1'b0), .VAR8 (1'b1), .VAR155 (1'b1), .VAR3 (VAR128[VAR183]) ); VAR233 VAR224 (.VAR33(VAR128[VAR183]), .VAR237(VAR28[VAR183*2]), .VAR110(VAR28[VAR183*2+1])); end else begin : VAR319 assign VAR28[VAR183*2+1:VAR183*2] = 2'b0; end end endgenerate endmodule
lgpl-3.0
vad-rulezz/megabot
minsoc/rtl/verilog/ethmac/rtl/verilog/eth_top.v
35,074
module MODULE1 ( VAR16, VAR25, VAR56, VAR12, VAR22, VAR23, VAR5, VAR59, VAR43, VAR46, VAR78, VAR34, VAR53, VAR48, VAR54, VAR76, VAR82, VAR30, VAR15, VAR4, VAR57, VAR13, VAR47, VAR84, VAR7, VAR45, VAR67, VAR83, VAR55, VAR77, VAR80, VAR36, VAR81, VAR14, VAR6, VAR52, VAR51 , VAR50, VAR29, VAR72 VAR63 ); parameter VAR31 = VAR86; parameter VAR2 = VAR3; parameter VAR69 = VAR66; parameter VAR8 = VAR41; parameter VAR65 = VAR79; parameter VAR18 = VAR49; input VAR16; input VAR25; input [31:0] VAR56; output [31:0] VAR12; output VAR78; input [11:2] VAR22; input [3:0] VAR23; input VAR5; input VAR59; input VAR43; output VAR46; output [31:0] VAR34; output [3:0] VAR53; output VAR48; input [31:0] VAR76; output [31:0] VAR54; output VAR82; output VAR30; input VAR15; input VAR4; wire [29:0] VAR33; output [2:0] VAR57; output [1:0] VAR13; VAR63 input VAR47; output [3:0] VAR84; output VAR7; output VAR45; input VAR67; input [3:0] VAR83; input VAR55; input VAR77; input VAR80; input VAR36; input VAR14; output VAR81; output VAR6; output VAR52; output VAR51; input VAR50; output VAR29; input [VAR70 - 1:0] VAR72; VAR63 wire [31:0] VAR42; wire [7:0] VAR28; wire VAR11; wire [15:0] VAR21; wire [4:0] VAR10; wire [4:0] VAR26; wire VAR85; wire VAR64; wire VAR24; wire VAR38; wire VAR87; wire VAR60; wire [15:0] VAR74; wire VAR37; wire VAR44; wire VAR1; wire VAR75; wire VAR17; wire VAR35; wire [7:0] VAR68; wire VAR71; wire VAR32; wire VAR19; wire VAR39; reg VAR62; reg VAR9; reg VAR58; reg VAR40; reg VAR20; reg VAR27; reg VAR73; reg VAR61; begin begin begin end begin begin begin end begin begin begin end begin end begin begin end begin end begin end begin end begin end begin begin end begin begin end begin end begin begin end begin begin begin end begin
gpl-2.0
eda-globetrotter/PicenoDecoders
andy/design/pipe.v
6,780
module MODULE1 (in,out,VAR6,reset); output out; input in; input VAR6; input reset; reg out; reg o1; reg o2; reg o3; reg o4; reg o5; reg o6; reg o7; reg VAR5; reg VAR7; reg o10; reg o11; reg o12; reg o13; reg o14; reg o15; reg o16; reg o17; reg VAR2; reg VAR1; reg o20; reg o21; reg o22; reg o23; reg o24; reg o25; reg o26; reg o27; reg VAR3; reg VAR4; reg o30; reg o31; always @(posedge VAR6) begin if(reset) o1 = 1'd0; end else o1 = in; end always @(posedge VAR6) begin if(reset) o2 = 1'd0; end else o2 = o1; end always @(posedge VAR6) begin if(reset) o3 = 1'd0; end else o3 = o2; end always @(posedge VAR6) begin if(reset) o4 = 1'd0; end else o4 = o3; end always @(posedge VAR6) begin if(reset) o5 = 1'd0; end else o5 = o4; end always @(posedge VAR6) begin if(reset) o6 = 1'd0; end else o6 = o5; end always @(posedge VAR6) begin if(reset) o7 = 1'd0; end else o7 = o6; end always @(posedge VAR6) begin if(reset) VAR5 = 1'd0; end else VAR5 = o7; end always @(posedge VAR6) begin if(reset) VAR7 = 1'd0; end else VAR7 = VAR5; end always @(posedge VAR6) begin if(reset) o10 = 1'd0; end else o10 = VAR7; end always @(posedge VAR6) begin if(reset) o11 = 1'd0; end else o11 = o10; end always @(posedge VAR6) begin if(reset) o12 = 1'd0; end else o12 = o11; end always @(posedge VAR6) begin if(reset) o13 = 1'd0; end else o13 = o12; end always @(posedge VAR6) begin if(reset) o14 = 1'd0; end else o14 = o13; end always @(posedge VAR6) begin if(reset) o15 = 1'd0; end else o15 = o14; end always @(posedge VAR6) begin if(reset) o16 = 1'd0; end else o16 = o15; end always @(posedge VAR6) begin if(reset) o17 = 1'd0; end else o17 = o16; end always @(posedge VAR6) begin if(reset) VAR2 = 1'd0; end else VAR2 = o17; end always @(posedge VAR6) begin if(reset) VAR1 = 1'd0; end else VAR1 = VAR2; end always @(posedge VAR6) begin if(reset) o20 = 1'd0; end else o20 = VAR1; end always @(posedge VAR6) begin if(reset) o21 = 1'd0; end else o21 = o20; end always @(posedge VAR6) begin if(reset) o22 = 1'd0; end else o22 = o21; end always @(posedge VAR6) begin if(reset) o23 = 1'd0; end else o23 = o22; end always @(posedge VAR6) begin if(reset) o24 = 1'd0; end else o24 = o23; end always @(posedge VAR6) begin if(reset) o25 = 1'd0; end else o25 = o24; end always @(posedge VAR6) begin if(reset) o26 = 1'd0; end else o26 = o25; end always @(posedge VAR6) begin if(reset) o27 = 1'd0; end else o27 = o26; end always @(posedge VAR6) begin if(reset) VAR3 = 1'd0; end else VAR3 = o27; end always @(posedge VAR6) begin if(reset) VAR4 = 1'd0; end else VAR4 = VAR3; end always @(posedge VAR6) begin if(reset) o30 = 1'd0; end else o30 = VAR4; end always @(posedge VAR6) begin if(reset) o31 = 1'd0; end else o31 = o30; end always @(posedge VAR6) begin if(reset) out = 1'd0; end else out = o31; end endmodule
mit
ckdur/mriscv_vivado_arty
mriscv_vivado.srcs/sources_1/imports/impl_axi_fpga.v
24,941
module MODULE1( input VAR6, input VAR30, input VAR33, output VAR174, output VAR91, output VAR178, output VAR185, output VAR9, output VAR140, input VAR211, input VAR101, input VAR51, output VAR107, output VAR13, input VAR198, output VAR68, output VAR165, output VAR27, output [12:0] VAR177, output [2:0] VAR112, output VAR143, output VAR50, output VAR192, output [0:0] VAR24, output [0:0] VAR15, output [0:0] VAR5, output [0:0] VAR148, output [1:0] VAR156, output [0:0] VAR88, inout [15:0] VAR108, inout [1:0] VAR150, inout [1:0] VAR73, output [11:0] VAR47, inout [31:0] VAR22, output [7:0] VAR69, output [7:0] VAR202, output VAR159, output VAR152, output VAR102 ); wire VAR218; wire VAR63; wire VAR67; wire VAR12; assign VAR218 = VAR63; wire VAR140; assign VAR140 = ~VAR30; assign VAR217 = ~VAR218; assign VAR178 = VAR211; assign VAR185 = VAR101; assign VAR9 = VAR51; VAR139 VAR16 ( .VAR52(VAR6), .VAR213(VAR67), .VAR196(VAR63), .VAR36(VAR12), .reset(VAR33), .VAR64() ); localparam VAR158 = 32; localparam VAR193 = 32; localparam VAR190 = 8; localparam VAR39 = 1; localparam VAR181 = 16; localparam VAR17 = 16; localparam VAR86 = 10; wire VAR61; wire VAR91; assign VAR91 = VAR30; wire [31:0] irq; wire [VAR190-1:0] VAR75; wire [VAR158-1:0] VAR48; wire [VAR158-1:0] VAR172; wire [VAR158-1:0] VAR65; wire [VAR158-1:0] VAR60; wire [VAR158-1:0] VAR34; wire [VAR158-1:0] VAR31; wire [VAR158-1:0] VAR66; wire [31:0] VAR149; genvar VAR70; generate for(VAR70 = 0; VAR70 < VAR190; VAR70=VAR70+1) begin : VAR7 assign irq[VAR70] = VAR75[VAR70]; end for(VAR70 = VAR190; VAR70 < 32; VAR70=VAR70+1) begin : VAR205 assign irq[VAR70] = 1'b0; end endgenerate localparam VAR175 = 32; localparam VAR163 = 2; localparam VAR167 = 8; localparam [VAR167*VAR175-1:0] VAR83 = {32'h00000000,32'h0000001F,32'h000001FF,32'h000001FF,32'h00000001,32'h0FFFFFFF,32'h007FFFFF,32'h00000FFF}; localparam [VAR167*VAR175-1:0] VAR215 = {32'h10000000,32'h10004600,32'h10004200,32'h10004000,32'h10005000,32'h80000000,32'h00000000,32'h00800000}; wire [VAR163-1:0] VAR77; wire [VAR163-1:0] VAR169; wire [VAR163*VAR175-1:0] VAR153; wire [VAR163*3-1:0] VAR25; wire [VAR163-1:0] VAR161; wire [VAR163-1:0] VAR1; wire [VAR163*VAR175-1:0] VAR132; wire [VAR163*4-1:0] VAR2; wire [VAR163-1:0] VAR180; wire [VAR163-1:0] VAR72; wire [VAR163-1:0] VAR207; wire [VAR163-1:0] VAR170; wire [VAR163*VAR175-1:0] VAR55; wire [VAR163*3-1:0] VAR32; wire [VAR163-1:0] VAR206; wire [VAR163-1:0] VAR210; wire [VAR163*VAR175-1:0] VAR58; wire [VAR167-1:0] VAR184; wire [VAR167-1:0] VAR29; wire [VAR167*VAR175-1:0] VAR46; wire [VAR167*3-1:0] VAR126; wire [VAR167-1:0] VAR104; wire [VAR167-1:0] VAR125; wire [VAR167*VAR175-1:0] VAR10; wire [VAR167*4-1:0] VAR197; wire [VAR167-1:0] VAR194; wire [VAR167-1:0] VAR115; wire [VAR167-1:0] VAR8; wire [VAR167-1:0] VAR154; wire [VAR167*VAR175-1:0] VAR54; wire [VAR167*3-1:0] VAR56; wire [VAR167-1:0] VAR201; wire [VAR167-1:0] VAR114; wire [VAR167*VAR175-1:0] VAR28; wire [VAR175-1:0] VAR129 [0:VAR163-1]; wire [3-1:0] VAR119 [0:VAR163-1]; wire [VAR175-1:0] VAR200 [0:VAR163-1]; wire [4-1:0] VAR11 [0:VAR163-1]; wire [VAR175-1:0] VAR147 [0:VAR163-1]; wire [3-1:0] VAR4 [0:VAR163-1]; wire [VAR175-1:0] VAR87 [0:VAR163-1]; wire [VAR175-1:0] VAR137 [0:VAR167-1]; wire [3-1:0] VAR188 [0:VAR167-1]; wire [VAR175-1:0] VAR157 [0:VAR167-1]; wire [4-1:0] VAR26 [0:VAR167-1]; wire [VAR175-1:0] VAR19 [0:VAR167-1]; wire [3-1:0] VAR166 [0:VAR167-1]; wire [VAR175-1:0] VAR23 [0:VAR167-1]; wire [VAR175-1:0] VAR94 [0:VAR167-1]; wire [VAR175-1:0] VAR110 [0:VAR167-1]; genvar VAR116; generate for(VAR116 = 0; VAR116 < VAR163; VAR116=VAR116+1) begin assign VAR153[(VAR116+1)*VAR175-1:VAR116*VAR175] = VAR129[VAR116]; assign VAR25[(VAR116+1)*3-1:VAR116*3] = VAR119[VAR116]; assign VAR132[(VAR116+1)*VAR175-1:VAR116*VAR175] = VAR200[VAR116]; assign VAR2[(VAR116+1)*4-1:VAR116*4] = VAR11[VAR116]; assign VAR55[(VAR116+1)*VAR175-1:VAR116*VAR175] = VAR147[VAR116]; assign VAR32[(VAR116+1)*3-1:VAR116*3] = VAR4[VAR116]; assign VAR87[VAR116] = VAR58[(VAR116+1)*VAR175-1:VAR116*VAR175]; end for(VAR116 = 0; VAR116 < VAR167; VAR116=VAR116+1) begin assign VAR137[VAR116] = VAR46[(VAR116+1)*VAR175-1:VAR116*VAR175]; assign VAR188[VAR116] = VAR126[(VAR116+1)*3-1:VAR116*3]; assign VAR157[VAR116] = VAR10[(VAR116+1)*VAR175-1:VAR116*VAR175]; assign VAR26[VAR116] = VAR197[(VAR116+1)*4-1:VAR116*4]; assign VAR19[VAR116] = VAR54[(VAR116+1)*VAR175-1:VAR116*VAR175]; assign VAR166[VAR116] = VAR56[(VAR116+1)*3-1:VAR116*3]; assign VAR94[VAR116] = VAR83[(VAR116+1)*VAR175-1:VAR116*VAR175]; assign VAR110[VAR116] = VAR215[(VAR116+1)*VAR175-1:VAR116*VAR175]; assign VAR28[(VAR116+1)*VAR175-1:VAR116*VAR175] = VAR23[VAR116]; end endgenerate VAR93 # ( .VAR163(VAR163), .VAR167(VAR167), .VAR175(VAR175), .VAR135(0), .VAR71(0), .VAR83(VAR83), .VAR215(VAR215) ) VAR49 ( .VAR218 (VAR218), .VAR30 (VAR30), .VAR77(VAR77), .VAR169(VAR169), .VAR153(VAR153), .VAR25(VAR25), .VAR161(VAR161), .VAR1(VAR1), .VAR132(VAR132), .VAR2(VAR2), .VAR180(VAR180), .VAR72(VAR72), .VAR207(VAR207), .VAR170(VAR170), .VAR55(VAR55), .VAR32(VAR32), .VAR206(VAR206), .VAR210(VAR210), .VAR58(VAR58), .VAR184(VAR184), .VAR29(VAR29), .VAR46(VAR46), .VAR126(VAR126), .VAR104(VAR104), .VAR125(VAR125), .VAR10(VAR10), .VAR197(VAR197), .VAR194(VAR194), .VAR115(VAR115), .VAR8(VAR8), .VAR154(VAR154), .VAR54(VAR54), .VAR56(VAR56), .VAR201(VAR201), .VAR114(VAR114), .VAR28(VAR28) ); VAR130 VAR186 ( .clk (VAR218 ), .VAR100 (VAR91 ), .VAR174 (VAR174 ), .VAR203(VAR77[0]), .VAR173(VAR169[0]), .VAR98 (VAR129[0]), .VAR3 (VAR119[0]), .VAR105 (VAR161[0]), .VAR35 (VAR1[0]), .VAR45 (VAR200[0]), .VAR57 (VAR11[0]), .VAR136 (VAR180[0]), .VAR118 (VAR72[0]), .VAR89(VAR207[0]), .VAR162(VAR170[0]), .VAR191 (VAR147[0]), .VAR183 (VAR4[0]), .VAR171 (VAR206[0]), .VAR84 (VAR210[0]), .VAR76 (VAR87[0]), .VAR208 (irq ) ); VAR111 VAR141 ( .VAR85(VAR211), .VAR155(VAR101), .VAR199(VAR51), .VAR80(VAR107), .VAR30(VAR30), .VAR61(VAR61), .VAR218(VAR218), .VAR40(VAR77[1]), .VAR95(VAR169[1]), .VAR176(VAR129[1]), .VAR20(VAR119[1]), .VAR179(VAR161[1]), .VAR74(VAR1[1]), .VAR90(VAR200[1]), .VAR204(VAR11[1]), .VAR144(VAR180[1]), .VAR187(VAR72[1]), .VAR37(VAR207[1]), .VAR182(VAR170[1]), .VAR164(VAR147[1]), .VAR113(VAR4[1]), .VAR41(VAR206[1]), .VAR160(VAR210[1]), .VAR92(VAR87[1]) ); wire [31:0] VAR59; wire [31:0] VAR62; wire [9:0] VAR120; wire VAR78; wire VAR216; VAR82 VAR53( .VAR218(VAR218), .VAR30(VAR30), .VAR40(VAR184[0]), .VAR95(VAR29[0]), .VAR176(VAR137[0]), .VAR20(VAR188[0]), .VAR179(VAR104[0]), .VAR74(VAR125[0]), .VAR90(VAR157[0]), .VAR204(VAR26[0]), .VAR144(VAR194[0]), .VAR187(VAR115[0]), .VAR37(VAR8[0]), .VAR182(VAR154[0]), .VAR164(VAR19[0]), .VAR113(VAR166[0]), .VAR41(VAR201[0]), .VAR160(VAR114[0]), .VAR92(VAR23[0]), .VAR138(VAR62), .VAR43(VAR78), .VAR189(VAR216), .VAR212(VAR120), .VAR127(VAR59) ); VAR96 VAR214( .VAR138 (VAR62), .VAR218 (VAR218), .VAR43 (VAR78), .VAR189 (VAR216), .VAR212 (VAR120), .VAR127 (VAR59) ); VAR38 VAR131( .VAR218(VAR218), .VAR30(VAR30), .VAR40(VAR184[1]), .VAR95(VAR29[1]), .VAR176(VAR137[1]), .VAR20(VAR188[1]), .VAR179(VAR104[1]), .VAR74(VAR125[1]), .VAR90(VAR157[1]), .VAR204(VAR26[1]), .VAR144(VAR194[1]), .VAR187(VAR115[1]), .VAR37(VAR8[1]), .VAR182(VAR154[1]), .VAR164(VAR19[1]), .VAR113(VAR166[1]), .VAR41(VAR201[1]), .VAR160(VAR114[1]), .VAR92(VAR23[1]), .VAR13(VAR13), .VAR198(VAR198), .VAR68(VAR68), .VAR165(VAR165), .VAR27(VAR27) ); VAR44 VAR219( .VAR218(VAR218), .VAR67(VAR67), .VAR12(VAR12), .VAR30(VAR30), .VAR40(VAR184[2]), .VAR95(VAR29[2]), .VAR176(VAR137[2]), .VAR20(VAR188[2]), .VAR179(VAR104[2]), .VAR74(VAR125[2]), .VAR90(VAR157[2]), .VAR204(VAR26[2]), .VAR144(VAR194[2]), .VAR187(VAR115[2]), .VAR37(VAR8[2]), .VAR182(VAR154[2]), .VAR164(VAR19[2]), .VAR113(VAR166[2]), .VAR41(VAR201[2]), .VAR160(VAR114[2]), .VAR92(VAR23[2]), .VAR50 (VAR50), .VAR143 (VAR143), .VAR192 (VAR192), .VAR177 (VAR177[12:0]), .VAR112 (VAR112[2:0]), .VAR15 (VAR15[0:0]), .VAR24 (VAR24[0:0]), .VAR5 (VAR5[0:0]), .VAR148 (VAR148[0:0]), .VAR156 (VAR156[1:0]), .VAR88 (VAR88[0:0]), .VAR108 (VAR108[15:0]), .VAR73 (VAR73[1:0]), .VAR150 (VAR150[1:0]) ); VAR145 VAR146( .VAR218(VAR218), .VAR30(VAR30), .VAR42(VAR184[3]), .VAR117(VAR104[3]), .VAR106(VAR115[3]), .VAR133(VAR137[3]), .VAR195(VAR157[3]), .VAR128(VAR26[3]), .VAR123(VAR29[3]), .VAR99(VAR125[3]), .VAR79(VAR194[3]), .VAR209(VAR8[3]), .VAR18(VAR114[3]), .VAR103(VAR154[3]), .VAR21(VAR201[3]), .VAR124(VAR23[3]), .VAR199(VAR47) ); VAR142 VAR81( .VAR218(VAR218), .VAR30(VAR30), .VAR40(VAR184[4]), .VAR95(VAR29[4]), .VAR176(VAR137[4]), .VAR20(VAR188[4]), .VAR179(VAR104[4]), .VAR74(VAR125[4]), .VAR90(VAR157[4]), .VAR204(VAR26[4]), .VAR144(VAR194[4]), .VAR187(VAR115[4]), .VAR37(VAR8[4]), .VAR182(VAR154[4]), .VAR164(VAR19[4]), .VAR113(VAR166[4]), .VAR41(VAR201[4]), .VAR160(VAR114[4]), .VAR92(VAR23[4]) ); VAR122 VAR151 ( .VAR218(VAR218), .VAR30(VAR30), .VAR40(VAR184[5]), .VAR95(VAR29[5]), .VAR176(VAR137[5]), .VAR20(VAR188[5]), .VAR179(VAR104[5]), .VAR74(VAR125[5]), .VAR90(VAR157[5]), .VAR204(VAR26[5]), .VAR144(VAR194[5]), .VAR187(VAR115[5]), .VAR37(VAR8[5]), .VAR182(VAR154[5]), .VAR164(VAR19[5]), .VAR113(VAR166[5]), .VAR41(VAR201[5]), .VAR160(VAR114[5]), .VAR92(VAR23[5]), .VAR48(VAR48), .VAR172(VAR172), .VAR65(VAR65), .VAR60(VAR60), .VAR34(VAR34), .VAR31(VAR31), .VAR66(VAR66), .VAR149(VAR149), .VAR75(VAR75) ); VAR14 VAR97 ( .VAR218(VAR218), .VAR30(VAR30), .VAR40(VAR184[6]), .VAR95(VAR29[6]), .VAR176(VAR137[6]), .VAR20(VAR188[6]), .VAR179(VAR104[6]), .VAR74(VAR125[6]), .VAR90(VAR157[6]), .VAR204(VAR26[6]), .VAR144(VAR194[6]), .VAR187(VAR115[6]), .VAR37(VAR8[6]), .VAR182(VAR154[6]), .VAR164(VAR19[6]), .VAR113(VAR166[6]), .VAR41(VAR201[6]), .VAR160(VAR114[6]), .VAR92(VAR23[6]), .VAR69(VAR69), .VAR202(VAR202) ); VAR168 VAR134 ( .VAR85(VAR159), .VAR155(VAR152), .VAR199(VAR102), .VAR30(VAR30), .VAR218(VAR218), .VAR40(VAR184[7]), .VAR95(VAR29[7]), .VAR176(VAR137[7]), .VAR20(VAR188[7]), .VAR179(VAR104[7]), .VAR74(VAR125[7]), .VAR90(VAR157[7]), .VAR204(VAR26[7]), .VAR144(VAR194[7]), .VAR187(VAR115[7]), .VAR37(VAR8[7]), .VAR182(VAR154[7]), .VAR164(VAR19[7]), .VAR113(VAR166[7]), .VAR41(VAR201[7]), .VAR160(VAR114[7]), .VAR92(VAR23[7]) ); VAR109 VAR121 ( .VAR48(VAR48), .VAR172(VAR172), .VAR65(VAR65), .VAR60(VAR60), .VAR34(VAR34), .VAR31(VAR31), .VAR66(VAR66), .VAR22(VAR22) ); endmodule
mit
keith-epidev/VHDL-lib
top/lab_6/ip/dds/dds_stub.v
1,373
module MODULE1(VAR1, VAR3, VAR2, VAR4, VAR5) ; input VAR1; input VAR3; input [23:0]VAR2; output VAR4; output [31:0]VAR5; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nand3b/sky130_fd_sc_hs__nand3b.behavioral.v
1,892
module MODULE1 ( VAR11 , VAR6 , VAR12 , VAR4 , VAR8, VAR9 ); output VAR11 ; input VAR6 ; input VAR12 ; input VAR4 ; input VAR8; input VAR9; wire VAR13 ; wire VAR1 ; wire VAR7; not VAR10 (VAR13 , VAR6 ); nand VAR2 (VAR1 , VAR12, VAR13, VAR4 ); VAR3 VAR5 (VAR7, VAR1, VAR8, VAR9); buf VAR14 (VAR11 , VAR7 ); endmodule
apache-2.0
Pylonight/MIPS-CPU
cpu/Data_Memory.v
1,079
module MODULE1( output [15 : 0] VAR1, input write, input [15 : 0] address, input [15 : 0] VAR3, output reg [15 : 0] VAR2 ); reg [15 : 0] memory [255 : 0]; assign VAR1 = memory[address]; always @(posedge write) begin if (address == 16'hBF00) begin VAR2 <= VAR3; end else begin memory[address] <= VAR3; end end endmodule
gpl-2.0
The-OpenROAD-Project/asap7
asap7sc6t_26/Verilog/asap7sc6t_CKINVDC_SLVT_TT_210930.v
11,799
module MODULE1 (VAR1, VAR2); output VAR1; input VAR2; not (VAR1, VAR2);
bsd-3-clause
HighlandersFRC/fpga
lights_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_processing_system7_1_0/hdl/processing_system7_bfm_v2_0_intr_wr_mem.v
2,774
module MODULE1( VAR26, VAR21, VAR32, VAR20, VAR17, VAR3, VAR29, VAR28, VAR18, VAR2, VAR13 ); input VAR26, VAR21; output VAR32; input VAR17, VAR20; output reg VAR13, VAR2; output reg [VAR31-1:0] VAR29; output reg [VAR5-1:0] VAR3; output reg [VAR15:0] VAR28; output reg [VAR10-1:0] VAR18; reg [VAR7-1:0] VAR4 = 0, VAR16 = 0; reg [VAR9-1:0] VAR19 [0:VAR27-1]; wire VAR24; assign VAR24 = (VAR4 === VAR16)?1'b1: 1'b0; assign VAR32 = ((VAR4[VAR7-1]!== VAR16[VAR7-1]) && (VAR4[VAR7-2:0] === VAR16[VAR7-2:0]))?1'b1 :1'b0; parameter VAR1 = 0, VAR34 = 1; reg state; task automatic VAR37; input [VAR9-1:0] VAR6; begin VAR19[VAR4[VAR7-2:0]] = VAR6; if(VAR4[VAR7-2:0] === VAR27-1) VAR4[VAR7-2:0] = 0; end else VAR4 = VAR4 + 1; end endtask always@(negedge VAR21 or posedge VAR26) begin if(!VAR21) begin VAR4 <= 0; VAR16 <= 0; VAR13 = 1'b0; VAR2 = 1'b0; VAR18 = 0; state = VAR1; end else begin case(state) VAR1 :begin state = VAR1; VAR2 = 1'b0; VAR13 = 1'b0; if(!VAR24) begin VAR29 = VAR19[VAR16[VAR7-2:0]][VAR14 : VAR36]; VAR3 = VAR19[VAR16[VAR7-2:0]][VAR30 : VAR23]; VAR28 = VAR19[VAR16[VAR7-2:0]][VAR35 : VAR25]; VAR18 = VAR19[VAR16[VAR7-2:0]][VAR11 : VAR22]; state = VAR34; case(VAR12(VAR19[VAR16[VAR7-2:0]][VAR30 : VAR23])) VAR8 : VAR2 = 1; VAR33 : VAR13 = 1; default : state = VAR1; endcase VAR16 <= VAR16+1; if(VAR16[VAR7-2:0] === VAR27-1) VAR16[VAR7-2:0] = 0; end end VAR34 :begin state = VAR34; if(VAR20 | VAR17) begin VAR2 = 1'b0; VAR13 = 1'b0; state = VAR1; end end endcase end end endmodule
mit
PiJoules/Zybo-Vision-Processing
hdmi_passthrough_720p.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
2,200
module MODULE1 (clk, VAR16, VAR10, VAR27, VAR5, VAR31, VAR28, VAR25, VAR4, VAR9, VAR21, VAR8, VAR17, VAR2); input clk; inout VAR16; inout VAR10; input VAR27; input VAR5; input [2:0]VAR31; input [2:0]VAR28; output [0:0]VAR25; output [0:0]VAR4; output [4:0]VAR9; output [5:0]VAR21; output VAR8; output [4:0]VAR17; output VAR2; wire clk; wire VAR6; wire VAR16; wire VAR30; wire VAR7; wire VAR34; wire VAR10; wire VAR1; wire VAR20; wire VAR27; wire VAR5; wire [2:0]VAR31; wire [2:0]VAR28; wire [0:0]VAR25; wire [0:0]VAR4; wire [4:0]VAR9; wire [5:0]VAR21; wire VAR8; wire [4:0]VAR17; wire VAR2; VAR19 VAR26 (.VAR15(VAR30), .VAR24(VAR16), .VAR23(VAR6), .VAR33(VAR7)); VAR19 VAR22 (.VAR15(VAR1), .VAR24(VAR10), .VAR23(VAR34), .VAR33(VAR20)); VAR11 VAR13 (.VAR3(VAR6), .VAR12(VAR30), .VAR32(VAR7), .VAR29(VAR34), .VAR14(VAR1), .VAR18(VAR20), .clk(clk), .VAR27(VAR27), .VAR5(VAR5), .VAR31(VAR31), .VAR28(VAR28), .VAR25(VAR25), .VAR4(VAR4), .VAR9(VAR9), .VAR21(VAR21), .VAR8(VAR8), .VAR17(VAR17), .VAR2(VAR2)); endmodule
unlicense
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/tapmet1/sky130_fd_sc_ls__tapmet1.behavioral.v
1,167
module MODULE1 (); supply1 VAR3; supply0 VAR2; supply1 VAR4 ; supply0 VAR1 ; endmodule
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/sparc/ifu/rtl/sparc_ifu_errdp.v
26,401
module MODULE1( VAR183, VAR89, VAR50, VAR22, VAR30, VAR24, VAR171, VAR190, VAR140, VAR18, VAR199, VAR29, VAR112, VAR9, VAR80, VAR43, VAR37, VAR182, VAR56, VAR184, VAR73, VAR99, VAR131, VAR185, VAR2, VAR26, VAR15, VAR156, VAR153, VAR127, VAR203, VAR200, VAR96, VAR125, VAR186, VAR95, VAR27, VAR85, VAR160, VAR122, VAR74, VAR68, VAR64, VAR98, VAR139, VAR150, VAR204, VAR191, VAR90, VAR192, VAR61, VAR115, VAR110, VAR41, VAR13, VAR142, VAR78, VAR67, VAR138, VAR63, VAR103, VAR195, VAR11, VAR170 ); input VAR18, VAR199, VAR29, VAR112; input [42:0] VAR9; input [58:0] VAR80; input [39:10] VAR43; input [33:0] VAR37, VAR182; input [33:0] VAR56; input [VAR151:0] VAR184; input [3:0] VAR73; input [47:4] VAR99; input [39:4] VAR131; input [47:0] VAR185; input [7:0] VAR2; input [7:0] VAR26; input [5:0] VAR15; input [13:0] VAR156; input [5:0] VAR153; input VAR127, VAR203; input [1:0] VAR200; input [22:0] VAR96; input [31:0] VAR125; input [47:0] VAR186; input [VAR111-1:0] VAR95; input [VAR62:4] VAR27; input [3:0] VAR85; input VAR160; input VAR122; input VAR74, VAR68, VAR64, VAR98; input VAR139, VAR150, VAR204, VAR191; input VAR90, VAR192, VAR61; input [3:0] VAR115; input [3:0] VAR110, VAR41, VAR13, VAR142; input [3:0] VAR78, VAR67, VAR138, VAR63; input [3:0] VAR103, VAR195, VAR11, VAR170; output VAR183; output [63:0] VAR89; output [38:0] VAR50; output [1:0] VAR22, VAR30; output [3:0] VAR24; output VAR171, VAR190; output [2:0] VAR140; wire [47:4] VAR108; wire [VAR151:0] VAR38; wire [3:0] VAR114; wire [31:0] VAR147; wire [47:4] VAR3, VAR157, VAR126, VAR176, VAR169, VAR116, VAR92, VAR201; wire [47:4] VAR101, VAR134, VAR7, VAR1, VAR34, VAR155, VAR133, VAR33; wire [47:4] VAR82; wire [63:0] VAR154, VAR57, VAR97, VAR188, VAR83, VAR161, VAR69; wire [39:4] VAR149, VAR79; wire [39:4] VAR8; wire [47:0] VAR31; wire [47:4] VAR100; wire [7:0] VAR181, VAR53; wire VAR12; wire [5:0] VAR86; wire [38:0] VAR178; wire clk; assign clk = VAR18; VAR163 #(VAR196) VAR172(.din (VAR184), .VAR25 (VAR38), .clk (clk), .VAR199 (VAR199), .VAR29(), .VAR183()); VAR163 #(4) VAR36(.din (VAR73[3:0]), .VAR25 (VAR114), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR93 VAR135(.in ({3'b0, VAR38[VAR111:0]}), .out (VAR24[0])); VAR93 VAR55(.in ({3'b0, VAR38[((2*VAR111) + 1):(VAR111+1)]}), .out (VAR24[1])); VAR93 VAR77(.in ({3'b0, VAR38[((3*VAR111) + 2):(2*(VAR111)+2)]}), .out (VAR24[2])); VAR93 VAR23(.in ({3'b0, VAR38[((4*VAR111) + 3):(3*(VAR111)+3)]}), .out (VAR24[3])); VAR76 #(32) VAR198(.dout (VAR147[31:0]), .VAR121 ({VAR114[0], 1'b0, VAR38[28], 1'b0, VAR38[27:0]}), .VAR19 ({VAR114[1], 1'b0, VAR38[57], 1'b0, VAR38[56:29]}), .VAR72 ({VAR114[2], 1'b0, VAR38[86], 1'b0, VAR38[85:58]}), .VAR152 ({VAR114[3], 1'b0, VAR38[115], 1'b0, VAR38[114:87]}), .VAR166 (VAR85[0]), .VAR113 (VAR85[1]), .VAR180 (VAR85[2]), .VAR59 (VAR85[3])); VAR49 VAR66(.in (VAR182[33:0]), .out (VAR171)); VAR49 VAR104(.in (VAR37[33:0]), .out (VAR190)); VAR93 VAR189(.in ({VAR80[33:27], VAR80[25], VAR80[23:0]}), .out (VAR22[0])); VAR93 VAR202(.in ({7'b0, VAR80[58:34]}), .out (VAR22[1])); VAR93 VAR179(.in (VAR9[31:0]), .out (VAR30[0])); VAR75 VAR164(.in ({5'b0, VAR9[42:32]}), .out (VAR30[1])); assign VAR140[2:0] = {VAR9[VAR87], VAR9[VAR88], VAR9[VAR159]}; assign VAR57[63:0] = { VAR80[58:55], VAR80[VAR117], VAR80[VAR197], VAR80[VAR158], VAR80[VAR107], {8{VAR80[53]}}, VAR80[VAR124:VAR14], VAR80[VAR60:VAR40], VAR80[VAR128:VAR167], VAR80[VAR120:VAR141], VAR80[VAR102:VAR109] } ; assign VAR154[63:0] = { VAR80[VAR130], VAR203, VAR127, VAR9[VAR42], VAR9[VAR91], 10'b0, VAR9[VAR87], VAR80[VAR20], VAR9[VAR51], VAR9[VAR87], VAR9[VAR88], VAR9[VAR159], 2'b0, 1'b0, VAR9[VAR94:VAR10], VAR9[VAR58:VAR118], VAR9[VAR194:VAR21], VAR9[VAR16:VAR143], 6'b0, VAR9[VAR70], VAR9[VAR81], VAR9[VAR175], VAR9[VAR174], VAR9[VAR35], VAR9[VAR71], 1'b0 } ; VAR47 #(64) VAR32(.dout (VAR97[63:0]), .VAR121 (VAR57[63:0]), .VAR19 (VAR154[63:0]), .sel (VAR160)); VAR76 #(64) VAR129(.dout (VAR83[63:0]), .VAR121 ({62'b0, VAR200}), .VAR19 ({32'b0, VAR96, 9'b0}), .VAR72 ({32'b0, VAR125}), .VAR152 ({16'b0, VAR82, 4'b0}), .VAR166 (VAR139), .VAR113 (VAR150), .VAR180 (VAR204), .VAR59 (VAR191)); VAR165 #(64) VAR177(.dout (VAR188[63:0]), .VAR121 ({29'b0, VAR147[31:28], 3'b0, VAR147[27:0]}), .VAR19 ({25'b0, VAR50}), .VAR72 (64'b0), .VAR166 (VAR90), .VAR113 (VAR192), .VAR180 (VAR61)); VAR76 #(64) VAR173(.dout (VAR161), .VAR121 (VAR97[63:0]), .VAR19 (VAR83), .VAR72 (VAR188), .VAR152 ({30'b0, VAR56[0], VAR56[33:1]}), .VAR166 (VAR98), .VAR113 (VAR64), .VAR180 (VAR68), .VAR59 (VAR74)); VAR163 #(64) VAR148(.din (VAR161), .VAR25 (VAR69), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); assign VAR89 = VAR69; assign VAR8 = {VAR95[VAR111-1:0], VAR27[VAR62:4]}; VAR163 #(48) VAR123(.din (VAR185[47:0]), .VAR25 (VAR31[47:0]), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); assign VAR149[39:10] = VAR43[39:10]; assign VAR149[9:4] = VAR31[9:4]; VAR163 #(36) VAR106(.din (VAR149[39:4]), .VAR25 (VAR79[39:4]), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR163 #(44) VAR145(.din (VAR31[47:4]), .VAR25 (VAR100[47:4]), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR163 #(16) VAR46(.din ({VAR2[7:0], VAR26[7:0]}), .VAR25 ({VAR181[7:5], VAR12, VAR181[3:0], VAR53[7:0]}), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); assign VAR181[4] = VAR12 ^ (VAR181[5] & VAR181[3]); VAR163 #(6) VAR65(.din (VAR153), .VAR25 (VAR86), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR163 #(44) VAR4(.din (VAR99), .VAR25 (VAR108), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR76 #(44) VAR45(.dout (VAR3), .VAR121 ({24'b0, VAR53[7:0], 4'b0, VAR181[7:0]}), .VAR19 ({38'b0, VAR86}), .VAR72 ({17'b0, VAR156[13:7], 1'b0, VAR156[6:0], 6'b0, VAR15[5:0]}), .VAR152 (VAR108), .VAR166 (VAR110[0]), .VAR113 (VAR41[0]), .VAR180 (VAR13[0]), .VAR59 (VAR142[0])); VAR76 #(44) VAR6(.dout (VAR169), .VAR121 (VAR100[47:4]), .VAR19 ({8'b0, VAR79[39:4]}), .VAR72 ({8'b0, VAR8}), .VAR152 ({8'b0, VAR131[39:4]}), .VAR166 (VAR78[0]), .VAR113 (VAR67[0]), .VAR180 (VAR138[0]), .VAR59 (VAR63[0])); VAR76 #(44) VAR17(.dout (VAR101), .VAR121 (VAR3), .VAR19 (VAR169), .VAR72 (VAR186[47:4]), .VAR152 (VAR134), .VAR166 (VAR11[0]), .VAR113 (VAR103[0]), .VAR180 (VAR195[0]), .VAR59 (VAR170[0])); VAR163 #(44) VAR52(.din (VAR101), .VAR25 (VAR134), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); assign VAR82 = VAR134; VAR76 #(44) VAR146(.dout (VAR157), .VAR121 ({24'b0, VAR53[7:0], 4'b0, VAR181[7:0]}), .VAR19 ({38'b0, VAR86}), .VAR72 ({17'b0, VAR156[13:7], 1'b0, VAR156[6:0], 6'b0, VAR15[5:0]}), .VAR152 (VAR108), .VAR166 (VAR110[1]), .VAR113 (VAR41[1]), .VAR180 (VAR13[1]), .VAR59 (VAR142[1])); VAR76 #(44) VAR137(.dout (VAR116), .VAR121 (VAR100[47:4]), .VAR19 ({8'b0, VAR79[39:4]}), .VAR72 ({8'b0, VAR8}), .VAR152 ({8'b0, VAR131[39:4]}), .VAR166 (VAR78[1]), .VAR113 (VAR67[1]), .VAR180 (VAR138[1]), .VAR59 (VAR63[1])); VAR76 #(44) VAR39(.dout (VAR7), .VAR121 (VAR157), .VAR19 (VAR116), .VAR72 (VAR186[47:4]), .VAR152 (VAR1), .VAR166 (VAR11[1]), .VAR113 (VAR103[1]), .VAR180 (VAR195[1]), .VAR59 (VAR170[1])); VAR163 #(44) VAR28(.din (VAR7), .VAR25 (VAR1), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR76 #(44) VAR162(.dout (VAR126), .VAR121 ({24'b0, VAR53[7:0], 4'b0, VAR181[7:0]}), .VAR19 ({38'b0, VAR86}), .VAR72 ({17'b0, VAR156[13:7], 1'b0, VAR156[6:0], 6'b0, VAR15[5:0]}), .VAR152 (VAR108), .VAR166 (VAR110[2]), .VAR113 (VAR41[2]), .VAR180 (VAR13[2]), .VAR59 (VAR142[2])); VAR76 #(44) VAR5(.dout (VAR92), .VAR121 (VAR100[47:4]), .VAR19 ({8'b0, VAR79[39:4]}), .VAR72 ({8'b0, VAR8}), .VAR152 ({8'b0, VAR131[39:4]}), .VAR166 (VAR78[2]), .VAR113 (VAR67[2]), .VAR180 (VAR138[2]), .VAR59 (VAR63[2])); VAR76 #(44) VAR54(.dout (VAR34), .VAR121 (VAR126), .VAR19 (VAR92), .VAR72 (VAR186[47:4]), .VAR152 (VAR155), .VAR166 (VAR11[2]), .VAR113 (VAR103[2]), .VAR180 (VAR195[2]), .VAR59 (VAR170[2])); VAR163 #(44) VAR84(.din (VAR34), .VAR25 (VAR155), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR76 #(44) VAR187(.dout (VAR176), .VAR121 ({24'b0, VAR53[7:0], 4'b0, VAR181[7:0]}), .VAR19 ({38'b0, VAR86}), .VAR72 ({17'b0, VAR156[13:7], 1'b0, VAR156[6:0], 6'b0, VAR15[5:0]}), .VAR152 (VAR108), .VAR166 (VAR110[3]), .VAR113 (VAR41[3]), .VAR180 (VAR13[3]), .VAR59 (VAR142[3])); VAR76 #(44) VAR193(.dout (VAR201), .VAR121 (VAR100[47:4]), .VAR19 ({8'b0, VAR79[39:4]}), .VAR72 ({8'b0, VAR8}), .VAR152 ({8'b0, VAR131[39:4]}), .VAR166 (VAR78[3]), .VAR113 (VAR67[3]), .VAR180 (VAR138[3]), .VAR59 (VAR63[3])); VAR76 #(44) VAR48(.dout (VAR133), .VAR121 (VAR176), .VAR19 (VAR201), .VAR72 (VAR186[47:4]), .VAR152 (VAR33), .VAR166 (VAR11[3]), .VAR113 (VAR103[3]), .VAR180 (VAR195[3]), .VAR59 (VAR170[3])); VAR163 #(44) VAR144(.din (VAR133), .VAR25 (VAR33), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR76 #(44) VAR168(.dout (VAR82), .VAR121 (VAR134), .VAR19 (VAR1), .VAR72 (VAR155), .VAR152 (VAR33), .VAR166 (VAR115[0]), .VAR113 (VAR115[1]), .VAR180 (VAR115[2]), .VAR59 (VAR115[3])); VAR47 #(39) VAR119(.dout (VAR178), .VAR121 (VAR50), .VAR19 (VAR186[38:0]), .sel (VAR122)); VAR136 #(39) VAR105(.din (VAR178), .VAR25 (VAR50), .rst (VAR112), .clk (clk), .VAR199(VAR199), .VAR29(), .VAR183()); VAR132 #(4) VAR44(.in (VAR31[3:0])); endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/nor3/gf180mcu_fd_sc_mcu9t5v0__nor3_2.behavioral.v
1,262
module MODULE1( VAR2, VAR4, VAR5, VAR3 ); input VAR3, VAR5, VAR4; output VAR2; VAR1 VAR6(.VAR2(VAR2),.VAR4(VAR4),.VAR5(VAR5),.VAR3(VAR3)); VAR1 VAR7(.VAR2(VAR2),.VAR4(VAR4),.VAR5(VAR5),.VAR3(VAR3));
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/edfxbp/sky130_fd_sc_ms__edfxbp.functional.pp.v
2,082
module MODULE1 ( VAR10 , VAR2 , VAR13 , VAR18 , VAR8 , VAR11, VAR7, VAR3 , VAR1 ); output VAR10 ; output VAR2 ; input VAR13 ; input VAR18 ; input VAR8 ; input VAR11; input VAR7; input VAR3 ; input VAR1 ; wire VAR12 ; wire VAR15; VAR9 VAR4 (VAR15, VAR12, VAR18, VAR8 ); VAR17 VAR16 VAR6 (VAR12 , VAR15, VAR13, , VAR11, VAR7); buf VAR5 (VAR10 , VAR12 ); not VAR14 (VAR2 , VAR12 ); endmodule
apache-2.0
alexforencich/verilog-ethernet
example/ExaNIC_X10/fpga/rtl/eth_xcvr_phy_wrapper.v
9,140
module MODULE1 # ( parameter VAR1 = 1, parameter VAR40 = 64, parameter VAR79 = (VAR40/8), parameter VAR108 = 2, parameter VAR58 = 0, parameter VAR133 = 0, parameter VAR125 = 0, parameter VAR61 = 1, parameter VAR73 = 8, parameter VAR7 = 125000/6.4 ) ( input wire VAR129, input wire VAR136, output wire VAR51, input wire VAR95, output wire VAR59, output wire VAR41, output wire VAR39, input wire VAR87, output wire VAR21, input wire VAR85, input wire VAR88, output wire VAR77, output wire VAR62, input wire VAR8, input wire VAR130, output wire VAR82, output wire VAR24, input wire [VAR40-1:0] VAR126, input wire [VAR79-1:0] VAR15, output wire VAR83, output wire VAR102, output wire [VAR40-1:0] VAR89, output wire [VAR79-1:0] VAR84, output wire VAR13, output wire [6:0] VAR28, output wire VAR123, output wire VAR60, output wire VAR63, output wire VAR68, input wire VAR121, input wire VAR31 ); wire VAR55; wire VAR118 = 1'b0; wire VAR124 = VAR55; wire VAR122; wire VAR50; wire [5:0] VAR12; wire [63:0] VAR106; wire VAR92; wire [5:0] VAR52; wire [1:0] VAR137; wire [63:0] VAR101; wire [1:0] VAR27; generate if (VAR1) begin : VAR111 VAR11 VAR97 ( .VAR56(VAR129), .VAR69(VAR136), .VAR25(VAR51), .VAR6(VAR95), .VAR117(VAR59), .VAR112(VAR41), .VAR53(VAR39), .VAR71(VAR77), .VAR96(VAR62), .VAR81(VAR8), .VAR33(VAR130), .VAR76(1'b0), .VAR78(), .VAR23(), .VAR54(VAR82), .VAR132(), .VAR22(1'b0), .VAR109(VAR118), .VAR135(VAR122), .VAR30(), .VAR107(), .VAR47(1'b1), .VAR43(VAR106), .VAR131(VAR12), .VAR98(7'b0), .VAR86(1'b0), .VAR119(), .VAR16(), .VAR14(VAR83), .VAR134(), .VAR127(1'b0), .VAR75(VAR124), .VAR57(), .VAR99(VAR50), .VAR17(), .VAR110(), .VAR29(1'b0), .VAR66(VAR92), .VAR37(VAR101), .VAR103(VAR27), .VAR2(VAR52), .VAR116(VAR137), .VAR113() ); end else begin : VAR111 VAR26 VAR74 ( .VAR56(VAR129), .VAR69(VAR136), .VAR25(VAR51), .VAR45(VAR87), .VAR32(VAR21), .VAR90(VAR85), .VAR4(VAR88), .VAR128(1'b0), .VAR18(1'b0), .VAR71(VAR77), .VAR96(VAR62), .VAR81(VAR8), .VAR33(VAR130), .VAR76(1'b0), .VAR78(), .VAR23(), .VAR54(VAR82), .VAR132(), .VAR22(1'b0), .VAR109(VAR118), .VAR135(VAR122), .VAR30(), .VAR107(), .VAR47(1'b1), .VAR43(VAR106), .VAR131(VAR12), .VAR98(7'b0), .VAR86(1'b0), .VAR119(), .VAR16(), .VAR14(VAR83), .VAR134(), .VAR127(1'b0), .VAR75(VAR124), .VAR57(), .VAR99(VAR50), .VAR17(), .VAR110(), .VAR29(1'b0), .VAR66(VAR92), .VAR37(VAR101), .VAR103(VAR27), .VAR2(VAR52), .VAR116(VAR137), .VAR113() ); end endgenerate VAR115 #( .VAR80(4) ) VAR10 ( .clk(VAR82), .rst(!VAR122), .out(VAR24) ); VAR115 #( .VAR80(4) ) VAR94 ( .clk(VAR83), .rst(!VAR50), .out(VAR102) ); VAR105 #( .VAR40(VAR40), .VAR79(VAR79), .VAR108(VAR108), .VAR9(1), .VAR67(0), .VAR58(VAR58), .VAR133(VAR133), .VAR125(VAR125), .VAR61(VAR61), .VAR73(VAR73), .VAR7(VAR7) ) VAR64 ( .VAR49(VAR82), .VAR48(VAR24), .VAR93(VAR83), .VAR91(VAR102), .VAR3(VAR126), .VAR20(VAR15), .VAR104(VAR89), .VAR36(VAR84), .VAR65(VAR106), .VAR114(VAR12), .VAR19(VAR101), .VAR35(VAR52), .VAR44(VAR92), .VAR100(VAR55), .VAR42(VAR13), .VAR70(VAR28), .VAR38(VAR123), .VAR46(VAR60), .VAR5(VAR63), .VAR34(VAR68), .VAR72(VAR121), .VAR120(VAR31) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o22a/sky130_fd_sc_hdll__o22a_2.v
2,355
module MODULE2 ( VAR11 , VAR7 , VAR10 , VAR1 , VAR6 , VAR2, VAR8, VAR9 , VAR5 ); output VAR11 ; input VAR7 ; input VAR10 ; input VAR1 ; input VAR6 ; input VAR2; input VAR8; input VAR9 ; input VAR5 ; VAR3 VAR4 ( .VAR11(VAR11), .VAR7(VAR7), .VAR10(VAR10), .VAR1(VAR1), .VAR6(VAR6), .VAR2(VAR2), .VAR8(VAR8), .VAR9(VAR9), .VAR5(VAR5) ); endmodule module MODULE2 ( VAR11 , VAR7, VAR10, VAR1, VAR6 ); output VAR11 ; input VAR7; input VAR10; input VAR1; input VAR6; supply1 VAR2; supply0 VAR8; supply1 VAR9 ; supply0 VAR5 ; VAR3 VAR4 ( .VAR11(VAR11), .VAR7(VAR7), .VAR10(VAR10), .VAR1(VAR1), .VAR6(VAR6) ); endmodule
apache-2.0
alexforencich/xfcp
lib/eth/lib/axis/rtl/axis_frame_length_adjust_fifo.v
7,109
module MODULE1 # ( parameter VAR2 = 8, parameter VAR46 = (VAR2>8), parameter VAR10 = (VAR2/8), parameter VAR33 = 0, parameter VAR16 = 8, parameter VAR9 = 0, parameter VAR13 = 8, parameter VAR35 = 1, parameter VAR28 = 1, parameter VAR43 = 4096, parameter VAR39 = 8 ) ( input wire clk, input wire rst, input wire [VAR2-1:0] VAR3, input wire [VAR10-1:0] VAR41, input wire VAR11, output wire VAR52, input wire VAR31, input wire [VAR16-1:0] VAR36, input wire [VAR13-1:0] VAR6, input wire [VAR28-1:0] VAR45, output wire VAR23, input wire VAR14, output wire VAR53, output wire VAR27, output wire [15:0] VAR22, output wire [15:0] VAR47, output wire [VAR2-1:0] VAR59, output wire [VAR10-1:0] VAR48, output wire VAR42, input wire VAR34, output wire VAR55, output wire [VAR16-1:0] VAR26, output wire [VAR13-1:0] VAR5, output wire [VAR28-1:0] VAR44, input wire [15:0] VAR18, input wire [15:0] VAR38 ); wire [VAR2-1:0] VAR49; wire [VAR10-1:0] VAR12; wire VAR25; wire VAR29; wire VAR50; wire [VAR16-1:0] VAR60; wire [VAR13-1:0] VAR40; wire [VAR28-1:0] VAR19; wire VAR24; wire VAR21; wire VAR37; wire VAR56; wire [15:0] VAR15; wire [15:0] VAR58; VAR17 #( .VAR2(VAR2), .VAR46(VAR46), .VAR10(VAR10), .VAR33(VAR33), .VAR16(VAR16), .VAR9(VAR9), .VAR13(VAR13), .VAR35(VAR35), .VAR28(VAR28) ) VAR30 ( .clk(clk), .rst(rst), .VAR3(VAR3), .VAR41(VAR41), .VAR11(VAR11), .VAR52(VAR52), .VAR31(VAR31), .VAR36(VAR36), .VAR6(VAR6), .VAR45(VAR45), .VAR59(VAR49), .VAR48(VAR12), .VAR42(VAR25), .VAR34(VAR29), .VAR55(VAR50), .VAR26(VAR60), .VAR5(VAR40), .VAR44(VAR19), .VAR24(VAR24), .VAR21(VAR21), .VAR37(VAR37), .VAR56(VAR56), .VAR15(VAR15), .VAR58(VAR58), .VAR18(VAR18), .VAR38(VAR38) ); VAR51 #( .VAR1(VAR43), .VAR2(VAR2), .VAR46(VAR46), .VAR10(VAR10), .VAR4(1), .VAR33(VAR33), .VAR16(VAR16), .VAR9(VAR9), .VAR13(VAR13), .VAR35(VAR35), .VAR28(VAR28), .VAR20(0) ) VAR7 ( .clk(clk), .rst(rst), .VAR3(VAR49), .VAR41(VAR12), .VAR11(VAR25), .VAR52(VAR29), .VAR31(VAR50), .VAR36(VAR60), .VAR6(VAR40), .VAR45(VAR19), .VAR59(VAR59), .VAR48(VAR48), .VAR42(VAR42), .VAR34(VAR34), .VAR55(VAR55), .VAR26(VAR26), .VAR5(VAR5), .VAR44(VAR44), .VAR8(), .VAR57(), .VAR54() ); VAR51 #( .VAR1(VAR39), .VAR2(1+1+16+16), .VAR46(0), .VAR4(0), .VAR33(0), .VAR9(0), .VAR35(0), .VAR20(0) ) VAR32 ( .clk(clk), .rst(rst), .VAR3({VAR37, VAR56, VAR15, VAR58}), .VAR41(0), .VAR11(VAR24), .VAR52(VAR21), .VAR31(0), .VAR36(0), .VAR6(0), .VAR45(0), .VAR59({VAR53, VAR27, VAR22, VAR47}), .VAR48(), .VAR42(VAR23), .VAR34(VAR14), .VAR55(), .VAR26(), .VAR5(), .VAR44(), .VAR8(), .VAR57(), .VAR54() ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/o21ba/sky130_fd_sc_ms__o21ba.functional.v
1,467
module MODULE1 ( VAR9 , VAR1 , VAR4 , VAR5 ); output VAR9 ; input VAR1 ; input VAR4 ; input VAR5; wire VAR3 ; wire VAR6; nor VAR7 (VAR3 , VAR1, VAR4 ); nor VAR8 (VAR6, VAR5, VAR3 ); buf VAR2 (VAR9 , VAR6 ); endmodule
apache-2.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/ipshared/ENCLab/Tiger4SharedKES_v1_0_0/8069a058/src/d_KES_CS_buffer.v
14,090
module MODULE1 parameter VAR20 = 2, parameter VAR46 = 12, parameter VAR60 = 9, parameter VAR29 = 15 ) ( VAR25 , VAR5 , VAR16 , VAR15 , VAR12 , VAR30 , VAR6 , VAR2 , VAR13 , VAR38 , VAR7 , VAR58 , VAR28 , VAR41 , VAR10 , VAR40 , VAR21 , VAR51 , VAR11 , VAR35 , VAR45 , VAR39 , VAR8 , VAR18 , VAR31 , VAR34 , VAR59 , VAR37 , VAR14 , VAR50 ); input VAR25 ; input VAR5 ; input VAR16 ; input VAR15 ; input VAR12 ; input VAR30 ; input VAR6 ; input [3:0] VAR2 ; input [VAR46 - 1:0] VAR13 ; input [VAR46 - 1:0] VAR38 ; input [VAR46 - 1:0] VAR7 ; input [VAR46 - 1:0] VAR58 ; input [VAR46 - 1:0] VAR28 ; input [VAR46 - 1:0] VAR41 ; input [VAR46 - 1:0] VAR10 ; input [VAR46 - 1:0] VAR40 ; input [VAR46 - 1:0] VAR21 ; input [VAR46 - 1:0] VAR51 ; input [VAR46 - 1:0] VAR11 ; input [VAR46 - 1:0] VAR35 ; input [VAR46 - 1:0] VAR45 ; input [VAR46 - 1:0] VAR39 ; input [VAR46 - 1:0] VAR8 ; input VAR18 ; output VAR31 ; output reg VAR34 ; output reg [VAR20 - 1:0] VAR59 ; output reg [VAR20 - 1:0] VAR37 ; output reg [VAR20*VAR60 - 1:0] VAR14 ; output reg [VAR20*VAR46*VAR29 - 1:0] VAR50 ; reg VAR24; reg [3:0] VAR22; reg [3:0] VAR54; reg [VAR20 - 1:0] VAR3; reg [VAR20 - 1:0] VAR55; reg [VAR20*VAR60 - 1:0] VAR47; reg [VAR20*VAR46 - 1:0] VAR1; reg [VAR20*VAR46 - 1:0] VAR48; reg [VAR20*VAR46 - 1:0] VAR44; reg [VAR20*VAR46 - 1:0] VAR57; reg [VAR20*VAR46 - 1:0] VAR42; reg [VAR20*VAR46 - 1:0] VAR19; reg [VAR20*VAR46 - 1:0] VAR36; reg [VAR20*VAR46 - 1:0] VAR43; reg [VAR20*VAR46 - 1:0] VAR33; reg [VAR20*VAR46 - 1:0] VAR49; reg [VAR20*VAR46 - 1:0] VAR17; reg [VAR20*VAR46 - 1:0] VAR56; reg [VAR20*VAR46 - 1:0] VAR52; reg [VAR20*VAR46 - 1:0] VAR26; reg [VAR20*VAR46 - 1:0] VAR27; localparam VAR4 = 4'b0000; localparam VAR53 = 4'b0001; localparam VAR9 = 4'b0010; localparam VAR32 = 4'b0100; localparam VAR23 = 4'b1000; assign VAR31 = !((VAR22 == VAR32) || (VAR22 == VAR23)); always @ (posedge VAR25) begin if (VAR5 || VAR16) VAR22 <= VAR4; end else VAR22 <= VAR54; end always @ (*) begin if(VAR5 || VAR16) VAR54 <= VAR4; end else begin case (VAR22) VAR4: VAR54 <= (VAR15) ? VAR53 : VAR4; VAR53: VAR54 <= VAR9; VAR9: VAR54 <= (VAR15) ? VAR53 : ( (VAR24) ? VAR32 : VAR9 ); VAR32: VAR54 <= (VAR18) ? VAR23 : VAR32; VAR23: VAR54 <= VAR4; default: VAR54 <= VAR4; endcase end end always @ (posedge VAR25) begin if (VAR5 || VAR16) VAR24 <= 0; end else case (VAR54) VAR4: VAR24 <= 0; VAR53: VAR24 <= VAR30; default: VAR24 <= VAR24; endcase end always @ (posedge VAR25) begin if (VAR5 || VAR16) begin VAR34 <= 0; VAR59 <= 0; VAR37 <= 0; VAR14 <= 0; VAR50 <= 0; end else begin case (VAR54) VAR23: begin VAR34 <= 1'b1; VAR59 <= VAR3; VAR37 <= VAR55; VAR14 <= VAR47; VAR50 <= { VAR1, VAR48, VAR44, VAR57, VAR42, VAR19, VAR36, VAR43, VAR33, VAR49, VAR17, VAR56, VAR52, VAR26, VAR27 }; end default: begin VAR34 <= 0; VAR59 <= 0; VAR37 <= 0; VAR14 <= 0; VAR50 <= 0; end endcase end end always @ (posedge VAR25) begin if (VAR5 || VAR16) begin VAR3 <= 0; VAR55 <= 0; VAR47 <= 0; VAR1 <= 0; VAR48 <= 0; VAR44 <= 0; VAR57 <= 0; VAR42 <= 0; VAR19 <= 0; VAR36 <= 0; VAR43 <= 0; VAR33 <= 0; VAR49 <= 0; VAR17 <= 0; VAR56 <= 0; VAR52 <= 0; VAR26 <= 0; VAR27 <= 0; end else begin case (VAR54) VAR4: begin VAR3 <= 0; VAR55 <= 0; VAR47 <= 0; VAR1 <= 0; VAR48 <= 0; VAR44 <= 0; VAR57 <= 0; VAR42 <= 0; VAR19 <= 0; VAR36 <= 0; VAR43 <= 0; VAR33 <= 0; VAR49 <= 0; VAR17 <= 0; VAR56 <= 0; VAR52 <= 0; VAR26 <= 0; VAR27 <= 0; end VAR53: begin if (VAR12) begin case (VAR6) 1'b0: begin VAR55[0] <= 1'b1; VAR3[0] <= 1'b1; end 1'b1: begin VAR55[1] <= 1'b1; VAR3[0] <= 1'b1; end endcase VAR47 <= VAR47; VAR1 <= VAR1; VAR48 <= VAR48; VAR44 <= VAR44; VAR57 <= VAR57; VAR42 <= VAR42; VAR19 <= VAR19; VAR36 <= VAR36; VAR43 <= VAR43; VAR33 <= VAR33; VAR49 <= VAR49; VAR17 <= VAR17; VAR56 <= VAR56; VAR52 <= VAR52; VAR26 <= VAR26; VAR27 <= VAR27; end else begin VAR55 <= VAR55; case (VAR6) 1'b0: begin VAR3[0] <= (|VAR2) ? 1'b1 : 1'b0; VAR47[VAR60*1 - 1:VAR60*(1 - 1)] <= VAR2; VAR1[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR13; VAR48[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR38; VAR44[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR7; VAR57[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR58; VAR42[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR28; VAR19[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR41; VAR36[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR10; VAR43[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR40; VAR33[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR21; VAR49[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR51; VAR17[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR11; VAR56[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR35; VAR52[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR45; VAR26[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR39; VAR27[VAR46*1 - 1:VAR46*(1 - 1)] <= VAR8; end 1'b1: begin VAR3[1] <= (|VAR2) ? 1'b1 : 1'b0; VAR47[VAR60*2 - 1:VAR60*(2 - 1)] <= VAR2; VAR1[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR13; VAR48[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR38; VAR44[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR7; VAR57[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR58; VAR42[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR28; VAR19[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR41; VAR36[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR10; VAR43[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR40; VAR33[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR21; VAR49[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR51; VAR17[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR11; VAR56[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR35; VAR52[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR45; VAR26[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR39; VAR27[VAR46*2 - 1:VAR46*(2 - 1)] <= VAR8; end default: begin VAR3 <= VAR3; VAR47 <= VAR47; VAR1 <= VAR1; VAR48 <= VAR48; VAR44 <= VAR44; VAR57 <= VAR57; VAR42 <= VAR42; VAR19 <= VAR19; VAR36 <= VAR36; VAR43 <= VAR43; VAR33 <= VAR33; VAR49 <= VAR49; VAR17 <= VAR17; VAR56 <= VAR56; VAR52 <= VAR52; VAR26 <= VAR26; VAR27 <= VAR27; end endcase end end default: begin VAR55 <= VAR55; VAR3 <= VAR3; VAR47 <= VAR47; VAR1 <= VAR1; VAR48 <= VAR48; VAR44 <= VAR44; VAR57 <= VAR57; VAR42 <= VAR42; VAR19 <= VAR19; VAR36 <= VAR36; VAR43 <= VAR43; VAR33 <= VAR33; VAR49 <= VAR49; VAR17 <= VAR17; VAR56 <= VAR56; VAR52 <= VAR52; VAR26 <= VAR26; VAR27 <= VAR27; end endcase end end endmodule
gpl-3.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/lib/verilog/core/utils/src/small_fifo_v3.v
2,334
module MODULE1 parameter VAR4 = 3, parameter VAR6 = 2**VAR4 - 1 ) ( input [VAR12-1:0] din, input VAR2, input VAR14, output reg [VAR12-1:0] dout, output VAR8, output VAR1, output VAR11, output VAR5, input reset, input clk ); parameter VAR13 = 2 ** VAR4; reg [VAR12-1:0] VAR10 [VAR13 - 1 : 0]; reg [VAR4 - 1 : 0] VAR9; reg [VAR4 - 1 : 0] VAR3; reg [VAR4 : 0] VAR7; always @(posedge clk) begin if (VAR2) VAR10[VAR3] <= din; if (VAR14) dout <= VAR10[VAR9]; end always @(posedge clk) begin if (reset) begin VAR9 <= 'h0; VAR3 <= 'h0; VAR7 <= 'h0; end else begin if (VAR2) VAR3 <= VAR3 + 'h1; if (VAR14) VAR9 <= VAR9 + 'h1; if (VAR2 & ~VAR14) VAR7 <= VAR7 + 'h1; end else if (~VAR2 & VAR14) VAR7 <= VAR7 - 'h1; end end assign VAR8 = VAR7 == VAR13; assign VAR11 = (VAR7 >= VAR6); assign VAR1 = VAR7 >= VAR13-1; assign VAR5 = VAR7 == 'h0; always @(posedge clk) begin if (VAR2 && VAR7 == VAR13 && !VAR14) if (VAR14 && VAR7 == 'h0) end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a21oi/sky130_fd_sc_ls__a21oi.behavioral.v
1,516
module MODULE1 ( VAR2 , VAR12, VAR1, VAR5 ); output VAR2 ; input VAR12; input VAR1; input VAR5; supply1 VAR6; supply0 VAR10; supply1 VAR7 ; supply0 VAR4 ; wire VAR8 ; wire VAR11; and VAR3 (VAR8 , VAR12, VAR1 ); nor VAR13 (VAR11, VAR5, VAR8 ); buf VAR9 (VAR2 , VAR11 ); endmodule
apache-2.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.0/IPRepo-1.0.0/Tiger4NSC/src/BCHEncoderDataChannel.v
17,896
module MODULE1 ( parameter VAR49 = 32 , parameter VAR66 = 16 ) ( VAR37 , VAR44 , VAR5 , VAR8 , VAR113 , VAR114 , VAR137 , VAR55 , VAR84 , VAR95 , VAR77 , VAR108 , VAR1 , VAR115 ); input VAR37 ; input VAR44 ; input [VAR66 - 1:0] VAR5 ; input [1:0] VAR8 ; input VAR113 ; output VAR114 ; output [VAR49 - 1:0] VAR137 ; output VAR55 ; output VAR84 ; input VAR95 ; input [VAR49 - 1:0] VAR77 ; input VAR108 ; input VAR1 ; output VAR115 ; reg [VAR49 - 1:0] VAR134 ; reg VAR91 ; reg VAR130 ; wire VAR80 ; wire VAR47 ; wire VAR10 ; reg VAR16 ; parameter VAR7 = 2'b00 ; parameter VAR24 = 2'b01 ; parameter VAR58 = 2'b10 ; reg [1:0] VAR4 ; reg [VAR66 - 1:0] VAR131 ; reg [5:0] VAR97 ; reg [5:0] VAR12 ; reg VAR18 ; wire VAR96 ; wire VAR59 ; wire VAR106 ; wire [VAR49 - 1:0] VAR50 ; reg VAR132 ; reg VAR99 ; reg [VAR49 - 1:0] VAR39 ; reg VAR57 ; wire VAR98 ; wire VAR83 ; wire VAR124 ; wire [VAR49 - 1:0] VAR43 ; wire VAR63 ; wire VAR93 ; wire VAR11 ; wire [VAR49/2 - 1:0] VAR127 ; wire VAR94 ; wire VAR107 ; wire VAR86 ; wire [VAR49/2 - 1:0] VAR64 ; wire VAR41 ; wire VAR36 ; wire VAR19 ; wire VAR22; wire [VAR49/2 - 1:0] VAR87; wire VAR68; wire [VAR49/2 - 1:0] VAR116; wire VAR135; wire VAR103; reg VAR70; wire VAR52; wire [VAR49 - 1:0] VAR69; reg VAR81; wire VAR73 ; wire VAR48 ; wire VAR42 ; localparam VAR29 = 3'b000; localparam VAR92 = 3'b001; localparam VAR38 = 3'b011; localparam VAR136 = 3'b010; localparam VAR21 = 3'b110; reg [2:0] VAR139 ; reg [2:0] VAR105 ; assign VAR114 = (VAR139 == VAR29); assign VAR80 = !VAR47; assign VAR22 = (VAR139 == VAR136) ? VAR41 : (VAR139 == VAR38) ? VAR93 : 1'b0; assign VAR87 = (VAR139 == VAR136) ? VAR64 : (VAR139 == VAR38) ? VAR127 : {(VAR49/2){1'b0}}; assign VAR68 = (VAR70 | VAR103) ? 1'b0 : VAR22; assign VAR107 = (VAR139 == VAR38) && (VAR19 & VAR94); assign VAR115 = VAR16; assign VAR106 = VAR132 & VAR63; assign VAR50 = VAR39; assign VAR59 = (VAR4 == VAR58) ? 1'b0 : 1'b1; assign VAR96 = 1'b1; assign VAR42 = (VAR44) || ((VAR4 == VAR58) && (VAR139 == VAR136)); always @ (posedge VAR37) if (VAR44) VAR139 <= VAR29; else VAR139 <= VAR105; always @ (posedge VAR37) if (VAR44) VAR18 <= 0; else begin if (VAR98) VAR18 <= 1'b1; end else begin if (VAR86 && (VAR4 == VAR58)) VAR18 <= 1'b0; end end always @ case (VAR139) VAR38: if (VAR4 == VAR24) begin VAR39 <= VAR77; VAR132 <= VAR108; end else if (VAR18) begin if (VAR48) begin VAR39 <= {(VAR49){1'b0}}; VAR132 <= 1'b1; end else begin VAR39 <= VAR43; VAR132 <= VAR124; end end else begin VAR39 <= VAR77; VAR132 <= VAR108; end default: begin VAR39 <= {(VAR49){1'b0}}; VAR132 <= 1'b0; end endcase always @ (posedge VAR37) if (VAR44) VAR99 <= 0; else if (VAR83 & VAR132) VAR99 <= 1'b1; else VAR99 <= 1'b0; always @ (posedge VAR37) if (VAR44) VAR70 <= 0; else if (VAR103) VAR70 <= VAR103; else if (VAR139 == VAR136) VAR70 <= 0; always @ (*) case (VAR139) VAR92: begin VAR134 <= VAR77 ; VAR130 <= VAR108 ; VAR91 <= VAR1 ; VAR16 <= VAR80 ; end VAR38: begin VAR134 <= VAR69 ; VAR130 <= (VAR70) ? 1'b0 : VAR135 ; VAR91 <= 1'b0 ; if (VAR18 & VAR48) VAR16 <= 1'b0; end else VAR16 <= VAR63; end VAR136: begin VAR134 <= VAR69 ; VAR130 <= VAR135; VAR91 <= VAR52 && (VAR97 == VAR12) ; VAR16 <= 1'b0 ; end default: begin VAR134 <= {(VAR49){1'b0}} ; VAR130 <= 1'b0 ; VAR91 <= 1'b0 ; VAR16 <= 1'b0 ; end endcase VAR35 ( .VAR20 (32), .VAR138 (64), .VAR15 (13), .VAR101 (4158), .VAR28 (2) ) VAR35 ( .VAR82 (VAR37), .VAR133 (!VAR44), .VAR65 (VAR59), .VAR14 (VAR106), .VAR6 (VAR50), .VAR126 (!VAR16), .VAR123 (), .VAR125 (), .VAR112 (), .VAR85 (VAR98), .VAR72 (VAR124), .VAR118 (), .VAR51 (VAR83), .VAR71 (VAR43), .VAR76 (VAR48) ); VAR75 .VAR90(32), .VAR30(16) ) VAR53 ( .VAR37 (VAR37), .VAR44 (VAR42), .VAR122 (VAR132), .VAR104 (VAR99), .VAR102 (VAR39), .VAR67 (VAR63), .VAR9 (VAR93), .VAR129 (VAR11), .VAR45 (VAR127), .VAR56 (VAR107) ); VAR61 ( .VAR27(2) ) VAR33 ( .VAR37 (VAR37), .VAR44 (VAR44), .VAR3 (VAR96), .VAR110 (VAR87), .VAR119 (VAR22), .VAR31 (VAR94), .VAR117 (VAR86), .VAR46 (VAR64), .VAR2 (VAR41), .VAR23 (VAR36), .VAR79 (VAR19) ); VAR13 .VAR90(16), .VAR30(32) ) VAR121 ( .VAR37 (VAR37), .VAR44 (VAR44), .VAR78 (VAR97[0]), .VAR8 (VAR4), .VAR122 (VAR68), .VAR104 (VAR11), .VAR54 (VAR36), .VAR102 (VAR87), .VAR67 (VAR19), .VAR9 (VAR135), .VAR129 (VAR103), .VAR111 (VAR52), .VAR45 (VAR69), .VAR56 (VAR80) ); VAR109 VAR120 ( .VAR37 (VAR37 ), .VAR44 (VAR44 ), .VAR88 ({VAR134, VAR91} ), .VAR40 (VAR130 && VAR80 ), .VAR26 (VAR47 ), .VAR60 ({VAR137, VAR84} ), .VAR17 (VAR73 ), .VAR32 (VAR10 ), .VAR128 ( ) ); VAR34 VAR89 ( .VAR37 (VAR37 ), .VAR44 (VAR44 ), .VAR25 (VAR73 ), .VAR62 (VAR10 ), .VAR100 (VAR55 ), .VAR74 (VAR95 ) ); endmodule
gpl-3.0
DigitalLogicSummerTerm2015/mips-cpu-single-cycle
serial_transceiver.v
1,969
module MODULE1( output dout, output reg [7:0] VAR4, output reg [7:0] VAR25, output ready, input din, input [7:0] VAR16, input VAR14, input clk, input VAR31 ); parameter VAR2 = 9600, VAR26 = 16, VAR24 = 100000000, VAR15 = 1000; localparam VAR23 = VAR24 / VAR2 / VAR26, VAR5 = VAR23 * VAR26, VAR8 = VAR24 / VAR15; localparam VAR12 = 2'd0, VAR30 = 2'd1, VAR7 = 2'd2, VAR28 = 2'd3; wire VAR18, VAR29, VAR10; VAR13 #(VAR23) VAR6(VAR18, clk); VAR13 #(VAR5) VAR27(VAR29, clk); wire [7:0] VAR21; wire VAR11; VAR17 VAR1(VAR21, VAR11, din, clk, VAR18); VAR19 VAR9(dout, , VAR16, VAR14, clk, VAR29); reg [1:0] state, VAR22; wire [7:0] VAR20, VAR3; always @(*) begin case (state) VAR12: VAR22 = VAR11 ? VAR30 : VAR12; VAR30: VAR22 = VAR11 ? VAR7 : VAR30; VAR7: VAR22 = VAR14 ? VAR12 : VAR7; default: VAR22 = VAR12; endcase end assign VAR20 = (state == VAR12 && VAR11) ? VAR21 : VAR4, VAR3 = (state == VAR30 && VAR11) ? VAR21 : VAR25; always @(posedge clk or negedge VAR31) begin if(~VAR31) begin state <= 0; VAR4 <= 0; VAR25 <= 0; end else begin state <= VAR22; VAR4 <= VAR20; VAR25 <= VAR3; end end assign ready = (state == VAR7); endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/dffnsnq/gf180mcu_fd_sc_mcu7t5v0__dffnsnq_4.behavioral.v
3,781
module MODULE1( VAR30, VAR27, VAR6, VAR31 ); input VAR30, VAR27, VAR6; output VAR31; reg VAR2; VAR21 VAR26(.VAR30(VAR30),.VAR27(VAR27),.VAR6(VAR6),.VAR31(VAR31),.VAR2(VAR2)); VAR21 VAR17(.VAR30(VAR30),.VAR27(VAR27),.VAR6(VAR6),.VAR31(VAR31),.VAR2(VAR2)); not VAR10(VAR11,VAR27); and VAR16(VAR32,VAR6,VAR11); and VAR9(VAR8,VAR6,VAR27); buf VAR15(VAR13,VAR6); not VAR14(VAR7,VAR30); not VAR25(VAR20,VAR27); and VAR18(VAR28,VAR20,VAR7); not VAR12(VAR5,VAR30); and VAR1(VAR4,VAR27,VAR5); not VAR22(VAR29,VAR27); and VAR24(VAR19,VAR29,VAR30); and VAR23(VAR3,VAR27,VAR30);
apache-2.0
trivoldus28/pulsarch-verilog
design/sys/iop/jbi/jbi_ncio/rtl/jbi_ncio_mto_ctl.v
19,174
module MODULE1( VAR18, clk, VAR20, VAR12, VAR6, VAR27, VAR4, VAR9, VAR43, VAR53 ); input clk; input VAR20; input [31:0] VAR12; input VAR6; output [31:0] VAR18; input VAR27; input VAR4; input [VAR8-1:0] VAR9; input VAR43; input [VAR10:VAR51] VAR53; wire [31:0] VAR18; wire [31:0] VAR1; wire [31:0] VAR28; wire VAR31; wire VAR46; wire [31:0] VAR52; wire [31:0] VAR14; assign VAR28 = VAR1 + 1'b1; assign VAR31 = VAR20 & VAR6 & ~VAR46; assign VAR46 = VAR1 == VAR12; VAR24 #(32) VAR30 (.din(VAR28), .clk(clk), .VAR20(VAR31), .VAR26(VAR1) ); assign VAR14[0] = VAR43 & VAR53[VAR10:VAR51] == 5'd0; assign VAR14[1] = VAR43 & VAR53[VAR10:VAR51] == 5'd1; assign VAR14[2] = VAR43 & VAR53[VAR10:VAR51] == 5'd2; assign VAR14[3] = VAR43 & VAR53[VAR10:VAR51] == 5'd3; assign VAR14[4] = VAR43 & VAR53[VAR10:VAR51] == 5'd4; assign VAR14[5] = VAR43 & VAR53[VAR10:VAR51] == 5'd5; assign VAR14[6] = VAR43 & VAR53[VAR10:VAR51] == 5'd6; assign VAR14[7] = VAR43 & VAR53[VAR10:VAR51] == 5'd7; assign VAR14[8] = VAR43 & VAR53[VAR10:VAR51] == 5'd8; assign VAR14[9] = VAR43 & VAR53[VAR10:VAR51] == 5'd9; assign VAR14[10] = VAR43 & VAR53[VAR10:VAR51] == 5'd10; assign VAR14[11] = VAR43 & VAR53[VAR10:VAR51] == 5'd11; assign VAR14[12] = VAR43 & VAR53[VAR10:VAR51] == 5'd12; assign VAR14[13] = VAR43 & VAR53[VAR10:VAR51] == 5'd13; assign VAR14[14] = VAR43 & VAR53[VAR10:VAR51] == 5'd14; assign VAR14[15] = VAR43 & VAR53[VAR10:VAR51] == 5'd15; assign VAR14[16] = VAR43 & VAR53[VAR10:VAR51] == 5'd16; assign VAR14[17] = VAR43 & VAR53[VAR10:VAR51] == 5'd17; assign VAR14[18] = VAR43 & VAR53[VAR10:VAR51] == 5'd18; assign VAR14[19] = VAR43 & VAR53[VAR10:VAR51] == 5'd19; assign VAR14[20] = VAR43 & VAR53[VAR10:VAR51] == 5'd20; assign VAR14[21] = VAR43 & VAR53[VAR10:VAR51] == 5'd21; assign VAR14[22] = VAR43 & VAR53[VAR10:VAR51] == 5'd22; assign VAR14[23] = VAR43 & VAR53[VAR10:VAR51] == 5'd23; assign VAR14[24] = VAR43 & VAR53[VAR10:VAR51] == 5'd24; assign VAR14[25] = VAR43 & VAR53[VAR10:VAR51] == 5'd25; assign VAR14[26] = VAR43 & VAR53[VAR10:VAR51] == 5'd26; assign VAR14[27] = VAR43 & VAR53[VAR10:VAR51] == 5'd27; assign VAR14[28] = VAR43 & VAR53[VAR10:VAR51] == 5'd28; assign VAR14[29] = VAR43 & VAR53[VAR10:VAR51] == 5'd29; assign VAR14[30] = VAR43 & VAR53[VAR10:VAR51] == 5'd30; assign VAR14[31] = VAR43 & VAR53[VAR10:VAR51] == 5'd31; assign VAR52[0] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd0); assign VAR52[1] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd1); assign VAR52[2] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd2); assign VAR52[3] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd3); assign VAR52[4] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd4); assign VAR52[5] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd5); assign VAR52[6] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd6); assign VAR52[7] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd7); assign VAR52[8] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd8); assign VAR52[9] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd9); assign VAR52[10] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd10); assign VAR52[11] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd11); assign VAR52[12] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd12); assign VAR52[13] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd13); assign VAR52[14] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd14); assign VAR52[15] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd15); assign VAR52[16] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd16); assign VAR52[17] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd17); assign VAR52[18] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd18); assign VAR52[19] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd19); assign VAR52[20] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd20); assign VAR52[21] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd21); assign VAR52[22] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd22); assign VAR52[23] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd23); assign VAR52[24] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd24); assign VAR52[25] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd25); assign VAR52[26] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd26); assign VAR52[27] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd27); assign VAR52[28] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd28); assign VAR52[29] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd29); assign VAR52[30] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd30); assign VAR52[31] = VAR20 & ~(VAR27 & VAR4 & VAR9 == 5'd31); VAR11 VAR55 ( .VAR17(VAR18[0]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[0]), .VAR14(VAR14[0])); VAR11 VAR42 ( .VAR17(VAR18[1]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[1]), .VAR14(VAR14[1])); VAR11 VAR41 ( .VAR17(VAR18[2]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[2]), .VAR14(VAR14[2])); VAR11 VAR22 ( .VAR17(VAR18[3]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[3]), .VAR14(VAR14[3])); VAR11 VAR23 ( .VAR17(VAR18[4]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[4]), .VAR14(VAR14[4])); VAR11 VAR34 ( .VAR17(VAR18[5]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[5]), .VAR14(VAR14[5])); VAR11 VAR45 ( .VAR17(VAR18[6]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[6]), .VAR14(VAR14[6])); VAR11 VAR5 ( .VAR17(VAR18[7]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[7]), .VAR14(VAR14[7])); VAR11 VAR16 ( .VAR17(VAR18[8]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[8]), .VAR14(VAR14[8])); VAR11 VAR13 ( .VAR17(VAR18[9]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[9]), .VAR14(VAR14[9])); VAR11 VAR54 ( .VAR17(VAR18[10]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[10]), .VAR14(VAR14[10])); VAR11 VAR19 ( .VAR17(VAR18[11]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[11]), .VAR14(VAR14[11])); VAR11 VAR44 ( .VAR17(VAR18[12]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[12]), .VAR14(VAR14[12])); VAR11 VAR40 ( .VAR17(VAR18[13]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[13]), .VAR14(VAR14[13])); VAR11 VAR15 ( .VAR17(VAR18[14]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[14]), .VAR14(VAR14[14])); VAR11 VAR3 ( .VAR17(VAR18[15]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[15]), .VAR14(VAR14[15])); VAR11 VAR37 ( .VAR17(VAR18[16]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[16]), .VAR14(VAR14[16])); VAR11 VAR39 ( .VAR17(VAR18[17]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[17]), .VAR14(VAR14[17])); VAR11 VAR29 ( .VAR17(VAR18[18]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[18]), .VAR14(VAR14[18])); VAR11 VAR21 ( .VAR17(VAR18[19]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[19]), .VAR14(VAR14[19])); VAR11 VAR38 ( .VAR17(VAR18[20]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[20]), .VAR14(VAR14[20])); VAR11 VAR25 ( .VAR17(VAR18[21]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[21]), .VAR14(VAR14[21])); VAR11 VAR33 ( .VAR17(VAR18[22]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[22]), .VAR14(VAR14[22])); VAR11 VAR7 ( .VAR17(VAR18[23]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[23]), .VAR14(VAR14[23])); VAR11 VAR47 ( .VAR17(VAR18[24]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[24]), .VAR14(VAR14[24])); VAR11 VAR2 ( .VAR17(VAR18[25]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[25]), .VAR14(VAR14[25])); VAR11 VAR50 ( .VAR17(VAR18[26]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[26]), .VAR14(VAR14[26])); VAR11 VAR49 ( .VAR17(VAR18[27]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[27]), .VAR14(VAR14[27])); VAR11 VAR36 ( .VAR17(VAR18[28]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[28]), .VAR14(VAR14[28])); VAR11 VAR35 ( .VAR17(VAR18[29]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[29]), .VAR14(VAR14[29])); VAR11 VAR48 ( .VAR17(VAR18[30]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[30]), .VAR14(VAR14[30])); VAR11 VAR32 ( .VAR17(VAR18[31]), .clk (clk), .VAR46(VAR46), .VAR52(VAR52[31]), .VAR14(VAR14[31])); endmodule
gpl-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/oai33/gf180mcu_fd_sc_mcu9t5v0__oai33_2.functional.pp.v
1,864
module MODULE1( VAR7, VAR10, VAR4, VAR2, VAR18, VAR3, VAR6, VAR21, VAR24 ); input VAR6, VAR3, VAR18, VAR4, VAR10, VAR7; inout VAR21, VAR24; output VAR2; wire VAR19; not VAR26( VAR19, VAR6 ); wire VAR1; not VAR5( VAR1, VAR3 ); wire VAR23; not VAR12( VAR23, VAR18 ); wire VAR17; and VAR9( VAR17, VAR19, VAR1, VAR23 ); wire VAR11; not VAR25( VAR11, VAR4 ); wire VAR16; not VAR8( VAR16, VAR10 ); wire VAR22; not VAR15( VAR22, VAR7 ); wire VAR14; and VAR13( VAR14, VAR11, VAR16, VAR22 ); or VAR20( VAR2, VAR17, VAR14 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/inv/gf180mcu_fd_sc_mcu9t5v0__inv_16.behavioral.pp.v
1,172
module MODULE1( VAR3, VAR7, VAR4, VAR6 ); input VAR3; inout VAR4, VAR6; output VAR7; VAR2 VAR5(.VAR3(VAR3),.VAR7(VAR7),.VAR4(VAR4),.VAR6(VAR6)); VAR2 VAR1(.VAR3(VAR3),.VAR7(VAR7),.VAR4(VAR4),.VAR6(VAR6));
apache-2.0
Jawanga/ece385lab9
lab9_soc/synthesis/submodules/lab9_soc_nios2_qsys_0_jtag_debug_module_sysclk.v
7,219
module MODULE1 ( clk, VAR5, VAR28, VAR6, VAR13, VAR30, VAR29, VAR32, VAR1, VAR17, VAR24, VAR18, VAR12, VAR3, VAR23, VAR33, VAR8, VAR14, VAR26 ) ; output [ 37: 0] VAR30; output VAR29; output VAR32; output VAR1; output VAR17; output VAR24; output VAR18; output VAR12; output VAR3; output VAR23; output VAR33; output VAR8; output VAR14; output VAR26; input clk; input [ 1: 0] VAR5; input [ 37: 0] VAR28; input VAR6; input VAR13; reg VAR11 ; reg [ 1: 0] VAR10 ; reg [ 37: 0] VAR30 ; reg VAR27 ; reg VAR21 ; reg VAR16 ; wire VAR7; wire VAR2; wire VAR29; wire VAR32; wire VAR1; wire VAR17; wire VAR24; wire VAR18; wire VAR12; wire VAR3; wire VAR23; wire VAR33; wire VAR8; wire VAR14; wire VAR26; wire VAR15; wire VAR25; reg VAR4 ; assign VAR15 = 1'b1; VAR20 VAR31 ( .clk (clk), .din (VAR6), .dout (VAR7), .VAR22 (VAR15) ); assign VAR25 = 1'b1; VAR20 VAR9 ( .clk (clk), .din (VAR13), .dout (VAR2), .VAR22 (VAR25) ); always @(posedge clk) begin VAR21 <= VAR7; VAR4 <= VAR7 & ~VAR21; VAR11 <= VAR4; VAR16 <= VAR2; VAR27 <= VAR2 & ~VAR16; end assign VAR17 = VAR11 && (VAR10 == 2'b00) && ~VAR30[35] && VAR30[34]; assign VAR14 = VAR11 && (VAR10 == 2'b00) && ~VAR30[35] && ~VAR30[34]; assign VAR24 = VAR11 && (VAR10 == 2'b00) && VAR30[35]; assign VAR12 = VAR11 && (VAR10 == 2'b01) && ~VAR30[37] && VAR30[36]; assign VAR26 = VAR11 && (VAR10 == 2'b01) && ~VAR30[37] && ~VAR30[36]; assign VAR3 = VAR11 && (VAR10 == 2'b01) && VAR30[37]; assign VAR29 = VAR11 && (VAR10 == 2'b10) && ~VAR30[36] && VAR30[37]; assign VAR23 = VAR11 && (VAR10 == 2'b10) && ~VAR30[36] && ~VAR30[37]; assign VAR32 = VAR11 && (VAR10 == 2'b10) && VAR30[36] && ~VAR30[35] && VAR30[37]; assign VAR33 = VAR11 && (VAR10 == 2'b10) && VAR30[36] && ~VAR30[35] && ~VAR30[37]; assign VAR1 = VAR11 && (VAR10 == 2'b10) && VAR30[36] && VAR30[35] && VAR30[37]; assign VAR8 = VAR11 && (VAR10 == 2'b10) && VAR30[36] && VAR30[35] && ~VAR30[37]; assign VAR18 = VAR11 && (VAR10 == 2'b11) && VAR30[15]; always @(posedge clk) begin if (VAR27) VAR10 <= VAR5; if (VAR4) VAR30 <= VAR28; end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfstp/sky130_fd_sc_ls__dfstp.pp.blackbox.v
1,335
module MODULE1 ( VAR5 , VAR3 , VAR2 , VAR8, VAR1 , VAR4 , VAR6 , VAR7 ); output VAR5 ; input VAR3 ; input VAR2 ; input VAR8; input VAR1 ; input VAR4 ; input VAR6 ; input VAR7 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/and2b/sky130_fd_sc_ls__and2b_4.v
2,136
module MODULE2 ( VAR1 , VAR7 , VAR4 , VAR6, VAR5, VAR3 , VAR2 ); output VAR1 ; input VAR7 ; input VAR4 ; input VAR6; input VAR5; input VAR3 ; input VAR2 ; VAR9 VAR8 ( .VAR1(VAR1), .VAR7(VAR7), .VAR4(VAR4), .VAR6(VAR6), .VAR5(VAR5), .VAR3(VAR3), .VAR2(VAR2) ); endmodule module MODULE2 ( VAR1 , VAR7, VAR4 ); output VAR1 ; input VAR7; input VAR4 ; supply1 VAR6; supply0 VAR5; supply1 VAR3 ; supply0 VAR2 ; VAR9 VAR8 ( .VAR1(VAR1), .VAR7(VAR7), .VAR4(VAR4) ); endmodule
apache-2.0
AmeerAbdelhadi/Indirectly-Indexed-2D-Binary-Content-Addressable-Memory-BCAM
pe_bhv.v
4,068
module MODULE1 always @(*) begin VAR1 = {VAR3(VAR4){1'b0}}; VAR2 = VAR5[VAR1] ; while ((!VAR2) && (VAR1!=(VAR4-1))) begin VAR1 = VAR1 + 1 ; VAR2 = VAR5[VAR1]; end end endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/clkdlyinv3sd2/sky130_fd_sc_ls__clkdlyinv3sd2.pp.symbol.v
1,357
module MODULE1 ( input VAR5 , output VAR3 , input VAR4 , input VAR1, input VAR6, input VAR2 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/a22oi/sky130_fd_sc_hvl__a22oi.symbol.v
1,375
module MODULE1 ( input VAR5, input VAR6, input VAR4, input VAR2, output VAR9 ); supply1 VAR7; supply0 VAR3; supply1 VAR1 ; supply0 VAR8 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/einvn/sky130_fd_sc_hs__einvn.behavioral.pp.v
1,775
module MODULE1 ( VAR11, VAR10, VAR5 , VAR7 , VAR6 ); input VAR11; input VAR10; output VAR5 ; input VAR7 ; input VAR6; wire VAR9 ; wire VAR3; VAR4 VAR1 (VAR9 , VAR7, VAR11, VAR10 ); VAR4 VAR8 (VAR3, VAR6, VAR11, VAR10 ); notif0 VAR2 (VAR5 , VAR9, VAR3); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfxbp/sky130_fd_sc_hs__sdfxbp.pp.blackbox.v
1,345
module MODULE1 ( VAR3 , VAR6 , VAR5 , VAR4 , VAR2 , VAR8 , VAR7, VAR1 ); input VAR3 ; input VAR6 ; output VAR5 ; output VAR4 ; input VAR2 ; input VAR8 ; input VAR7; input VAR1; endmodule
apache-2.0
peteasa/parallella-fpga
AdaptevaLib/src/eproto_tx/hdl/eproto_tx.v
3,946
module MODULE1 ( VAR10, VAR2, VAR9, VAR8, VAR16, reset, VAR5, VAR1, VAR6, VAR14, VAR13, VAR4, VAR11, VAR12, VAR3, VAR7 ); input reset; input VAR5; input VAR1; input [1:0] VAR6; input [3:0] VAR14; input [31:0] VAR13; input [31:0] VAR4; input [31:0] VAR11; output VAR10; output VAR2; output VAR9; input VAR12; output [7:0] VAR8; output [63:0] VAR16; input VAR3; input VAR7; reg VAR9; reg [7:0] VAR8; reg [63:0] VAR16; always @( posedge VAR12 or posedge reset ) begin if( reset ) begin VAR9 <= 1'b0; VAR8 <= 'd0; VAR16 <= 'd0; end else begin if( VAR5 & ~VAR9 ) begin VAR9 <= 1'b1; VAR8 <= 8'h3F; VAR16 <= { 8'd0, 8'd0, ~VAR1, 7'd0, VAR14, VAR13[31:28], VAR13[27:4], VAR13[3:0], VAR6, VAR1, VAR5 }; end else if( VAR9 ) begin VAR9 <= 1'b0; VAR8 <= 8'hFF; VAR16 <= { VAR11, VAR4 }; end else begin VAR9 <= 1'b0; VAR8 <= 8'h00; VAR16 <= 64'd0; end end end reg VAR17; reg VAR15; reg VAR10; reg VAR2; always @( posedge VAR12 ) begin VAR17 <= VAR3; VAR10 <= VAR17; VAR15 <= VAR7; VAR2 <= VAR15; end endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfbbn/sky130_fd_sc_ms__sdfbbn.blackbox.v
1,528
module MODULE1 ( VAR8 , VAR1 , VAR6 , VAR3 , VAR7 , VAR10 , VAR5 , VAR9 ); output VAR8 ; output VAR1 ; input VAR6 ; input VAR3 ; input VAR7 ; input VAR10 ; input VAR5 ; input VAR9; supply1 VAR12; supply0 VAR2; supply1 VAR11 ; supply0 VAR4 ; endmodule
apache-2.0
nikhilghanathe/HLS-for-EMTF
verilog/sp_mux_4to1_sel2_4_1.v
1,201
module MODULE1 #( parameter VAR12 = 0, VAR9 = 1, VAR10 = 32, VAR4 = 32, VAR8 = 32, VAR11 = 32, VAR13 = 32, VAR16 = 32 )( input [3 : 0] VAR7, input [3 : 0] VAR5, input [3 : 0] VAR1, input [3 : 0] VAR3, input [1 : 0] VAR14, output [3 : 0] dout); wire [1 : 0] sel; wire [3 : 0] VAR15; wire [3 : 0] VAR2; wire [3 : 0] VAR6; assign sel = VAR14; assign VAR15 = (sel[0] == 0)? VAR7 : VAR5; assign VAR2 = (sel[0] == 0)? VAR1 : VAR3; assign VAR6 = (sel[1] == 0)? VAR15 : VAR2; assign dout = VAR6; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nand2/sky130_fd_sc_hd__nand2.functional.pp.v
1,792
module MODULE1 ( VAR13 , VAR8 , VAR3 , VAR10, VAR9, VAR5 , VAR1 ); output VAR13 ; input VAR8 ; input VAR3 ; input VAR10; input VAR9; input VAR5 ; input VAR1 ; wire VAR12 ; wire VAR4; nand VAR11 (VAR12 , VAR3, VAR8 ); VAR7 VAR2 (VAR4, VAR12, VAR10, VAR9); buf VAR6 (VAR13 , VAR4 ); endmodule
apache-2.0
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/v_axi4s_vid_out_v3_0/49ac95ae/hdl/verilog/v_axi4s_vid_out_v3_0_axi4s_vid_out_top.v
8,046
module MODULE1 parameter VAR13 = 8, parameter VAR70 = 2, parameter VAR2 = 24, parameter VAR32 = 24, parameter VAR30 = 10, parameter VAR6 = 12, parameter VAR16 = 3, parameter VAR52 = 0 ) ( input wire VAR11, input wire rst, input wire VAR60, input wire VAR54, input wire [VAR32-1:0] VAR17 , input wire VAR57, output wire VAR15, input wire VAR36 , input wire VAR10 , input wire VAR25, input wire VAR74 , input wire VAR45, output wire VAR51 , output wire VAR65 , output wire VAR18 , output wire VAR1 , output wire VAR9 , output wire VAR66 , output wire [VAR2-1:0] VAR79, input wire VAR44, input wire VAR58, input wire VAR80, input wire VAR62, input wire VAR59, input wire VAR19, output wire VAR27, output wire VAR3, output wire VAR38, output wire VAR47 ); wire [VAR2 -1:0] VAR23; wire VAR69; wire VAR73; wire VAR63; wire [VAR30 -1:0] VAR41; wire VAR64; wire VAR28; VAR50 #( .VAR76 (VAR2), .VAR30 (VAR30), .VAR16 (VAR16) ) VAR34 ( .VAR56 (VAR74), .rst (rst), .VAR82 (VAR45), .VAR26 (VAR26), .VAR11 (VAR11), .VAR60 (VAR60), .VAR54 (VAR54), .VAR46 ({VAR25,VAR36,VAR10, VAR17[VAR2-1:0]}), .valid (VAR57), .ready (VAR15), .VAR22 (VAR23), .VAR40 (VAR69), .VAR43 (VAR73), .VAR25 (VAR63), .VAR72 (VAR28), .VAR7 (), .VAR37 (VAR41), .VAR38 (VAR38), .VAR68 (VAR47), .VAR47 (VAR64), .VAR3 (VAR3) ); VAR35 #( .VAR30 (VAR30), .VAR6 (VAR6), .VAR52 (VAR52) ) VAR20 ( .VAR56 (VAR74), .rst (rst ), .VAR82 (VAR45), .VAR73 (VAR73), .VAR69 (VAR69), .VAR63 (VAR63), .VAR21 (VAR41), .VAR47 (VAR64), .VAR68 (VAR47), .VAR38 (VAR38), .VAR71 (VAR28), .VAR26 (VAR26), .VAR4 (VAR44 ), .VAR81 (VAR58 ), .VAR42 (VAR19), .VAR48 (VAR59), .VAR55 (VAR27), .VAR3 (VAR3) ); VAR78 #( .VAR76 (VAR2) ) VAR8 ( .VAR56 (VAR74), .rst (VAR26), .VAR82 (VAR45), .VAR29 (VAR23), .VAR39 (VAR44 ), .VAR75 (VAR58 ), .VAR24 (VAR80), .VAR67 (VAR62), .VAR53 (VAR59), .VAR5 (VAR19), .VAR3 (VAR3), .VAR28 (VAR28), .VAR31 (VAR51 ), .VAR77 (VAR65), .VAR14 (VAR18), .VAR61 (VAR1), .VAR49 (VAR9), .VAR12 (VAR66), .VAR33 (VAR79 ) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nor2/sky130_fd_sc_hd__nor2.behavioral.pp.v
1,783
module MODULE1 ( VAR11 , VAR6 , VAR13 , VAR4, VAR9, VAR5 , VAR12 ); output VAR11 ; input VAR6 ; input VAR13 ; input VAR4; input VAR9; input VAR5 ; input VAR12 ; wire VAR7 ; wire VAR3; nor VAR1 (VAR7 , VAR6, VAR13 ); VAR8 VAR2 (VAR3, VAR7, VAR4, VAR9); buf VAR10 (VAR11 , VAR3 ); endmodule
apache-2.0
zeruniverse/pipelined_CPU
ISE project/pbdebounce.v
1,058
module MODULE2 (input wire clk, input wire VAR1, output reg VAR2); reg [7:0] VAR5; wire VAR6; MODULE1 MODULE1(clk, VAR6); always@(posedge VAR6) begin VAR5=VAR5<<1; VAR5[0]=VAR1; if (VAR5==0) VAR2=0; if (VAR5==8'hFF) VAR2=1; end endmodule module MODULE1 (input wire clk, output reg VAR6); reg [15:0] VAR4;
gpl-3.0
seyedmaysamlavasani/GorillaPP
chisel/KmeansAndMesh/emulator/Offloaded-myharness.v
2,334
module MODULE1; reg [0:0] VAR17; reg [31:0] VAR16; reg [0:0] VAR12; reg [0:0] VAR2; reg [0:0] VAR15; reg [15:0] VAR13; reg [7:0] VAR18; reg [15:0] VAR14; reg [3:0] VAR7; wire [0:0] VAR4; wire [0:0] VAR3; wire [31:0] VAR1; wire [0:0] VAR8; wire [0:0] VAR9; wire [15:0] VAR11; wire [7:0] VAR10; wire [15:0] VAR5; wire [3:0] VAR6; reg clk = 0; reg reset = 1;
bsd-3-clause
kylemsguy/FPGA-Litecoin-Miner
ICARUS-LX150/pwm_fade.v
1,637
module MODULE1 (clk, VAR4, VAR6); input VAR4; input clk; output VAR6; parameter VAR7 = 8; parameter VAR2 = 1; reg [VAR7-1:0] VAR1 = 0; always @(posedge clk) VAR1 = VAR1 + 1; reg [VAR5-1:0] VAR8 = 0; always @(posedge clk) if (VAR4) VAR8 = 0 - 1; else if (|VAR8) VAR8 = VAR8 - 1; wire [VAR7-1:0] VAR3; assign VAR3 = VAR8[VAR5-1:VAR5-VAR7]; assign VAR6 = (VAR1 < VAR3); endmodule
gpl-3.0
jeremysalwen/combinatorial_aes
rtl/aes_128.v
2,492
module MODULE1(state, VAR19, out); input [127:0] state, VAR19; output [127:0] out; reg [127:0] VAR12, VAR37; wire [127:0] VAR5, VAR30, VAR10, VAR21, VAR26, VAR32, VAR6, VAR24, VAR43, VAR22, VAR35, VAR36, VAR11, VAR28, VAR20, VAR1, VAR4, VAR16, VAR34, VAR15, VAR18, VAR13, VAR40, VAR25, VAR8, VAR2, VAR39, VAR42; always @ {VAR14, VAR3, VAR41, VAR17} <= {VAR23, VAR29, VAR9, VAR44}; VAR7 VAR33 ({VAR36[23:0], VAR36[31:24]}, VAR31); assign VAR34 = VAR14 ^ VAR31; assign VAR15 = VAR3 ^ VAR31; assign VAR18 = VAR41 ^ VAR31; assign VAR13 = VAR17 ^ VAR31; always @ (*) VAR38 <= {VAR34, VAR15, VAR18, VAR13}; assign VAR27 = {VAR34, VAR15, VAR18, VAR13}; endmodule
apache-2.0
GustavoOS/ARMAria
src/IOmodule/DeBounce.v
2,626
module MODULE1 ( input clk, VAR1, output VAR3 ); assign VAR3 = ~VAR6; reg VAR6; parameter VAR10 = 11 ; reg [VAR10-1 : 0] VAR8; reg [VAR10-1 : 0] VAR7; reg VAR2, VAR5; wire VAR11; wire VAR4, VAR9; assign VAR9 =1'b1; assign VAR4 = (VAR2 ^ VAR5); assign VAR11 = ~(VAR8[VAR10-1]); always @ ( VAR4, VAR11, VAR8) begin case( {VAR4 , VAR11}) 2'b00 : VAR7 <= VAR8; 2'b01 : VAR7 <= VAR8 + 1; default : VAR7 <= { VAR10 {1'b0} }; endcase end always @ ( posedge clk ) begin if(VAR9 == 1'b0) begin VAR2 <= 1'b0; VAR5 <= 1'b0; VAR8 <= { VAR10 {1'b0} }; end else begin VAR2 <= VAR1; VAR5 <= VAR2; VAR8 <= VAR7; end end always @ ( posedge clk ) begin if(VAR8[VAR10-1] == 1'b1) VAR6 <= VAR5; end else VAR6 <= VAR6; end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
models/udp_dff_nsr/sky130_fd_sc_hd__udp_dff_nsr.blackbox.v
1,345
module MODULE1 ( VAR5 , VAR3 , VAR2, VAR1, VAR4 ); output VAR5 ; input VAR3 ; input VAR2; input VAR1; input VAR4 ; endmodule
apache-2.0
BilkentCompGen/GateKeeper
FPGA_Application_v2/VC709_Gen3x4If128/GateKeeper.srcs/sources_1/ip/pcie3_7x_0/pcie3_7x_0_stub.v
7,541
module MODULE1(VAR35, VAR14, VAR28, VAR76, VAR68, VAR45, VAR16, VAR64, VAR80, VAR70, VAR74, VAR20, VAR59, VAR49, VAR7, VAR36, VAR48, VAR81, VAR51, VAR73, VAR8, VAR47, VAR41, VAR63, VAR58, VAR22, VAR55, VAR61, VAR18, VAR15, VAR78, VAR50, VAR26, VAR54, VAR85, VAR33, VAR89, VAR19, VAR23, VAR21, VAR66, VAR75, VAR39, VAR86, VAR60, VAR56, VAR12, VAR24, VAR40, VAR42, VAR25, VAR10, VAR53, VAR72, VAR38, VAR1, VAR3, VAR6, VAR69, VAR65, VAR2, VAR30, VAR46, VAR37, VAR17, VAR84, VAR4, VAR11, VAR44, VAR71, VAR57, VAR13, VAR88, VAR83, VAR31, VAR79, VAR43, VAR77, VAR34, VAR82, VAR62, VAR29, VAR32, VAR87, VAR9, VAR52, VAR5, VAR67, VAR27) ; output [3:0]VAR35; output [3:0]VAR14; input [3:0]VAR28; input [3:0]VAR76; output VAR68; output VAR45; output VAR16; output VAR64; input VAR80; input [127:0]VAR70; input [59:0]VAR74; input [3:0]VAR20; output [3:0]VAR59; input VAR49; output [127:0]VAR7; output [74:0]VAR36; output VAR48; output [3:0]VAR81; output VAR51; input VAR73; output [127:0]VAR8; output [84:0]VAR47; output VAR41; output [3:0]VAR63; output VAR58; input VAR22; input [127:0]VAR55; input [32:0]VAR61; input VAR18; input [3:0]VAR15; input VAR78; output [3:0]VAR50; output [3:0]VAR26; output VAR54; output [5:0]VAR85; output VAR33; input VAR89; output [5:0]VAR19; output VAR23; output [1:0]VAR21; output [3:0]VAR66; output [2:0]VAR75; output [2:0]VAR39; output [2:0]VAR86; output [7:0]VAR60; output [5:0]VAR56; output [11:0]VAR12; output [17:0]VAR24; output [1:0]VAR40; output VAR42; output VAR25; output VAR10; output VAR53; output [5:0]VAR72; output [1:0]VAR38; output [1:0]VAR1; output [1:0]VAR3; output VAR6; output [1:0]VAR69; output [5:0]VAR65; output [5:0]VAR2; output [17:0]VAR30; output [7:0]VAR46; output [11:0]VAR37; output [7:0]VAR17; output [11:0]VAR84; output [7:0]VAR4; output [11:0]VAR11; input [2:0]VAR44; input [3:0]VAR71; input [1:0]VAR57; output VAR13; output [1:0]VAR88; output [5:0]VAR83; output [5:0]VAR31; output VAR79; output [31:0]VAR43; input [3:0]VAR77; input [31:0]VAR34; input [63:0]VAR82; output VAR62; output VAR29; input [2:0]VAR32; input VAR87; input [1:0]VAR9; input [8:0]VAR52; input [2:0]VAR5; input VAR67; input VAR27; endmodule
gpl-3.0
ainterr/mips_processor
inst_decoder.v
3,135
module MODULE1( input [15:0] VAR12, output reg [3:0] VAR9, output reg [1:0] VAR7, output reg [1:0] VAR3, output reg [1:0] VAR5, output reg [7:0] VAR2, output reg VAR8, output reg VAR10, output reg VAR11, output reg VAR13, output reg [2:0] VAR1, output reg VAR4, output reg VAR6 ); always @(VAR12) begin VAR9 = VAR12[15:12]; VAR7 = VAR12[11:10]; VAR3 = VAR12[9:8]; VAR5 = VAR12[7:6]; VAR2 = VAR12[7:0]; case (VAR12[15:12]) 0: begin VAR8 = 0; VAR10 = 1; VAR11 = 0; VAR13 = 1; VAR1 = 0; VAR4 = 0; VAR6 = 1; end 1: begin VAR8 = 0; VAR10 = 0; VAR11 = 0; VAR13 = 1; VAR1 = 0; VAR4 = 1; VAR6 = 0; end 2: begin VAR8 = 1; VAR10 = 1; VAR11 = 0; VAR13 = 0; VAR1 = 0; VAR4 = 0; VAR6 = 0; end 3: begin VAR8 = 0; VAR10 = 1; VAR11 = 0; VAR13 = 1; VAR1 = 0; VAR4 = 0; VAR6 = 0; end 4: begin VAR8 = 1; VAR10 = 1; VAR11 = 0; VAR13 = 0; VAR1 = 1; VAR4 = 0; VAR6 = 0; end 5: begin VAR8 = 1; VAR10 = 1; VAR11 = 0; VAR13 = 0; VAR1 = 2; VAR4 = 0; VAR6 = 0; end 6: begin VAR8 = 0; VAR10 = 1; VAR11 = 0; VAR13 = 1; VAR1 = 2; VAR4 = 0; VAR6 = 0; end 7: begin VAR8 = 1; VAR10 = 1; VAR11 = 0; VAR13 = 0; VAR1 = 3; VAR4 = 0; VAR6 = 0; end 8: begin VAR8 = 0; VAR10 = 1; VAR11 = 0; VAR13 = 0; VAR1 = 3; VAR4 = 0; VAR6 = 0; end 9: begin VAR8 = 0; VAR10 = 1; VAR11 = 0; VAR13 = 1; VAR1 = 4; VAR4 = 0; VAR6 = 0; end 10: begin VAR8 = 0; VAR10 = 1; VAR11 = 0; VAR13 = 1; VAR1 = 5; VAR4 = 0; VAR6 = 0; end 11: begin VAR8 = 0; VAR10 = 0; VAR11 = 0; VAR13 = 0; VAR1 = 6; VAR4 = 0; VAR6 = 0; end 12: begin VAR8 = 0; VAR10 = 0; VAR11 = 0; VAR13 = 0; VAR1 = 7; VAR4 = 0; VAR6 = 0; end 13: begin VAR8 = 1; VAR10 = 1; VAR11 = 1; VAR13 = 0; VAR1 = 2; VAR4 = 0; VAR6 = 0; end default begin VAR8 = 0; VAR10 = 0; VAR11 = 0; VAR13 = 0; VAR1 = 0; VAR4 = 0; VAR6 = 0; end endcase end endmodule
mit
ThomasLee969/verilog-homework
big_homework/cpu/Control.v
1,906
module MODULE1(VAR11, VAR9, VAR10, VAR6, VAR8, VAR1, VAR12, VAR3, VAR4, VAR2, VAR13, VAR5, VAR14, VAR7); input [5:0] VAR11; input [5:0] VAR9; output [1:0] VAR10; output VAR6; output VAR8; output [1:0] VAR1; output VAR12; output VAR3; output [1:0] VAR4; output VAR2; output VAR13; output VAR5; output VAR14; output [3:0] VAR7; assign VAR10 = (VAR11 == 6'h02 || VAR11 == 6'h03) ? 2'b01 : (VAR11 == 6'h00 && (VAR9 == 6'h08 || VAR9 == 6'h09)) ? 2'b10 : 2'b00; assign VAR6 = (VAR11 == 6'h04) ? 1'b1: 1'b0; assign VAR8 = (VAR11 == 6'h2b || VAR11 == 6'h04 || VAR11 == 6'h02 || VAR11 == 6'h00 && VAR9 == 6'h08) ? 1'b0 : 1'b1; assign VAR1 = (VAR11 == 6'h23 || VAR11 == 6'h0f || VAR11 == 6'h08 || VAR11 == 6'h09 || VAR11 == 6'h0c || VAR11 == 6'h0a || VAR11 == 6'h0b) ? 2'b00 : (VAR11 == 6'h03 || VAR11 == 6'h00 && VAR9 == 6'h09) ? 2'b10 : 2'b01; assign VAR12 = (VAR11 == 6'h23) ? 1'b1 : 1'b0; assign VAR3 = (VAR11 == 6'h2b) ? 1'b1 : 1'b0; assign VAR4 = (VAR11 == 6'h23) ? 2'b01 : (VAR11 == 6'h03 || VAR11 == 6'h00 && VAR9 == 6'h09) ? 2'b10 : 2'b00; assign VAR2 = (VAR11 == 6'h00 && (VAR9 == 6'h00 || VAR9 == 6'h02 || VAR9 == 6'h03)) ? 1'b1 : 1'b0; assign VAR13 = (VAR11 == 6'h23 || VAR11 == 6'h2b || VAR11 == 6'h0f || VAR11 == 6'h08 || VAR11 == 6'h09 || VAR11 == 6'h0c || VAR11 == 6'h0a || VAR11 == 6'h0b) ? 1'b1 : 1'b0; assign VAR5 = (VAR11 == 6'h0b) ? 1'b0 : 1'b1; assign VAR14 = (VAR11 == 6'h0f) ? 1'b1 : 1'b0; assign VAR7[2:0] = (VAR11 == 6'h00)? 3'b010: (VAR11 == 6'h04)? 3'b001: (VAR11 == 6'h0c)? 3'b100: (VAR11 == 6'h0a || VAR11 == 6'h0b)? 3'b101: 3'b000; assign VAR7[3] = VAR11[0]; endmodule
mit
v3best/R7Lite
R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/rd_fifo_256to64.v
13,885
module MODULE1( rst, VAR328, VAR247, din, VAR333, VAR342, dout, VAR201, VAR222, VAR175, VAR12, VAR204 ); input rst; input VAR328; input VAR247; input [255 : 0] din; input VAR333; input VAR342; output [63 : 0] dout; output VAR201; output VAR222; output [11 : 0] VAR175; output [9 : 0] VAR12; output VAR204; VAR217 #( .VAR226(0), .VAR306(0), .VAR258(0), .VAR413(0), .VAR154(0), .VAR81(0), .VAR234(0), .VAR323(32), .VAR365(1), .VAR185(1), .VAR411(1), .VAR377(64), .VAR28(4), .VAR321(1), .VAR39(0), .VAR179(1), .VAR419(64), .VAR347(4), .VAR367(8), .VAR224(4), .VAR274(4), .VAR426(4), .VAR329(0), .VAR425(0), .VAR150(0), .VAR6(10), .VAR106("VAR287"), .VAR36(256), .VAR111(1), .VAR378(32), .VAR410(64), .VAR189(32), .VAR30(64), .VAR405(2), .VAR276("0"), .VAR157(64), .VAR10(0), .VAR263(1), .VAR324(0), .VAR399(0), .VAR24(0), .VAR414(0), .VAR137(0), .VAR271(0), .VAR203(0), .VAR68("VAR373"), .VAR11(1), .VAR93(0), .VAR125(0), .VAR213(0), .VAR58(0), .VAR407(0), .VAR210(0), .VAR356(0), .VAR262(0), .VAR206(0), .VAR91(0), .VAR177(0), .VAR268(0), .VAR153(0), .VAR56(0), .VAR384(1), .VAR385(0), .VAR109(0), .VAR303(0), .VAR340(0), .VAR158(0), .VAR26(0), .VAR364(0), .VAR18(0), .VAR386(0), .VAR233(0), .VAR420(0), .VAR5(0), .VAR73(0), .VAR290(0), .VAR207(0), .VAR9(0), .VAR266(0), .VAR40(0), .VAR301(0), .VAR387(0), .VAR223(1), .VAR409(0), .VAR427(1), .VAR279(0), .VAR242(0), .VAR124(0), .VAR80(0), .VAR332(0), .VAR104(1), .VAR380(0), .VAR283(2), .VAR212(1), .VAR118(1), .VAR98(1), .VAR160(1), .VAR225(1), .VAR115(1), .VAR96(0), .VAR277(0), .VAR335(1), .VAR295("VAR287"), .VAR381(1), .VAR272(0), .VAR127(0), .VAR101(0), .VAR255(1), .VAR270("1kx36"), .VAR69(4), .VAR191(1022), .VAR382(1022), .VAR131(1022), .VAR371(1022), .VAR162(1022), .VAR67(1022), .VAR231(5), .VAR57(0), .VAR89(0), .VAR320(0), .VAR134(0), .VAR64(0), .VAR108(0), .VAR95(0), .VAR170(500), .VAR299(1023), .VAR15(1023), .VAR250(1023), .VAR74(1023), .VAR388(1023), .VAR300(1023), .VAR395(499), .VAR59(1), .VAR227(0), .VAR193(0), .VAR16(0), .VAR390(0), .VAR176(0), .VAR43(0), .VAR141(0), .VAR330(12), .VAR44(4096), .VAR23(1), .VAR281(12), .VAR327(0), .VAR192(0), .VAR47(0), .VAR304(0), .VAR352(0), .VAR339(0), .VAR148(0), .VAR4(2), .VAR357(0), .VAR393(0), .VAR372(0), .VAR29(0), .VAR123(1), .VAR62(0), .VAR394(0), .VAR319(0), .VAR172(0), .VAR309(0), .VAR186(0), .VAR336(0), .VAR14(0), .VAR143(0), .VAR343(0), .VAR87(0), .VAR75(0), .VAR260(0), .VAR408(0), .VAR424(10), .VAR51(1024), .VAR296(1024), .VAR314(16), .VAR79(1024), .VAR54(16), .VAR237(1024), .VAR136(16), .VAR230(1), .VAR355(10), .VAR103(10), .VAR293(4), .VAR313(10), .VAR331(4), .VAR240(10), .VAR232(4), .VAR84(1), .VAR199(0) ) VAR215 ( .VAR334(rst), .VAR402(VAR328), .VAR121(VAR247), .VAR278(din), .VAR178(VAR333), .VAR349(VAR342), .VAR112(dout), .VAR315(VAR201), .VAR245(VAR222), .VAR307(VAR175), .VAR107(VAR12), .VAR117(VAR204), .VAR77(), .VAR113(), .VAR298(), .VAR391(), .VAR78(), .VAR188(), .VAR235(), .VAR269(), .VAR259(), .VAR404(), .VAR275(), .VAR110(), .VAR267(), .VAR248(), .VAR392(), .VAR102(), .VAR338(), .VAR171(), .VAR66(), .VAR429(), .VAR129(), .VAR362(), .VAR376(), .VAR99(), .VAR21(), .VAR13(), .VAR90(), .VAR130(), .VAR166(), .VAR316(), .VAR288(), .VAR417(), .VAR383(), .VAR37(), .VAR133(), .VAR173(), .VAR184(), .VAR284(), .VAR401(), .VAR114(), .VAR400(), .VAR181(), .VAR359(), .VAR183(), .VAR228(), .VAR139(), .VAR294(), .VAR202(), .VAR120(), .VAR318(), .VAR302(), .VAR236(), .VAR65(), .VAR311(), .VAR61(), .VAR122(), .VAR239(), .VAR325(), .VAR200(), .VAR88(), .VAR219(), .VAR60(), .VAR94(), .VAR156(), .VAR159(), .VAR292(), .VAR261(), .VAR53(), .VAR205(), .VAR86(), .VAR363(), .VAR92(), .VAR254(), .VAR85(), .VAR82(), .VAR375(), .VAR360(), .VAR415(), .VAR396(), .VAR238(), .VAR208(), .VAR218(), .VAR273(), .VAR257(), .VAR369(), .VAR229(), .VAR344(), .VAR146(), .VAR22(), .VAR418(), .VAR142(), .VAR149(), .VAR119(), .VAR428(), .VAR19(), .VAR285(), .VAR174(), .VAR366(), .VAR71(), .VAR297(), .VAR280(), .VAR17(), .VAR164(), .VAR422(), .VAR169(), .VAR196(), .VAR165(), .VAR105(), .VAR35(), .VAR252(), .VAR291(), .VAR337(), .VAR33(), .VAR132(), .VAR76(), .VAR34(), .VAR289(), .VAR31(), .VAR7(), .VAR361(), .VAR397(), .VAR286(), .VAR135(), .VAR38(), .VAR312(), .VAR346(), .VAR48(), .VAR216(), .VAR161(), .VAR128(), .VAR310(), .VAR423(), .VAR180(), .VAR116(), .VAR241(), .VAR406(), .VAR305(), .VAR46(), .VAR253(), .VAR2(), .VAR27(), .VAR72(), .VAR168(), .VAR138(), .VAR221(), .VAR317(), .VAR398(), .VAR403(), .VAR370(), .VAR194(), .VAR140(), .VAR374(), .VAR97(), .VAR70(), .VAR209(), .VAR322(), .VAR220(), .VAR126(), .VAR264(), .VAR351(), .VAR55(), .VAR244(), .VAR63(), .VAR249(), .VAR155(), .VAR151(), .VAR20(), .VAR190(), .VAR416(), .VAR32(), .VAR358(), .VAR152(), .VAR214(), .VAR350(), .VAR1(), .VAR198(), .VAR3(), .VAR353(), .VAR25(), .VAR182(), .VAR256(), .VAR8(), .VAR49(), .VAR265(), .VAR167(), .VAR187(), .VAR412(), .VAR42(), .VAR368(), .VAR144(), .VAR251(), .VAR83(), .VAR389(), .VAR282(), .VAR163(), .VAR421(), .VAR345(), .VAR379(), .VAR430(), .VAR243(), .VAR195(), .VAR147(), .VAR197(), .VAR50(), .VAR341(), .VAR246(), .VAR52(), .VAR308(), .VAR211(), .VAR45(), .VAR326(), .VAR348(), .VAR41(), .VAR145(), .VAR100(), .VAR354() ); endmodule
gpl-2.0
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_auto_pc_0/zqynq_lab_1_design_auto_pc_0_stub.v
4,404
module MODULE1(VAR31, VAR21, VAR16, VAR33, VAR20, VAR45, VAR18, VAR46, VAR40, VAR8, VAR39, VAR22, VAR34, VAR51, VAR14, VAR9, VAR17, VAR28, VAR3, VAR24, VAR4, VAR48, VAR43, VAR11, VAR7, VAR41, VAR42, VAR52, VAR1, VAR35, VAR2, VAR10, VAR49, VAR47, VAR50, VAR12, VAR36, VAR55, VAR5, VAR54, VAR19, VAR32, VAR26, VAR27, VAR56, VAR38, VAR23, VAR44, VAR29, VAR25, VAR13, VAR53, VAR37, VAR6, VAR15, VAR30) ; input VAR31; input VAR21; input [31:0]VAR16; input [7:0]VAR33; input [2:0]VAR20; input [1:0]VAR45; input [0:0]VAR18; input [3:0]VAR46; input [2:0]VAR40; input [3:0]VAR8; input [3:0]VAR39; input VAR22; output VAR34; input [31:0]VAR51; input [3:0]VAR14; input VAR9; input VAR17; output VAR28; output [1:0]VAR3; output VAR24; input VAR4; input [31:0]VAR48; input [7:0]VAR43; input [2:0]VAR11; input [1:0]VAR7; input [0:0]VAR41; input [3:0]VAR42; input [2:0]VAR52; input [3:0]VAR1; input [3:0]VAR35; input VAR2; output VAR10; output [31:0]VAR49; output [1:0]VAR47; output VAR50; output VAR12; input VAR36; output [31:0]VAR55; output [2:0]VAR5; output VAR54; input VAR19; output [31:0]VAR32; output [3:0]VAR26; output VAR27; input VAR56; input [1:0]VAR38; input VAR23; output VAR44; output [31:0]VAR29; output [2:0]VAR25; output VAR13; input VAR53; input [31:0]VAR37; input [1:0]VAR6; input VAR15; output VAR30; endmodule
mit
BilkentCompGen/GateKeeper
FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/tx_port_channel_gate_64.v
7,022
module MODULE1 #( parameter VAR21 = 9'd64, parameter VAR6 = 8, parameter VAR33 = VAR21+1 ) ( input VAR35, input VAR36, output [VAR33-1:0] VAR25, output VAR5, input VAR9, input VAR10, input VAR27, output VAR41, input VAR39, input [31:0] VAR29, input [30:0] VAR3, input [VAR21-1:0] VAR38, input VAR16, output VAR8 ); reg [1:0] VAR17=VAR1, VAR17=VAR1; reg VAR19=0, VAR19=0; reg [VAR33-1:0] VAR37=0, VAR37=0; wire VAR20; reg VAR13=0, VAR13=0; reg VAR26=0, VAR26=0; reg [31:0] VAR32=0, VAR32=0; reg [30:0] VAR18=0, VAR18=0; reg VAR15=0, VAR15=0; reg VAR7=0, VAR7=0; reg VAR4=0, VAR4=0; assign VAR41 = VAR15; assign VAR8 = (VAR17[1] & !VAR17[0] & !VAR20); always @ (posedge VAR10) begin VAR13 <= (VAR35 ? 1'd0 : VAR13); VAR26 <= VAR26; VAR32 <= VAR32; VAR18 <= VAR18; end always @ VAR31 #(.VAR28(VAR33), .VAR40(VAR6)) VAR30 ( .VAR34(VAR10), .VAR14(VAR35), .VAR42(VAR19), .VAR22(VAR37), .VAR12(VAR20), .VAR36(VAR36), .VAR23(VAR35), .VAR9(VAR9), .VAR25(VAR25), .VAR5(VAR5) ); always @ (posedge VAR10) begin VAR17 <= (VAR35 ? VAR1 : VAR17); VAR19 <= (VAR35 ? 1'd0 : VAR19); VAR37 <= VAR37; VAR15 <= (VAR35 ? 1'd0 : VAR15); VAR7 <= (VAR35 ? 1'd0 : VAR7); VAR4 <= (VAR35 ? 1'd0 : VAR4); end always @ (*) begin VAR17 = VAR17; VAR19 = VAR19; VAR37 = VAR37; VAR7 = VAR7; VAR15 = VAR15; VAR4 = VAR4; case (VAR17) VAR4 = 0; if (!VAR20) begin VAR15 = VAR13; VAR19 = VAR13; VAR37 = {1'd1, VAR32, VAR18, VAR26}; if (VAR13) VAR17 = VAR24; end end VAR4 = (VAR4 | !VAR13); if (!VAR20) begin if (VAR4 | !VAR13) VAR17 = VAR2; end else VAR17 = VAR11; end end VAR19 = VAR16; VAR37 = {1'd0, VAR38}; end if (!VAR13) VAR17 = VAR2; end VAR7 = 1; VAR19 = 1; VAR37 = {1'd1, {VAR21{1'd0}}}; if (VAR7) VAR17 = VAR1; end end endcase end endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/xnor3/sky130_fd_sc_ls__xnor3.functional.pp.v
1,828
module MODULE1 ( VAR11 , VAR4 , VAR10 , VAR14 , VAR2, VAR13, VAR9 , VAR7 ); output VAR11 ; input VAR4 ; input VAR10 ; input VAR14 ; input VAR2; input VAR13; input VAR9 ; input VAR7 ; wire VAR12 ; wire VAR3; xnor VAR8 (VAR12 , VAR4, VAR10, VAR14 ); VAR1 VAR5 (VAR3, VAR12, VAR2, VAR13); buf VAR6 (VAR11 , VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/clkdlyinv5sd3/sky130_fd_sc_hs__clkdlyinv5sd3.behavioral.pp.v
1,768
module MODULE1 ( VAR9 , VAR6 , VAR3, VAR10 ); output VAR9 ; input VAR6 ; input VAR3; input VAR10; wire VAR5 ; wire VAR8; not VAR2 (VAR5 , VAR6 ); VAR7 VAR4 (VAR8, VAR5, VAR3, VAR10); buf VAR1 (VAR9 , VAR8 ); endmodule
apache-2.0
intelligenttoasters/CPC2.0
FPGA/Quartus/custom/usb/wrapper/usbHostSlaveCyc2Wrap.v
6,057
module MODULE1( VAR30, VAR40, VAR20, VAR2, VAR18, VAR39, VAR19, VAR23, irq, VAR37, VAR10, VAR38, VAR3, VAR15 ); input VAR30; input VAR40; input [7:0] VAR20; input [7:0] VAR2; output [7:0] VAR18; input VAR39; input VAR19; output VAR23; output irq; input VAR37; inout VAR10 ; inout VAR38 ; output VAR3 ; output VAR15 ; wire VAR30; wire VAR40; wire [7:0] VAR20; wire [7:0] VAR2; wire [7:0] VAR18; wire irq; wire VAR37; wire VAR25; wire VAR1; wire VAR15; wire VAR12; wire VAR13; wire VAR36; wire VAR7; wire VAR6; wire VAR21; wire VAR26; wire VAR34; wire VAR33; wire VAR27; wire [1:0] VAR4; wire [1:0] VAR35; assign irq = VAR12 | VAR13 | VAR36 | VAR7 | VAR6 | VAR21 | VAR26 | VAR34 | VAR33; assign VAR4 = {VAR10, VAR38}; assign {VAR10, VAR38} = (VAR27 == 1'b1) ? VAR35 : 2'VAR28; assign VAR3 = ~VAR27; parameter VAR8 = 64; parameter VAR14 = 6; parameter VAR32 = 64; parameter VAR31 = 6; parameter VAR29 = 64; parameter VAR11 = 6; parameter VAR24 = 64; parameter VAR5 = 6; parameter VAR16 = 64; parameter VAR17 = 6; VAR9 VAR22 ( .VAR30(VAR30), .VAR40(VAR40), .VAR20(VAR20), .VAR2(VAR2), .VAR18(VAR18), .VAR39(VAR39), .VAR19(VAR19), .VAR23(VAR23), .VAR37(VAR37), .VAR12(VAR12), .VAR13(VAR13), .VAR36(VAR36), .VAR7(VAR7), .VAR6(VAR6), .VAR21(VAR21), .VAR26(VAR26), .VAR34(VAR34), .VAR33(VAR33), .VAR4(VAR4), .VAR1(VAR1), .VAR35(VAR35), .VAR25(VAR25), .VAR27(VAR27), .VAR15(VAR15)); endmodule
gpl-3.0
CprE488/Final
system/hdl/system_v_vid_in_axi4s_0_wrapper.v
2,353
module MODULE1 ( VAR28, rst, VAR1, VAR32, VAR18, VAR30, VAR31, VAR9, VAR6, VAR12, VAR16, VAR10, VAR3, VAR24, VAR22, VAR17, VAR26, VAR15, VAR21, VAR23, VAR11, VAR29, VAR8, VAR7 ); input VAR28; input rst; input VAR1; input VAR32; input VAR18; input VAR30; input VAR31; input [15:0] VAR9; input VAR6; input VAR12; input VAR16; output [15:0] VAR10; output VAR3; input VAR24; output VAR22; output VAR17; output VAR26; output VAR15; output VAR21; output VAR23; output VAR11; output VAR29; output VAR8; input VAR7; VAR4 .VAR25 ( 8 ), .VAR19 ( 0 ), .VAR13 ( 16 ), .VAR5 ( 16 ), .VAR2 ( 0 ), .VAR20 ( 10 ), .VAR27 ( 12 ) ) VAR14 ( .VAR28 ( VAR28 ), .rst ( rst ), .VAR1 ( VAR1 ), .VAR32 ( VAR32 ), .VAR18 ( VAR18 ), .VAR30 ( VAR30 ), .VAR31 ( VAR31 ), .VAR9 ( VAR9 ), .VAR6 ( VAR6 ), .VAR12 ( VAR12 ), .VAR16 ( VAR16 ), .VAR10 ( VAR10 ), .VAR3 ( VAR3 ), .VAR24 ( VAR24 ), .VAR22 ( VAR22 ), .VAR17 ( VAR17 ), .VAR26 ( VAR26 ), .VAR15 ( VAR15 ), .VAR21 ( VAR21 ), .VAR23 ( VAR23 ), .VAR11 ( VAR11 ), .VAR29 ( VAR29 ), .VAR8 ( VAR8 ), .VAR7 ( VAR7 ) ); endmodule
gpl-3.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v
3,260
module MODULE1 , parameter VAR4(VAR38) , localparam VAR31 = (VAR34+VAR38+1) , localparam VAR42 = {1'b0, {(VAR34-1){1'b1}}} ) ( input VAR36 , input VAR5 , input VAR3 , input VAR35 , input VAR30 , input [VAR31-1:0] VAR12 , output logic VAR16 , output logic VAR17 , output logic [VAR31-1:0] VAR2 , input VAR22 ); logic VAR19; logic VAR28; assign VAR17 = VAR19; assign VAR28 = VAR19 & ~VAR22; assign VAR16 = ~VAR28 & VAR3; logic VAR14; assign VAR14 = VAR30 ? VAR12[VAR31-1] : 1'b0; logic [VAR31-1:0] VAR8; logic [VAR31-1:0] VAR33; VAR13 #( .VAR20(VAR31) ) VAR7 ( .VAR12(VAR12) ,.VAR40(VAR8) ); assign VAR33 = VAR30 ? VAR8 : VAR12; logic [VAR11(VAR31)-1:0] VAR37; logic VAR41; VAR25 #( .VAR20(VAR31) ) VAR23 ( .VAR29(VAR33) ,.VAR27(VAR37) ); assign VAR41 = ~(|VAR33); logic [VAR31-1:0] VAR24; logic [VAR11(VAR31)-1:0] VAR39; logic VAR43; logic VAR6; VAR10 @ (posedge VAR36) begin if (VAR5) begin VAR19 <= 1'b0; end else begin if (~VAR28 & VAR3) begin VAR19 <= VAR35; if (VAR35) begin VAR24 <= VAR33; VAR39 <= VAR37; VAR43 <= VAR14; VAR6 <= VAR41; end end end end logic [VAR34-1:0] VAR26; assign VAR26 = (VAR34)'((VAR42+VAR34+VAR38) - VAR39); logic [VAR31-1:0] VAR1; assign VAR1 = VAR24 << VAR39; logic VAR32; assign VAR32 = |VAR1[VAR31-3-VAR38:0]; logic VAR18; assign VAR18 = VAR1[VAR31-2-VAR38]; logic [VAR38-1:0] VAR9; assign VAR9 = VAR1[VAR31-2:VAR31-1-VAR38]; logic VAR15; assign VAR15 = VAR18 & (VAR9[0] | VAR32); logic [VAR31-2:0] VAR21; assign VAR21 = {VAR26, VAR9} + VAR15; assign VAR2 = VAR6 ? {VAR31{1'b0}} : {VAR43, VAR21}; endmodule
bsd-3-clause
freecores/orsoc_graphics_accelerator
rtl/verilog/gfx/gfx_interp.v
5,172
module MODULE1(VAR38, VAR14, VAR45, VAR49, VAR35, VAR30, VAR55, VAR62, VAR9, VAR11, VAR29, VAR23, VAR50, VAR61, VAR33 ); parameter VAR52 = 16; parameter VAR43 = 5; parameter VAR59 = VAR52+1; parameter VAR60 = 4; input VAR38; input VAR14; input VAR45; output reg VAR49; input VAR35; input [2*VAR52-1:0] VAR30; input [2*VAR52-1:0] VAR55; input [2*VAR52-1:0] VAR62; input [VAR52-1:0] VAR9; input [VAR52-1:0] VAR11; output [VAR52-1:0] VAR29; output [VAR52-1:0] VAR23; output [VAR52-1:0] VAR50; output [VAR52-1:0] VAR61; output VAR33; wire [VAR52-1:0] VAR47; wire [VAR52-1:0] VAR19; wire VAR42; wire VAR63; wire [VAR52-1:0] VAR20; wire [VAR52-1:0] VAR27; wire VAR1; wire VAR16; reg [VAR43-1:0] VAR31; wire VAR53; always @(posedge VAR38 or posedge VAR14) if(VAR14) VAR31 <= 1'b0; else if(VAR53) VAR31 <= (VAR31 + 1'b1 == VAR59) ? 1'b0 : VAR31 + 1'b1; reg state; parameter VAR10 = 1'b0, VAR21 = 1'b1; always @(posedge VAR38 or posedge VAR14) if(VAR14) state <= VAR10; else case (state) VAR10: if(VAR33) state <= VAR21; VAR21: if(VAR45) state <= VAR10; endcase always @(posedge VAR38 or posedge VAR14) begin if(VAR14) VAR49 <= 1'b0; end else case (state) VAR10: VAR49 <= 1'b0; VAR21: if(VAR45) VAR49 <= 1'b1; endcase end wire [VAR52-1:0] VAR22 = 1'b0; VAR40 #(2*VAR52) VAR8 ( .clk (VAR38), .VAR25 (VAR53), .VAR7 ({VAR30[VAR52-1:0], VAR22}), .VAR34 (VAR62[VAR52-1:0]), .VAR57 (VAR47), .VAR3 (VAR19), .VAR5 (VAR42), .VAR58 (VAR63) ); VAR40 #(2*VAR52) VAR2 ( .clk (VAR38), .VAR25 (VAR53), .VAR7 ({VAR55[VAR52-1:0], VAR22}), .VAR34 (VAR62[VAR52-1:0]), .VAR57 (VAR20), .VAR3 (VAR27), .VAR5 (VAR1), .VAR58 (VAR16) ); wire VAR12; wire VAR36; wire [VAR60:0] VAR39; wire VAR18 = VAR36 & (state == VAR10); assign VAR33 = VAR18; assign VAR53 = ~VAR12; wire VAR26; wire [VAR43-1:0] VAR46; wire VAR28 = VAR53 & VAR26 & (VAR31 == VAR46); wire [VAR52-1:0] VAR4, VAR24; VAR54 VAR17( .VAR38 ( VAR38 ), .VAR14 ( VAR14 ), .VAR44 ( {VAR47, VAR20, VAR4, VAR24} ), .VAR48 ( VAR28 ), .VAR37 ( VAR12 ), .VAR51 ( VAR39 ), .VAR32 ( {VAR50, VAR61, VAR29, VAR23} ), .VAR15 ( VAR36 ), .VAR56 ( VAR18 ) ); VAR54 VAR41( .VAR38 ( VAR38 ), .VAR14 ( VAR14 ), .VAR44 ( {VAR31, VAR9, VAR11} ), .VAR48 ( VAR35 ), .VAR37 ( ), .VAR51 ( ), .VAR32 ( {VAR46, VAR4, VAR24} ), .VAR15 ( VAR26 ), .VAR56 ( VAR28 ) ); endmodule
gpl-3.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_076.v
1,533
module MODULE1 ( VAR11, VAR9 ); input [31:0] VAR11; output [31:0] VAR9; wire [31:0] VAR14, VAR5, VAR12, VAR3, VAR2, VAR1, VAR6, VAR8, VAR7; assign VAR14 = VAR11; assign VAR3 = VAR14 << 7; assign VAR8 = VAR2 << 1; assign VAR7 = VAR6 + VAR8; assign VAR1 = VAR14 << 5; assign VAR12 = VAR5 - VAR14; assign VAR5 = VAR14 << 13; assign VAR6 = VAR2 - VAR1; assign VAR2 = VAR12 + VAR3; assign VAR9 = VAR7; endmodule module MODULE2( VAR11, VAR9, clk ); input [31:0] VAR11; output [31:0] VAR9; reg [31:0] VAR9; input clk; reg [31:0] VAR4; wire [30:0] VAR10; always @(posedge clk) begin VAR4 <= VAR11; VAR9 <= VAR10; end MODULE1 MODULE1( .VAR11(VAR4), .VAR9(VAR10) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/o31a/sky130_fd_sc_hs__o31a_4.v
2,195
module MODULE1 ( VAR7 , VAR6 , VAR3 , VAR8 , VAR5 , VAR2, VAR9 ); output VAR7 ; input VAR6 ; input VAR3 ; input VAR8 ; input VAR5 ; input VAR2; input VAR9; VAR4 VAR1 ( .VAR7(VAR7), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8), .VAR5(VAR5), .VAR2(VAR2), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR7 , VAR6, VAR3, VAR8, VAR5 ); output VAR7 ; input VAR6; input VAR3; input VAR8; input VAR5; supply1 VAR2; supply0 VAR9; VAR4 VAR1 ( .VAR7(VAR7), .VAR6(VAR6), .VAR3(VAR3), .VAR8(VAR8), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/mux4/sky130_fd_sc_hd__mux4.pp.blackbox.v
1,376
module MODULE1 ( VAR6 , VAR1 , VAR8 , VAR3 , VAR2 , VAR5 , VAR9 , VAR11, VAR7, VAR10 , VAR4 ); output VAR6 ; input VAR1 ; input VAR8 ; input VAR3 ; input VAR2 ; input VAR5 ; input VAR9 ; input VAR11; input VAR7; input VAR10 ; input VAR4 ; endmodule
apache-2.0
dm-urievich/afc-smm
software/third-patry/pipelined_fft_256/trunk/SRC/ram2x256.v
5,661
module MODULE1 ( VAR3 ,VAR2 ,VAR6 ,VAR23 ,VAR17 ,VAR34 ,VAR33 ,VAR18 ,VAR4 ,VAR24 ); output [VAR9-1:0] VAR4 ; wire [VAR9-1:0] VAR4 ; output [VAR9-1:0] VAR24 ; wire [VAR9-1:0] VAR24 ; input VAR3 ; wire VAR3 ; input VAR2 ; wire VAR2 ; input VAR6 ; wire VAR6 ; input VAR23 ; wire VAR23 ; input [7:0] VAR17 ; wire [7:0] VAR17 ; input [7:0] VAR34 ; wire [7:0] VAR34 ; input [VAR9-1:0] VAR33 ; wire [VAR9-1:0] VAR33 ; input [VAR9-1:0] VAR18 ; wire [VAR9-1:0] VAR18 ; reg VAR28,VAR32; always @( posedge VAR3) begin if (VAR2) begin VAR28<=VAR23; VAR32<=VAR28; end end wire VAR15,VAR11; wire [VAR9-1:0] VAR30,VAR12,VAR22,VAR13; wire [7:0] VAR1,VAR7; assign VAR1 =VAR23? VAR17: VAR34; assign VAR7 = ~VAR23? VAR17:VAR34; assign VAR15 =VAR23? VAR6: 0; assign VAR11 =~VAR23? VAR6: 0; VAR5 #(VAR9) VAR20(.VAR3(VAR3),.VAR2(VAR2),.VAR6(VAR15), .VAR31(VAR1),.VAR18(VAR33),.VAR8(VAR30)); VAR5 #(VAR9) VAR19(.VAR3(VAR3),.VAR2(VAR2),.VAR6(VAR15), .VAR31(VAR1),.VAR18(VAR18),.VAR8(VAR22)); VAR5 #(VAR9) VAR14(.VAR3(VAR3),.VAR2(VAR2),.VAR6(VAR11), .VAR31(VAR7),.VAR18(VAR33),.VAR8(VAR12)); VAR5 #(VAR9) VAR26(.VAR3(VAR3),.VAR2(VAR2),.VAR6(VAR11), .VAR31(VAR7),.VAR18(VAR18),.VAR8(VAR13)); assign VAR4=~VAR32? VAR30 : VAR12; assign VAR24=~VAR32? VAR22 : VAR13; wire [8:0] VAR29 = {VAR23,VAR34}; wire [8:0] VAR16 = {~VAR23,VAR17}; wire [2*VAR9-1:0] VAR25= {VAR33,VAR18} ; reg [2*VAR9-1:0] VAR21; reg [2*VAR9-1:0] VAR10 [511:0]; reg [8:0] VAR27; always @(posedge VAR3) begin if (VAR2) begin if (VAR6) VAR10[VAR16] <= VAR25; VAR27 <= VAR29; VAR21 = VAR10[VAR27]; end end assign VAR4=VAR21[2*VAR9-1:VAR9]; assign VAR24=VAR21[VAR9-1:0]; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/oai31/gf180mcu_fd_sc_mcu9t5v0__oai31_4.functional.v
1,436
module MODULE1( VAR12, VAR6, VAR3, VAR7, VAR5 ); input VAR7, VAR3, VAR12, VAR5; output VAR6; wire VAR9; not VAR11( VAR9, VAR7 ); wire VAR14; not VAR1( VAR14, VAR3 ); wire VAR13; not VAR10( VAR13, VAR12 ); wire VAR15; and VAR2( VAR15, VAR9, VAR14, VAR13 ); wire VAR4; not VAR16( VAR4, VAR5 ); or VAR8( VAR6, VAR15, VAR4 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nor2/sky130_fd_sc_hd__nor2.blackbox.v
1,233
module MODULE1 ( VAR6, VAR7, VAR5 ); output VAR6; input VAR7; input VAR5; supply1 VAR3; supply0 VAR4; supply1 VAR2 ; supply0 VAR1 ; endmodule
apache-2.0
minosys-jp/FPGA
Zybo/vgagraph/vgagraph/HDL/vgagraph_ip_v1_0.v
5,377
module MODULE1 # ( parameter VAR14 = 32'h40000000, parameter integer VAR97 = 16, parameter integer VAR53 = 1, parameter integer VAR121 = 32, parameter integer VAR23 = 32, parameter integer VAR25 = 0, parameter integer VAR13 = 0, parameter integer VAR79 = 0, parameter integer VAR10 = 0, parameter integer VAR50 = 0 ) ( input wire [27:0] VAR109, input wire VAR59, output wire VAR75, input wire VAR125, output wire [3:0] VAR40, VAR46, VAR126, output wire VAR12, VAR110, output wire VAR30, input wire VAR56, output wire VAR34, output wire VAR124, input wire VAR108, input wire VAR90, output wire [VAR53-1 : 0] VAR68, output wire [VAR121-1 : 0] VAR123, output wire [7 : 0] VAR64, output wire [2 : 0] VAR70, output wire [1 : 0] VAR54, output wire VAR36, output wire [3 : 0] VAR18, output wire [2 : 0] VAR94, output wire [3 : 0] VAR91, output wire [VAR25-1 : 0] VAR65, output wire VAR1, input wire VAR72, output wire [VAR23-1 : 0] VAR113, output wire [VAR23/8-1 : 0] VAR106, output wire VAR116, output wire [VAR79-1 : 0] VAR84, output wire VAR82, input wire VAR3, input wire [VAR53-1 : 0] VAR88, input wire [1 : 0] VAR92, input wire [VAR50-1 : 0] VAR115, input wire VAR24, output wire VAR51, output wire [VAR53-1 : 0] VAR20, output wire [VAR121-1 : 0] VAR117, output wire [7 : 0] VAR101, output wire [2 : 0] VAR39, output wire [1 : 0] VAR102, output wire VAR38, output wire [3 : 0] VAR58, output wire [2 : 0] VAR45, output wire [3 : 0] VAR28, output wire [VAR13-1 : 0] VAR66, output wire VAR11, input wire VAR55, input wire [VAR53-1 : 0] VAR80, input wire [VAR23-1 : 0] VAR41, input wire [1 : 0] VAR63, input wire VAR37, input wire [VAR10-1 : 0] VAR89, input wire VAR85, output wire VAR98 ); VAR103 # ( .VAR118(VAR14), .VAR81(VAR97), .VAR73(VAR53), .VAR5(VAR121), .VAR49(VAR23), .VAR120(VAR25), .VAR8(VAR13), .VAR43(VAR79), .VAR33(VAR10), .VAR57(VAR50) ) VAR100 ( .VAR109(VAR109), .VAR59(VAR59), .VAR75(VAR75), .VAR125(VAR125), .VAR40(VAR40), .VAR46(VAR46), .VAR126(VAR126), .VAR12(VAR12), .VAR110(VAR110), .VAR30(VAR30), .VAR119(VAR56), .VAR86(VAR34), .VAR83(VAR124), .VAR42(VAR108), .VAR2(VAR90), .VAR60(VAR68), .VAR77(VAR123), .VAR61(VAR64), .VAR35(VAR70), .VAR62(VAR54), .VAR22(VAR36), .VAR31(VAR18), .VAR87(VAR94), .VAR95(VAR91), .VAR9(VAR65), .VAR52(VAR1), .VAR74(VAR72), .VAR15(VAR113), .VAR93(VAR106), .VAR26(VAR116), .VAR7(VAR84), .VAR27(VAR82), .VAR111(VAR3), .VAR19(VAR88), .VAR6(VAR92), .VAR21(VAR115), .VAR112(VAR24), .VAR105(VAR51), .VAR16(VAR20), .VAR122(VAR117), .VAR67(VAR101), .VAR71(VAR39), .VAR47(VAR102), .VAR32(VAR38), .VAR4(VAR58), .VAR114(VAR45), .VAR107(VAR28), .VAR69(VAR66), .VAR76(VAR11), .VAR29(VAR55), .VAR104(VAR80), .VAR96(VAR41), .VAR78(VAR63), .VAR44(VAR37), .VAR17(VAR89), .VAR99(VAR85), .VAR48(VAR98) ); endmodule
bsd-2-clause
pemsac/ANN_project
ANN_project.ip_user_repository/UC3M_MISEA_Thesis_feedforward_1_4/hdl/verilog/feedforward_mul_7ns_31ns_38_3.v
1,407
module MODULE1(clk, VAR15, VAR12, VAR13, VAR1); input clk; input VAR15; input[7 - 1 : 0] VAR12; input[31 - 1 : 0] VAR13; output[38 - 1 : 0] VAR1; reg [7 - 1 : 0] VAR2; reg [31 - 1 : 0] VAR8; wire [38 - 1 : 0] VAR16; reg [38 - 1 : 0] VAR9; assign VAR1 = VAR9; assign VAR16 = VAR2 * VAR8; always @ (posedge clk) begin if (VAR15) begin VAR2 <= VAR12; VAR8 <= VAR13; VAR9 <= VAR16; end end endmodule module MODULE2( clk, reset, VAR15, VAR14, VAR11, dout); parameter VAR6 = 32'd1; parameter VAR10 = 32'd1; parameter VAR3 = 32'd1; parameter VAR5 = 32'd1; parameter VAR7 = 32'd1; input clk; input reset; input VAR15; input[VAR3 - 1:0] VAR14; input[VAR5 - 1:0] VAR11; output[VAR7 - 1:0] dout; MODULE1 VAR4( .clk( clk ), .VAR15( VAR15 ), .VAR12( VAR14 ), .VAR13( VAR11 ), .VAR1( dout )); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/sdfsbp/sky130_fd_sc_ms__sdfsbp_2.v
2,615
module MODULE1 ( VAR5 , VAR4 , VAR11 , VAR10 , VAR13 , VAR9 , VAR3, VAR1 , VAR7 , VAR2 , VAR8 ); output VAR5 ; output VAR4 ; input VAR11 ; input VAR10 ; input VAR13 ; input VAR9 ; input VAR3; input VAR1 ; input VAR7 ; input VAR2 ; input VAR8 ; VAR6 VAR12 ( .VAR5(VAR5), .VAR4(VAR4), .VAR11(VAR11), .VAR10(VAR10), .VAR13(VAR13), .VAR9(VAR9), .VAR3(VAR3), .VAR1(VAR1), .VAR7(VAR7), .VAR2(VAR2), .VAR8(VAR8) ); endmodule module MODULE1 ( VAR5 , VAR4 , VAR11 , VAR10 , VAR13 , VAR9 , VAR3 ); output VAR5 ; output VAR4 ; input VAR11 ; input VAR10 ; input VAR13 ; input VAR9 ; input VAR3; supply1 VAR1; supply0 VAR7; supply1 VAR2 ; supply0 VAR8 ; VAR6 VAR12 ( .VAR5(VAR5), .VAR4(VAR4), .VAR11(VAR11), .VAR10(VAR10), .VAR13(VAR13), .VAR9(VAR9), .VAR3(VAR3) ); endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v
2,118
module MODULE1 , parameter VAR16(VAR9 ) , parameter VAR16(VAR17 ) , parameter VAR16(VAR7 )) (input VAR13 , input VAR15 , input VAR8 , input [VAR9+1-1:0][VAR18-1:0] VAR11 , output [VAR12(VAR9+1)-1:0] VAR20 , output VAR10 , output VAR3 ); logic VAR14, VAR5; logic [VAR12(VAR9+1)-1:0] VAR19, VAR2; assign VAR20 = VAR2; logic VAR1; VAR4 @(posedge VAR13) if (VAR15) VAR1 <= 0; else VAR1 <= VAR1 | VAR8; assign VAR10 = ~(VAR2[0]) & VAR1 & ~VAR14; VAR4 @(posedge VAR13) begin if (VAR15) VAR2 <= 0; end else VAR2 <= VAR19; end assign VAR3 = VAR14; always @(posedge VAR13) if (VAR15) VAR14 <= 0; else VAR14 <= VAR5; VAR6 begin VAR5 = VAR14; if (&VAR11[VAR9]) VAR5 = 1'b1; end VAR6 begin VAR19 = VAR2; if (!VAR14 & VAR1 & (&VAR11[VAR2])) VAR19 = VAR2+1; end endmodule
bsd-3-clause
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_dac_1c_2p_v1_00_a/hdl/verilog/cf_ddsv_vdma.v
10,442
module MODULE1 ( VAR21, VAR48, VAR40, VAR43, VAR1, VAR6, VAR28, VAR44, VAR12, VAR45, VAR32, VAR31, VAR4, VAR14); input VAR21; input VAR48; input [63:0] VAR40; output VAR43; output VAR1; output VAR6; input VAR28; input VAR44; input VAR12; output [95:0] VAR45; output [198:0] VAR32; output [ 7:0] VAR31; output [107:0] VAR4; output [ 7:0] VAR14; reg VAR9 = 'd0; reg VAR18 = 'd0; reg [ 7:0] VAR38 = 'd0; reg [ 7:0] VAR49 = 'd0; reg [95:0] VAR45 = 'd0; reg VAR7 = 'd0; reg VAR54 = 'd0; reg VAR46 = 'd0; reg [ 1:0] VAR37 = 'd0; reg [63:0] VAR22 = 'd0; reg VAR47 = 'd0; reg [ 7:0] VAR34 = 'd0; reg [95:0] VAR50 = 'd0; reg [ 7:0] VAR51 = 'd0; reg [ 7:0] VAR30 = 'd0; reg [ 7:0] VAR17 = 'd0; reg [ 7:0] VAR10 = 'd0; reg VAR43 = 'd0; reg VAR27 = 'd0; reg VAR42 = 'd0; reg [ 4:0] VAR29 = 'd0; reg VAR1 = 'd0; reg [ 4:0] VAR8 = 'd0; reg VAR6 = 'd0; wire VAR36; wire [ 8:0] VAR2; wire VAR15; wire VAR16; wire [95:0] VAR11; function [7:0] VAR41; input [7:0] VAR26; reg [7:0] VAR13; begin VAR13[7] = VAR26[7]; VAR13[6] = VAR26[7] ^ VAR26[6]; VAR13[5] = VAR26[6] ^ VAR26[5]; VAR13[4] = VAR26[5] ^ VAR26[4]; VAR13[3] = VAR26[4] ^ VAR26[3]; VAR13[2] = VAR26[3] ^ VAR26[2]; VAR13[1] = VAR26[2] ^ VAR26[1]; VAR13[0] = VAR26[1] ^ VAR26[0]; VAR41 = VAR13; end endfunction function [7:0] VAR19; input [7:0] VAR13; reg [7:0] VAR26; begin VAR26[7] = VAR13[7]; VAR26[6] = VAR26[7] ^ VAR13[6]; VAR26[5] = VAR26[6] ^ VAR13[5]; VAR26[4] = VAR26[5] ^ VAR13[4]; VAR26[3] = VAR26[4] ^ VAR13[3]; VAR26[2] = VAR26[3] ^ VAR13[2]; VAR26[1] = VAR26[2] ^ VAR13[1]; VAR26[0] = VAR26[1] ^ VAR13[0]; VAR19 = VAR26; end endfunction assign VAR31[7:7] = VAR48; assign VAR31[6:6] = VAR43; assign VAR31[5:5] = VAR54; assign VAR31[4:4] = VAR46; assign VAR31[3:3] = VAR47; assign VAR31[2:2] = VAR36; assign VAR31[1:1] = VAR15; assign VAR31[0:0] = VAR16; assign VAR32[198:198] = VAR48; assign VAR32[197:197] = VAR43; assign VAR32[196:196] = VAR1; assign VAR32[195:195] = VAR6; assign VAR32[194:194] = VAR7; assign VAR32[193:193] = VAR54; assign VAR32[192:192] = VAR46; assign VAR32[191:191] = VAR47; assign VAR32[190:190] = VAR27; assign VAR32[189:189] = VAR42; assign VAR32[188:188] = VAR36; assign VAR32[187:187] = VAR15; assign VAR32[186:186] = VAR16; assign VAR32[185:184] = VAR37; assign VAR32[183:176] = VAR34; assign VAR32[175:168] = VAR17; assign VAR32[167:160] = VAR10; assign VAR32[159: 96] = VAR40; assign VAR32[ 95: 0] = VAR50; assign VAR14[7:4] = 'd0; assign VAR14[3:3] = VAR44; assign VAR14[2:2] = VAR12; assign VAR14[1:1] = VAR9; assign VAR14[0:0] = VAR18; assign VAR4[107:107] = VAR44; assign VAR4[106:106] = VAR12; assign VAR4[105:105] = VAR9; assign VAR4[104:104] = VAR18; assign VAR4[103: 96] = VAR38; assign VAR4[ 95: 0] = VAR45; always @(posedge VAR28) begin VAR9 <= VAR46; VAR18 <= VAR9; if (VAR18 == 1'b0) begin VAR38 <= 8'h80; end else if (VAR12 == 1'b1) begin VAR38 <= VAR38 + 1'b1; end VAR49 <= VAR41(VAR38); VAR45 <= VAR11; end assign VAR36 = VAR48 & VAR43; always @(posedge VAR21) begin VAR7 <= VAR44; VAR54 <= VAR7; if (VAR54 == 1'b0) begin VAR46 <= 1'b0; VAR37 <= 2'd0; VAR22 <= 64'd0; VAR47 <= 1'b0; VAR34 <= 8'd0; VAR50 <= 96'd0; end else if (VAR36 == 1'b1) begin VAR46 <= 1'b1; if (VAR37 >= 2'd2) begin VAR37 <= 2'd0; end else begin VAR37 <= VAR37 + 1'b1; end VAR22 <= VAR40; VAR47 <= VAR37[0] | VAR37[1]; if (VAR47 == 1'b1) begin VAR34 <= VAR34 + 1'b1; end if (VAR37 == 2'd1) begin VAR50[95:80] <= VAR22[15: 0]; VAR50[79:64] <= VAR22[31:16]; VAR50[63:48] <= VAR22[47:32]; VAR50[47:32] <= VAR22[63:48]; VAR50[31:16] <= VAR40[15: 0]; VAR50[15: 0] <= VAR40[31:16]; end else begin VAR50[95:80] <= VAR22[47:32]; VAR50[79:64] <= VAR22[63:48]; VAR50[63:48] <= VAR40[15: 0]; VAR50[47:32] <= VAR40[31:16]; VAR50[31:16] <= VAR40[47:32]; VAR50[15: 0] <= VAR40[63:48]; end end end assign VAR2 = {1'b1, VAR34} - VAR17; assign VAR15 = (VAR10 < 3) ? VAR27 : 1'b0; assign VAR16 = (VAR10 > 250) ? VAR42 : 1'b0; always @(posedge VAR21) begin VAR51 <= VAR49; VAR30 <= VAR51; VAR17 <= VAR19(VAR30); VAR10 <= VAR2[7:0]; if (VAR10 >= 250) begin VAR43 <= ~VAR54; end else if (VAR10 <= 200) begin VAR43 <= 1'b1; end VAR27 = (VAR10 > 250) ? 1'b1 : 1'b0; VAR42 = (VAR10 < 3) ? 1'b1 : 1'b0; if (VAR15 == 1'b1) begin VAR29 <= 5'h10; end else if (VAR29[4] == 1'b1) begin VAR29 <= VAR29 + 1'b1; end VAR1 <= VAR29[4]; if (VAR16 == 1'b1) begin VAR8 <= 5'h10; end else if (VAR8[4] == 1'b1) begin VAR8 <= VAR8 + 1'b1; end VAR6 <= VAR8[4]; end VAR39 #(.VAR23(96), .VAR25(8)) VAR52 ( .VAR35 (VAR21), .VAR24 (VAR47), .VAR53 (VAR34), .VAR3 (VAR50), .VAR20 (VAR28), .VAR33 (VAR38), .VAR5 (VAR11)); endmodule
mit
ankitshah009/High-Radix-Adaptive-CORDIC
HCORDIC_Verilog/mult_k.v
2,660
module MODULE1( input [31:0] VAR22, input [31:0] VAR3, input reset, input VAR29, output [31:0] VAR5 ); wire VAR8, VAR21, VAR6; wire [32:0] VAR20,VAR23; wire [32:0] VAR25,VAR13,VAR17; wire [49:0] VAR34, VAR11; wire [31:0] VAR16,VAR27,VAR2,VAR12; VAR19 VAR14 ( .VAR9(VAR22), .VAR24(VAR3), .reset(reset), .VAR29(VAR29), .VAR8(VAR8), .VAR20(VAR20), .VAR23(VAR23), .VAR25(VAR25) ); VAR31 VAR18 ( .VAR20(VAR20), .VAR23(VAR23), .VAR25(VAR25), .VAR8(VAR8), .VAR29(VAR29), .VAR21(VAR21), .VAR13(VAR13), .VAR34(VAR34) ); VAR30 VAR7 ( .VAR13(VAR13), .VAR34(VAR34), .VAR29(VAR29), .VAR21(VAR21), .VAR6(VAR6), .VAR17(VAR17), .VAR11(VAR11) ); VAR33 VAR4 ( .VAR6(VAR6), .VAR17(VAR17), .VAR11(VAR11), .VAR29(VAR29), .VAR5(VAR16) ); VAR15 VAR28 ( .VAR5(VAR16), .VAR29(VAR29), .VAR26(VAR27) ); VAR15 VAR1 ( .VAR5(VAR27), .VAR29(VAR29), .VAR26(VAR2) ); VAR15 VAR32 ( .VAR5(VAR2), .VAR29(VAR29), .VAR26(VAR12) ); VAR15 VAR10 ( .VAR5(VAR12), .VAR29(VAR29), .VAR26(VAR5) ); endmodule
apache-2.0