repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/sdffrsnq/gf180mcu_fd_sc_mcu9t5v0__sdffrsnq_4.functional.v | 1,787 | module MODULE1( VAR10, VAR21, VAR2, VAR4, VAR6, VAR5, VAR26, VAR13 );
input VAR4, VAR2, VAR5, VAR10, VAR6, VAR21, VAR13;
output VAR26;
not VAR17( VAR24, VAR5 );
not VAR23( VAR8, VAR6 );
wire VAR1;
not VAR15( VAR1, VAR2 );
wire VAR20;
not VAR28( VAR20, VAR10 );
wire VAR22;
and VAR25( VAR22, VAR1, VAR20 );
wire VAR19;
not VAR9( VAR19, VAR21 );
wire VAR29;
and VAR16( VAR29, VAR1, VAR19 );
wire VAR7;
and VAR11( VAR7, VAR19, VAR10 );
or VAR14( VAR12, VAR22, VAR29, VAR7 );
VAR3( VAR18, VAR8, VAR24, VAR4, VAR12, VAR13 );
not VAR27( VAR26, VAR18 );
endmodule | apache-2.0 |
blu006/de0-nano-clock | FPGA/DE0_Nano.v | 4,686 | module MODULE1(
VAR22,
VAR34,
VAR49,
VAR40,
VAR45,
VAR43,
VAR35,
VAR4,
VAR51,
VAR3,
VAR10,
VAR11,
VAR36,
VAR16,
VAR47,
VAR18,
VAR42,
VAR8,
VAR26,
VAR2,
VAR9,
VAR38,
VAR20,
VAR32,
VAR19,
VAR7,
VAR28,
VAR50
);
input VAR22;
output [7:0] VAR34;
input [1:0] VAR49;
input [3:0] VAR40;
output [12:0] VAR45;
output [1:0] VAR43;
output VAR35;
output VAR4;
output VAR51;
output VAR3;
inout [15:0] VAR10;
output [1:0] VAR11;
output VAR36;
output VAR16;
output VAR47;
input VAR18;
output VAR42;
inout VAR8;
output VAR26;
output VAR2;
output VAR9;
input VAR38;
inout [12:0] VAR20;
input [2:0] VAR32;
inout [33:0] VAR19;
input [1:0] VAR7;
inout [33:0] VAR28;
input [1:0] VAR50;
wire [3:0] VAR44;
wire [3:0] VAR25;
wire VAR12;
wire [3:0] VAR13;
wire [3:0] VAR52;
wire VAR39;
wire [3:0] VAR41;
wire [3:0] VAR27;
wire VAR53;
wire VAR33;
wire VAR37;
wire VAR15;
wire [5:0] VAR17;
wire [6:0] VAR5;
assign VAR19[9] = VAR5[6];
assign VAR19[11] = VAR5[5];
assign VAR19[13] = VAR5[4];
assign VAR19[15] = VAR5[3];
assign VAR19[17] = VAR5[2];
assign VAR19[19] = VAR5[1];
assign VAR19[21] = VAR5[0];
assign VAR19[8] = VAR17[5];
assign VAR19[10] = VAR17[4];
assign VAR19[12] = VAR17[3];
assign VAR19[14] = VAR17[2];
assign VAR19[16] = VAR17[1];
assign VAR19[18] = VAR17[0];
assign VAR34[0] = VAR15;
reg [12:0] VAR23;
always @ (posedge VAR22)
VAR23 <= VAR23 + 1;
VAR48 VAR29(VAR22, VAR15);
VAR24 VAR31 (
,
VAR15,
VAR44[3:0],
VAR25[3:0],
VAR12
);
VAR6 VAR46 (
!VAR49[0],
VAR12,
VAR13[3:0],
VAR52[3:0],
VAR39
);
VAR21 VAR14(
VAR40[0],
!VAR49[1],
VAR39,
VAR41[3:0],
VAR27[3:0],
VAR34[7],
VAR34[6],
VAR53
);
VAR1 VAR30(
VAR23[12],
VAR27[3:0],
VAR41[3:0],
VAR52[3:0],
VAR13[3:0],
VAR25[3:0],
VAR44[3:0],
VAR17[5:0],
VAR5[6:0]
);
endmodule | bsd-2-clause |
trnewman/VT-USRP-daughterboard-drivers | gr-gpio/src/fpga/lib/rx_chain.v | 3,770 | module MODULE1
(input VAR44,
input reset,
input enable,
input wire [7:0] VAR16,
input VAR21,
input VAR12,
output wire VAR27,
input [6:0] VAR22, input [31:0] VAR15, input VAR40,
input wire [15:0] VAR39,
input wire [15:0] VAR26,
output wire [15:0] VAR33,
output wire [15:0] VAR25,
output wire [15:0] VAR42,output wire [15:0] VAR7
);
parameter VAR5 = 0;
parameter VAR38 = 0;
wire [31:0] VAR19;
wire [15:0] VAR48, VAR32;
wire [15:0] VAR18, VAR6;
assign VAR42 = VAR18;
VAR23 #(VAR5,VAR38,32) VAR47
(.clk(VAR44),.reset(reset),.enable(enable),
.VAR22(VAR22),.VAR15(VAR15),.VAR40(VAR40),
.VAR14(VAR21),.VAR19(VAR19) );
VAR30 VAR34
( .VAR44(VAR44),.reset(reset),.enable(enable),
.VAR45(VAR39),.VAR20(VAR26),.VAR13(VAR19[31:16]),
.VAR35(VAR48),.VAR11(VAR32),.VAR4() );
assign VAR48 = VAR39;
assign VAR32 = VAR26;
assign VAR21 = 1;
VAR36 VAR1
( .VAR44(VAR44),.reset(reset),.enable(enable),
.VAR8(VAR16),.VAR3(VAR21),.VAR31(VAR12),
.VAR37(VAR48),.VAR24(VAR33) );
assign VAR27 = VAR12;
VAR17 VAR2
( .VAR44(VAR44),.reset(reset),.enable(enable),
.VAR8(VAR16),.VAR3(VAR21),.VAR31(VAR12),
.VAR37(VAR48),.VAR24(VAR18) );
assign VAR18 = VAR48;
assign VAR12 = VAR21;
VAR28 VAR43
( .VAR44(VAR44),.reset(reset),.enable(enable),
.VAR3(VAR12),.VAR31(VAR27),
.VAR46(VAR18),.VAR10(VAR33),.VAR7(VAR7) );
assign VAR33 = VAR18;
assign VAR27 = VAR12;
VAR36 VAR9
( .VAR44(VAR44),.reset(reset),.enable(enable),
.VAR8(VAR16),.VAR3(VAR21),.VAR31(VAR12),
.VAR37(VAR32),.VAR24(VAR25) );
VAR17 VAR41
( .VAR44(VAR44),.reset(reset),.enable(enable),
.VAR8(VAR16),.VAR3(VAR21),.VAR31(VAR12),
.VAR37(VAR32),.VAR24(VAR6) );
assign VAR6 = VAR32;
VAR28 VAR29
( .VAR44(VAR44),.reset(reset),.enable(enable),
.VAR3(VAR12),.VAR31(),
.VAR46(VAR6),.VAR10(VAR25) );
assign VAR25 = VAR6;
endmodule | gpl-3.0 |
gbraad/minimig-de1 | rtl/or1200/or1200_dc_ram.v | 4,216 | module MODULE1(
clk, rst,
VAR14, VAR2, VAR7,
addr, en, VAR1, VAR13, VAR18
);
parameter VAR10 = VAR8;
parameter VAR15 = VAR17;
input clk;
input rst;
input [VAR15-1:0] addr;
input en;
input [3:0] VAR1;
input [VAR10-1:0] VAR13;
output [VAR10-1:0] VAR18;
input VAR14;
input [VAR11 - 1:0] VAR7; output VAR2;
assign VAR18 = {VAR10{1'b0}};
assign VAR2 = VAR14;
VAR9 VAR16(
VAR5 VAR16(
.VAR14(VAR14),
.VAR2(VAR2),
.VAR7(VAR7),
.clk(clk),
.rst(rst),
.VAR6(en),
.VAR1(VAR1),
.VAR12(1'b1),
.addr(addr),
.VAR3(VAR13),
.VAR4(VAR18)
);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/nor4b/sky130_fd_sc_ls__nor4b.behavioral.pp.v | 1,988 | module MODULE1 (
VAR3 ,
VAR13 ,
VAR15 ,
VAR17 ,
VAR11 ,
VAR16,
VAR12,
VAR1 ,
VAR9
);
output VAR3 ;
input VAR13 ;
input VAR15 ;
input VAR17 ;
input VAR11 ;
input VAR16;
input VAR12;
input VAR1 ;
input VAR9 ;
wire VAR7 ;
wire VAR4 ;
wire VAR14;
not VAR10 (VAR7 , VAR11 );
nor VAR2 (VAR4 , VAR13, VAR15, VAR17, VAR7 );
VAR8 VAR6 (VAR14, VAR4, VAR16, VAR12);
buf VAR5 (VAR3 , VAR14 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a21oi/sky130_fd_sc_hs__a21oi_4.v | 2,134 | module MODULE2 (
VAR1 ,
VAR4 ,
VAR5 ,
VAR3 ,
VAR2,
VAR6
);
output VAR1 ;
input VAR4 ;
input VAR5 ;
input VAR3 ;
input VAR2;
input VAR6;
VAR7 VAR8 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR6(VAR6)
);
endmodule
module MODULE2 (
VAR1 ,
VAR4,
VAR5,
VAR3
);
output VAR1 ;
input VAR4;
input VAR5;
input VAR3;
supply1 VAR2;
supply0 VAR6;
VAR7 VAR8 (
.VAR1(VAR1),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/buf/gf180mcu_fd_sc_mcu9t5v0__buf_4.behavioral.pp.v | 1,159 | module MODULE1( VAR6, VAR3, VAR1, VAR4 );
input VAR6;
inout VAR1, VAR4;
output VAR3;
VAR2 VAR7(.VAR6(VAR6),.VAR3(VAR3),.VAR1(VAR1),.VAR4(VAR4));
VAR2 VAR5(.VAR6(VAR6),.VAR3(VAR3),.VAR1(VAR1),.VAR4(VAR4)); | apache-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig39_2/mig_39_2/example_design/rtl/controller/rank_cntrl.v | 16,480 | module MODULE1 #
(
parameter VAR60 = 100,
parameter VAR82 = "8",
parameter VAR31 = 0,
parameter VAR1 = 4,
parameter VAR77 = 2,
parameter VAR86 = 5,
parameter VAR4 = 30,
parameter VAR37 = 8,
parameter VAR50 = 4,
parameter VAR66 = 4,
parameter VAR81 = 20,
parameter VAR39 = 16,
parameter VAR72 = 2,
parameter VAR11 = 4,
parameter VAR2 = "VAR29",
parameter VAR93 = 39
)
(
VAR57, VAR42, VAR63, VAR73,
VAR5,
clk, rst, VAR26, VAR33, VAR69, VAR52,
VAR38, VAR14, VAR19, VAR65,
VAR54, VAR9, VAR75, VAR32,
VAR53, VAR92, VAR46
);
input clk;
input rst;
function integer VAR23 (input integer VAR59); begin
VAR59 = VAR59 - 1;
for (VAR23=1; VAR59>1; VAR23=VAR23+1)
VAR59 = VAR59 >> 1;
end
endfunction
input [VAR1-1:0] VAR26;
input [VAR39-1:0] VAR33;
reg VAR85;
integer VAR67;
always @(VAR33 or VAR26) begin
VAR85 = 1'b0;
for (VAR67=0; VAR67<VAR1; VAR67=VAR67+1)
VAR85 =
VAR85 || (VAR26[VAR67] && VAR33[(VAR67*VAR11)+VAR31]);
end
localparam VAR13 = VAR50 - ((VAR77 == 1) ? 2 : 4);
localparam VAR22 = (VAR77 == 1)
? VAR13
: ((VAR13/2) + (VAR13%2));
localparam VAR20 = VAR23(VAR22 + 1);
reg VAR6 = 1'b0;
generate
if (VAR13 > 0) begin :VAR27
reg[VAR20-1:0] VAR61;
reg[VAR20-1:0] VAR51;
always @(VAR85 or VAR51 or rst) begin
VAR61 = VAR51;
if (rst) VAR61 = {VAR20{1'b0}};
end
else
if (VAR85)
VAR61 = VAR22[0+:VAR20];
end
else if (|VAR51) VAR61 =
VAR51 - {{VAR20-1{1'b0}}, 1'b1};
end
always @(VAR61) VAR6 = |VAR61;
end
endgenerate
localparam VAR18 = (VAR77 == 1)
? VAR4
: ((VAR4/2) + (VAR4%2));
output reg VAR57;
generate
begin : VAR76
wire VAR15;
wire [4:0] VAR68 = VAR18[4:0] - 5'd3;
VAR12 #(.VAR7(32'h00000000) ) VAR41
(.VAR88(VAR15), .VAR90(), .VAR10(VAR68), .VAR25(1'b1), .VAR35(clk), .VAR70(VAR85) );
reg [2:0] VAR28;
reg [2:0] VAR56;
reg VAR43;
always @(VAR15 or VAR85 or VAR6
or VAR56 or rst) begin
if (rst) VAR28 = 3'b0;
end
else begin
VAR28 = VAR56;
if (VAR85) VAR28 = VAR56 + 3'b1;
if (VAR15) VAR28 = VAR28 - 3'b1;
end
VAR43 = (VAR28 == 3'h4) || VAR6;
end
end endgenerate
localparam VAR91 = 1;
localparam VAR30 = ((VAR82 == "4") ? 2 : 4) + VAR66 + VAR86;
localparam VAR89 = (VAR77 == 1)
? VAR30
: ((VAR30 / 2) + (VAR30 %2));
localparam VAR48 = VAR23(VAR89 - 1);
localparam VAR36 = 2;
input [VAR1-1:0] VAR69;
input [VAR39-1:0] VAR52;
output reg VAR42;
output reg VAR63;
generate
begin : VAR3
reg VAR62;
always @(VAR69 or VAR52) begin
VAR62 = 1'b0;
for (VAR67 = 0; VAR67 < VAR1; VAR67 = VAR67 + 1)
VAR62 =
VAR62 || (VAR69[VAR67] && VAR52[(VAR67*VAR11)+VAR31]);
end
reg [VAR48-1:0] VAR40;
reg [VAR48-1:0] VAR71;
always @(rst or VAR62 or VAR40)
if (rst) VAR71 = {VAR48{1'b0}};
end
else begin
VAR71 = VAR40;
if (VAR62) VAR71 =
VAR89[VAR48-1:0] - VAR36[VAR48-1:0];
end
else if (|VAR40) VAR71 = VAR40 - VAR91[VAR48-1:0];
end
wire VAR74 = |VAR71;
wire VAR78 = VAR71 >= VAR36[VAR48-1:0];
end
endgenerate
localparam VAR79 = VAR23(VAR37 + 1);
input VAR38;
input VAR14;
input [(VAR11*VAR1)-1:0] VAR19;
input VAR65;
input VAR54;
input VAR9;
input [VAR72-1:0] VAR75;
output wire VAR73;
generate begin : VAR58
reg VAR83;
always @(VAR19) begin
VAR83 = 1'b0;
for (VAR67=0; VAR67 < VAR1; VAR67=VAR67+1)
VAR83 = VAR83 || VAR19[(VAR67*VAR11)+VAR31];
end
wire VAR55 =
VAR54 && ~VAR9 && (VAR75 == VAR31[VAR72-1:0]);
reg [VAR79-1:0] VAR84;
reg [VAR79-1:0] VAR44;
always @(VAR38 or VAR14 or VAR55
or VAR84 or VAR65)
if (~VAR14)
if (VAR93 == 0)
VAR44 = VAR37[0+:VAR79];
end
else VAR44 = {VAR79{1'b0}};
else
case ({VAR55, VAR65, VAR38})
3'b000, 3'b110, 3'b101, 3'b111 : VAR44 = VAR84;
3'b010, 3'b001, 3'b011 : VAR44 =
(|VAR84)?
VAR84 - VAR91[0+:VAR79]:
VAR84;
3'b100 : VAR44 =
VAR84 + VAR91[0+:VAR79];
VAR17: assert property (@(posedge clk)
(rst || (VAR84 <= VAR37)));
VAR94: assert property (@(posedge clk)
(rst || ~(~|VAR84 && ~VAR55 && VAR65)));
VAR49: cover property (@(posedge clk)
(rst && ~|VAR44 && (VAR84 ==
VAR91[0+:VAR79])));
VAR34: cover property (@(posedge clk)
(rst && (VAR84 ==
VAR37[0+:VAR79])));
assign VAR73 = VAR14 &&
(~|VAR84 ||
((VAR84 != VAR37[0+:VAR79]) && ~VAR83));
end
endgenerate
localparam VAR16 = VAR23(VAR81 + 1);
input VAR32;
input VAR53;
output VAR5;
input VAR92;
input [VAR39-1:0] VAR46;
generate begin : VAR45
if ( VAR2 != "VAR29" ) begin reg VAR64;
always @(VAR46 or VAR69) begin
VAR64 = 1'b0;
for (VAR67 = 0; VAR67 < VAR1; VAR67 = VAR67 + 1)
VAR64 =
VAR64 || (VAR69[VAR67] && VAR46[(VAR67*VAR11)+VAR31]);
end
reg [VAR16-1:0] VAR24;
reg [VAR16-1:0] VAR21;
always @(VAR14 or VAR53
or VAR24 or VAR64) begin
VAR21 = VAR24;
if (~VAR14)
VAR21 = {VAR16{1'b0}};
end
else if (VAR64)
VAR21 =
VAR81[0+:VAR16];
end
else if (|VAR24 && VAR53)
VAR21 =
VAR24 - VAR91[0+:VAR16];
end
wire VAR80 = VAR53 &&
(VAR24 == VAR91[0+:VAR16]);
reg VAR8;
wire VAR47 = ~rst &&
((VAR32 && VAR14) ||
((VAR81 != 0) && ~VAR14) ||
(~(VAR64 || VAR92) &&
(VAR8 || VAR80)));
always @(posedge clk) VAR8 <=
VAR87: cover property (@(posedge clk)
(rst && (VAR8 && VAR64)));
assign VAR5 = VAR14 && VAR8;
end else
assign VAR5 = 1'b0;
end
endgenerate
endmodule | lgpl-3.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/jbi/jbi_ncio/rtl/jbi_ncio_prtq_ctl.v | 12,397 | module MODULE1 (
VAR83, VAR42, VAR54, VAR5,
VAR68, VAR93, VAR60, VAR8,
VAR38, VAR31, VAR18, VAR75,
VAR30, VAR59, VAR81, VAR47,
VAR36,
clk, VAR9, VAR17, VAR84, VAR32,
VAR52, VAR61, VAR92, VAR19,
VAR6, VAR73, VAR46, VAR26,
VAR25, VAR33, VAR45, VAR43,
VAR72, VAR55, VAR39, VAR71
);
input clk;
input VAR9;
input VAR17;
input [VAR76-1:0] VAR84;
input VAR32;
output VAR83;
output VAR42;
output [VAR35-VAR7:0] VAR54;
output [VAR1-VAR86:0] VAR5;
output [127:0] VAR68;
output VAR93;
output VAR60;
output [VAR62-1:0] VAR8;
output [VAR67-1:0] VAR38;
input VAR52;
input [127:0] VAR61;
input VAR92;
input VAR19;
input [VAR57-1:0] VAR6;
input VAR73;
input [VAR35-VAR7:0] VAR46;
input [VAR1-VAR86:0] VAR26;
output VAR31;
input [VAR50-1:0] VAR25;
input VAR33;
output VAR18;
output VAR75;
output [VAR53-1:0] VAR30;
output [VAR50-1:0] VAR59;
output [VAR53-1:0] VAR81;
input VAR45;
input [VAR35-VAR7:0] VAR43;
input [VAR1-VAR86:0] VAR72;
input VAR55; input [VAR35-VAR7:0] VAR39; input [VAR1-VAR86:0] VAR71; output VAR47;
output VAR36;
reg VAR83;
reg VAR42;
reg [VAR35-VAR7:0] VAR54;
reg [VAR1-VAR86:0] VAR5;
reg [127:0] VAR68;
wire VAR93;
wire VAR60;
wire [VAR62-1:0] VAR8;
wire [VAR67-1:0] VAR38;
wire VAR18;
wire VAR75;
wire [VAR53-1:0] VAR30;
wire [VAR50-1:0] VAR59;
wire [VAR53-1:0] VAR81;
wire VAR47;
wire VAR36;
parameter VAR51 = 1'b0,
VAR65 = 1'b1;
wire VAR85;
wire [VAR53:0] VAR48;
wire [VAR53:0] VAR15;
reg VAR13;
reg [VAR53:0] VAR79;
reg [VAR53:0] VAR80;
wire [VAR53:0] VAR64;
wire [VAR53:0] VAR58;
wire VAR2;
wire VAR21;
wire VAR10;
wire VAR74;
reg [127:0] VAR87;
assign VAR59[VAR3:VAR91] = VAR61[127:0];
assign VAR59[VAR29:VAR63] = VAR6;
assign VAR59[VAR90] = VAR19;
assign VAR30 = VAR48[VAR53-1:0];
assign VAR18 = ~VAR92;
always @ ( VAR92 or VAR48) begin
if (VAR92)
VAR79 = VAR48 + 1'b1;
end
else
VAR79 = VAR48;
end
assign VAR36 = VAR92 & VAR59[VAR40] | ( VAR31
& VAR55
& VAR46 == VAR39
& VAR26 == VAR71);
assign VAR2 = ~(VAR15 == VAR58)
& (VAR33
| ~VAR17);
assign VAR10 = VAR85 == VAR51
& VAR2
& ~VAR25[VAR90];
assign VAR74 = VAR85 == VAR51
& VAR2
& VAR25[VAR90];
always @ ( VAR25) begin
if (VAR25[VAR40])
VAR87 = VAR25[VAR3:VAR91];
end
else begin
if (VAR25[VAR44])
VAR87 = {2{VAR25[63:0]}};
end
else
VAR87 = {2{VAR25[127:64]}};
end
end
assign VAR93 = 1'b1;
always @ ( VAR73 or VAR26
or VAR46 or VAR45 or VAR72
or VAR43 or VAR10 or VAR74 or VAR25
or VAR52) begin
if (VAR45) begin
VAR83 = VAR45 & ~VAR52;
VAR42 = 1'b0;
VAR54 = VAR43;
VAR5 = VAR72;
end
else if (VAR10 | VAR74) begin
VAR83 = VAR10 & ~VAR52;
VAR42 = VAR74 & ~VAR52;
VAR54 = VAR25[VAR69:VAR20];
VAR5 = VAR25[VAR88:VAR41];
end
else begin
VAR83 = 1'b0;
VAR42 = VAR73 & ~VAR52;
VAR54 = VAR46;
VAR5 = VAR26;
end
end
always @ ( VAR84 or VAR45 or VAR87) begin if (VAR45)
VAR68 = {2{VAR84}};
end
else
VAR68 = VAR87;
end
assign VAR31 = ~VAR45
& ~(VAR10 | VAR74)
& ~VAR52
& VAR73;
assign VAR21 = ~VAR52
& ~VAR45
& (VAR10 | VAR74);
always @ ( VAR85 or VAR21) begin
case (VAR85)
VAR51: begin
if (VAR21)
VAR13 = VAR65;
end
else
VAR13 = VAR51;
end
VAR65: VAR13 = VAR51;
default: begin
VAR13 = 1'VAR89;
VAR37 ("MODULE1", 49,"%VAR16 %VAR11: VAR85 = %VAR24", , VAR85);
end
endcase
end
always @ ( VAR21 or VAR15) begin
if (VAR21)
VAR80 = VAR15 + 1'b1;
end
else
VAR80 = VAR15;
end
assign VAR81 = VAR80[VAR53-1:0];
assign VAR75 = VAR80 == VAR48;
assign VAR60 = VAR32;
assign VAR38 = VAR67'd17;
assign VAR8 = VAR27;
assign VAR47 = (VAR83 & ~VAR45) | VAR42;
VAR56 #(1) VAR78
(.din(VAR13),
.clk(clk),
.VAR9(VAR9),
.VAR77(VAR85)
);
VAR56 #(VAR53+1) VAR82
(.din(VAR79),
.clk(clk),
.VAR9(VAR9),
.VAR77(VAR48)
);
VAR56 #(VAR53+1) VAR28
(.din(VAR80),
.clk(clk),
.VAR9(VAR9),
.VAR77(VAR15)
);
VAR56 #(VAR53+1) VAR66
(.din(VAR48),
.clk(clk),
.VAR9(VAR9),
.VAR77(VAR64)
);
VAR56 #(VAR53+1) VAR22
(.din(VAR64),
.clk(clk),
.VAR9(VAR9),
.VAR77(VAR58)
);
wire VAR12 = VAR15 == VAR48;
wire VAR14 = VAR48[VAR53] != VAR15[VAR53]
& VAR48[VAR53-1:0] == VAR15[VAR53-1:0];
always @ ( VAR92 or VAR14) begin
@clk;
if (VAR14 && VAR92)
VAR37 ("MODULE1", 49,"%VAR16 %VAR11: VAR49 - VAR70 VAR23!", );
end
always @ ( VAR12 or VAR21) begin
@clk;
if (VAR12 && VAR21)
VAR37 ("MODULE1", 49,"%VAR16 %VAR11: VAR49 - VAR70 VAR4!", );
end
wire VAR34 = ~(VAR15 == VAR58)
& ~VAR33
& VAR17;
endmodule | gpl-2.0 |
EPiCS/soundgates | hardware/design/reference/cf_lib/edk/pcores/axi_hdmi_rx_v1_00_a/hdl/verilog/embedded_sync_decoder.v | 4,055 | module MODULE1(
input clk,
input [15:0] VAR7,
output reg VAR9,
output reg VAR5,
output reg [15:0] VAR10
);
reg [15:0] VAR18 = 'd0;
reg VAR19 = 'd0;
reg VAR11 = 'd0;
reg [15:0] VAR6 = 'd0;
reg VAR14 = 'd0;
reg VAR4 = 'd0;
reg [15:0] VAR16 = 'd0;
reg VAR3 = 'd0;
reg VAR15 = 'd0;
reg [15:0] VAR2 = 'd0;
reg VAR13 = 'd0;
reg VAR1 = 'd0;
reg VAR8 = 'd0;
reg VAR17 = 'd0;
always @(posedge clk) begin
VAR18 <= VAR7;
VAR6 <= VAR18;
VAR16 <= VAR6;
VAR2 <= VAR16;
VAR10 <= VAR2;
VAR19 <= VAR8;
VAR11 <= VAR17;
VAR14 <= VAR19;
VAR4 <= VAR11;
VAR3 <= VAR14;
VAR15 <= VAR4;
VAR13 <= VAR3;
VAR1 <= VAR15;
VAR9 <= VAR8 & VAR13;
VAR5 <= VAR17 & VAR1;
end
reg [1:0] VAR12 = 'd0;
always @(posedge clk) begin
if ((VAR7 == 16'hffff) || (VAR7 == 16'h0000)) begin
VAR12 <= VAR12 + 1'b1;
end else begin
VAR12 <= 'd0;
end
if (VAR12 == 3'h3) begin
if ((VAR7 == 16'hb6b6) || (VAR7 == 16'h9d9d)) begin
VAR8 <= 1'b0;
VAR17 <= ~VAR7[13];
end else if ((VAR7 == 16'habab) || (VAR7 == 16'h8080)) begin
VAR8 <= 1'b1;
VAR17 <= ~VAR7[13];
end
end
end
endmodule | mit |
jck/myhdl | example/cookbook/bitonic/Array8Sorter.v | 19,991 | module MODULE1 (
VAR15,
VAR26,
VAR68,
VAR30,
VAR6,
VAR111,
VAR72,
VAR44,
VAR112,
VAR102,
VAR9,
VAR29,
VAR18,
VAR83,
VAR60,
VAR28
);
input [3:0] VAR15;
input [3:0] VAR26;
input [3:0] VAR68;
input [3:0] VAR30;
input [3:0] VAR6;
input [3:0] VAR111;
input [3:0] VAR72;
input [3:0] VAR44;
output [3:0] VAR112;
wire [3:0] VAR112;
output [3:0] VAR102;
wire [3:0] VAR102;
output [3:0] VAR9;
wire [3:0] VAR9;
output [3:0] VAR29;
wire [3:0] VAR29;
output [3:0] VAR18;
wire [3:0] VAR18;
output [3:0] VAR83;
wire [3:0] VAR83;
output [3:0] VAR60;
wire [3:0] VAR60;
output [3:0] VAR28;
wire [3:0] VAR28;
wire [3:0] VAR42;
wire [3:0] VAR78;
reg [3:0] VAR54;
reg [3:0] VAR93;
wire [3:0] VAR71;
wire [3:0] VAR94;
wire [3:0] VAR38;
wire [3:0] VAR7;
reg [3:0] VAR97;
reg [3:0] VAR2;
wire [3:0] VAR50;
wire [3:0] VAR1;
reg [3:0] VAR33;
reg [3:0] VAR87;
reg [3:0] VAR101;
reg [3:0] VAR34;
reg [3:0] VAR86;
reg [3:0] VAR69;
wire [3:0] VAR13;
wire [3:0] VAR52;
reg [3:0] VAR39;
reg [3:0] VAR21;
wire [3:0] VAR103;
wire [3:0] VAR8;
wire [3:0] VAR55;
wire [3:0] VAR14;
reg [3:0] VAR64;
reg [3:0] VAR75;
wire [3:0] VAR19;
wire [3:0] VAR59;
wire [3:0] VAR12;
wire [3:0] VAR36;
reg [3:0] VAR51;
reg [3:0] VAR62;
wire [3:0] VAR22;
wire [3:0] VAR100;
reg [3:0] VAR17;
reg [3:0] VAR95;
reg [3:0] VAR81;
reg [3:0] VAR76;
reg [3:0] VAR45;
reg [3:0] VAR24;
wire [3:0] VAR49;
wire [3:0] VAR47;
reg [3:0] VAR96;
reg [3:0] VAR107;
wire [3:0] VAR57;
wire [3:0] VAR63;
reg [3:0] VAR43;
reg [3:0] VAR23;
reg [3:0] VAR90;
reg [3:0] VAR48;
reg [3:0] VAR82;
reg [3:0] VAR98;
reg [3:0] VAR80;
reg [3:0] VAR11;
reg [3:0] VAR106;
reg [3:0] VAR16;
reg [3:0] VAR58;
reg [3:0] VAR88;
reg [3:0] VAR40;
reg [3:0] VAR35;
reg [3:0] VAR79;
reg [3:0] VAR110;
reg [3:0] VAR32;
reg [3:0] VAR3;
reg [3:0] VAR104;
reg [3:0] VAR105;
reg [3:0] VAR61;
reg [3:0] VAR67;
reg [3:0] VAR70;
reg [3:0] VAR5;
assign VAR42 = VAR15;
assign VAR78 = VAR26;
always @(VAR42, VAR78) begin: VAR66
VAR54 = VAR42;
VAR93 = VAR78;
if ((1'b1 == (VAR42 > VAR78))) begin
VAR54 = VAR78;
VAR93 = VAR42;
end
end
assign VAR71 = VAR54;
assign VAR94 = VAR93;
assign VAR38 = VAR68;
assign VAR7 = VAR30;
always @(VAR38, VAR7) begin: VAR37
VAR97 = VAR38;
VAR2 = VAR7;
if ((1'b0 == (VAR38 > VAR7))) begin
VAR97 = VAR7;
VAR2 = VAR38;
end
end
assign VAR50 = VAR97;
assign VAR1 = VAR2;
always @(VAR71, VAR50) begin: VAR74
VAR33 = VAR71;
VAR87 = VAR50;
if ((1'b1 == (VAR71 > VAR50))) begin
VAR33 = VAR50;
VAR87 = VAR71;
end
end
always @(VAR94, VAR1) begin: VAR73
VAR101 = VAR94;
VAR34 = VAR1;
if ((1'b1 == (VAR94 > VAR1))) begin
VAR101 = VAR1;
VAR34 = VAR94;
end
end
always @(VAR33, VAR101) begin: VAR41
VAR86 = VAR33;
VAR69 = VAR101;
if ((1'b1 == (VAR33 > VAR101))) begin
VAR86 = VAR101;
VAR69 = VAR33;
end
end
assign VAR13 = VAR86;
assign VAR52 = VAR69;
always @(VAR87, VAR34) begin: VAR85
VAR39 = VAR87;
VAR21 = VAR34;
if ((1'b1 == (VAR87 > VAR34))) begin
VAR39 = VAR34;
VAR21 = VAR87;
end
end
assign VAR103 = VAR39;
assign VAR8 = VAR21;
assign VAR55 = VAR6;
assign VAR14 = VAR111;
always @(VAR55, VAR14) begin: VAR27
VAR64 = VAR55;
VAR75 = VAR14;
if ((1'b1 == (VAR55 > VAR14))) begin
VAR64 = VAR14;
VAR75 = VAR55;
end
end
assign VAR19 = VAR64;
assign VAR59 = VAR75;
assign VAR12 = VAR72;
assign VAR36 = VAR44;
always @(VAR12, VAR36) begin: VAR25
VAR51 = VAR12;
VAR62 = VAR36;
if ((1'b0 == (VAR12 > VAR36))) begin
VAR51 = VAR36;
VAR62 = VAR12;
end
end
assign VAR22 = VAR51;
assign VAR100 = VAR62;
always @(VAR19, VAR22) begin: VAR99
VAR17 = VAR19;
VAR95 = VAR22;
if ((1'b0 == (VAR19 > VAR22))) begin
VAR17 = VAR22;
VAR95 = VAR19;
end
end
always @(VAR59, VAR100) begin: VAR84
VAR81 = VAR59;
VAR76 = VAR100;
if ((1'b0 == (VAR59 > VAR100))) begin
VAR81 = VAR100;
VAR76 = VAR59;
end
end
always @(VAR17, VAR81) begin: VAR108
VAR45 = VAR17;
VAR24 = VAR81;
if ((1'b0 == (VAR17 > VAR81))) begin
VAR45 = VAR81;
VAR24 = VAR17;
end
end
assign VAR49 = VAR45;
assign VAR47 = VAR24;
always @(VAR95, VAR76) begin: VAR77
VAR96 = VAR95;
VAR107 = VAR76;
if ((1'b0 == (VAR95 > VAR76))) begin
VAR96 = VAR76;
VAR107 = VAR95;
end
end
assign VAR57 = VAR96;
assign VAR63 = VAR107;
always @(VAR13, VAR49) begin: VAR65
VAR43 = VAR13;
VAR23 = VAR49;
if ((1'b1 == (VAR13 > VAR49))) begin
VAR43 = VAR49;
VAR23 = VAR13;
end
end
always @(VAR52, VAR47) begin: VAR56
VAR90 = VAR52;
VAR48 = VAR47;
if ((1'b1 == (VAR52 > VAR47))) begin
VAR90 = VAR47;
VAR48 = VAR52;
end
end
always @(VAR103, VAR57) begin: VAR10
VAR82 = VAR103;
VAR98 = VAR57;
if ((1'b1 == (VAR103 > VAR57))) begin
VAR82 = VAR57;
VAR98 = VAR103;
end
end
always @(VAR8, VAR63) begin: VAR20
VAR80 = VAR8;
VAR11 = VAR63;
if ((1'b1 == (VAR8 > VAR63))) begin
VAR80 = VAR63;
VAR11 = VAR8;
end
end
always @(VAR43, VAR82) begin: VAR109
VAR106 = VAR43;
VAR16 = VAR82;
if ((1'b1 == (VAR43 > VAR82))) begin
VAR106 = VAR82;
VAR16 = VAR43;
end
end
always @(VAR90, VAR80) begin: VAR91
VAR58 = VAR90;
VAR88 = VAR80;
if ((1'b1 == (VAR90 > VAR80))) begin
VAR58 = VAR80;
VAR88 = VAR90;
end
end
always @(VAR106, VAR58) begin: VAR89
VAR40 = VAR106;
VAR35 = VAR58;
if ((1'b1 == (VAR106 > VAR58))) begin
VAR40 = VAR58;
VAR35 = VAR106;
end
end
assign VAR112 = VAR40;
assign VAR102 = VAR35;
always @(VAR16, VAR88) begin: VAR4
VAR79 = VAR16;
VAR110 = VAR88;
if ((1'b1 == (VAR16 > VAR88))) begin
VAR79 = VAR88;
VAR110 = VAR16;
end
end
assign VAR9 = VAR79;
assign VAR29 = VAR110;
always @(VAR23, VAR98) begin: VAR31
VAR32 = VAR23;
VAR3 = VAR98;
if ((1'b1 == (VAR23 > VAR98))) begin
VAR32 = VAR98;
VAR3 = VAR23;
end
end
always @(VAR48, VAR11) begin: VAR92
VAR104 = VAR48;
VAR105 = VAR11;
if ((1'b1 == (VAR48 > VAR11))) begin
VAR104 = VAR11;
VAR105 = VAR48;
end
end
always @(VAR32, VAR104) begin: VAR53
VAR61 = VAR32;
VAR67 = VAR104;
if ((1'b1 == (VAR32 > VAR104))) begin
VAR61 = VAR104;
VAR67 = VAR32;
end
end
assign VAR18 = VAR61;
assign VAR83 = VAR67;
always @(VAR3, VAR105) begin: VAR46
VAR70 = VAR3;
VAR5 = VAR105;
if ((1'b1 == (VAR3 > VAR105))) begin
VAR70 = VAR105;
VAR5 = VAR3;
end
end
assign VAR60 = VAR70;
assign VAR28 = VAR5;
endmodule | lgpl-2.1 |
yipenghuang0302/csee4840_14 | software/peripheral/db/ip/ik_swift/submodules/altera_reset_controller.v | 12,025 | module MODULE1
parameter VAR14 = 6,
parameter VAR26 = 0,
parameter VAR4 = 0,
parameter VAR61 = 0,
parameter VAR10 = 0,
parameter VAR1 = 0,
parameter VAR40 = 0,
parameter VAR52 = 0,
parameter VAR11 = 0,
parameter VAR68 = 0,
parameter VAR37 = 0,
parameter VAR71 = 0,
parameter VAR77 = 0,
parameter VAR23 = 0,
parameter VAR35 = 0,
parameter VAR70 = 0,
parameter VAR58 = 0,
parameter VAR21 = "VAR25",
parameter VAR12 = 2,
parameter VAR62 = 0,
parameter VAR80 = 3,
parameter VAR19 = 11,
parameter VAR50 = 4,
parameter VAR74 = 0
)
(
input VAR43,
input VAR2,
input VAR48,
input VAR45,
input VAR34,
input VAR55,
input VAR33,
input VAR6,
input VAR76,
input VAR3,
input VAR36,
input VAR16,
input VAR32,
input VAR22,
input VAR41,
input VAR5,
input VAR17,
input VAR46,
input VAR31,
input VAR63,
input VAR59,
input VAR39,
input VAR30,
input VAR64,
input VAR72,
input VAR66,
input VAR65,
input VAR79,
input VAR8,
input VAR75,
input VAR53,
input VAR28,
input clk,
output reg VAR7,
output reg VAR57
);
localparam VAR20 = (VAR21 == "VAR25");
localparam VAR56 = 3;
localparam VAR49 = VAR56 + VAR80;
localparam VAR60 = VAR80 > VAR50 ? VAR80 : VAR50;
localparam VAR24 = (VAR56 > VAR60) ?
VAR19 + 1 :
(
(VAR19 > VAR60)?
VAR19 + (VAR60 - VAR56 + 1) + 1 :
VAR19 + VAR50 + VAR80 - VAR56 + 2
);
localparam VAR51 = VAR50 + 1;
wire VAR15;
wire VAR67;
wire VAR54;
wire VAR13;
reg [VAR49: 0] VAR44;
reg [VAR24-1: 0] VAR27;
reg VAR9;
reg VAR38;
assign VAR15 = (
VAR43 |
VAR2 |
VAR48 |
VAR45 |
VAR34 |
VAR55 |
VAR33 |
VAR6 |
VAR76 |
VAR3 |
VAR36 |
VAR16 |
VAR32 |
VAR22 |
VAR41 |
VAR5
);
assign VAR67 = (
( (VAR26 == 1) ? VAR17 : 1'b0) |
( (VAR4 == 1) ? VAR46 : 1'b0) |
( (VAR61 == 1) ? VAR31 : 1'b0) |
( (VAR10 == 1) ? VAR63 : 1'b0) |
( (VAR1 == 1) ? VAR59 : 1'b0) |
( (VAR40 == 1) ? VAR39 : 1'b0) |
( (VAR52 == 1) ? VAR30 : 1'b0) |
( (VAR11 == 1) ? VAR64 : 1'b0) |
( (VAR68 == 1) ? VAR72 : 1'b0) |
( (VAR37 == 1) ? VAR66 : 1'b0) |
( (VAR71 == 1) ? VAR65 : 1'b0) |
( (VAR77 == 1) ? VAR79 : 1'b0) |
( (VAR23 == 1) ? VAR8 : 1'b0) |
( (VAR35 == 1) ? VAR75 : 1'b0) |
( (VAR70 == 1) ? VAR53 : 1'b0) |
( (VAR58 == 1) ? VAR28 : 1'b0)
);
generate if (VAR21 == "none" && (VAR62==0)) begin
assign VAR54 = VAR15;
assign VAR13 = VAR67;
end else begin
VAR29
.VAR42 (VAR12),
.VAR20(VAR62? 1'b1 : VAR20)
)
VAR18
(
.clk (clk),
.VAR69 (VAR15),
.VAR7 (VAR54)
);
VAR29
.VAR42 (VAR12),
.VAR20(0)
)
VAR47
(
.clk (clk),
.VAR69 (VAR67),
.VAR7 (VAR13)
);
end
endgenerate
generate if ( ( (VAR62 == 0) && (VAR74==0) )|
( (VAR74 == 1) && (VAR21 != "VAR25") ) ) begin
always @* begin
VAR7 = VAR54;
VAR57 = VAR13;
end
end else if ( (VAR62 == 0) && (VAR74==1) ) begin
wire VAR73;
VAR29
.VAR42 (VAR12+1),
.VAR20(0)
)
VAR78
(
.clk (clk),
.VAR69 (VAR54),
.VAR7 (VAR73)
);
always @* begin
VAR7 = VAR73;
VAR57 = VAR13;
end
end
else begin
begin
begin
begin
begin
begin
end
begin
begin | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/o21bai/sky130_fd_sc_hd__o21bai_1.v | 2,329 | module MODULE2 (
VAR6 ,
VAR9 ,
VAR8 ,
VAR4,
VAR5,
VAR1,
VAR7 ,
VAR3
);
output VAR6 ;
input VAR9 ;
input VAR8 ;
input VAR4;
input VAR5;
input VAR1;
input VAR7 ;
input VAR3 ;
VAR10 VAR2 (
.VAR6(VAR6),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR6 ,
VAR9 ,
VAR8 ,
VAR4
);
output VAR6 ;
input VAR9 ;
input VAR8 ;
input VAR4;
supply1 VAR5;
supply0 VAR1;
supply1 VAR7 ;
supply0 VAR3 ;
VAR10 VAR2 (
.VAR6(VAR6),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/a211oi/sky130_fd_sc_ms__a211oi.functional.v | 1,457 | module MODULE1 (
VAR9 ,
VAR7,
VAR8,
VAR6,
VAR5
);
output VAR9 ;
input VAR7;
input VAR8;
input VAR6;
input VAR5;
wire VAR3 ;
wire VAR2;
and VAR10 (VAR3 , VAR7, VAR8 );
nor VAR4 (VAR2, VAR3, VAR6, VAR5);
buf VAR1 (VAR9 , VAR2 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/o2bb2a/sky130_fd_sc_hdll__o2bb2a_2.v | 2,414 | module MODULE1 (
VAR7 ,
VAR9,
VAR2,
VAR6 ,
VAR10 ,
VAR5,
VAR1,
VAR3 ,
VAR8
);
output VAR7 ;
input VAR9;
input VAR2;
input VAR6 ;
input VAR10 ;
input VAR5;
input VAR1;
input VAR3 ;
input VAR8 ;
VAR4 VAR11 (
.VAR7(VAR7),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR10(VAR10),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR7 ,
VAR9,
VAR2,
VAR6 ,
VAR10
);
output VAR7 ;
input VAR9;
input VAR2;
input VAR6 ;
input VAR10 ;
supply1 VAR5;
supply0 VAR1;
supply1 VAR3 ;
supply0 VAR8 ;
VAR4 VAR11 (
.VAR7(VAR7),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
Blunk-electronic/M-1 | HW/ise/executor_mini/src/hamdec.v | 3,239 | module MODULE1(
VAR1,
VAR4,
VAR2,
VAR8);
input [VAR6-1:0] VAR1; input [VAR7-1:0] VAR4;
output reg [VAR6-1:0] VAR2; output reg VAR8;
wire [3:0] VAR3;
assign #VAR5 VAR3[0] = VAR4[3] ^ VAR1[7] ^ VAR1[6] ^ VAR1[4] ^ VAR1[3] ^ VAR1[1];
assign #VAR5 VAR3[1] = VAR4[2] ^ VAR1[7] ^ VAR1[5] ^ VAR1[4] ^ VAR1[2] ^ VAR1[1];
assign #VAR5 VAR3[2] = VAR4[1] ^ VAR1[6] ^ VAR1[5] ^ VAR1[4] ^ VAR1[0];
assign #VAR5 VAR3[3] = VAR4[0] ^ VAR1[3] ^ VAR1[2] ^ VAR1[1] ^ VAR1[0];
always @(VAR3 or VAR1) begin
VAR2 = VAR1;
case (VAR3) 4'h0: begin
VAR8 = 0;
end
4'h1: begin
VAR8 = 1;
end
4'h2: begin
VAR8 = 1;
end
4'h4: begin
VAR8 = 1;
end
4'h8: begin
VAR8 = 1;
end
4'h3: begin
VAR2[7] = ~VAR1[7];
VAR8 = 1;
end
4'h5: begin
VAR2[6] = ~VAR1[6];
VAR8 = 1;
end
4'h6: begin
VAR2[5] = ~VAR1[5];
VAR8 = 1;
end
4'h7: begin
VAR2[4] = ~VAR1[4];
VAR8 = 1;
end
4'h9: begin
VAR2[3] = ~VAR1[3];
VAR8 = 1;
end
4'ha: begin
VAR2[2] = ~VAR1[2];
VAR8 = 1;
end
4'hb: begin
VAR2[1] = ~VAR1[1];
VAR8 = 1;
end
4'hc: begin
VAR2[0] = ~VAR1[0];
VAR8 = 1;
end
endcase
end
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/a311o/sky130_fd_sc_hd__a311o.behavioral.pp.v | 2,064 | module MODULE1 (
VAR3 ,
VAR12 ,
VAR14 ,
VAR4 ,
VAR18 ,
VAR5 ,
VAR7,
VAR8,
VAR15 ,
VAR1
);
output VAR3 ;
input VAR12 ;
input VAR14 ;
input VAR4 ;
input VAR18 ;
input VAR5 ;
input VAR7;
input VAR8;
input VAR15 ;
input VAR1 ;
wire VAR13 ;
wire VAR9 ;
wire VAR2;
and VAR16 (VAR13 , VAR4, VAR12, VAR14 );
or VAR6 (VAR9 , VAR13, VAR5, VAR18 );
VAR17 VAR10 (VAR2, VAR9, VAR7, VAR8);
buf VAR11 (VAR3 , VAR2 );
endmodule | apache-2.0 |
ptracton/vscale_soc | rtl/uart16550-1.5.4/rtl/verilog-backup/uart_regs.v | 15,401 | module MODULE1 (clk,
VAR35, VAR53, VAR31, VAR40, VAR36, VAR13,
VAR80,
VAR47, VAR42,
enable,
VAR55, VAR37, VAR22
);
input clk;
input VAR35;
input [VAR9-1:0] VAR53;
input [7:0] VAR31;
output [7:0] VAR40;
input VAR36;
input VAR13;
output VAR47;
input VAR42;
input [3:0] VAR80;
output enable;
output VAR55;
output VAR37;
output VAR22;
wire [3:0] VAR80;
reg enable;
wire VAR47; wire VAR42;
reg [7:0] VAR40;
wire [VAR9-1:0] VAR53;
wire [7:0] VAR31;
reg [3:0] VAR77;
reg [3:0] VAR83;
reg [1:0] VAR34; reg [4:0] VAR51;
reg [7:0] VAR52;
reg [7:0] VAR2;
reg [7:0] VAR65;
reg [15:0] VAR1; reg VAR6; reg VAR70;
reg VAR10; reg VAR4; reg [15:0] VAR89; reg VAR22;
reg [3:0] VAR93; reg VAR14;
reg VAR76;
wire VAR50; wire VAR90, VAR11, VAR18, VAR44; wire VAR54; wire VAR30, VAR7, VAR81, VAR17; wire VAR55, VAR37;
assign {VAR90, VAR11, VAR18, VAR44} = VAR80;
assign {VAR30, VAR7, VAR81, VAR17} = VAR54 ? {VAR51[VAR73],VAR51[VAR28],VAR51[VAR78],VAR51[VAR59]}
: ~{VAR90,VAR11,VAR18,VAR44};
assign VAR50 = VAR52[VAR72];
assign VAR54 = VAR51[4];
assign VAR55 = VAR51[VAR73];
assign VAR37 = VAR51[VAR28];
reg VAR95; reg VAR91; reg VAR39; reg VAR29; reg VAR25;
reg VAR64;
reg VAR74;
wire [VAR60-1:0] VAR56;
wire VAR68; wire [VAR38-1:0] VAR66;
wire [VAR38-1:0] VAR15;
wire [2:0] state;
wire [5:0] VAR33;
wire [3:0] VAR69;
wire VAR71;
VAR21 VAR87(clk, VAR35, VAR52, VAR64, VAR31, enable, VAR47, state, VAR15, VAR76);
VAR32 VAR97(clk, VAR35, VAR52, VAR74, VAR42, enable, VAR91,
VAR33, VAR69, VAR66, VAR56, VAR68, VAR43, VAR14, VAR71);
always @(VAR53 or VAR50 or VAR1 or VAR56 or VAR77 or VAR83 or VAR52 or VAR2 or VAR65)
begin
case (VAR53)
end
else
VAR40 <= VAR56[9:2];
default: VAR40 <= 8'b0; endcase
end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR74 <= 0;
end
else
if (VAR74) VAR74 <= 0;
else
if (VAR13 && VAR53 == VAR85 && !VAR50)
VAR74 <= 1; end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR70 <= 0;
end
else
if (VAR70)
VAR70 <= 0;
else
if (VAR13 && VAR53 == VAR3 && !VAR50)
VAR70 <= 1; end
assign VAR71 = VAR70;
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR10 <= 0;
end
else
if (VAR10)
VAR10 <= 0;
else
if (VAR13 && VAR53 == VAR84)
VAR10 <= 1; end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR4 <= 0;
end
else
if (VAR4 && !VAR2[VAR16] && (VAR15==0)) VAR4 <= 0;
else
if (VAR13 && VAR53 == VAR20)
VAR4 <= 1; end
always @(posedge clk or posedge VAR35)
if (VAR35)
VAR52 <= 8'b00000011; else
if (VAR36 && VAR53==VAR57)
VAR52 <= VAR31;
always @(posedge clk or posedge VAR35)
if (VAR35)
begin
VAR77 <= 4'b0000; VAR1[VAR67] <= 8'b0;
end
else
if (VAR36 && VAR53==VAR75)
if (VAR50)
begin
VAR1[VAR67] <= VAR31;
end
else
VAR77 <= VAR31[3:0];
always @(posedge clk or posedge VAR35)
if (VAR35) begin
VAR34 <= 2'b11;
VAR14 <= 0;
VAR76 <= 0;
end else
if (VAR36 && VAR53==VAR19) begin
VAR34 <= VAR31[7:6];
VAR14 <= VAR31[1];
VAR76 <= VAR31[2];
end else begin VAR14 <= 0;
VAR76 <= 0;
end
always @(posedge clk or posedge VAR35)
if (VAR35)
VAR51 <= 5'b0;
end
else
if (VAR36 && VAR53==VAR5)
VAR51 <= VAR31[4:0];
always @(posedge clk or posedge VAR35)
if (VAR35)
begin
VAR1[VAR61] <= 8'b0;
VAR64 <= 1'b0;
VAR6 <= 1'b0;
end
else
if (VAR36 && VAR53==VAR49)
if (VAR50)
begin
VAR1[VAR61] <= VAR31;
VAR6 <= 1'b1; VAR64 <= 1'b0;
end
else
begin
VAR64 <= 1'b1;
VAR6 <= 1'b0;
end
else
begin
VAR6 <= 1'b0;
VAR64 <= 1'b0;
end
always @(VAR34[VAR79])
case (VAR34[VAR79])
2'b00 : VAR93 = 1;
2'b01 : VAR93 = 4;
2'b10 : VAR93 = 8;
2'b11 : VAR93 = 14;
endcase
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR65 <= 0;
end
else begin
VAR65[VAR48:VAR27] <= VAR10 ? 4'b0 :
VAR65[VAR48:VAR27] | ({VAR17, VAR81, VAR7, VAR30} ^ VAR65[VAR26:VAR12]);
VAR65[VAR26:VAR12] <= {VAR17, VAR81, VAR7, VAR30};
end
end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR2 <= 8'b01100000;
end
else
if (VAR70)
VAR2 <= VAR2 & 8'b00000001;
else
begin
VAR2[0] <= (VAR66!=4'b0); VAR2[1] <= VAR43; VAR2[2] <= VAR56[1]; VAR2[3] <= VAR56[0]; VAR2[4] <= (VAR69==4'b0); VAR2[5] <= (VAR15==5'b0); VAR2[6] <= (VAR15==5'b0 && (state == 0)); VAR2[7] <= VAR68;
end
end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
begin
VAR89 <= 0;
enable <= 1'b0;
end
else
begin
if (VAR6)
begin
enable <= 1'b0;
VAR89 <= VAR1;
end
else
begin
if (VAR1!=0)
begin
if ( (VAR89-1)==0 )
begin
enable <= 1'b1;
VAR89 <= VAR1;
end
else
begin
enable <= 1'b0;
VAR89 <= VAR89 - 1;
end
end
else
begin
VAR89 <= 0;
enable <= 1'b0;
end
end
end
end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
begin
VAR95 <= 1'b0;
VAR91 <= 1'b0;
VAR39 <= 1'b0;
VAR29 <= 1'b0;
VAR25 <= 1'b0;
end
else
begin
VAR95 <= VAR77[VAR24] && (VAR2[VAR41] || VAR2[VAR62] || VAR2[VAR92] || VAR2[VAR88]);
VAR91 <= VAR77[VAR58] && (VAR66 >= {1'b0,VAR93});
VAR29 <= VAR4 ? 0 : VAR77[VAR86] && VAR2[VAR16];
VAR25 <= VAR77[VAR46] && (| VAR65[3:0]);
VAR39 <= VAR77[VAR58] && (VAR33 == 6'b0);
end
end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR22 <= 1'b0;
end
else
if (| {VAR95,VAR91,VAR29,VAR25,VAR39})
VAR22 <= 1'b1;
else
VAR22 <= 1'b0;
end
always @(posedge clk or posedge VAR35)
begin
if (VAR35)
VAR83 <= 1;
end
else
if (VAR95) begin
VAR83[VAR45] <= VAR8; VAR83[VAR23] <= 1'b0; end
else
if (VAR91)
begin
VAR83[VAR45] <= VAR96;
VAR83[VAR23] <= 1'b0;
end
else
if (VAR39)
begin
VAR83[VAR45] <= VAR94;
VAR83[VAR23] <= 1'b0;
end
else
if (VAR29)
begin
VAR83[VAR45] <= VAR82;
VAR83[VAR23] <= 1'b0;
end
else
if (VAR25)
begin
VAR83[VAR45] <= VAR63;
VAR83[VAR23] <= 1'b0;
end
else begin
VAR83[VAR23] <= 1'b1;
end
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/a21o/sky130_fd_sc_hd__a21o_4.v | 2,248 | module MODULE2 (
VAR10 ,
VAR2 ,
VAR1 ,
VAR4 ,
VAR9,
VAR3,
VAR5 ,
VAR8
);
output VAR10 ;
input VAR2 ;
input VAR1 ;
input VAR4 ;
input VAR9;
input VAR3;
input VAR5 ;
input VAR8 ;
VAR6 VAR7 (
.VAR10(VAR10),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR10 ,
VAR2,
VAR1,
VAR4
);
output VAR10 ;
input VAR2;
input VAR1;
input VAR4;
supply1 VAR9;
supply0 VAR3;
supply1 VAR5 ;
supply0 VAR8 ;
VAR6 VAR7 (
.VAR10(VAR10),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a211oi/sky130_fd_sc_hdll__a211oi.functional.pp.v | 2,064 | module MODULE1 (
VAR9 ,
VAR12 ,
VAR17 ,
VAR10 ,
VAR2 ,
VAR1,
VAR6,
VAR4 ,
VAR15
);
output VAR9 ;
input VAR12 ;
input VAR17 ;
input VAR10 ;
input VAR2 ;
input VAR1;
input VAR6;
input VAR4 ;
input VAR15 ;
wire VAR3 ;
wire VAR8 ;
wire VAR7;
and VAR11 (VAR3 , VAR12, VAR17 );
nor VAR14 (VAR8 , VAR3, VAR10, VAR2 );
VAR13 VAR16 (VAR7, VAR8, VAR1, VAR6);
buf VAR5 (VAR9 , VAR7 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/conb/sky130_fd_sc_hdll__conb.symbol.v | 1,278 | module MODULE1 (
output VAR6,
output VAR1
);
supply1 VAR5;
supply0 VAR3;
supply1 VAR4 ;
supply0 VAR2 ;
endmodule | apache-2.0 |
skyfex/svo-raycaster | raycaster2/divider.v | 1,164 | module MODULE1 (
VAR12, VAR8, VAR5, VAR7, clk, VAR6, VAR10, VAR11, VAR4
);
output reg VAR12;
output reg VAR8;
output reg VAR5;
input VAR7;
input clk;
input [3 : 0] VAR6;
output reg [3 : 0] VAR10;
input [31 : 0] VAR11;
output reg [31 : 0] VAR4;
wire signed [63:0] VAR3 = {28'b0, VAR6, 32'b0};
wire signed [63:0] VAR9 = {{32{VAR11[31]}}, VAR11};
wire signed [63:0] VAR1 = VAR3/VAR9;
reg signed [63:0] VAR2;
begin
begin
begin | mit |
SymbiFlow/fpga-tool-perf | src/bram/rom.v | 18,387 | module MODULE1 #
(
parameter VAR5 = 9 )
(
input wire VAR10,
input wire VAR2,
input wire VAR8,
input wire [VAR5-1:0] VAR1,
output wire VAR9,
output wire [31:0] VAR6
);
localparam VAR7 = (1<<VAR5);
reg [31:0] MODULE1 [0:VAR7-1];
reg VAR4;
reg [31:0] VAR3;
always @(posedge VAR10)
VAR3 <= MODULE1[VAR1];
always @(posedge VAR10 or posedge VAR2)
if (VAR2) VAR4 <= 1'd0;
else VAR4 <= VAR8;
assign VAR9 = VAR4;
assign VAR6 = VAR3; | isc |
cafe-alpha/wascafe | v11/fpga_firmware/wasca/synthesis/submodules/wasca_onchip_memory2_0.v | 2,943 | module MODULE1 (
address,
VAR3,
VAR14,
clk,
VAR5,
reset,
VAR23,
write,
VAR31,
VAR6
)
;
parameter VAR9 = "MODULE1.VAR21";
output [ 31: 0] VAR6;
input [ 11: 0] address;
input [ 3: 0] VAR3;
input VAR14;
input clk;
input VAR5;
input reset;
input VAR23;
input write;
input [ 31: 0] VAR31;
wire VAR11;
wire [ 31: 0] VAR6;
wire VAR35;
assign VAR35 = VAR14 & write;
assign VAR11 = VAR5 & ~VAR23;
VAR25 VAR15
(
.VAR1 (address),
.VAR28 (VAR3),
.VAR24 (clk),
.VAR11 (VAR11),
.VAR10 (VAR31),
.VAR12 (VAR6),
.VAR18 (VAR35)
);
VAR15.VAR16 = "VAR17",
VAR15.VAR27 = "VAR25",
VAR15.VAR34 = 4096,
VAR15.VAR8 = 4096,
VAR15.VAR29 = "VAR33",
VAR15.VAR32 = "VAR26",
VAR15.VAR30 = "VAR13",
VAR15.VAR2 = "VAR19",
VAR15.VAR7 = 32,
VAR15.VAR4 = 4,
VAR15.VAR22 = 12;
endmodule | gpl-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Maintain_Range.v | 1,084 | module MODULE1
(
VAR3,
VAR1
);
input signed [35:0] VAR3; output signed [17:0] VAR1;
wire signed [17:0] VAR2;
assign VAR2 = VAR3[35:18];
assign VAR1 = VAR2;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/o221ai/sky130_fd_sc_hs__o221ai_4.v | 2,330 | module MODULE2 (
VAR3 ,
VAR1 ,
VAR2 ,
VAR5 ,
VAR4 ,
VAR10 ,
VAR7,
VAR8
);
output VAR3 ;
input VAR1 ;
input VAR2 ;
input VAR5 ;
input VAR4 ;
input VAR10 ;
input VAR7;
input VAR8;
VAR6 VAR9 (
.VAR3(VAR3),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR3 ,
VAR1,
VAR2,
VAR5,
VAR4,
VAR10
);
output VAR3 ;
input VAR1;
input VAR2;
input VAR5;
input VAR4;
input VAR10;
supply1 VAR7;
supply0 VAR8;
VAR6 VAR9 (
.VAR3(VAR3),
.VAR1(VAR1),
.VAR2(VAR2),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
trun/fpgaboy | src/tv80/rtl/core/tv80n.v | 4,810 | module MODULE1 (
VAR20, VAR12, VAR15, VAR14, VAR2, VAR19, VAR18, VAR31, VAR17, do,
VAR7, clk, VAR23, VAR16, VAR30, VAR6, VAR1
);
parameter VAR29 = 0; parameter VAR24 = 0; parameter VAR26 = 1;
input VAR7;
input clk;
input VAR23;
input VAR16;
input VAR30;
input VAR6;
output VAR20;
output VAR12;
output VAR15;
output VAR14;
output VAR2;
output VAR19;
output VAR18;
output VAR31;
output [15:0] VAR17;
input [7:0] VAR1;
output [7:0] do;
reg VAR12;
reg VAR15;
reg VAR14;
reg VAR2;
reg VAR25;
reg VAR32;
reg VAR10;
reg VAR35;
wire VAR8;
wire VAR33;
wire VAR27;
wire write;
wire VAR22;
reg [7:0] VAR36;
wire [6:0] VAR13;
wire [6:0] VAR28;
assign VAR8 = 1;
VAR3 #(VAR29, VAR26) VAR34
(
.VAR8 (VAR8),
.VAR20 (VAR20),
.VAR22 (VAR22),
.VAR27 (VAR27),
.write (write),
.VAR19 (VAR19),
.VAR18 (VAR18),
.VAR23 (VAR23),
.VAR16 (VAR16),
.VAR30 (VAR30),
.VAR7 (VAR7),
.VAR6 (VAR6),
.VAR31 (VAR31),
.clk (clk),
.VAR21 (),
.VAR9 (),
.VAR17 (VAR17),
.VAR4 (VAR1),
.VAR1 (VAR36),
.do (do),
.VAR11 (VAR13),
.VAR5 (VAR28),
.VAR33 (VAR33)
);
always @*
begin
VAR25 = 1;
VAR10 = 1;
VAR32 = 1;
VAR35 = 1;
if (VAR13[0])
begin
if (VAR28[1] || VAR28[2])
begin
VAR10 = ~ VAR33;
VAR25 = ~ VAR33;
VAR32 = VAR33;
end
end else
begin
if ((VAR28[1] || VAR28[2]) && !VAR27 && !write)
begin
VAR10 = 1'b0;
VAR32 = ~ VAR22;
VAR25 = VAR22;
end
if (VAR24 == 0)
begin
if (VAR28[2] && write)
begin
VAR35 = 1'b0;
VAR32 = ~ VAR22;
VAR25 = VAR22;
end
end
else
begin
if ((VAR28[1] || (VAR28[2] && !VAR23)) && write)
begin
VAR35 = 1'b0;
VAR32 = ~ VAR22;
VAR25 = VAR22;
end
end end end
always @(negedge clk)
begin
if (!VAR7)
begin
VAR14 <= 1'b1;
VAR2 <= 1'b1;
VAR15 <= 1'b1;
VAR12 <= 1'b1;
end
else
begin
VAR14 <= VAR10;
VAR2 <= VAR35;
VAR15 <= VAR32;
VAR12 <= VAR25;
end end
always @(posedge clk)
begin
if (!VAR7)
begin
VAR36 <= 0;
end
else
begin
if (VAR28[2] && VAR23 == 1'b1)
VAR36 <= VAR1;
end end
endmodule | mit |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/clkbuf/gf180mcu_fd_sc_mcu7t5v0__clkbuf_4.behavioral.pp.v | 1,174 | module MODULE1( VAR4, VAR5, VAR2, VAR3 );
input VAR4;
inout VAR2, VAR3;
output VAR5;
VAR6 VAR1(.VAR4(VAR4),.VAR5(VAR5),.VAR2(VAR2),.VAR3(VAR3));
VAR6 VAR7(.VAR4(VAR4),.VAR5(VAR5),.VAR2(VAR2),.VAR3(VAR3)); | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o2111a/sky130_fd_sc_lp__o2111a_1.v | 2,448 | module MODULE2 (
VAR10 ,
VAR11 ,
VAR1 ,
VAR3 ,
VAR2 ,
VAR12 ,
VAR8,
VAR7,
VAR6 ,
VAR9
);
output VAR10 ;
input VAR11 ;
input VAR1 ;
input VAR3 ;
input VAR2 ;
input VAR12 ;
input VAR8;
input VAR7;
input VAR6 ;
input VAR9 ;
VAR5 VAR4 (
.VAR10(VAR10),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR9(VAR9)
);
endmodule
module MODULE2 (
VAR10 ,
VAR11,
VAR1,
VAR3,
VAR2,
VAR12
);
output VAR10 ;
input VAR11;
input VAR1;
input VAR3;
input VAR2;
input VAR12;
supply1 VAR8;
supply0 VAR7;
supply1 VAR6 ;
supply0 VAR9 ;
VAR5 VAR4 (
.VAR10(VAR10),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR2(VAR2),
.VAR12(VAR12)
);
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/oai211/gf180mcu_fd_sc_mcu9t5v0__oai211_4.functional.v | 1,443 | module MODULE1( VAR5, VAR14, VAR3, VAR2, VAR8 );
input VAR3, VAR14, VAR2, VAR8;
output VAR5;
wire VAR15;
not VAR16( VAR15, VAR3 );
wire VAR6;
not VAR9( VAR6, VAR14 );
wire VAR7;
and VAR13( VAR7, VAR15, VAR6 );
wire VAR1;
not VAR10( VAR1, VAR2 );
wire VAR4;
not VAR12( VAR4, VAR8 );
or VAR11( VAR5, VAR7, VAR1, VAR4 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o2bb2a/sky130_fd_sc_ls__o2bb2a_1.v | 2,398 | module MODULE1 (
VAR6 ,
VAR5,
VAR7,
VAR10 ,
VAR3 ,
VAR9,
VAR1,
VAR11 ,
VAR2
);
output VAR6 ;
input VAR5;
input VAR7;
input VAR10 ;
input VAR3 ;
input VAR9;
input VAR1;
input VAR11 ;
input VAR2 ;
VAR4 VAR8 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR3(VAR3),
.VAR9(VAR9),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR2(VAR2)
);
endmodule
module MODULE1 (
VAR6 ,
VAR5,
VAR7,
VAR10 ,
VAR3
);
output VAR6 ;
input VAR5;
input VAR7;
input VAR10 ;
input VAR3 ;
supply1 VAR9;
supply0 VAR1;
supply1 VAR11 ;
supply0 VAR2 ;
VAR4 VAR8 (
.VAR6(VAR6),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR10(VAR10),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/axi_ad9361/axi_ad9361_tdd_if.v | 4,484 | module MODULE1(
clk,
rst,
VAR14,
VAR2,
VAR8,
VAR10,
VAR13,
VAR4,
VAR16
);
parameter VAR7 = 0;
localparam VAR6 = 0;
localparam VAR11 = 1;
input clk;
input rst;
input VAR14;
input VAR2;
input VAR8;
input VAR10;
output VAR13;
output VAR4;
output [ 7:0] VAR16;
reg VAR3 = 1'b0;
reg VAR5 = 1'b0;
reg VAR9 = 1'b0;
reg VAR1 = 1'b0;
wire VAR15;
wire VAR12;
assign VAR15 = VAR2 & ~VAR14;
always @(posedge clk) begin
VAR3 <= VAR8;
VAR5 <= VAR10;
end
assign VAR12 = (VAR7 == VAR6) ?
((~VAR3 & VAR8) | (VAR3 & ~VAR8) |
(~VAR5 & VAR10) | (VAR5 & ~VAR10)) :
(VAR8 | VAR10);
always @(posedge clk) begin
if(rst == 1'b1) begin
VAR9 <= 1'b0;
VAR1 <= 1'b0;
end else begin
VAR9 <= VAR14 & VAR2;
VAR1 <= VAR8 & VAR10;
end
end
assign VAR16 = {6'b0, VAR1, VAR9};
assign VAR13 = VAR15;
assign VAR4 = VAR12;
endmodule | gpl-3.0 |
Willster419/ELEC3725_vivado_projects | assignment_1/alu32.v | 5,195 | module MODULE1 (VAR10, VAR15, VAR13, VAR17, VAR5, VAR4, VAR2);
output[31:0] VAR10; output VAR15, VAR13; input [31:0] VAR17, VAR5; input VAR4; input [2:0] VAR2;
wire [31:0] VAR14, VAR1, VAR11;
wire VAR7, VAR20;
MODULE6 MODULE5[31:0] (
.VAR10(VAR10),
.VAR1(VAR1),
.VAR11(VAR11),
.VAR17(VAR17),
.VAR5(VAR5),
.VAR14(VAR14),
.VAR2(VAR2)
);
MODULE4 MODULE5(
.VAR14(VAR14),
.VAR7(VAR7),
.VAR20(VAR20),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR11(VAR11)
);
MODULE8 MODULE6(
.VAR15(VAR15),
.VAR13(VAR13),
.VAR1(VAR7),
.VAR11(VAR20),
.VAR9(VAR14[31]),
.VAR4(VAR4)
);
endmodule
module MODULE6 (VAR10, VAR1, VAR11, VAR17, VAR5, VAR14, VAR2);
output VAR10, VAR1, VAR11;
input VAR17, VAR5, VAR14;
input [2:0] VAR2;
reg VAR1,VAR11,VAR10,VAR6,VAR8;
always @(VAR17,VAR5,VAR14,VAR2,VAR11,VAR1) begin
VAR8 = VAR2[0] ^ VAR5; VAR1 = VAR17 & VAR8; VAR11 = VAR17 ^ VAR8; VAR6 = VAR2[1] & VAR14; if(VAR2[2]==0)
begin
VAR10 = VAR11 ^ VAR6;
end
else if(VAR2[2]==1)
begin
if((VAR2[1]==0) & (VAR2[0]==0)) begin
VAR10 = VAR17 | VAR5; end
else if ((VAR2[1]==0) & (VAR2[0]==1)) begin
VAR10 = ~(VAR17|VAR5); end
else if ((VAR2[1]==1) & (VAR2[0]==0)) begin
VAR10 = VAR17&VAR5; end
else
VAR10 = 1;
end
end
endmodule
module MODULE8 (VAR15, VAR13, VAR1, VAR11, VAR9, VAR4);
output VAR15, VAR13;
input VAR1, VAR11, VAR9, VAR4;
assign VAR15 = VAR1|(VAR11&VAR4);
assign VAR13 = VAR15^VAR9;
endmodule
module MODULE5(VAR14, VAR7, VAR20, VAR4, VAR1, VAR11);
output [1:0] VAR14;
output VAR7;
output VAR20;
input VAR4;
input [1:0] VAR1;
input [1:0] VAR11;
assign VAR14[0] = VAR4;
assign VAR14[1] = VAR1[0] | ( VAR11[0] & VAR4 );
assign VAR7 = VAR1[1] | ( VAR11[1] & VAR1[0] );
assign VAR20 = VAR11[1] & VAR11[0];
endmodule
module MODULE3 (VAR14, VAR7, VAR20, VAR4, VAR1, VAR11);
output [3:0] VAR14;
output VAR7, VAR20;
input VAR4;
input [3:0] VAR1, VAR11;
wire [1:0] VAR6, VAR22, VAR21;
MODULE5 MODULE2(
.VAR14(VAR14[1:0]),
.VAR7(VAR22[0]),
.VAR20(VAR21[0]),
.VAR4(VAR6[0]),
.VAR1(VAR1[1:0]),
.VAR11(VAR11[1:0])
);
MODULE5 MODULE1(
.VAR14(VAR14[3:2]),
.VAR7(VAR22[1]),
.VAR20(VAR21[1]),
.VAR4(VAR6[1]),
.VAR1(VAR1[3:2]),
.VAR11(VAR11[3:2])
);
MODULE5 MODULE4(
.VAR14(VAR6),
.VAR7(VAR7),
.VAR20(VAR20),
.VAR4(VAR4),
.VAR1(VAR22),
.VAR11(VAR21)
);
endmodule
module MODULE2 (VAR14, VAR7, VAR20, VAR4, VAR1, VAR11);
output [7:0] VAR14;
output VAR7, VAR20;
input VAR4;
input [7:0] VAR1, VAR11;
wire [1:0] VAR6, VAR22, VAR21;
MODULE3 MODULE2(
.VAR14(VAR14[3:0]),
.VAR7(VAR22[0]),
.VAR20(VAR21[0]),
.VAR4(VAR6[0]),
.VAR1(VAR1[3:0]),
.VAR11(VAR11[3:0])
);
MODULE3 MODULE1(
.VAR14(VAR14[7:4]),
.VAR7(VAR22[1]),
.VAR20(VAR21[1]),
.VAR4(VAR6[1]),
.VAR1(VAR1[7:4]),
.VAR11(VAR11[7:4])
);
MODULE5 MODULE4(
.VAR14(VAR6),
.VAR7(VAR7),
.VAR20(VAR20),
.VAR4(VAR4),
.VAR1(VAR22),
.VAR11(VAR21)
);
endmodule
module MODULE7 (VAR14, VAR7, VAR20, VAR4, VAR1, VAR11);
output [15:0] VAR14;
output VAR7, VAR20;
input VAR4;
input [15:0] VAR1, VAR11;
wire [1:0] VAR6, VAR22, VAR21;
MODULE2 MODULE2(
.VAR14(VAR14[7:0]),
.VAR7(VAR22[0]),
.VAR20(VAR21[0]),
.VAR4(VAR6[0]),
.VAR1(VAR1[7:0]),
.VAR11(VAR11[7:0])
);
MODULE2 MODULE1(
.VAR14(VAR14[15:8]),
.VAR7(VAR22[1]),
.VAR20(VAR21[1]),
.VAR4(VAR6[1]),
.VAR1(VAR1[15:8]),
.VAR11(VAR11[15:8])
);
MODULE5 MODULE4(
.VAR14(VAR6),
.VAR7(VAR7),
.VAR20(VAR20),
.VAR4(VAR4),
.VAR1(VAR22),
.VAR11(VAR21)
);
endmodule
module MODULE4 (VAR14, VAR7, VAR20, VAR4, VAR1, VAR11);
output [31:0] VAR14;
output VAR7, VAR20;
input VAR4;
input [31:0] VAR1, VAR11;
wire [1:0] VAR6, VAR22, VAR21;
MODULE7 MODULE2(
.VAR14(VAR14[15:0]),
.VAR7(VAR22[0]),
.VAR20(VAR21[0]),
.VAR4(VAR6[0]),
.VAR1(VAR1[15:0]),
.VAR11(VAR11[15:0])
);
MODULE7 MODULE1(
.VAR14(VAR14[31:16]),
.VAR7(VAR22[1]),
.VAR20(VAR21[1]),
.VAR4(VAR6[1]),
.VAR1(VAR1[31:16]),
.VAR11(VAR11[31:16])
);
MODULE5 MODULE4(
.VAR14(VAR6),
.VAR7(VAR7),
.VAR20(VAR20),
.VAR4(VAR4),
.VAR1(VAR22),
.VAR11(VAR21)
);
endmodule | gpl-3.0 |
asicguy/gplgpu | hdl/de3d/des_top.v | 25,383 | module MODULE1
(
input VAR277, input VAR94, input VAR52, input VAR220, input VAR14,
input [1:0] VAR67, input VAR259,
input VAR197,
input VAR256, input VAR102, input VAR180,
input VAR227,
input VAR194,
input VAR31,
input [351:0] VAR36,
input [351:0] VAR158,
input [351:0] VAR254,
input VAR63, input VAR161,
input VAR16, input VAR43, input [31:0] VAR6, input [31:0] VAR76, input [31:0] VAR35, input [31:0] VAR151, input [2:0] VAR252, input [31:0] VAR195, input [31:0] VAR7,
output [7:0] VAR44, output [31:0] VAR193, output VAR253, output VAR114,
output VAR228,
output VAR204,
output VAR200, output [15:0] VAR121,
output [15:0] VAR273,
output [31:0] VAR192,
output [31:0] VAR240,
output [23:0] VAR187,
output [7:0] VAR166,
output VAR53,
output VAR147,
output VAR131, output VAR173, output [19:0] VAR130,
output [19:0] VAR97,
output [8:0] VAR125,
output [8:0] VAR206,
output VAR26,
output [3:0] VAR51,
output [5:0] VAR56,
output VAR188
);
wire [15:0] VAR231; wire [15:0] VAR251; wire [15:0] VAR196; wire [15:0] VAR156; wire VAR117;
wire [447:0] VAR22;
wire [447:0] VAR128;
wire [447:0] VAR142;
wire [95:0] VAR181;
wire [95:0] VAR27;
wire [95:0] VAR104;
wire [95:0] VAR96;
wire [95:0] VAR226;
wire [95:0] VAR112;
wire [95:0] VAR74;
wire [95:0] VAR132;
wire [95:0] VAR140;
wire [95:0] VAR45;
wire [95:0] VAR124;
wire [95:0] VAR50;
wire VAR154; wire VAR78; wire VAR238; wire VAR25; wire VAR280;
wire VAR2; wire VAR244;
wire VAR221;
wire VAR167;
wire VAR46;
wire VAR148;
wire VAR215;
wire VAR29;
wire VAR105;
wire VAR34;
wire [15:0] VAR101; wire [15:0] VAR139; wire [15:0] VAR164; wire [15:0] VAR86; wire [15:0] VAR65; wire [15:0] VAR269;
wire [23:0] VAR230; wire [23:0] VAR234; wire [23:0] VAR190; wire VAR28; wire VAR209; wire [2:0] VAR263;
wire VAR238; wire [31:0] VAR282;
wire [31:0] VAR89;
wire [31:0] VAR218;
wire [31:0] VAR163;
assign VAR28 = VAR234 < VAR230;
assign VAR209 = VAR190 < VAR230;
assign VAR263[0] = |VAR230;
assign VAR263[1] = |VAR234;
assign VAR263[2] = |VAR190;
assign VAR211 = VAR233(VAR223); assign {VAR230, VAR8} = VAR274(VAR108); assign VAR88 = VAR157;
assign VAR212 = VAR202;
assign VAR137 = VAR17;
assign VAR189 = VAR143;
assign VAR83 = VAR233(VAR70); assign {VAR234, VAR123} = VAR274(VAR93); assign VAR110 = VAR33;
assign VAR270 = VAR271;
assign VAR134 = VAR239;
assign VAR71 = VAR77;
assign VAR248 = VAR233(VAR264); assign {VAR190, VAR68} = VAR274(VAR55); assign VAR177 = VAR281;
assign VAR272 = VAR275;
assign VAR150 = VAR95;
assign VAR208 = VAR186;
assign VAR100 = VAR201(2'b00, VAR220, VAR4);
assign VAR175 = VAR201(2'b00, VAR220, VAR198);
assign VAR207 = VAR201(2'b00, VAR220, VAR144);
assign VAR266 = VAR201(2'b00, VAR220, VAR260);
assign VAR42 = VAR201(2'b00, VAR220, VAR146);
assign VAR57 = VAR201(2'b00, VAR220, VAR60);
assign VAR149 = VAR201(2'b00, VAR220, VAR246);
assign VAR210 = VAR201(2'b00, VAR220, VAR10);
assign VAR160 = VAR201(2'b00, VAR220, VAR219);
assign VAR54 = VAR201(2'b00, VAR220, VAR249);
assign VAR99 = VAR201(2'b00, VAR220, VAR213);
assign VAR138 = VAR201(2'b00, VAR220, VAR120);
assign VAR80 = VAR201(2'b11, 1'b0, VAR165);
assign VAR232 = VAR201(2'b10, 1'b0, VAR165);
assign VAR87 = VAR201(2'b01, 1'b0, VAR165);
assign VAR178 = VAR201(2'b00, 1'b0, VAR165);
assign VAR18 = VAR201(2'b11, 1'b0, VAR159);
assign VAR39 = VAR201(2'b10, 1'b0, VAR159);
assign VAR216 = VAR201(2'b01, 1'b0, VAR159);
assign VAR174 = VAR201(2'b00, 1'b0, VAR159);
assign VAR75 = VAR201(2'b11, 1'b0, VAR20);
assign VAR242 = VAR201(2'b10, 1'b0, VAR20);
assign VAR23 = VAR201(2'b01, 1'b0, VAR20);
assign VAR267 = VAR201(2'b00, 1'b0, VAR20);
wire VAR113;
wire VAR222;
wire VAR91;
wire [29:0] VAR141;
wire [2:0] VAR243;
wire [5:0] VAR107;
wire [31:0] VAR82;
wire [31:0] VAR182;
wire [31:0] VAR69;
wire [31:0] VAR205;
wire [31:0] VAR162;
wire [255:0] VAR59; wire VAR265;
VAR9 VAR129
(
.VAR277 (VAR277),
.VAR94 (VAR94),
.VAR107 (VAR107),
.VAR22 (VAR22),
.VAR128 (VAR128),
.VAR142 (VAR142),
.VAR63 (VAR63),
.VAR161 (VAR161),
.VAR16 (VAR16),
.VAR43 (VAR43),
.VAR141 (VAR141),
.VAR82 (VAR82),
.VAR243 (VAR243),
.VAR182 (VAR182),
.VAR154 (VAR154),
.VAR113 (VAR113),
.VAR222 (VAR222),
.VAR91 (VAR91),
.VAR69 (VAR69),
.VAR205 (VAR205),
.VAR162 (VAR162)
);
VAR64 VAR241
(
.VAR277 (VAR277),
.VAR94 (VAR94),
.VAR31 (VAR31),
.VAR154 (VAR154),
.VAR161 (VAR161),
.VAR141 (VAR141),
.VAR82 (VAR82),
.VAR243 (VAR243),
.VAR182 (VAR182),
.VAR263 ({VAR209, VAR28, VAR263}),
.VAR133 (VAR211),
.VAR3 (VAR8),
.VAR183 (VAR88),
.VAR98 (VAR212),
.VAR47 (VAR137),
.VAR245 (VAR189),
.VAR255 (VAR100),
.VAR41 (VAR175),
.VAR92 (VAR207),
.VAR258 (VAR266),
.VAR237 (VAR80),
.VAR111 (VAR232),
.VAR203 (VAR87),
.VAR30 (VAR178),
.VAR69 (VAR69),
.VAR205 (VAR205),
.VAR162 (VAR162),
.VAR84 (VAR248),
.VAR19 (VAR68),
.VAR265 (VAR265),
.VAR59 (VAR59),
.VAR181 (VAR181),
.VAR27 (VAR27),
.VAR104 (VAR104),
.VAR96 (VAR96),
.VAR226 (VAR226),
.VAR112 (VAR112),
.VAR74 (VAR74),
.VAR132 (VAR132),
.VAR140 (VAR140),
.VAR45 (VAR45),
.VAR124 (VAR124),
.VAR50 (VAR50),
.VAR25 (VAR25),
.VAR280 (VAR280),
.VAR78 (VAR78),
.VAR282 (VAR282),
.VAR89 (VAR89),
.VAR218 (VAR218),
.VAR163 (VAR163)
);
VAR38 VAR191
(
.VAR277 (VAR277),
.VAR94 (VAR94),
.VAR52 (VAR52),
.VAR161 (VAR161),
.VAR250 (VAR25),
.VAR81 (VAR280),
.VAR113 (VAR113),
.VAR222 (VAR222),
.VAR91 (VAR91),
.VAR253 (VAR253),
.VAR114 (VAR114),
.VAR107 (VAR107)
);
VAR169 VAR13
(
.VAR276 (VAR277),
.VAR168 (VAR94),
.VAR31 (VAR31),
.VAR265 (VAR265),
.VAR78 (VAR78),
.VAR67 (VAR67), .VAR259 (VAR259),
.VAR197 (VAR197),
.VAR180 (VAR180),
.VAR227 (VAR227),
.VAR194 (VAR194),
.VAR179 (VAR59),
.VAR244 (VAR244),
.VAR153 (VAR46),
.VAR58 (VAR29),
.VAR62 (VAR164), .VAR262 (VAR86), .VAR44 (VAR44), .VAR193 (VAR193) );
VAR15 VAR73
(
.VAR276 (VAR277),
.VAR168 (VAR94),
.VAR31 (VAR31),
.VAR265 (VAR265),
.VAR256 (VAR256),
.VAR235 (VAR231),
.VAR24 (VAR251),
.VAR171 (VAR196),
.VAR214 (VAR156),
.VAR227 (VAR227),
.VAR199 (VAR221),
.VAR155 (VAR148),
.VAR12 (VAR105),
.VAR62 (VAR65),
.VAR262 (VAR269),
.VAR115 (VAR188), .VAR176 (VAR34) );
assign VAR2 = VAR244 | VAR221;
assign VAR167 = VAR46 | VAR148;
assign VAR215 = VAR29 | VAR105;
assign VAR101 = (VAR34) ? VAR65 : VAR164;
assign VAR139 = (VAR34) ? VAR269 : VAR86;
assign VAR117 = (VAR34) ? VAR102 : 1'b1;
VAR217 VAR152
(
.clk (VAR277),
.VAR224 (VAR94),
.VAR2 (VAR2),
.VAR167 (VAR167),
.VAR215 (VAR215),
.VAR78 (VAR78),
.VAR252 (VAR252),
.VAR195 (VAR195),
.VAR7 (VAR7),
.VAR76 (VAR76),
.VAR6 (VAR6),
.VAR282 (VAR282),
.VAR89 (VAR89),
.VAR218 (VAR218),
.VAR163 (VAR163),
.VAR101 (VAR101),
.VAR139 (VAR139),
.VAR279 (VAR181),
.VAR5 (VAR27),
.VAR66 (VAR104),
.VAR32 (VAR96),
.VAR236 (VAR226),
.VAR109 (VAR112),
.VAR268 (VAR74),
.VAR229 (VAR132),
.VAR106 (VAR140),
.VAR257 (VAR45),
.VAR40 (VAR124),
.VAR90 (VAR50),
.VAR35 (VAR35),
.VAR151 (VAR151),
.VAR117 (VAR117),
.VAR228 (VAR228),
.VAR204 (VAR204),
.VAR200 (VAR200),
.VAR173 (VAR173),
.VAR72 (VAR121), .VAR79 (VAR273), .VAR48 (VAR192), .VAR240 (VAR240), .VAR187 (VAR187), .VAR166 (VAR166), .VAR130 (VAR130), .VAR97 (VAR97), .VAR51 (VAR51), .VAR56 (VAR56), .VAR53 (VAR53),
.VAR147 (VAR147),
.VAR126 (VAR26),
.VAR116 (VAR125),
.VAR49 (VAR206),
.VAR231 (VAR231),
.VAR251 (VAR251),
.VAR196 (VAR196),
.VAR156 (VAR156),
.VAR131 (VAR131)
);
function [31:0] VAR201;
input [1:0] VAR127;
input VAR136;
input [31:0] VAR118;
reg [7:0] VAR103;
begin
case(VAR127)
2'b00: VAR103 = VAR118[7:0];
2'b01: VAR103 = VAR118[15:8];
2'b10: VAR103 = VAR118[23:16];
2'b11: VAR103 = VAR118[31:24];
endcase
casex({VAR136, VAR103})
9'VAR85:VAR201 = VAR118;
9'b000000000:VAR201 = 32'h0;
9'VAR278:VAR201 = {1'b0, 8'h86, VAR103[6:0], 16'h0};
9'VAR185:VAR201 = {1'b0, 8'h85, VAR103[5:0], 17'h0};
9'VAR225:VAR201 = {1'b0, 8'h84, VAR103[4:0], 18'h0};
9'VAR1:VAR201 = {1'b0, 8'h83, VAR103[3:0], 19'h0};
9'VAR21:VAR201 = {1'b0, 8'h83, VAR103[2:0], 20'h0};
9'VAR135:VAR201 = {1'b0, 8'h81, VAR103[1:0], 21'h0};
9'VAR184:VAR201 = {1'b0, 8'h80, VAR103[0], 22'h0};
9'b000000001:VAR201 = {1'b0, 8'h7F, 23'h0};
endcase
end
endfunction
function [31:0] VAR233;
input [31:0] VAR145;
reg [23:0] VAR37;
begin
casex(VAR145[30:23])
8'VAR172: VAR37 = 24'b000000000000000000000000; 8'VAR247: VAR37 = 24'b000000000000000000000000; 8'VAR119: VAR37 = 24'b000000000000000000000000; 8'VAR122: VAR37 = 24'b000000000000000000000000; 8'VAR11: VAR37 = 24'b000000000000000000000000; 8'b01111010: VAR37 = 24'b000000000000000000000000; 8'b01111011: VAR37 = 24'b100000000000000000000000; 8'b01111100: VAR37 = 24'b110000000000000000000000; 8'b01111101: VAR37 = 24'b111000000000000000000000; 8'b01111110: VAR37 = 24'b111100000000000000000000; 8'b01111111: VAR37 = 24'b111110000000000000000000; 8'b10000000: VAR37 = 24'b111111000000000000000000; 8'b10000001: VAR37 = 24'b111111100000000000000000; 8'b10000010: VAR37 = 24'b111111110000000000000000; 8'b10000011: VAR37 = 24'b111111111000000000000000; 8'b10000100: VAR37 = 24'b111111111100000000000000; 8'b10000101: VAR37 = 24'b111111111110000000000000; 8'b10000110: VAR37 = 24'b111111111111000000000000; 8'b10000111: VAR37 = 24'b111111111111100000000000; 8'b10001000: VAR37 = 24'b111111111111110000000000; 8'b10001001: VAR37 = 24'b111111111111111000000000; 8'b10001010: VAR37 = 24'b111111111111111100000000; 8'b10001011: VAR37 = 24'b111111111111111110000000; 8'b10001100: VAR37 = 24'b111111111111111111000000; 8'b10001101: VAR37 = 24'b111111111111111111100000; 8'b10001110: VAR37 = 24'b111111111111111111110000; 8'b10001111: VAR37 = 24'b111111111111111111111000; 8'b10010000: VAR37 = 24'b111111111111111111111100; 8'b10010001: VAR37 = 24'b111111111111111111111110; 8'b10010010: VAR37 = 24'b111111111111111111111111; 8'b10010011: VAR37 = 24'b111111111111111111111111; 8'b10010100: VAR37 = 24'b111111111111111111111111; 8'b10010101: VAR37 = 24'b111111111111111111111111; default: VAR37 = 24'b111111111111111111111111; endcase
VAR233 = (VAR37[23]) ? {VAR145[31:23], (VAR37[22:0] & VAR145[22:0])} : 32'h0;
end
endfunction
function [35:0] VAR274;
input [31:0] VAR145;
reg [23:0] VAR37;
reg [3:0] VAR170;
begin
casex(VAR145[30:23])
8'VAR172: begin VAR37 = 24'b000000000000000000000000; VAR170 = 4'b0000; end 8'VAR247: begin VAR37 = 24'b000000000000000000000000; VAR170 = 4'b0000; end 8'VAR119: begin VAR37 = 24'b000000000000000000000000; VAR170 = 4'b0000; end 8'VAR122: begin VAR37 = 24'b000000000000000000000000; VAR170 = 4'b0000; end 8'VAR11: begin VAR37 = 24'b000000000000000000000000; VAR170 = 4'b0000; end 8'b01111010: begin VAR37 = 24'b000000000000000000000000; VAR170 = 4'b0000; end 8'b01111011: begin VAR37 = 24'b100000000000000000000000; VAR170 = 4'b0001; end 8'b01111100: begin VAR37 = 24'b110000000000000000000000; VAR170 = {3'b001, VAR145[22]}; end 8'b01111101: begin VAR37 = 24'b111000000000000000000000; VAR170 = {2'b01, VAR145[22:21]}; end 8'b01111110: begin VAR37 = 24'b111100000000000000000000; VAR170 = {1'b1, VAR145[22:20]}; end 8'b01111111: begin VAR37 = 24'b111110000000000000000000; VAR170 = VAR145[22:19]; end 8'b10000000: begin VAR37 = 24'b111111000000000000000000; VAR170 = VAR145[21:18]; end 8'b10000001: begin VAR37 = 24'b111111100000000000000000; VAR170 = VAR145[20:17]; end 8'b10000010: begin VAR37 = 24'b111111110000000000000000; VAR170 = VAR145[19:16]; end 8'b10000011: begin VAR37 = 24'b111111111000000000000000; VAR170 = VAR145[18:15]; end 8'b10000100: begin VAR37 = 24'b111111111100000000000000; VAR170 = VAR145[17:14]; end 8'b10000101: begin VAR37 = 24'b111111111110000000000000; VAR170 = VAR145[16:13]; end 8'b10000110: begin VAR37 = 24'b111111111111000000000000; VAR170 = VAR145[15:12]; end 8'b10000111: begin VAR37 = 24'b111111111111100000000000; VAR170 = VAR145[14:11]; end 8'b10001000: begin VAR37 = 24'b111111111111110000000000; VAR170 = VAR145[13:10]; end 8'b10001001: begin VAR37 = 24'b111111111111111000000000; VAR170 = VAR145[12:9]; end 8'b10001010: begin VAR37 = 24'b111111111111111100000000; VAR170 = VAR145[11:8]; end 8'b10001011: begin VAR37 = 24'b111111111111111110000000; VAR170 = VAR145[10:7]; end 8'b10001100: begin VAR37 = 24'b111111111111111111000000; VAR170 = VAR145[9:6]; end 8'b10001101: begin VAR37 = 24'b111111111111111111100000; VAR170 = VAR145[8:5]; end 8'b10001110: begin VAR37 = 24'b111111111111111111110000; VAR170 = VAR145[7:4]; end 8'b10001111: begin VAR37 = 24'b111111111111111111111000; VAR170 = VAR145[6:3]; end 8'b10010000: begin VAR37 = 24'b111111111111111111111100; VAR170 = VAR145[5:2]; end 8'b10010001: begin VAR37 = 24'b111111111111111111111110; VAR170 = VAR145[4:1]; end 8'b10010010: begin VAR37 = 24'b111111111111111111111111; VAR170 = VAR145[3:0]; end 8'b10010011: begin VAR37 = 24'b111111111111111111111111; VAR170 = {VAR145[2:0], 1'b0}; end 8'b10010100: begin VAR37 = 24'b111111111111111111111111; VAR170 = {VAR145[1:0], 2'b00}; end 8'b10010101: begin VAR37 = 24'b111111111111111111111111; VAR170 = {VAR145[0], 3'b0}; end default: begin VAR37 = 24'b111111111111111111111111; VAR170 = 4'b0000; end endcase
VAR274 = { VAR170, ((VAR37[23]) ? {VAR145[31:23], (VAR37[22:0] & VAR145[22:0])} : 32'h0)};
end
endfunction
function [23:0]VAR61;
input [31:0] VAR145;
reg [7:0] VAR261;
begin
VAR261 = VAR145[30:23];
case(VAR145[30:23])
8'd102: VAR61 = 24'h0;
8'd103: VAR61 = {23'h0, 1'b1};
8'd104: VAR61 = {22'h0, 1'b1, VAR145[22]};
8'd105: VAR61 = {21'h0, 1'b1, VAR145[22:21]};
8'd106: VAR61 = {20'h0, 1'b1, VAR145[22:20]};
8'd107: VAR61 = {19'h0, 1'b1, VAR145[22:19]};
8'd108: VAR61 = {18'h0, 1'b1, VAR145[22:18]};
8'd109: VAR61 = {17'h0, 1'b1, VAR145[22:17]};
8'd110: VAR61 = {16'h0, 1'b1, VAR145[22:16]};
8'd111: VAR61 = {15'h0, 1'b1, VAR145[22:15]};
8'd112: VAR61 = {14'h0, 1'b1, VAR145[22:14]};
8'd113: VAR61 = {13'h0, 1'b1, VAR145[22:13]};
8'd114: VAR61 = {12'h0, 1'b1, VAR145[22:12]};
8'd115: VAR61 = {11'h0, 1'b1, VAR145[22:11]};
8'd116: VAR61 = {10'h0, 1'b1, VAR145[22:10]};
8'd117: VAR61 = {9'h0, 1'b1, VAR145[22:9]};
8'd118: VAR61 = {8'h0, 1'b1, VAR145[22:8]};
8'd119: VAR61 = {7'h0, 1'b1, VAR145[22:7]};
8'd120: VAR61 = {6'h0, 1'b1, VAR145[22:6]};
8'd121: VAR61 = {5'h0, 1'b1, VAR145[22:5]};
8'd122: VAR61 = {4'h0, 1'b1, VAR145[22:4]};
8'd123: VAR61 = {3'h0, 1'b1, VAR145[22:3]};
8'd124: VAR61 = {2'h0, 1'b1, VAR145[22:2]};
8'd125: VAR61 = {1'h0, 1'b1, VAR145[22:1]};
8'd126: VAR61 = {1'b1, VAR145[22:0]}; 8'd127: VAR61 = {VAR145[22:0], 1'h0}; 8'd128: VAR61 = {VAR145[21:0], 2'h0}; 8'd129: VAR61 = {VAR145[20:0], 3'h0}; 8'd130: VAR61 = {VAR145[19:0], 4'h0}; 8'd131: VAR61 = {VAR145[18:0], 5'h0}; 8'd132: VAR61 = {VAR145[17:0], 6'h0}; 8'd133: VAR61 = {VAR145[16:0], 7'h0}; 8'd134: VAR61 = {VAR145[15:0], 8'h0}; 8'd135: VAR61 = {VAR145[14:0], 9'h0}; 8'd136: VAR61 = {VAR145[13:0], 10'h0}; 8'd137: VAR61 = {VAR145[12:0], 11'h0}; 8'd138: VAR61 = {VAR145[11:0], 12'h0}; 8'd139: VAR61 = {VAR145[10:0], 13'h0}; 8'd140: VAR61 = {VAR145[9:0], 14'h0}; 8'd141: VAR61 = {VAR145[8:0], 15'h0}; 8'd142: VAR61 = {VAR145[7:0], 16'h0}; 8'd143: VAR61 = {VAR145[6:0], 17'h0}; 8'd144: VAR61 = {VAR145[5:0], 18'h0}; 8'd145: VAR61 = {VAR145[4:0], 19'h0}; 8'd146: VAR61 = {VAR145[3:0], 20'h0}; 8'd147: VAR61 = {VAR145[2:0], 21'h0}; 8'd148: VAR61 = {VAR145[1:0], 22'h0}; 8'd149: VAR61 = {VAR145[0], 23'h0}; default: VAR61 = 24'h0; endcase
end
endfunction
endmodule | gpl-3.0 |
AleCher/ipstack | implementation/devboard_top.v | 4,120 | module MODULE1 #( parameter VAR58 = 32'd300000000 )(
output wire [7:0] VAR56,
input wire [7:0] VAR28,
input wire [3:0] VAR64,
input wire VAR85,
input wire VAR15,
input wire VAR37,
input wire VAR53,
input wire VAR82,
output wire VAR65,
output wire [7:0] VAR66,
output wire VAR89,
output wire VAR68,
output wire VAR69,
input wire [7:0] VAR43,
input wire VAR2,
input wire VAR47,
input wire VAR8,
input wire VAR26,
input wire VAR91,
input wire VAR75
);
wire VAR84;
wire VAR33;
wire VAR32 = VAR33 & !VAR64[0];
wire rst = ~VAR32;
assign VAR56[7:0] = {rst,rst,~rst, VAR64, 1'b0};
VAR7 #(
.VAR79(2.0), .VAR88(1), .VAR87(4), .VAR52("VAR80"), .VAR60(10.0), .VAR50("VAR4"), .VAR49("VAR4"), .VAR19("VAR13"), .VAR76("VAR62"), .VAR34("VAR74"), .VAR81("VAR74"), .VAR73("VAR30"), .VAR22(16'hf0f0), .VAR23(0), .VAR25("VAR80") ) VAR9 (
.VAR3(VAR3), .VAR39(VAR39), .VAR55(VAR55), .VAR51(VAR84), .VAR21(VAR21), .VAR59(VAR59), .VAR48(VAR48), .VAR45(VAR45), .VAR54(VAR54), .VAR31(VAR33), .VAR41(VAR41), .VAR38(VAR85), .VAR1(1'b0) );
wire VAR17;
wire VAR5;
wire [7:0] VAR6;
wire VAR36;
reg [15: 0] VAR72=0; wire VAR61;
wire VAR12;
VAR44 VAR63 (
.rst(rst),
.VAR84(VAR84),
.VAR16(VAR65),
.VAR42(VAR53),
.VAR35(VAR66),
.VAR40(VAR89),
.VAR71(VAR68),
.VAR57(VAR69),
.VAR90(VAR43),
.VAR70(VAR2),
.VAR27(VAR47),
.VAR14(VAR8),
.VAR11(VAR26),
.VAR20(VAR91),
.VAR18(VAR75),
.VAR17(VAR17),
.VAR6(VAR6),
.VAR36(VAR36),
.VAR72(VAR72),
.VAR5(VAR5),
.VAR61(VAR61),
.VAR12(VAR12)
);
wire [15:0] VAR78;
always @(VAR5) VAR72 <= VAR78<1472 ? VAR78 : 1472;
VAR10 VAR29 (
.rst(1'b0), .VAR77(VAR17), .din(VAR6), .VAR24(VAR36), .VAR67(VAR67),
.VAR46(VAR5), .VAR83(VAR12), .dout(VAR61), .VAR86(), .VAR78(VAR78) );
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/clkdlyinv3sd2/sky130_fd_sc_ls__clkdlyinv3sd2_1.v | 2,164 | module MODULE2 (
VAR4 ,
VAR5 ,
VAR1,
VAR7,
VAR2 ,
VAR8
);
output VAR4 ;
input VAR5 ;
input VAR1;
input VAR7;
input VAR2 ;
input VAR8 ;
VAR6 VAR3 (
.VAR4(VAR4),
.VAR5(VAR5),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR8(VAR8)
);
endmodule
module MODULE2 (
VAR4,
VAR5
);
output VAR4;
input VAR5;
supply1 VAR1;
supply0 VAR7;
supply1 VAR2 ;
supply0 VAR8 ;
VAR6 VAR3 (
.VAR4(VAR4),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o2111ai/sky130_fd_sc_ms__o2111ai.blackbox.v | 1,402 | module MODULE1 (
VAR2 ,
VAR10,
VAR7,
VAR6,
VAR8,
VAR3
);
output VAR2 ;
input VAR10;
input VAR7;
input VAR6;
input VAR8;
input VAR3;
supply1 VAR1;
supply0 VAR9;
supply1 VAR4 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
EliasLuiz/TCC | Leon3/lib/opencores/ge_1000baseX/ge_1000baseX_sync.v | 13,359 | module MODULE1(
input VAR1,
input reset,
input VAR8,
input [7:0] VAR49,
input VAR27,
output reg [7:0] VAR30,
output reg VAR12,
input VAR32,
input VAR20,
output reg VAR2,
output reg VAR22,
input VAR54,
output [3:0] VAR34
);
reg VAR19;
reg VAR10;
reg VAR23;
always @(posedge VAR1, posedge reset)
if (reset) VAR19 <= 0;
else
begin
if (VAR10) VAR19 <= 1;
end
else if (VAR23) VAR19 <= 0;
end
reg VAR53, VAR11;
always @(posedge VAR1, posedge reset)
if (reset)
VAR2 <= 0;
else
begin
if (VAR53) begin VAR2 <= 1; end
else if (VAR11) begin VAR2 <= 0; end
end
reg VAR46, VAR58, VAR55, VAR3;
always @(posedge VAR1, posedge reset)
if (reset)
VAR22 <= 1;
end
else
begin
if (VAR46) VAR22 <= 1;
end
else if (VAR58) VAR22 <= 1;
else if (VAR55) VAR22 <= 0;
else if (VAR3) VAR22 <= ~VAR22;
end
reg [7:0] VAR40; reg VAR16;
always @(posedge VAR1, posedge reset)
if (reset)
begin VAR40 <= 0; VAR16 <= 0; end
else
begin VAR40 <= VAR49; VAR16 <= VAR27; end
always @(posedge VAR1, posedge reset)
if (reset)
begin VAR30 <= 0; VAR12 <=0; end
else
begin VAR30 <= VAR40; VAR12 <= VAR16; end
assign VAR25 = (VAR40 == VAR14);
assign VAR41 = (VAR40 == VAR31);
assign VAR21 = (VAR40 == VAR33);
assign VAR57 = VAR25 | VAR41 | VAR21;
assign VAR13 = VAR57 & VAR16;
wire [4:0] VAR15; wire [2:0] VAR47;
assign VAR15 = VAR49[4:0];
assign VAR47 = VAR49[7:5];
reg VAR45;
always @(posedge VAR1, posedge reset)
VAR45 <= (reset) ? 0 : VAR32 | VAR20;
assign VAR17 = ~VAR45;
reg [2:0] VAR6;
reg VAR26, VAR37, VAR4;
always @(posedge VAR1, posedge reset)
if (reset)
VAR6 <= 0;
end
else
begin
if (VAR26) VAR6 <= 0;
end
else if (VAR4) VAR6 <= 1;
else if (VAR37) VAR6 <= VAR6 + 1 ;
end
assign VAR36 = (VAR6 == 3);
assign VAR50 = VAR45 | (VAR13 & VAR22);
assign VAR43 = ~VAR50;
enum logic [3:0] {
localparam
VAR52 = 0,
VAR44 = 1,
VAR39 = 2,
VAR18 = 3,
VAR5 = 4,
VAR35 = 5,
VAR56 = 6,
VAR48 = 7,
VAR28 = 8,
VAR38 = 9,
VAR29 = 10,
VAR24 = 11,
VAR51 = 12,
VAR42 = 13
} VAR9, VAR7;
; reg [3:0] VAR9, VAR7;
assign VAR34 = VAR9;
always @(posedge VAR1 or posedge reset)
VAR9 <= (reset) ? VAR52 : VAR7;
always @*
begin
VAR7 = VAR9;
VAR26 = 0; VAR37 = 0; VAR4 = 0;
VAR53 = 0; VAR11 = 0;
VAR46 = 0; VAR58 = 0; VAR55 = 0; VAR3 = 0;
VAR23 = 0; VAR10 = 0;
case (VAR9)
VAR52:
begin
if (VAR8) VAR7 = VAR44;
end
VAR44 :
begin
VAR11 = VAR2;
if (VAR13)
begin
VAR58 = 1; VAR7 = VAR39;
end
else
VAR3 = 1;
end
VAR39 :
begin
VAR3 = 1;
VAR7 = (~VAR16 & ~VAR50) ? VAR18 : VAR44;
end
VAR18:
begin
if (~VAR22 & VAR13)
begin
VAR58 = 1; VAR7 = VAR5;
end
else
begin
VAR3 = 1;
VAR7 = (~VAR13 & ~VAR45) ? VAR18 : VAR44;
end
end
VAR5:
begin
VAR3 = 1;
VAR7 = (~VAR16 & ~VAR50) ? VAR35 : VAR44;
end
VAR35:
begin
if (~VAR22 & VAR13)
begin
VAR58 = 1; VAR7 = VAR56;
end
else
begin
VAR3 = 1;
VAR7 = (~VAR13 & ~VAR45) ? VAR35 : VAR44;
end
end
VAR56:
begin
VAR3 = 1;
VAR7 = (~VAR16 & ~VAR50) ? VAR48 : VAR44;
VAR53 = ~VAR16;
end
VAR48:
begin
VAR3 = 1;
VAR7 = VAR43 ? VAR48 : VAR28;
end
VAR28:
begin
VAR3 = 1;
end
if (VAR43) VAR4 = 1; else VAR26 = 1;
VAR7 = VAR43 ? VAR24 : VAR38;
end
VAR38:
begin
VAR3 = 1;
end
if (VAR43) VAR4 = 1; else VAR26 = 1;
VAR7 = VAR43 ? VAR51: VAR29;
end
VAR29:
begin
VAR3 = 1;
end
if (VAR43) VAR4 = 1; else VAR26 = 1;
VAR7 = VAR43 ? VAR42: VAR44;
end
VAR24:
begin
VAR3 = 1; VAR37 = 1;
VAR7 = (VAR50) ? VAR38 :
(VAR36) ? VAR48 : VAR24;
end
VAR51:
begin
VAR3 = 1; VAR37 = 1;
VAR7 = (VAR50) ? VAR29 :
(VAR36) ? VAR28 : VAR51;
end
VAR42:
begin
VAR3 = 1; VAR37 = 1;
VAR7 = (VAR50) ? VAR44 :
(VAR36) ? VAR38 : VAR42;
end
endcase
end
endmodule | gpl-3.0 |
cr88192/bgbtech_bjx1core | bjx1c32b/ModTxtMemW.v | 3,788 | module MODULE1(VAR28, reset,
VAR32, VAR26,
VAR14, VAR2,
VAR30, VAR6, VAR27, VAR8, VAR7);
input VAR28;
input reset;
input[13:0] VAR32;
output[127:0] VAR26;
input[15:0] VAR14;
output[63:0] VAR2;
input[31:0] VAR30;
inout[31:0] VAR6;
input VAR27;
input VAR8;
output[1:0] VAR7;
reg[1:0] VAR15; reg[31:0] VAR5; wire VAR21;
assign VAR7 = (VAR27 && VAR21) ? VAR15 : 2'VAR33;
assign VAR6 = (VAR27 && VAR21) ? VAR5 : 32'VAR9;
assign VAR21 = (VAR30[31:16]==16'h0080);
reg[13:0] VAR12; reg[13:0] VAR31;
reg[31:0] VAR25[0:1023];
reg[31:0] VAR16[0:1023];
reg[31:0] VAR10[0:1023];
reg[31:0] VAR13[0:1023];
reg[63:0] VAR11[255:0];
reg[63:0] VAR18[127:0];
reg[63:0] VAR19[127:0];
reg[31:0] VAR4[7:0];
reg[127:0] VAR3;
reg[127:0] VAR1;
reg[15:0] VAR29;
reg[63:0] VAR17;
reg[63:0] VAR22;
reg[63:0] VAR20;
reg[63:0] VAR24;
reg[63:0] VAR23;
assign VAR26 = VAR3;
assign VAR2 = VAR17;
begin
begin
begin
end
begin
begin
end
begin
begin
end
begin | mit |
Lan-Hekary/ARM | DataMem.v | 1,223 | module MODULE1(VAR4,VAR1,VAR2,VAR7,VAR9);
parameter VAR8 = 32,
VAR5 = 128;
input [VAR8-1:0] VAR4;
input [VAR8-1:0] VAR2;
input VAR7,VAR9;
output [VAR8-1:0]VAR1;
reg [VAR8-1:0] VAR3 [0:VAR5-1];
integer VAR6;
begin | gpl-3.0 |
lerwys/bpm-sw-old-backup | hdl/ip_cores/pcie/7a200ffg1156/ddr_core/user_design/rtl/ip_top/mig_7series_v1_9_memc_ui_top_std.v | 36,339 | module MODULE1 #
(
parameter VAR33 = 100,
parameter VAR62 = 64,
parameter VAR30 = "VAR69",
parameter VAR204 = "0", parameter VAR86 = 3, parameter VAR97 = 2, parameter VAR235 = "8", parameter VAR164 = "VAR59", parameter VAR239 = "VAR300", parameter VAR218 = 1, parameter VAR108 = 5,
parameter VAR244 = 12, parameter VAR188 = "VAR91", parameter VAR166 = 1, parameter VAR238 = 1, parameter VAR103 = 5,
parameter VAR276 = 64,
parameter VAR232 = 5,
parameter VAR200 = 1,
parameter VAR21 = "VAR172", parameter VAR15 = 8, parameter VAR196 = 6, parameter VAR50 = 64, parameter VAR153 = 3, parameter VAR76 = 8, parameter VAR186 = "VAR249",
parameter VAR290 = 8, parameter VAR201 = "VAR300",
parameter VAR273 = 8,
parameter VAR150 = "VAR300",
parameter VAR140 = 31,
parameter VAR28 = 0, parameter VAR157 = 0, parameter VAR272 = 4,
parameter VAR234 = 2, parameter VAR67 = 1, parameter VAR147 = "VAR3",
parameter VAR8 = "VAR300",
parameter VAR287 = "VAR91",
parameter VAR203 = "VAR233", parameter VAR152 = "VAR296", parameter VAR144 = "VAR91", parameter VAR80 = "VAR126",
parameter VAR298 = "VAR257",
parameter VAR267 = "VAR300",
parameter VAR72 = "60",
parameter VAR297 = "120",
parameter VAR183 = 2,
parameter VAR130 = 2500, parameter VAR146 = 10000, parameter VAR38 = 40000, parameter VAR240 = 1000000, parameter VAR248 = 37500, parameter VAR82 = 12500, parameter VAR258 = 7800000, parameter VAR37 = 110000, parameter VAR137 = 12500, parameter VAR154 = 10000, parameter VAR123 = 7500, parameter VAR291 = 7500, parameter VAR121 = 128000000, parameter VAR132 = 64, parameter VAR236 = "VAR300", parameter VAR92 = "VAR91", parameter VAR11 = "VAR300",
parameter VAR98 = "VAR300",
parameter VAR143 = "VAR170",
parameter VAR303 = 1,
parameter VAR288 = 4,
parameter VAR74 = 1,
parameter VAR128 = 16, parameter VAR127 = 32,
parameter VAR34 = 8,
parameter VAR278 = 64,
parameter [3:0] VAR225 = 4'hF,
parameter [3:0] VAR295 = 4'hF,
parameter [3:0] VAR119 = 4'hF,
parameter [3:0] VAR179 = 4'hF,
parameter [3:0] VAR262 = 4'hF,
parameter [3:0] VAR212 = 4'hc,
parameter [3:0] VAR56 = 4'hf,
parameter [3:0] VAR36 = 4'hf,
parameter [3:0] VAR145 = 4'h0,
parameter [3:0] VAR101 = 4'h0,
parameter [47:0] VAR10 = 48'h000000000000,
parameter [47:0] VAR305 = 48'h000000000000,
parameter [47:0] VAR292 = 48'h000000000000,
parameter [143:0] VAR263
= 144'h000000000000000000000000000000000000,
parameter [191:0] VAR177
= 192'h000000000000000000000000000000000000000000000000,
parameter [35:0] VAR205 = 36'h000000000,
parameter [11:0] VAR304 = 12'h000,
parameter [7:0] VAR12 = 8'h00,
parameter [95:0] VAR269 = 96'h000000000000000000000000,
parameter [95:0] VAR70 = 96'h000000000000000000000000,
parameter VAR161 = "VAR54",
parameter [119:0] VAR160 = 120'h000000000000000000000000000000,
parameter [11:0] VAR4 = 12'h000,
parameter [11:0] VAR9 = 12'h000,
parameter [11:0] VAR224 = 12'h000,
parameter [143:0] VAR93
= 144'h000000000000000000000000000000000000,
parameter [95:0] VAR129 = 96'h000000000000000000000000,
parameter [95:0] VAR199 = 96'h000000000000000000000000,
parameter [95:0] VAR142 = 96'h000000000000000000000000,
parameter [95:0] VAR136 = 96'h000000000000000000000000,
parameter [95:0] VAR133 = 96'h000000000000000000000000,
parameter [95:0] VAR57 = 96'h000000000000000000000000,
parameter [95:0] VAR206 = 96'h000000000000000000000000,
parameter [95:0] VAR96 = 96'h000000000000000000000000,
parameter [95:0] VAR43 = 96'h000000000000000000000000,
parameter [95:0] VAR189 = 96'h000000000000000000000000,
parameter [95:0] VAR78 = 96'h000000000000000000000000,
parameter [95:0] VAR251 = 96'h000000000000000000000000,
parameter [95:0] VAR115 = 96'h000000000000000000000000,
parameter [95:0] VAR13 = 96'h000000000000000000000000,
parameter [95:0] VAR227 = 96'h000000000000000000000000,
parameter [95:0] VAR283 = 96'h000000000000000000000000,
parameter [95:0] VAR155 = 96'h000000000000000000000000,
parameter [95:0] VAR277 = 96'h000000000000000000000000,
parameter [107:0] VAR112 = 108'h000000000000000000000000000,
parameter [107:0] VAR53 = 108'h000000000000000000000000000,
parameter [7:0] VAR7 = 8'b00000001,
parameter [7:0] VAR202 = 8'b00000000,
parameter VAR120 = "VAR49",
parameter [15:0] VAR274 = 16'h0000, parameter [11:0] VAR286 = 12'h000, parameter [2:0] VAR165 = 3'h0, parameter VAR280 = "VAR300",
parameter VAR168 = 300.0,
parameter VAR198 = 1, parameter VAR27 = 1, parameter VAR242 = 1 )
(
input clk,
input VAR141,
input VAR63 ,
input VAR95 ,
input VAR51,
input VAR279 ,
input rst,
inout [VAR50-1:0] VAR194,
inout [VAR76-1:0] VAR88,
inout [VAR76-1:0] VAR122,
output [VAR128-1:0] VAR32,
output [VAR86-1:0] VAR185,
output VAR58,
output [VAR218-1:0] VAR219,
output [VAR218-1:0] VAR19,
output [VAR238-1:0] VAR247,
output [VAR166*VAR67-1:0] VAR18,
output [VAR15-1:0] VAR261,
output [VAR74-1:0] VAR1,
output VAR253,
output VAR208,
output VAR187,
output VAR167,
output [VAR97-1:0] VAR158,
input [VAR127-1:0] VAR271,
input [2:0] VAR281,
input VAR302,
input VAR118,
input [VAR278-1:0] VAR84,
input VAR192,
input [VAR34-1:0] VAR197,
input VAR81,
input VAR237,
input [2*VAR234-1:0] VAR175,
output [2*VAR234-1:0] VAR266,
output [VAR278-1:0] VAR113,
output VAR31,
output VAR109,
output VAR299,
output VAR135,
input VAR61,
output VAR156,
input VAR55,
output VAR48,
input VAR102,
output VAR26,
input [11:0] VAR169,
input VAR265,
input VAR231,
input VAR131,
input VAR149,
input VAR294,
input [VAR153-1:0] VAR245,
output [6*VAR76*VAR288-1:0] VAR65,
output [6*VAR76*VAR288-1:0] VAR301,
output [VAR76-1:0] VAR22,
output [2*VAR234*VAR50-1:0] VAR246,
output [1:0] VAR44,
output [1:0] VAR151,
output [1:0] VAR195,
output [5:0] VAR87,
output VAR90,
output VAR40,
output VAR180,
output VAR275,
output [6*VAR76-1:0] VAR116,
output [3*VAR76-1:0] VAR47,
output VAR209,
input VAR42,
input VAR138,
input [VAR153:0] VAR228,
input VAR6,
input VAR110,
input VAR284,
input VAR289,
input VAR77,
output [6*VAR76*VAR288-1:0] VAR230,
output [5*VAR76*VAR288-1:0] VAR45,
output VAR229,
output [6*VAR76-1:0] VAR193,
output [3*VAR76-1:0] VAR223,
output VAR52,
input VAR17,
output [6*VAR288-1:0] VAR259,
output [255:0] VAR214,
output [255:0] VAR171,
output [255:0] VAR14,
output [99:0] VAR293,
output [255:0] VAR210,
output [255:0] VAR100,
output [255:0] VAR71,
output [5:0] VAR264,
output [8:0] VAR268,
output VAR68,
output VAR285,
output VAR190,
output VAR60,
output VAR163,
output VAR191,
output VAR178,
output VAR134,
output VAR217,
output [11:0] VAR16,
output [11:0] VAR252,
output [6*VAR288-1:0] VAR75,
output [6*VAR288-1:0] VAR176,
output [5:0] VAR139,
output [5:0] VAR159,
output [5:0] VAR79,
output VAR66,
output VAR73,
output [255:0] VAR25,
output [VAR290*16 -1:0] VAR254
);
wire VAR23;
wire [2*VAR234-1:0] VAR29;
wire [2*VAR234-1:0] VAR181;
wire [2*VAR234-1:0] VAR104;
wire [VAR140-1:0] VAR215;
wire [VAR200-1:0] VAR220;
wire VAR107;
wire [VAR232-1:0] VAR106;
wire [VAR200-1:0] VAR105;
wire VAR250;
wire [VAR232-1:0] VAR24;
wire VAR83;
wire VAR148;
wire [2*VAR234*VAR62-1:0] VAR182;
wire VAR41;
wire VAR260;
wire VAR99;
wire [VAR128-1:0] VAR216;
wire [VAR303-1:0] VAR270;
wire VAR2;
wire [VAR232-1:0] VAR226;
wire [VAR244-1:0] VAR173;
wire [2:0] VAR89;
wire [VAR86-1:0] VAR174;
wire [2*VAR234*VAR62-1:0] VAR207;
wire [2*VAR234*VAR62/8-1:0] VAR124;
wire VAR243;
wire VAR256;
wire VAR221;
wire VAR39;
wire VAR117;
wire VAR282;
wire VAR184;
wire VAR211;
wire VAR85;
reg reset ;
always @(posedge clk)
VAR222 #
(
.VAR33 (VAR33),
.VAR62 (VAR62),
.VAR30 (VAR30),
.VAR204 (VAR204),
.VAR86 (VAR86),
.VAR97 (VAR97),
.VAR235 (VAR235),
.VAR164 (VAR164),
.VAR239 (VAR239),
.VAR218 (VAR218),
.VAR244 (VAR244),
.VAR188 (VAR188),
.VAR166 (VAR166),
.VAR67 (VAR67),
.VAR238 (VAR238),
.VAR276 (VAR276),
.VAR232 (VAR232),
.VAR28 (VAR28),
.VAR200 (VAR200),
.VAR21 (VAR21),
.VAR15 (VAR15),
.VAR196 (VAR196),
.VAR50 (VAR50),
.VAR153 (VAR153),
.VAR76 (VAR76),
.VAR186 (VAR186),
.VAR290 (VAR290),
.VAR201 (VAR201),
.VAR273 (VAR273),
.VAR140 (VAR140),
.VAR168 (VAR168),
.VAR157 (VAR157),
.VAR272 (VAR272),
.VAR234 (VAR234),
.VAR147 (VAR147),
.VAR298 (VAR298),
.VAR8 (VAR8),
.VAR287 (VAR287),
.VAR203 (VAR203),
.VAR152 (VAR152),
.VAR144 (VAR144),
.VAR80 (VAR80),
.VAR267 (VAR267),
.VAR72 (VAR72),
.VAR297 (VAR297),
.VAR108 (VAR108),
.VAR103 (VAR103),
.VAR130 (VAR130),
.VAR146 (VAR146),
.VAR38 (VAR38),
.VAR240 (VAR240),
.VAR248 (VAR248),
.VAR82 (VAR82),
.VAR258 (VAR258),
.VAR37 (VAR37),
.VAR137 (VAR137),
.VAR154 (VAR154),
.VAR123 (VAR123),
.VAR291 (VAR291),
.VAR121 (VAR121),
.VAR132 (VAR132),
.VAR236 (VAR236),
.VAR92 (VAR92),
.VAR11 (VAR11),
.VAR98 (VAR98),
.VAR143 (VAR143),
.VAR303 (VAR303),
.VAR288 (VAR288),
.VAR74 (VAR74),
.VAR128 (VAR128),
.VAR280 (VAR280),
.VAR225 (VAR225),
.VAR295 (VAR295),
.VAR119 (VAR119),
.VAR179 (VAR179),
.VAR262 (VAR262),
.VAR212 (VAR212),
.VAR56 (VAR56),
.VAR36 (VAR36),
.VAR145 (VAR145),
.VAR101 (VAR101),
.VAR10 (VAR10),
.VAR305 (VAR305),
.VAR292 (VAR292),
.VAR263 (VAR263),
.VAR177 (VAR177),
.VAR205 (VAR205),
.VAR304 (VAR304),
.VAR12 (VAR12),
.VAR269 (VAR269),
.VAR70 (VAR70),
.VAR161 (VAR161),
.VAR160 (VAR160),
.VAR4 (VAR4),
.VAR9 (VAR9),
.VAR224 (VAR224),
.VAR93 (VAR93),
.VAR129 (VAR129),
.VAR199 (VAR199),
.VAR142 (VAR142),
.VAR136 (VAR136),
.VAR133 (VAR133),
.VAR57 (VAR57),
.VAR206 (VAR206),
.VAR96 (VAR96),
.VAR43 (VAR43),
.VAR189 (VAR189),
.VAR78 (VAR78),
.VAR251 (VAR251),
.VAR115 (VAR115),
.VAR13 (VAR13),
.VAR227 (VAR227),
.VAR283 (VAR283),
.VAR155 (VAR155),
.VAR277 (VAR277),
.VAR112 (VAR112),
.VAR53 (VAR53),
.VAR7 (VAR7),
.VAR202 (VAR202),
.VAR274 (VAR274),
.VAR286 (VAR286),
.VAR165 (VAR165),
.VAR183 (VAR183),
.VAR198 (VAR198),
.VAR27 (VAR27),
.VAR242 (VAR242)
)
VAR94
(
.clk (clk),
.VAR141 (VAR141),
.VAR63 (VAR63), .VAR95 (VAR95),
.VAR51 (VAR51),
.VAR279 (VAR279),
.rst (rst),
.VAR211 (VAR211),
.reset (reset),
.VAR184 (VAR184),
.VAR194 (VAR194),
.VAR88 (VAR88),
.VAR122 (VAR122),
.VAR32 (VAR32),
.VAR185 (VAR185),
.VAR58 (VAR58),
.VAR219 (VAR219),
.VAR19 (VAR19),
.VAR247 (VAR247),
.VAR18 (VAR18),
.VAR261 (VAR261),
.VAR1 (VAR1),
.VAR253 (VAR253),
.VAR208 (VAR208),
.VAR187 (VAR187),
.VAR167 (VAR167),
.VAR255 (VAR7),
.VAR125 (VAR202),
.VAR23 (VAR23),
.VAR174 (VAR174),
.VAR89 (VAR89),
.VAR173 (VAR173),
.VAR226 (VAR226),
.VAR207 (VAR207),
.VAR124 (VAR124),
.VAR270 (VAR270),
.VAR29 (VAR29),
.VAR216 (VAR216),
.VAR2 (VAR2),
.VAR99 (VAR99),
.VAR260 (VAR260),
.VAR83 (VAR83),
.VAR148 (VAR148),
.VAR181 (VAR181),
.VAR104 (VAR104),
.VAR215 (VAR215),
.VAR182 (VAR182),
.VAR24 (VAR24),
.VAR250 (VAR250),
.VAR41 (VAR41),
.VAR105 (VAR105),
.VAR106 (VAR106),
.VAR107 (VAR107),
.VAR220 (VAR220),
.VAR158 (VAR158),
.VAR209 (VAR209),
.VAR85 (VAR85),
.VAR61 (VAR243),
.VAR156 (VAR256),
.VAR55 (VAR221),
.VAR48 (VAR39),
.VAR102 (VAR117),
.VAR26 (VAR282),
.VAR169 (VAR169),
.VAR131 (VAR131),
.VAR265 (VAR265),
.VAR149 (VAR149),
.VAR231 (VAR231),
.VAR245 (VAR245),
.VAR294 (VAR294),
.VAR214 (VAR214),
.VAR65 (VAR65),
.VAR301 (VAR301),
.VAR14 (VAR14),
.VAR293 (VAR293),
.VAR116 (VAR116),
.VAR47 (VAR47),
.VAR22 (VAR22),
.VAR246 (VAR246),
.VAR44 (VAR44),
.VAR151 (VAR151),
.VAR195 (VAR195),
.VAR87 (VAR87),
.VAR90 (VAR90),
.VAR40 (VAR40),
.VAR180 (VAR180),
.VAR275 (VAR275),
.VAR42 (VAR42),
.VAR138 (VAR138),
.VAR228 (VAR228),
.VAR6 (VAR6),
.VAR110 (VAR110),
.VAR284 (VAR284),
.VAR289 (VAR289),
.VAR77 (VAR77),
.VAR230 (VAR230),
.VAR45 (VAR45),
.VAR229 (VAR229),
.VAR193 (VAR193),
.VAR223 (VAR223),
.VAR171 (VAR171),
.VAR264 (VAR264),
.VAR268 (VAR268),
.VAR52 (VAR52),
.VAR17 (VAR17),
.VAR259 (VAR259),
.VAR210 (VAR210),
.VAR100 (VAR100),
.VAR71 (VAR71),
.VAR68 (VAR68),
.VAR285 (VAR285),
.VAR190 (VAR190),
.VAR60 (VAR60),
.VAR163 (VAR163),
.VAR191 (VAR191),
.VAR178 (VAR178),
.VAR134 (VAR134),
.VAR217 (VAR217),
.VAR16 (VAR16),
.VAR252 (VAR252),
.VAR75 (VAR75),
.VAR176 (VAR176),
.VAR139 (VAR139),
.VAR159 (VAR159),
.VAR79 (VAR79),
.VAR25 (VAR25),
.VAR254 (VAR254),
.VAR66 (VAR66),
.VAR73 (VAR73)
);
VAR46 #
(
.VAR33 (VAR33),
.VAR278 (VAR278),
.VAR34 (VAR34),
.VAR86 (VAR86),
.VAR244 (VAR244),
.VAR103 (VAR103),
.VAR232 (VAR232),
.VAR201 (VAR201),
.VAR150 (VAR150),
.VAR234 (VAR234),
.VAR147 (VAR147),
.VAR288 (VAR288),
.VAR303 (VAR303),
.VAR128 (VAR128),
.VAR120 (VAR120)
)
VAR111
(
.VAR124 (VAR124[VAR34-1:0]),
.VAR207 (VAR207[VAR278-1:0]),
.VAR260 (VAR260),
.VAR99 (VAR99),
.VAR216 (VAR216),
.VAR29 (VAR29),
.VAR270 (VAR270),
.VAR2 (VAR2),
.VAR226 (VAR226),
.VAR173 (VAR173),
.VAR89 (VAR89),
.VAR174 (VAR174),
.VAR135 (VAR135),
.VAR299 (VAR299),
.VAR109 (VAR109),
.VAR31 (VAR31),
.VAR113 (VAR113),
.VAR266 (VAR266),
.VAR23 (VAR23),
.VAR220 (VAR220),
.VAR107 (VAR107),
.VAR106 (VAR106),
.rst (reset),
.VAR105 (VAR105),
.VAR41 (VAR41),
.VAR250 (VAR250),
.VAR24 (VAR24),
.VAR182 (VAR182[VAR278-1:0]),
.VAR104 (VAR104),
.clk (clk),
.VAR81 (VAR81),
.VAR197 (VAR197),
.VAR192 (VAR192),
.VAR84 (VAR84),
.VAR35 (1'b1),
.VAR175 (VAR175),
.VAR118 (VAR118),
.VAR302 (VAR302),
.VAR281 (VAR281),
.VAR271 (VAR271),
.VAR148 (VAR148),
.VAR83 (VAR83),
.VAR64 (VAR237),
.VAR61 (VAR61),
.VAR213 (VAR243),
.VAR162 (VAR256),
.VAR156 (VAR156),
.VAR55 (VAR55),
.VAR20 (VAR221),
.VAR5 (VAR39),
.VAR48 (VAR48),
.VAR102 (VAR102),
.VAR241 (VAR117),
.VAR114 (VAR282),
.VAR26 (VAR26)
);
endmodule | lgpl-3.0 |
lynxis/lpc_sniffer | ringbuffer.v | 1,331 | module MODULE1 #(parameter VAR10 = 8, VAR7 = 48)
(
input reset,
input VAR8,
input VAR14,
input VAR12,
output [VAR7-1:0] VAR2,
input [VAR7-1:0] VAR5,
output reg VAR15,
output reg VAR9);
reg [VAR10-1:0] VAR4;
reg [VAR10-1:0] VAR13;
reg [VAR10-1:0] VAR3;
wire VAR6;
wire VAR11;
assign VAR15 = VAR13 == VAR3;
assign VAR9 = VAR4 == VAR13;
always @(negedge reset or negedge VAR8) begin
if (~reset) begin
VAR3 <= 0;
VAR4 <= 1;
end
else
if (VAR12)
if (~VAR9) begin
VAR3 <= VAR3 + 1;
VAR4 <= VAR4 + 1;
end
end
always @(negedge reset or negedge VAR8) begin
if (~reset) begin
VAR13 <= 0;
end
else begin
if (VAR14)
if (~VAR15)
VAR13 <= VAR13 + 1;
end
end
assign VAR6 = ~VAR15 & VAR14;
assign VAR11 = ~VAR9 & VAR12;
buffer #(.VAR10(VAR10), .VAR7(VAR7))
VAR1 (
.VAR8(VAR8),
.VAR12(VAR11),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR14(VAR6),
.VAR2(VAR2),
.VAR13(VAR13));
endmodule | gpl-3.0 |
gbraad/minimig-de1 | rtl/or1200/or1200_spram_1024x32_bw.v | 11,536 | module MODULE1(
VAR68, VAR65, VAR9,
clk, rst, VAR32, VAR40, VAR11, addr, VAR69, VAR24
);
input VAR68;
input [VAR49 - 1:0] VAR9; output VAR65;
input clk; input rst; input VAR32; input [3:0] VAR40; input VAR11; input [9:0] addr; input [31:0] VAR69; output [31:0] VAR24;
assign VAR65 = VAR68;
VAR15 VAR59(
VAR10 VAR59(
VAR15 VAR59(
.VAR68(VAR68),
.VAR65(VAR65),
.VAR9(VAR9),
.VAR8(clk),
.VAR29(~VAR32),
.VAR67(~VAR40),
.VAR18(addr),
.VAR2(VAR69),
.VAR30(~VAR11),
.VAR23(VAR24)
);
VAR27 VAR27(
.VAR16(~VAR40),
.VAR60(),
.VAR5(~VAR11),
.VAR13(),
.VAR17(),
.VAR52(addr),
.VAR7(addr),
.VAR69(VAR69),
.VAR24(VAR24)
);
VAR54 VAR54(
.clk(clk),
.VAR61(addr),
.VAR19(VAR69),
.VAR40(VAR40),
.VAR11(VAR11),
.VAR6(VAR32),
.VAR46(VAR24)
);
wire VAR39;
wire VAR34;
wire VAR43;
wire VAR48;
wire VAR41;
wire VAR63;
wire VAR22;
wire VAR31;
assign VAR39 = VAR68;
assign VAR34 = VAR41;
assign VAR43 = VAR63;
assign VAR48 = VAR22;
assign VAR65 = VAR31;
VAR56 VAR3(
VAR28 VAR3(
VAR56 VAR3(
.VAR68(VAR39),
.VAR65(VAR41),
.VAR9(VAR9),
.VAR25(clk),
.VAR55(addr),
.VAR36(VAR69[7:0]),
.VAR67(~VAR40[0]),
.VAR29(~VAR32),
.VAR30(~VAR11),
.VAR33(VAR24[7:0])
);
VAR56 VAR53(
VAR28 VAR53(
VAR56 VAR53(
.VAR68(VAR34),
.VAR65(VAR63),
.VAR9(VAR9),
.VAR25(clk),
.VAR55(addr),
.VAR36(VAR69[15:8]),
.VAR67(~VAR40[1]),
.VAR29(~VAR32),
.VAR30(~VAR11),
.VAR33(VAR24[15:8])
);
VAR56 VAR64(
VAR28 VAR64(
VAR56 VAR64(
.VAR68(VAR43),
.VAR65(VAR22),
.VAR9(VAR9),
.VAR25(clk),
.VAR55(addr),
.VAR36(VAR69[23:16]),
.VAR67(~VAR40[2]),
.VAR29(~VAR32),
.VAR30(~VAR11),
.VAR33(VAR24[23:16])
);
VAR56 VAR45(
VAR28 VAR45(
VAR56 VAR45(
.VAR68(VAR48),
.VAR65(VAR31),
.VAR9(VAR9),
.VAR25(clk),
.VAR55(addr),
.VAR36(VAR69[31:24]),
.VAR67(~VAR40[3]),
.VAR29(~VAR32),
.VAR30(~VAR11),
.VAR33(VAR24[31:24])
);
VAR47 VAR20(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[3:0]),
.VAR38(VAR32),
.VAR37(VAR40[0]),
.VAR1(VAR24[3:0])
);
VAR47 VAR42(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[7:4]),
.VAR38(VAR32),
.VAR37(VAR40[0]),
.VAR1(VAR24[7:4])
);
VAR47 VAR4(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[11:8]),
.VAR38(VAR32),
.VAR37(VAR40[1]),
.VAR1(VAR24[11:8])
);
VAR47 VAR14(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[15:12]),
.VAR38(VAR32),
.VAR37(VAR40[1]),
.VAR1(VAR24[15:12])
);
VAR47 VAR35(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[19:16]),
.VAR38(VAR32),
.VAR37(VAR40[2]),
.VAR1(VAR24[19:16])
);
VAR47 VAR57(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[23:20]),
.VAR38(VAR32),
.VAR37(VAR40[2]),
.VAR1(VAR24[23:20])
);
VAR47 VAR50(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[27:24]),
.VAR38(VAR32),
.VAR37(VAR40[3]),
.VAR1(VAR24[27:24])
);
VAR47 VAR44(
.VAR8(clk),
.VAR26(rst),
.VAR51(addr),
.VAR36(VAR69[31:28]),
.VAR38(VAR32),
.VAR37(VAR40[3]),
.VAR1(VAR24[31:28])
);
reg [7:0] VAR66 [1023:0]; reg [7:0] VAR21 [1023:0]; reg [7:0] VAR62 [1023:0]; reg [7:0] VAR12 [1023:0]; reg [9:0] VAR58;
assign VAR24 = (VAR11) ? {VAR12[VAR58], VAR62[VAR58], VAR21[VAR58], VAR66[VAR58]} : {32{1'b0}};
always @(posedge clk or posedge rst)
if (rst)
VAR58 <= 10'h000;
else if (VAR32)
VAR58 <= addr;
always @(posedge clk)
if (VAR32 && VAR40[0])
VAR66[addr] <= VAR69[7:0];
always @(posedge clk)
if (VAR32 && VAR40[1])
VAR21[addr] <= VAR69[15:8];
always @(posedge clk)
if (VAR32 && VAR40[2])
VAR62[addr] <= VAR69[23:16];
always @(posedge clk)
if (VAR32 && VAR40[3])
VAR12[addr] <= VAR69[31:24];
endmodule | gpl-3.0 |
hcabrera-/lancetfish | RTL/nic/des_nic/rtl/des_network_interface.v | 5,372 | module MODULE1
(
input wire clk,
input wire reset,
output wire VAR28,
input wire [VAR19-1:0] VAR21,
input wire VAR25,
output wire [VAR19-1:0] VAR27,
output wire VAR15,
output wire [(2 * VAR19)-1:0] VAR5,
output wire [(2 * VAR19)-1:0] VAR13,
input wire VAR29,
input wire VAR23,
input wire [(2 * VAR19)-1:0] VAR16
);
wire [VAR19-3:0] VAR26;
wire VAR3;
wire VAR6;
wire VAR8;
VAR24 VAR2
(
.clk (clk),
.reset (reset),
.VAR21 (VAR21),
.VAR18 (VAR3),
.VAR22 (VAR6),
.VAR5 (VAR5),
.VAR13 (VAR13),
.VAR12 (VAR26),
.VAR4 (VAR8)
);
assign VAR28 = VAR8;
assign VAR15 = VAR8;
reg [VAR19-3:0] VAR7;
wire [VAR19-1:0] VAR11;
always @(posedge clk)
if (VAR8)
VAR7 <= VAR26;
assign VAR11 = { 1'b1, 1'b1, VAR7 VAR1,
VAR7 VAR31,
VAR7 VAR30,
VAR7 VAR9
};
VAR17 VAR14
(
.clk (clk),
.reset (reset),
.VAR29 (VAR29),
.VAR16 (VAR16),
.VAR20 (VAR11),
.VAR10 (VAR6),
.VAR25 (VAR25),
.VAR27(VAR27)
);
assign VAR3 = VAR6 | VAR23;
endmodule | gpl-3.0 |
htuNCSU/MmcCommunicationVerilog | DE2_115_MASTER/source_code/phyIniCommand0_and.v | 1,177 | module MODULE1
(
input [(VAR5-1):0] VAR2,
input [(VAR6-1):0] addr,
input VAR4, clk,
output [(VAR5-1):0] VAR7
);
reg [VAR5-1:0] VAR3[2**VAR6-1:0];
reg [VAR6-1:0] VAR1;
begin | gpl-3.0 |
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors- | DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/sources_ngnp_multicore/src/yf32/pc_next.v | 4,174 | module MODULE1 (clk, reset, VAR2, VAR8, VAR4,
VAR9, VAR7, VAR3, VAR1,VAR6);
input clk;
input reset;
input [31:2] VAR2;
input VAR8;
input VAR4;
input [25:0] VAR9;
input [ 1:0] VAR7;
output [31:0] VAR3;
output [31:0] VAR1;
output [31:0] VAR6;
reg[31:2] MODULE1;
reg[31:2] VAR10;
wire [31:2] VAR5 = VAR10 + 1;
wire [31:0] VAR3 = {VAR10, 2'b00} ;
wire [31:0] VAR1 = {VAR5, 2'b00} ;
always @(posedge clk or posedge reset)
begin
if (reset)
end
VAR10 <= 32'h23a; else
VAR10 <= MODULE1;
end
always @(VAR7 or VAR5 or VAR10 or VAR9 or
VAR8 or VAR2 or VAR4)
begin
case (VAR7)
default : begin
if (VAR8) MODULE1 = VAR2;
end
else MODULE1 = VAR5;
end
endcase
if (VAR4 == 1'b1)
begin
MODULE1 = VAR10;
end
end
assign VAR6 = {MODULE1,2'b00};
endmodule | mit |
sigilance/tera-computer | src/mux.v | 1,605 | module MODULE1 (VAR1, VAR8, VAR6, VAR4);
output [7:0] VAR1;
input [7:0] VAR8, VAR6;
input VAR4;
assign VAR1 = (VAR4) ? VAR6 : VAR8;
endmodule
module MODULE3 (VAR1, VAR8, VAR6, VAR4);
output [7:0] VAR1;
input [7:0] VAR8, VAR6;
input VAR4;
assign VAR1 = (VAR4) ? (VAR6 + 1) : VAR8;
endmodule
module MODULE2 (VAR7, VAR5, VAR3, VAR4);
output [7:0] VAR7, VAR5;
input [7:0] VAR3;
input VAR4;
assign VAR7 = (VAR4) ? 8'VAR2 : VAR3;
assign VAR5 = (VAR4) ? VAR3 : 8'VAR2;
endmodule | mit |
mym987/sha256 | verilog/SHA256/sha256_mem.v | 9,188 | module MODULE1(
input wire clk,
input wire VAR11,
input wire [511 : 0] VAR12,
input wire VAR25,
input wire VAR33,
output wire [31 : 0] VAR28
);
parameter VAR8 = 0;
parameter VAR20 = 1;
reg [31 : 0] VAR3 [0 : 15];
reg [31 : 0] VAR23;
reg [31 : 0] VAR5;
reg [31 : 0] VAR31;
reg [31 : 0] VAR18;
reg [31 : 0] VAR24;
reg [31 : 0] VAR14;
reg [31 : 0] VAR34;
reg [31 : 0] VAR2;
reg [31 : 0] VAR21;
reg [31 : 0] VAR30;
reg [31 : 0] VAR22;
reg [31 : 0] VAR36;
reg [31 : 0] VAR37;
reg [31 : 0] VAR41;
reg [31 : 0] VAR38;
reg [31 : 0] VAR15;
reg VAR45;
reg [5 : 0] VAR42;
reg [5 : 0] VAR39;
reg VAR9;
reg VAR29;
reg VAR43;
reg [1 : 0] VAR35;
reg [1 : 0] VAR7;
reg VAR46;
reg [31 : 0] VAR26;
reg [31 : 0] VAR19;
assign VAR28 = VAR26;
always @ (posedge clk or negedge VAR11)
begin : VAR16
if (!VAR11)
begin
VAR3[00] <= 32'h0;
VAR3[01] <= 32'h0;
VAR3[02] <= 32'h0;
VAR3[03] <= 32'h0;
VAR3[04] <= 32'h0;
VAR3[05] <= 32'h0;
VAR3[06] <= 32'h0;
VAR3[07] <= 32'h0;
VAR3[08] <= 32'h0;
VAR3[09] <= 32'h0;
VAR3[10] <= 32'h0;
VAR3[11] <= 32'h0;
VAR3[12] <= 32'h0;
VAR3[13] <= 32'h0;
VAR3[14] <= 32'h0;
VAR3[15] <= 32'h0;
VAR42 <= 6'h00;
VAR35 <= VAR8;
end
else
begin
if (VAR45)
begin
VAR3[00] <= VAR23;
VAR3[01] <= VAR5;
VAR3[02] <= VAR31;
VAR3[03] <= VAR18;
VAR3[04] <= VAR24;
VAR3[05] <= VAR14;
VAR3[06] <= VAR34;
VAR3[07] <= VAR2;
VAR3[08] <= VAR21;
VAR3[09] <= VAR30;
VAR3[10] <= VAR22;
VAR3[11] <= VAR36;
VAR3[12] <= VAR37;
VAR3[13] <= VAR41;
VAR3[14] <= VAR38;
VAR3[15] <= VAR15;
end
if (VAR9)
VAR42 <= VAR39;
if (VAR46)
VAR35 <= VAR7;
end
end
always @*
begin : VAR13
if (VAR42 < 16)
begin
VAR26 = VAR3[VAR42[3 : 0]];
end
else
begin
VAR26 = VAR19;
end
end
always @*
begin : VAR44
reg [31 : 0] VAR1;
reg [31 : 0] VAR4;
reg [31 : 0] VAR6;
reg [31 : 0] VAR32;
reg [31 : 0] VAR17;
reg [31 : 0] VAR10;
VAR23 = 32'h0;
VAR5 = 32'h0;
VAR31 = 32'h0;
VAR18 = 32'h0;
VAR24 = 32'h0;
VAR14 = 32'h0;
VAR34 = 32'h0;
VAR2 = 32'h0;
VAR21 = 32'h0;
VAR30 = 32'h0;
VAR22 = 32'h0;
VAR36 = 32'h0;
VAR37 = 32'h0;
VAR41 = 32'h0;
VAR38 = 32'h0;
VAR15 = 32'h0;
VAR45 = 0;
VAR1 = VAR3[0];
VAR4 = VAR3[1];
VAR6 = VAR3[9];
VAR32 = VAR3[14];
VAR17 = {VAR4[6 : 0], VAR4[31 : 7]} ^
{VAR4[17 : 0], VAR4[31 : 18]} ^
{3'b000, VAR4[31 : 3]};
VAR10 = {VAR32[16 : 0], VAR32[31 : 17]} ^
{VAR32[18 : 0], VAR32[31 : 19]} ^
{10'b0000000000, VAR32[31 : 10]};
VAR19 = VAR10 + VAR6 + VAR17 + VAR1;
if (VAR25)
begin
VAR23 = VAR12[511 : 480];
VAR5 = VAR12[479 : 448];
VAR31 = VAR12[447 : 416];
VAR18 = VAR12[415 : 384];
VAR24 = VAR12[383 : 352];
VAR14 = VAR12[351 : 320];
VAR34 = VAR12[319 : 288];
VAR2 = VAR12[287 : 256];
VAR21 = VAR12[255 : 224];
VAR30 = VAR12[223 : 192];
VAR22 = VAR12[191 : 160];
VAR36 = VAR12[159 : 128];
VAR37 = VAR12[127 : 96];
VAR41 = VAR12[95 : 64];
VAR38 = VAR12[63 : 32];
VAR15 = VAR12[31 : 0];
VAR45 = 1;
end
else if (VAR42 > 15)
begin
VAR23 = VAR3[01];
VAR5 = VAR3[02];
VAR31 = VAR3[03];
VAR18 = VAR3[04];
VAR24 = VAR3[05];
VAR14 = VAR3[06];
VAR34 = VAR3[07];
VAR2 = VAR3[08];
VAR21 = VAR3[09];
VAR30 = VAR3[10];
VAR22 = VAR3[11];
VAR36 = VAR3[12];
VAR37 = VAR3[13];
VAR41 = VAR3[14];
VAR38 = VAR3[15];
VAR15 = VAR19;
VAR45 = 1;
end
end
always @*
begin : VAR27
VAR39 = 0;
VAR9 = 0;
if (VAR43)
begin
VAR39 = 6'h00;
VAR9 = 1;
end
if (VAR29)
begin
VAR39 = VAR42 + 6'h01;
VAR9 = 1;
end
end
always @*
begin : VAR40
VAR43 = 0;
VAR29 = 0;
VAR7 = VAR8;
VAR46 = 0;
case (VAR35)
VAR8:
begin
if (VAR25)
begin
VAR43 = 1;
VAR7 = VAR20;
VAR46 = 1;
end
end
VAR20:
begin
if (VAR33)
begin
VAR29 = 1;
end
if (VAR42 == 6'h3f)
begin
VAR7 = VAR8;
VAR46 = 1;
end
end
endcase end
endmodule | mit |
monotone-RK/FACE | MCSoC-15/16-way_2-parallel/ise/ipcore_dir/dram/user_design/rtl/phy/mig_7series_v1_9_ddr_byte_group_io.v | 19,285 | module MODULE1 #(
parameter VAR146 = 12'b111111111111,
parameter VAR98 = 12'b000000000000,
parameter VAR113 = "VAR47",
parameter VAR44 = "VAR41",
parameter VAR1 = 4,
parameter VAR77 = "VAR76",
parameter VAR162 = 00,
parameter VAR121 = "VAR80",
parameter VAR83 = 12,
parameter VAR132 = "VAR47"
)
(
input [9:0] VAR145,
output [VAR83-1:0] VAR19,
output [VAR83-1:0] VAR150,
input VAR26,
output VAR168,
output VAR89,
output [(4*10)-1:0] VAR164, output VAR20,
input VAR148,
input VAR2,
input VAR53,
input VAR9,
input rst,
input VAR25,
input VAR28,
input [1:0] VAR10,
input [1:0] VAR5,
input [(4*VAR83)-1:0] VAR124,
input [1:0] VAR13,
input VAR129,
input VAR166,
input VAR74,
input VAR122,
input VAR40,
input VAR55,
input VAR57
);
localparam VAR158 = "VAR41";
localparam VAR151 = 4;
localparam VAR54 = "VAR47";
localparam VAR82 = "VAR47";
localparam VAR159 = 1'b0;
localparam VAR101 = 1'b0;
localparam VAR42 = 1'b0;
localparam VAR90 = 1'b0;
localparam VAR81 = "VAR88";
localparam VAR95 = 2;
localparam VAR103 = "VAR33";
localparam VAR71 = "VAR47";
localparam VAR35 = "VAR107";
localparam VAR153 = 1'b0;
localparam VAR147 = 1'b0;
localparam VAR117 = 1'b0;
localparam VAR21 = 1'b0;
wire [VAR83-1:0] VAR94;
wire [VAR83-1:0] VAR118;
wire [VAR83-1:0] VAR11;
wire VAR24;
wire VAR112;
wire [9:0] VAR96;
wire VAR104;
assign VAR19 = VAR118;
assign VAR150 = VAR11;
assign VAR96 = VAR145;
assign VAR168 = VAR24;
assign VAR89 = VAR112;
assign VAR20 = VAR26;
reg VAR59;
always @
VAR144 #(
.VAR67 ( VAR116),
.VAR14 ( VAR22),
.VAR130 ( VAR18),
.VAR36 ( VAR77),
.VAR75 ( VAR162),
.VAR31 ( VAR70),
.VAR8 ( VAR78 ),
.VAR155 ( VAR72)
)
VAR111
(
.VAR140 (),
.VAR143 (VAR94[VAR135]),
.VAR61 (VAR9), .VAR37 (VAR40),
.VAR139 (),
.VAR63 (5'b00000),
.VAR43 (1'b0),
.VAR142 (VAR96[VAR135]),
.VAR27 (VAR122),
.VAR149 (VAR55 | VAR115),
.VAR60 (1'b0),
.VAR49 (rst)
);
end else begin
assign VAR164[4*VAR135 + 3] = 0;
assign VAR164[4*VAR135 + 2] = 0;
assign VAR164[4*VAR135 + 1] = 0;
assign VAR164[4*VAR135 + 0] = 0;
end
end endgenerate
localparam VAR34 = VAR44;
localparam VAR56 = VAR34;
localparam VAR137 = VAR1;
localparam VAR100 = 1'b1;
localparam VAR6 = 1'b1;
localparam VAR127 = "VAR105";
localparam VAR106 = 0;
localparam VAR133 = "VAR107";
localparam VAR48 = 1'b1;
localparam VAR50 = 1'b1;
localparam VAR84 = (VAR34 == "VAR41") ? 4 : 1;
localparam VAR134 = "VAR41";
localparam VAR125 = "VAR41";
localparam VAR62 = 4; localparam VAR46 = 4;
localparam VAR120 = "VAR3";
localparam VAR69 = "VAR99";
generate
localparam VAR138 = VAR113 == "VAR99" ? 10 : VAR83;
if ( VAR113 == "VAR99" ) begin : VAR32
VAR114 #(
.VAR154 (VAR34),
.VAR15 (VAR56),
.VAR152 (VAR137),
.VAR30 (VAR100),
.VAR156 (VAR6),
.VAR161 (VAR133),
.VAR109 (VAR48),
.VAR85 (VAR50),
.VAR126 (VAR84),
.VAR97 ("VAR99"),
.VAR108 ("VAR99")
)
VAR38
(
.VAR167 (),
.VAR136 (),
.VAR91 (), .VAR102 (), .VAR92 (),
.VAR68 (),
.VAR4 (VAR129),
.VAR110 (VAR74),
.VAR128 (),
.VAR79 (),
.VAR93 (),
.VAR45 (),
.VAR131 (),
.VAR163 (),
.VAR12 (1'b1),
.VAR58 (VAR25),
.VAR52 (), .VAR157 (), .VAR64 (VAR13[0]),
.VAR66 (VAR13[0]),
.VAR141 (VAR13[1]),
.VAR73 (VAR13[1]),
.VAR86 (1'b1),
.VAR29 (VAR104),
.VAR65 (VAR104)
);
end
for (VAR135 = 0; VAR135 != VAR138; VAR135=VAR135+1) begin : output
if ( VAR146[VAR135]) begin : VAR124
if ( VAR113 == "VAR99" ) begin : VAR123
VAR114 #(
.VAR154 (VAR34),
.VAR15 (VAR56),
.VAR152 (VAR137),
.VAR30 (VAR100),
.VAR156 (VAR6),
.VAR161 (VAR133),
.VAR109 (VAR48),
.VAR85 (VAR50),
.VAR126 (VAR84),
.VAR97 (VAR69),
.VAR108 ("VAR47")
)
VAR16
(
.VAR167 (),
.VAR136 (VAR118[VAR135]),
.VAR91 (), .VAR102 (), .VAR92 (),
.VAR68 (VAR11[VAR135]),
.VAR4 (VAR129),
.VAR110 (VAR74),
.VAR128 (VAR124[4 * VAR135 + 0]),
.VAR79 (VAR124[4 * VAR135 + 1]),
.VAR93 (VAR124[4 * VAR135 + 2]),
.VAR45 (VAR124[4 * VAR135 + 3]),
.VAR131 (),
.VAR163 (),
.VAR12 (1'b1),
.VAR58 (VAR25),
.VAR52 (), .VAR157 (), .VAR64 (),
.VAR66 (),
.VAR141 (),
.VAR73 (),
.VAR86 (1'b1),
.VAR65 (VAR104)
);
end
else begin : VAR17
VAR114 #(
.VAR154 (VAR34),
.VAR15 (VAR56),
.VAR152 (VAR137),
.VAR30 (1'b0 ),
.VAR156 (VAR6),
.VAR161 (VAR133),
.VAR109 (1'b0 ),
.VAR85 (VAR50),
.VAR126 (VAR84)
)
VAR16
(
.VAR167 (),
.VAR136 (VAR118[VAR135]),
.VAR91 (), .VAR102 (), .VAR92 (),
.VAR68 (),
.VAR4 (VAR129),
.VAR110 (VAR74),
.VAR128 (VAR124[4 * VAR135 + 0]),
.VAR79 (VAR124[4 * VAR135 + 1]),
.VAR93 (VAR124[4 * VAR135 + 2]),
.VAR45 (VAR124[4 * VAR135 + 3]),
.VAR131 (),
.VAR163 (),
.VAR12 (1'b1),
.VAR58 (VAR25),
.VAR52 (), .VAR157 (), .VAR64 (),
.VAR66 (),
.VAR141 (),
.VAR73 (),
.VAR86 (1'b1)
);
end end end
endgenerate
generate
if ( VAR113 == "VAR99" ) begin : VAR160
VAR119
VAR7
(
.VAR23 (VAR24),
.VAR128 (VAR10[0]),
.VAR79 (VAR10[1]),
.VAR61 (VAR166),
.VAR51 (1'b0),
.VAR165 (),
.VAR37 (1'b1)
);
VAR119
VAR87
( .VAR23 (VAR112),
.VAR128 (VAR5[0]),
.VAR79 (VAR5[0]),
.VAR61 (VAR166),
.VAR51 (),
.VAR165 (1'b0),
.VAR37 (1'b1)
);
end else begin:VAR39
end
endgenerate
endmodule | mit |
everskar2013/PentiumX | Hardware/Code/uart_transceiver.v | 3,871 | module MODULE1(
input VAR18,
input VAR3,
input VAR7,
output reg VAR10,
input [15:0] VAR17,
output reg [7:0] VAR6,
output reg VAR16,
input [7:0] VAR5,
input VAR4,
output reg VAR20,
output reg VAR21,
output reg VAR22
);
reg [15:0] VAR14;
wire VAR15;
assign VAR15 = (VAR14 == 16'd0);
always @(posedge VAR3) begin
if(VAR18)
VAR14 <= VAR17 - 16'b1;
end
else begin
VAR14 <= VAR14 - 16'd1;
if(VAR15)
VAR14 <= VAR17 - 16'b1;
end
end
reg VAR13;
reg VAR12;
always @(posedge VAR3) begin
VAR13 <= VAR7;
VAR12 <= VAR13;
end
reg [3:0] VAR8;
reg [3:0] VAR2;
reg [7:0] VAR19;
always @(posedge VAR3) begin
if(VAR18) begin
VAR16 <= 1'b0;
VAR22 <= 1'b0;
VAR8 <= 4'd0;
VAR2 <= 4'd0;
end else begin
VAR16 <= 1'b0;
if(VAR15) begin
if(~VAR22) begin if(~VAR12) begin VAR22 <= 1'b1;
VAR8 <= 4'd7;
VAR2 <= 4'd0;
end
end else begin
VAR8 <= VAR8 + 4'd1;
if(VAR8 == 4'd0) begin VAR2 <= VAR2 + 4'd1;
if(VAR2 == 4'd0) begin if(VAR12)
VAR22 <= 1'b0;
end else if(VAR2 == 4'd9) begin
VAR22 <= 1'b0;
if(VAR12) begin VAR6 <= VAR19;
VAR16 <= 1'b1;
end end else
VAR19 <= {VAR12, VAR19[7:1]};
end
end
end
end
end
reg [3:0] VAR11;
reg [3:0] VAR1;
reg [7:0] VAR9;
always @(posedge VAR3) begin
if(VAR18) begin
VAR20 <= 1'b0;
VAR21 <= 1'b0;
VAR10 <= 1'b1;
end else begin
VAR20 <= 1'b0;
if(VAR4) begin
VAR9 <= VAR5;
VAR11 <= 4'd0;
VAR1 <= 4'd1;
VAR21 <= 1'b1;
VAR10 <= 1'b0;
end else if(VAR15 && VAR21) begin
VAR1 <= VAR1 + 4'd1;
if(VAR1 == 4'd0) begin
VAR11 <= VAR11 + 4'd1;
if(VAR11 == 4'd8) begin
VAR10 <= 1'b1;
end else if(VAR11 == 4'd9) begin
VAR10 <= 1'b1;
VAR21 <= 1'b0;
VAR20 <= 1'b1;
end else begin
VAR10 <= VAR9[0];
VAR9 <= {1'b0, VAR9[7:1]};
end
end
end
end
end
endmodule | mit |
fallen/milkymist-mmu | cores/pfpu/rtl/pfpu_fmul.v | 2,819 | module MODULE1(
input VAR32,
input VAR35,
input [31:0] VAR11,
input [31:0] VAR16,
input VAR40,
output reg [31:0] VAR33,
output reg VAR6
);
wire VAR31 = VAR11[31];
wire [7:0] VAR22 = VAR11[30:23];
wire [23:0] VAR1 = {1'b1, VAR11[22:0]};
wire VAR26 = VAR16[31];
wire [7:0] VAR27 = VAR16[30:23];
wire [23:0] VAR24 = {1'b1, VAR16[22:0]};
reg VAR12;
reg VAR37;
reg [7:0] VAR30;
reg [23:0] VAR29;
reg [23:0] VAR10;
reg VAR28;
always @(posedge VAR32) begin
if(VAR35)
VAR28 <= 1'b0;
end
else
VAR28 <= VAR40;
VAR12 <= (VAR22 == 8'd0)|(VAR27 == 8'd0);
VAR37 <= VAR31 ^ VAR26;
VAR30 <= VAR22 + VAR27 - 8'd127;
VAR29 <= VAR1;
VAR10 <= VAR24;
end
reg VAR17;
reg VAR8;
reg [7:0] VAR5;
reg [47:0] VAR2;
reg VAR39;
always @(posedge VAR32) begin
if(VAR35)
VAR39 <= 1'b0;
end
else
VAR39 <= VAR28;
VAR17 <= VAR12;
VAR8 <= VAR37;
VAR5 <= VAR30;
VAR2 <= VAR29*VAR10;
end
reg VAR34;
reg VAR14;
reg [7:0] VAR38;
reg [47:0] VAR4;
reg VAR18;
always @(posedge VAR32) begin
if(VAR35)
VAR18 <= 1'b0;
end
else
VAR18 <= VAR39;
VAR34 <= VAR17;
VAR14 <= VAR8;
VAR38 <= VAR5;
VAR4 <= VAR2;
end
reg VAR25;
reg VAR20;
reg [7:0] VAR23;
reg [47:0] VAR19;
reg VAR15;
always @(posedge VAR32) begin
if(VAR35)
VAR15 <= 1'b0;
end
else
VAR15 <= VAR18;
VAR25 <= VAR34;
VAR20 <= VAR14;
VAR23 <= VAR38;
VAR19 <= VAR4;
end
reg VAR13;
reg VAR7;
reg [7:0] VAR3;
reg [47:0] VAR9;
reg VAR21;
always @(posedge VAR32) begin
if(VAR35)
VAR21 <= 1'b0;
end
else
VAR21 <= VAR15;
VAR13 <= VAR25;
VAR7 <= VAR20;
VAR3 <= VAR23;
VAR9 <= VAR19;
end
always @(posedge VAR32) begin
if(VAR35)
VAR6 <= 1'b0;
end
else
VAR6 <= VAR21;
if(VAR13)
VAR33 <= {1'VAR36, 8'd0, 23'VAR36};
else begin
if(~VAR9[47])
VAR33 <= {VAR7, VAR3, VAR9[45:23]};
end
else
VAR33 <= {VAR7, VAR3+8'd1, VAR9[46:24]};
end
end
endmodule | lgpl-3.0 |
GSejas/Dise-o-ASIC-FPGA-FPU | ASIC_FLOW/Approximate_Adders/integracion_fisica/front_end/db/GDA_St_N8_M8_P4_syn.v | 2,439 | module MODULE1 ( VAR34, VAR101, VAR42 );
input [7:0] VAR34;
input [7:0] VAR101;
output [8:0] VAR42;
wire VAR51, VAR2, VAR68, VAR99, VAR55, VAR21, VAR79, VAR100, VAR28, VAR98, VAR10, VAR4, VAR49, VAR88,
VAR23, VAR83, VAR76, VAR78, VAR72, VAR86, VAR26, VAR61, VAR56, VAR18, VAR29;
VAR97 VAR82 ( .VAR17(VAR101[7]), .VAR37(VAR34[7]), .VAR14(VAR68), .VAR63(VAR42[8]), .VAR9(VAR42[7]) );
VAR90 VAR46 ( .VAR17(VAR88), .VAR37(VAR79), .VAR13(VAR10) );
VAR53 VAR44 ( .VAR17(VAR10), .VAR37(VAR23), .VAR13(VAR98) );
VAR54 VAR8 ( .VAR17(VAR23), .VAR13(VAR49) );
VAR90 VAR3 ( .VAR17(VAR101[0]), .VAR37(VAR34[0]), .VAR13(VAR28) );
VAR80 VAR15 ( .VAR12(VAR21), .VAR96(VAR83), .VAR31(VAR98), .VAR85(VAR55), .VAR13(VAR42[4]) );
VAR54 VAR36 ( .VAR17(VAR99), .VAR13(VAR21) );
VAR54 VAR32 ( .VAR17(VAR83), .VAR13(VAR72) );
VAR73 VAR43 ( .VAR85(VAR26), .VAR94(VAR29), .VAR52(VAR34[6]), .VAR47(VAR101[6]), .VAR13(VAR68) );
VAR45 VAR58 ( .VAR12(VAR72), .VAR96(VAR78), .VAR85(VAR61), .VAR16(VAR76), .VAR13(VAR86) );
VAR30 VAR69 ( .VAR12(VAR34[2]), .VAR96(VAR101[2]), .VAR85(VAR88), .VAR13(VAR4) );
VAR66 VAR75 ( .VAR12(VAR34[5]), .VAR96(VAR101[5]), .VAR85(VAR56), .VAR94(VAR76), .VAR13(VAR26) );
VAR84 VAR95 ( .VAR17(VAR34[5]), .VAR37(VAR101[5]), .VAR13(VAR56) );
VAR22 VAR35 ( .VAR12(VAR34[6]), .VAR96(VAR101[6]), .VAR85(VAR2), .VAR13(VAR29) );
VAR77 VAR62 ( .VAR12(VAR34[3]), .VAR96(VAR101[3]), .VAR85(VAR99), .VAR13(VAR23) );
VAR77 VAR65 ( .VAR12(VAR34[4]), .VAR96(VAR101[4]), .VAR85(VAR51), .VAR13(VAR83) );
VAR57 VAR48 ( .VAR17(VAR34[3]), .VAR37(VAR101[3]), .VAR13(VAR99) );
VAR57 VAR81 ( .VAR17(VAR34[4]), .VAR37(VAR101[4]), .VAR13(VAR51) );
VAR22 VAR60 ( .VAR12(VAR99), .VAR96(VAR83), .VAR85(VAR51), .VAR13(VAR76) );
VAR57 VAR91 ( .VAR17(VAR34[6]), .VAR37(VAR101[6]), .VAR13(VAR2) );
VAR57 VAR92 ( .VAR17(VAR34[2]), .VAR37(VAR101[2]), .VAR13(VAR88) );
VAR57 VAR67 ( .VAR17(VAR4), .VAR37(VAR100), .VAR13(VAR79) );
VAR40 VAR59 ( .VAR12(VAR21), .VAR96(VAR98), .VAR85(VAR83), .VAR13(VAR55) );
VAR64 VAR70 ( .VAR12(VAR4), .VAR96(VAR100), .VAR85(VAR79), .VAR13(VAR42[2]) );
VAR7 VAR1 ( .VAR52(VAR101[0]), .VAR47(VAR34[0]), .VAR85(VAR28), .VAR13(VAR42[0]) );
VAR5 VAR50 ( .VAR17(VAR34[1]), .VAR37(VAR101[1]), .VAR89(VAR28), .VAR63(VAR100), .VAR9(VAR42[1]) );
VAR27 VAR20 ( .VAR12(VAR10), .VAR96(VAR23), .VAR85(VAR98), .VAR13(VAR42[3]) );
VAR38 VAR33 ( .VAR17(VAR49), .VAR37(VAR4), .VAR89(VAR101[1]), .VAR93(VAR34[1]), .VAR13(VAR78) );
VAR24 VAR74 ( .VAR17(VAR83), .VAR37(VAR23), .VAR89(VAR88), .VAR13(VAR61) );
VAR39 VAR6 ( .VAR17(VAR56), .VAR37(VAR86), .VAR13(VAR42[5]) );
VAR41 VAR19 ( .VAR52(VAR56), .VAR47(VAR61), .VAR85(VAR26), .VAR13(VAR18) );
VAR39 VAR87 ( .VAR17(VAR29), .VAR37(VAR18), .VAR13(VAR42[6]) );
VAR71 ("VAR25.VAR11");
endmodule | gpl-3.0 |
borti4938/sd2snes | verilog/sd2snes_gsu/ipcore_dir/gsu_fmult.v | 10,130 | module MODULE1 (
clk, VAR110, VAR120, VAR32
);
input clk;
output [31 : 0] VAR110;
input [15 : 0] VAR120;
input [15 : 0] VAR32;
wire \VAR62/VAR53 ;
wire \VAR62/VAR83 ;
wire \VAR62/VAR64 ;
wire \VAR62/VAR54 ;
wire \VAR62/VAR71 ;
wire \VAR62/VAR19 ;
wire \VAR62/VAR96 ;
wire \VAR62/VAR8 ;
wire \VAR62/VAR6 ;
wire \VAR62/VAR3 ;
wire \VAR62/VAR30 ;
wire \VAR62/VAR21 ;
wire \VAR62/VAR65 ;
wire \VAR62/VAR46 ;
wire \VAR62/VAR7 ;
wire \VAR62/VAR44 ;
wire \VAR62/VAR58 ;
wire \VAR62/VAR34 ;
wire \VAR62/VAR48 ;
wire \VAR62/VAR28 ;
wire \VAR62/VAR47 ;
wire \VAR62/VAR112 ;
wire \VAR62/VAR10 ;
wire \VAR62/VAR91 ;
wire \VAR62/VAR78 ;
wire \VAR62/VAR23 ;
wire \VAR62/VAR52 ;
wire \VAR62/VAR57 ;
wire \VAR62/VAR37 ;
wire \VAR62/VAR89 ;
wire \VAR62/VAR101 ;
wire \VAR62/VAR92 ;
wire \VAR62/VAR2 ;
wire \VAR62/VAR4 ;
wire \VAR99/VAR76<34>VAR95 ;
wire \VAR99/VAR76<33>VAR95 ;
wire \VAR99/VAR76<32>VAR95 ;
wire \VAR99/VAR76<31>VAR95 ;
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR22 (
.VAR115(clk),
.VAR105(\VAR62/VAR92 ),
.VAR104(VAR110[0])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR113 (
.VAR115(clk),
.VAR105(\VAR62/VAR47 ),
.VAR104(VAR110[1])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR60 (
.VAR115(clk),
.VAR105(\VAR62/VAR3 ),
.VAR104(VAR110[2])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR1 (
.VAR115(clk),
.VAR105(\VAR62/VAR96 ),
.VAR104(VAR110[3])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR63 (
.VAR115(clk),
.VAR105(\VAR62/VAR19 ),
.VAR104(VAR110[4])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR111 (
.VAR115(clk),
.VAR105(\VAR62/VAR71 ),
.VAR104(VAR110[5])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR70 (
.VAR115(clk),
.VAR105(\VAR62/VAR54 ),
.VAR104(VAR110[6])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR18 (
.VAR115(clk),
.VAR105(\VAR62/VAR64 ),
.VAR104(VAR110[7])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR118 (
.VAR115(clk),
.VAR105(\VAR62/VAR83 ),
.VAR104(VAR110[8])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR59 (
.VAR115(clk),
.VAR105(\VAR62/VAR53 ),
.VAR104(VAR110[9])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR43 (
.VAR115(clk),
.VAR105(\VAR62/VAR101 ),
.VAR104(VAR110[10])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR14 (
.VAR115(clk),
.VAR105(\VAR62/VAR89 ),
.VAR104(VAR110[11])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR88 (
.VAR115(clk),
.VAR105(\VAR62/VAR37 ),
.VAR104(VAR110[12])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR12 (
.VAR115(clk),
.VAR105(\VAR62/VAR57 ),
.VAR104(VAR110[13])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR122 (
.VAR115(clk),
.VAR105(\VAR62/VAR52 ),
.VAR104(VAR110[14])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR42 (
.VAR115(clk),
.VAR105(\VAR62/VAR23 ),
.VAR104(VAR110[15])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR35 (
.VAR115(clk),
.VAR105(\VAR62/VAR78 ),
.VAR104(VAR110[16])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR93 (
.VAR115(clk),
.VAR105(\VAR62/VAR91 ),
.VAR104(VAR110[17])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR102 (
.VAR115(clk),
.VAR105(\VAR62/VAR10 ),
.VAR104(VAR110[18])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR9 (
.VAR115(clk),
.VAR105(\VAR62/VAR112 ),
.VAR104(VAR110[19])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR79 (
.VAR115(clk),
.VAR105(\VAR62/VAR28 ),
.VAR104(VAR110[20])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR117 (
.VAR115(clk),
.VAR105(\VAR62/VAR48 ),
.VAR104(VAR110[21])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR84 (
.VAR115(clk),
.VAR105(\VAR62/VAR34 ),
.VAR104(VAR110[22])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR55 (
.VAR115(clk),
.VAR105(\VAR62/VAR58 ),
.VAR104(VAR110[23])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR31 (
.VAR115(clk),
.VAR105(\VAR62/VAR44 ),
.VAR104(VAR110[24])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR109 (
.VAR115(clk),
.VAR105(\VAR62/VAR7 ),
.VAR104(VAR110[25])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR38 (
.VAR115(clk),
.VAR105(\VAR62/VAR46 ),
.VAR104(VAR110[26])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR5 (
.VAR115(clk),
.VAR105(\VAR62/VAR65 ),
.VAR104(VAR110[27])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR17 (
.VAR115(clk),
.VAR105(\VAR62/VAR21 ),
.VAR104(VAR110[28])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR13 (
.VAR115(clk),
.VAR105(\VAR62/VAR30 ),
.VAR104(VAR110[29])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR50 (
.VAR115(clk),
.VAR105(\VAR62/VAR6 ),
.VAR104(VAR110[30])
);
VAR24 #(
.VAR29 ( 1'b0 ))
\VAR62/VAR106 (
.VAR115(clk),
.VAR105(\VAR62/VAR8 ),
.VAR104(VAR110[31])
);
VAR40 \VAR62/VAR45 (
.VAR115(clk),
.VAR86(\VAR62/VAR2 ),
.VAR97(\VAR62/VAR4 ),
.VAR107({VAR120[15], VAR120[15], VAR120[15], VAR120[14], VAR120[13], VAR120[12], VAR120[11], VAR120[10], VAR120[9], VAR120[8], VAR120[7], VAR120[6], VAR120[5], VAR120[4], VAR120[3], VAR120[2], VAR120[1], VAR120[0]}),
.VAR41({VAR32[15], VAR32[15], VAR32[15], VAR32[14], VAR32[13], VAR32[12], VAR32[11], VAR32[10], VAR32[9], VAR32[8], VAR32[7], VAR32[6], VAR32[5], VAR32[4], VAR32[3], VAR32[2], VAR32[1], VAR32[0]}),
.VAR20({\VAR62/VAR8 , \VAR99/VAR76<34>VAR95 , \VAR99/VAR76<33>VAR95 ,
\VAR99/VAR76<32>VAR95 , \VAR99/VAR76<31>VAR95 , \VAR62/VAR6 , \VAR62/VAR30
, \VAR62/VAR21 , \VAR62/VAR65 , \VAR62/VAR46 , \VAR62/VAR7 , \VAR62/VAR44 ,
\VAR62/VAR58 , \VAR62/VAR34 , \VAR62/VAR48 , \VAR62/VAR28 , \VAR62/VAR112 ,
\VAR62/VAR10 , \VAR62/VAR91 , \VAR62/VAR78 , \VAR62/VAR23 , \VAR62/VAR52 ,
\VAR62/VAR57 , \VAR62/VAR37 , \VAR62/VAR89 , \VAR62/VAR101 , \VAR62/VAR53 ,
\VAR62/VAR83 , \VAR62/VAR64 , \VAR62/VAR54 , \VAR62/VAR71 , \VAR62/VAR19 ,
\VAR62/VAR96 , \VAR62/VAR3 , \VAR62/VAR47 , \VAR62/VAR92 })
);
VAR51 \VAR62/VAR61 (
.VAR20(\VAR62/VAR2 )
);
VAR90 \VAR62/VAR68 (
.VAR36(\VAR62/VAR4 )
);
endmodule
module MODULE2 ();
parameter VAR73 = 100000;
parameter VAR119 = 0;
wire VAR85;
wire VAR66;
wire VAR33;
wire VAR82;
tri1 VAR15;
tri (weak1, strong0) VAR108 = VAR15;
wire VAR26;
wire VAR98;
reg VAR67;
reg VAR49;
reg VAR77;
wire VAR81;
wire VAR94;
wire VAR114;
wire VAR16;
wire VAR80;
reg VAR75;
reg VAR72;
reg VAR39;
reg VAR11;
reg VAR116;
reg VAR27 = 0;
reg VAR56 = 0 ;
reg VAR69 = 0;
reg VAR100 = 0;
reg VAR25 = 1'VAR103;
reg VAR74 = 1'VAR103;
reg VAR121 = 1'VAR103;
reg VAR87 = 1'VAR103;
assign (weak1, weak0) VAR85 = VAR67;
assign (weak1, weak0) VAR66 = VAR49;
assign (weak1, weak0) VAR82 = VAR77; | gpl-2.0 |
yipenghuang0302/csee4840_14 | rtl/ik_swift_32/inverse/array_div/div_43/div_43.v | 2,329 | module MODULE1 (
VAR19,
VAR8,
VAR4,
VAR23,
VAR10,
VAR2);
input VAR19;
input VAR8;
input [26:0] VAR4;
input [42:0] VAR23;
output [42:0] VAR10;
output [26:0] VAR2;
wire [26:0] VAR3;
wire [42:0] VAR6;
wire [26:0] VAR2 = VAR3[26:0];
wire [42:0] VAR10 = VAR6[42:0];
VAR12 VAR1 (
.VAR8 (VAR8),
.VAR19 (VAR19),
.VAR4 (VAR4),
.VAR23 (VAR23),
.VAR2 (VAR3),
.VAR10 (VAR6),
.VAR7 (1'b0));
VAR1.VAR5 = "VAR20",
VAR1.VAR9 = "VAR16=6,VAR21=VAR15",
VAR1.VAR13 = "VAR20",
VAR1.VAR17 = 5,
VAR1.VAR14 = "VAR22",
VAR1.VAR11 = 27,
VAR1.VAR18 = 43;
endmodule | mit |
justingallagher/fpga-trace | design/raytracer_design.srcs/sources_1/bd/triangle_intersect/ip/triangle_intersect_auto_us_2/synth/triangle_intersect_auto_us_2.v | 14,604 | module MODULE1 (
VAR1,
VAR32,
VAR78,
VAR51,
VAR8,
VAR7,
VAR44,
VAR42,
VAR95,
VAR76,
VAR86,
VAR46,
VAR85,
VAR14,
VAR22,
VAR4,
VAR27,
VAR10,
VAR50,
VAR53,
VAR2,
VAR15,
VAR72,
VAR23,
VAR30,
VAR56,
VAR39,
VAR88,
VAR61,
VAR75,
VAR49,
VAR26,
VAR66,
VAR55,
VAR80,
VAR33,
VAR67,
VAR71,
VAR25,
VAR54,
VAR93,
VAR38,
VAR36,
VAR37,
VAR77,
VAR34,
VAR43,
VAR84,
VAR87,
VAR18,
VAR20,
VAR19,
VAR28,
VAR64,
VAR69,
VAR41,
VAR81,
VAR60,
VAR40,
VAR12,
VAR73,
VAR91,
VAR89,
VAR52,
VAR24,
VAR3,
VAR62,
VAR59,
VAR65,
VAR90,
VAR63,
VAR74
);
input wire VAR1;
input wire VAR32;
input wire [31 : 0] VAR78;
input wire [7 : 0] VAR51;
input wire [2 : 0] VAR8;
input wire [1 : 0] VAR7;
input wire [0 : 0] VAR44;
input wire [3 : 0] VAR42;
input wire [2 : 0] VAR95;
input wire [3 : 0] VAR76;
input wire [3 : 0] VAR86;
input wire VAR46;
output wire VAR85;
input wire [31 : 0] VAR14;
input wire [3 : 0] VAR22;
input wire VAR4;
input wire VAR27;
output wire VAR10;
output wire [1 : 0] VAR50;
output wire VAR53;
input wire VAR2;
input wire [31 : 0] VAR15;
input wire [7 : 0] VAR72;
input wire [2 : 0] VAR23;
input wire [1 : 0] VAR30;
input wire [0 : 0] VAR56;
input wire [3 : 0] VAR39;
input wire [2 : 0] VAR88;
input wire [3 : 0] VAR61;
input wire [3 : 0] VAR75;
input wire VAR49;
output wire VAR26;
output wire [31 : 0] VAR66;
output wire [1 : 0] VAR55;
output wire VAR80;
output wire VAR33;
input wire VAR67;
output wire [31 : 0] VAR71;
output wire [7 : 0] VAR25;
output wire [2 : 0] VAR54;
output wire [1 : 0] VAR93;
output wire [0 : 0] VAR38;
output wire [3 : 0] VAR36;
output wire [2 : 0] VAR37;
output wire [3 : 0] VAR77;
output wire [3 : 0] VAR34;
output wire VAR43;
input wire VAR84;
output wire [63 : 0] VAR87;
output wire [7 : 0] VAR18;
output wire VAR20;
output wire VAR19;
input wire VAR28;
input wire [1 : 0] VAR64;
input wire VAR69;
output wire VAR41;
output wire [31 : 0] VAR81;
output wire [7 : 0] VAR60;
output wire [2 : 0] VAR40;
output wire [1 : 0] VAR12;
output wire [0 : 0] VAR73;
output wire [3 : 0] VAR91;
output wire [2 : 0] VAR89;
output wire [3 : 0] VAR52;
output wire [3 : 0] VAR24;
output wire VAR3;
input wire VAR62;
input wire [63 : 0] VAR59;
input wire [1 : 0] VAR65;
input wire VAR90;
input wire VAR63;
output wire VAR74;
VAR16 #(
.VAR57("VAR97"),
.VAR58(0),
.VAR70(1),
.VAR83(0),
.VAR79(32),
.VAR13(32),
.VAR92(64),
.VAR82(1),
.VAR29(1),
.VAR47(0),
.VAR21(1),
.VAR9(2),
.VAR98(0),
.VAR5(16),
.VAR68(1),
.VAR35(3)
) VAR96 (
.VAR1(VAR1),
.VAR32(VAR32),
.VAR6(1'VAR48),
.VAR78(VAR78),
.VAR51(VAR51),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR44(VAR44),
.VAR42(VAR42),
.VAR95(VAR95),
.VAR76(VAR76),
.VAR86(VAR86),
.VAR46(VAR46),
.VAR85(VAR85),
.VAR14(VAR14),
.VAR22(VAR22),
.VAR4(VAR4),
.VAR27(VAR27),
.VAR10(VAR10),
.VAR31(),
.VAR50(VAR50),
.VAR53(VAR53),
.VAR2(VAR2),
.VAR94(1'VAR48),
.VAR15(VAR15),
.VAR72(VAR72),
.VAR23(VAR23),
.VAR30(VAR30),
.VAR56(VAR56),
.VAR39(VAR39),
.VAR88(VAR88),
.VAR61(VAR61),
.VAR75(VAR75),
.VAR49(VAR49),
.VAR26(VAR26),
.VAR45(),
.VAR66(VAR66),
.VAR55(VAR55),
.VAR80(VAR80),
.VAR33(VAR33),
.VAR67(VAR67),
.VAR17(1'VAR48),
.VAR11(1'VAR48),
.VAR71(VAR71),
.VAR25(VAR25),
.VAR54(VAR54),
.VAR93(VAR93),
.VAR38(VAR38),
.VAR36(VAR36),
.VAR37(VAR37),
.VAR77(VAR77),
.VAR34(VAR34),
.VAR43(VAR43),
.VAR84(VAR84),
.VAR87(VAR87),
.VAR18(VAR18),
.VAR20(VAR20),
.VAR19(VAR19),
.VAR28(VAR28),
.VAR64(VAR64),
.VAR69(VAR69),
.VAR41(VAR41),
.VAR81(VAR81),
.VAR60(VAR60),
.VAR40(VAR40),
.VAR12(VAR12),
.VAR73(VAR73),
.VAR91(VAR91),
.VAR89(VAR89),
.VAR52(VAR52),
.VAR24(VAR24),
.VAR3(VAR3),
.VAR62(VAR62),
.VAR59(VAR59),
.VAR65(VAR65),
.VAR90(VAR90),
.VAR63(VAR63),
.VAR74(VAR74)
);
endmodule | mit |
asicguy/gplgpu | hdl/crt_sp/crtaddsl.v | 4,170 | module MODULE1
(
VAR8,
VAR2,
VAR3,
VAR9,
VAR4,
VAR14,
VAR20,
VAR19,
VAR12,
VAR10,
VAR1,
VAR15,
VAR13,
VAR17,
VAR16,
VAR6,
VAR11,
VAR5,
VAR21,
VAR18
);
input [13:0] VAR8,
VAR2,
VAR3,
VAR9;
input [11:0] VAR4,
VAR14,
VAR20,
VAR19;
output [13:0] VAR12,
VAR10,
VAR1,
VAR15,
VAR13,
VAR17,
VAR16,
VAR6;
output [11:0] VAR11,
VAR5,
VAR21;
output VAR18;
wire [13:0] VAR7;
assign VAR12[13:0] = VAR2[13:0] + VAR8[13:0];
assign VAR10[13:0] = VAR3[13:0] +
VAR9[13:0];
assign VAR1[13:0] = VAR3[13:0] +
VAR9[13:1];
assign VAR15[13:0] = VAR3[13:0] + VAR12[13:1];
assign VAR13[13:0] = VAR15[13:0] +
VAR9[13:1];
assign VAR17[13:0] = VAR3[13:0] -
VAR9[13:0];
assign VAR7[13:0] = VAR9[13:0] -
VAR3[13:0];
assign VAR16[13:0] = VAR15[13:0] - VAR9[13:0];
assign VAR6[13:0] = VAR12[13:0] -
VAR7[13:0];
assign VAR21[11:0] = VAR14[11:0] + VAR4[11:0];
assign VAR11[11:0] = VAR20[11:0] +
VAR19[11:0];
assign VAR5[11:0] = VAR20[11:0] +
{VAR19[10:0],1'b0};
assign VAR18 = (VAR3[13:0] > VAR9[13:0]);
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/dlymetal6s6s/sky130_fd_sc_ms__dlymetal6s6s.behavioral.pp.v | 1,868 | module MODULE1 (
VAR9 ,
VAR2 ,
VAR4,
VAR12,
VAR11 ,
VAR6
);
output VAR9 ;
input VAR2 ;
input VAR4;
input VAR12;
input VAR11 ;
input VAR6 ;
wire VAR10 ;
wire VAR8;
buf VAR1 (VAR10 , VAR2 );
VAR7 VAR3 (VAR8, VAR10, VAR4, VAR12);
buf VAR5 (VAR9 , VAR8 );
endmodule | apache-2.0 |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v | 10,432 | module MODULE1 #(parameter VAR7(VAR1), VAR6))
(input [VAR6-1:0] VAR2
,output logic [VAR1-1:0] VAR4
);
VAR5 case(VAR2)
0: VAR4 = VAR1 ' (20'b00010000000011110101); 1: VAR4 = VAR1 ' (20'b00010100000000000001); 2: VAR4 = VAR1 ' (20'b00011100000000001000); 3: VAR4 = VAR1 ' (20'b00100000000000000000); 4: VAR4 = VAR1 ' (20'b01100000000000100000); 5: VAR4 = VAR1 ' (20'b01010000000000000000); 6: VAR4 = VAR1 ' (20'b00010000000110000001); 7: VAR4 = VAR1 ' (20'b01100000000000100000); 8: VAR4 = VAR1 ' (20'b01010000000000000000); 9: VAR4 = VAR1 ' (20'b00010000000011110101); 10: VAR4 = VAR1 ' (20'b01100000000001000000); 11: VAR4 = VAR1 ' (20'b01010000000000000000); 12: VAR4 = VAR1 ' (20'b00011000000000000001); 13: VAR4 = VAR1 ' (20'b01100000000000100000); 14: VAR4 = VAR1 ' (20'b01010000000000000000); 15: VAR4 = VAR1 ' (20'b00010000000001101010); 16: VAR4 = VAR1 ' (20'b01100000000000100000); 17: VAR4 = VAR1 ' (20'b01010000000000000000); 18: VAR4 = VAR1 ' (20'b00011000000000000010); 19: VAR4 = VAR1 ' (20'b01100000000000100000); 20: VAR4 = VAR1 ' (20'b01010000000000000000); 21: VAR4 = VAR1 ' (20'b00010000000110000001); 22: VAR4 = VAR1 ' (20'b01100000000000100000); 23: VAR4 = VAR1 ' (20'b01010000000000000000); 24: VAR4 = VAR1 ' (20'b00010000000001101010); 25: VAR4 = VAR1 ' (20'b01100000000001000000); 26: VAR4 = VAR1 ' (20'b01010000000000000000); 27: VAR4 = VAR1 ' (20'b00011000000000000100); 28: VAR4 = VAR1 ' (20'b01100000000000100000); 29: VAR4 = VAR1 ' (20'b01010000000000000000); 30: VAR4 = VAR1 ' (20'b00010100000000000000); 31: VAR4 = VAR1 ' (20'b00011000000000111111); 32: VAR4 = VAR1 ' (20'b00110000000000000000); default: VAR4 = 'VAR3;
endcase
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o221ai/sky130_fd_sc_ls__o221ai.behavioral.pp.v | 2,212 | module MODULE1 (
VAR14 ,
VAR4 ,
VAR1 ,
VAR20 ,
VAR6 ,
VAR8 ,
VAR10,
VAR13,
VAR2 ,
VAR9
);
output VAR14 ;
input VAR4 ;
input VAR1 ;
input VAR20 ;
input VAR6 ;
input VAR8 ;
input VAR10;
input VAR13;
input VAR2 ;
input VAR9 ;
wire VAR3 ;
wire VAR17 ;
wire VAR19 ;
wire VAR18;
or VAR15 (VAR3 , VAR6, VAR20 );
or VAR16 (VAR17 , VAR1, VAR4 );
nand VAR5 (VAR19 , VAR17, VAR3, VAR8 );
VAR12 VAR7 (VAR18, VAR19, VAR10, VAR13);
buf VAR11 (VAR14 , VAR18 );
endmodule | apache-2.0 |
trnewman/VT-USRP-daughterboard-drivers_python | usrp/fpga/sdr_lib/ddc.v | 2,745 | module MODULE1(input VAR11,
input reset,
input enable,
input [3:0] VAR30,
input [3:0] VAR32,
output VAR5,
input [31:0] VAR22,
input [15:0] VAR13,
input [15:0] VAR4,
output [15:0] VAR23,
output [15:0] VAR15
);
parameter VAR36 = 16;
parameter VAR1 = 16;
wire [15:0] VAR26, VAR41;
wire [31:0] VAR27;
wire VAR21, VAR2;
reg [3:0] VAR34,VAR8;
always @(posedge VAR11)
if(reset | ~enable)
VAR8 <= 4'd0;
else if(VAR2)
VAR8 <= 4'd0;
else
VAR8 <= VAR8 + 4'd1;
always @(posedge VAR11)
if(reset | ~enable)
VAR34 <= 4'd0;
else if(VAR21)
VAR34 <= 4'd0;
else if(VAR2)
VAR34 <= VAR34 + 4'd1;
assign VAR2 = enable & ( VAR8 == VAR32 );
assign VAR21 = VAR2 & ( VAR34 == VAR30 );
assign VAR5 = VAR21;
function [2:0] VAR40;
input [3:0] VAR10;
VAR40 = VAR10[3] ? 3'd4 : VAR10[2] ? 3'd3 : VAR10[1] ? 3'd2 : 3'd1;
endfunction
wire [2:0] VAR31 = VAR40(VAR30);
wire [2:0] VAR19 = VAR40(VAR32);
VAR16 #(.VAR6(VAR36),.VAR9(VAR1),.VAR14(16))
VAR16(.VAR11(VAR11), .reset(reset), .enable(enable),
.VAR17(VAR13), .VAR7(VAR4), .VAR35(VAR27[31:32-VAR1]),
.VAR24(VAR26), .VAR20(VAR41), .VAR42() );
VAR29 #(.VAR36(VAR36),.VAR38(4))
VAR39(.VAR11(VAR11),.reset(reset),.enable(enable),
.VAR21(1'b1),.VAR2(VAR2),.VAR3(VAR21),.VAR31(VAR19),.VAR19(VAR31),
.VAR37(VAR26),.VAR18(VAR23));
VAR29 #(.VAR36(VAR36),.VAR38(4))
VAR33(.VAR11(VAR11),.reset(reset),.enable(enable),
.VAR21(1'b1),.VAR2(VAR2),.VAR3(VAR21),.VAR31(VAR19),.VAR19(VAR31),
.VAR37(VAR41),.VAR18(VAR15));
VAR25 #(.VAR28(32))
VAR12 (.clk(VAR11),.reset(reset),.enable(enable),
.VAR22(VAR22),.VAR27(VAR27));
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/xor3/sky130_fd_sc_lp__xor3_1.v | 2,199 | module MODULE2 (
VAR10 ,
VAR5 ,
VAR7 ,
VAR3 ,
VAR6,
VAR9,
VAR4 ,
VAR1
);
output VAR10 ;
input VAR5 ;
input VAR7 ;
input VAR3 ;
input VAR6;
input VAR9;
input VAR4 ;
input VAR1 ;
VAR2 VAR8 (
.VAR10(VAR10),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR10,
VAR5,
VAR7,
VAR3
);
output VAR10;
input VAR5;
input VAR7;
input VAR3;
supply1 VAR6;
supply0 VAR9;
supply1 VAR4 ;
supply0 VAR1 ;
VAR2 VAR8 (
.VAR10(VAR10),
.VAR5(VAR5),
.VAR7(VAR7),
.VAR3(VAR3)
);
endmodule | apache-2.0 |
HarmonInstruments/verilog | primitives/sync_pulse.v | 1,252 | module MODULE1(input VAR6, VAR5, VAR2, output reg VAR3=0);
reg VAR9 = 0;
always @ (posedge VAR6)
VAR9 <= VAR5 ^ VAR9;
wire VAR4;
sync VAR8(.VAR7(VAR2), .VAR5(VAR9), .VAR3(VAR4));
reg VAR1 = 0;
always @ (posedge VAR2)
begin
VAR1 <= VAR4;
VAR3 <= VAR4 ^ VAR1;
end
endmodule | gpl-3.0 |
horia141/bachelor-thesis | prj/applications/Auto2/Auto2.v | 1,879 | module MODULE1(VAR33,VAR10,reset,VAR39,VAR18,VAR7,VAR6,VAR21,VAR1);
input wire VAR33;
input wire VAR10;
input wire reset;
output wire [7:0] VAR39;
output wire VAR18;
output wire VAR7;
output wire VAR6;
output wire VAR21;
output wire VAR1;
wire [7:0] VAR5;
wire [11:0] VAR36;
wire [7:0] VAR37;
wire [19:0] VAR15;
wire [4095:0] VAR12;
wire [7:0] VAR29;
wire VAR23;
VAR41
VAR24 (.VAR14(VAR33),
.reset(reset),
.VAR16(VAR15),
.VAR22(VAR12),
.VAR17(1),
.VAR3(VAR29),
.VAR28({7'h0,VAR23}),
.VAR27(8'h00),
.VAR9(8'h00),
.VAR11(VAR5),
.VAR4(VAR36),
.VAR8(VAR37));
VAR30
VAR20 (.addr(VAR5),
.VAR34(VAR15));
VAR19
VAR25 (.addr(VAR5),
.VAR34(VAR12));
VAR31
alu (.VAR14(VAR10),
.reset(reset),
.VAR16(VAR36),
.VAR17(VAR37[0]),
.VAR26(VAR29));
VAR2
VAR40 (.VAR14(VAR10),
.reset(reset),
.VAR16(VAR36),
.VAR17(VAR37[1]),
.ready(VAR23));
VAR38
VAR13 (.VAR14(VAR10),
.reset(reset),
.VAR16(VAR36),
.VAR17(VAR37[2]),
.VAR39(VAR39));
VAR35
VAR32 (.VAR14(VAR10),
.reset(reset),
.VAR16(VAR36),
.VAR17(VAR37[3]),
.VAR18(VAR18),
.VAR7(VAR7),
.VAR6(VAR6),
.VAR21(VAR21),
.VAR1(VAR1));
endmodule | mit |
The7thPres/CFTP | CFTP_Sat/CFTP_Sat.srcs/sources_1/ip/Master_Clock_Divider/Master_Clock_Divider.v | 4,313 | module MODULE1
(
output VAR6,
output VAR3,
output VAR8,
input reset,
output VAR4,
input VAR2,
input VAR7
);
VAR5 VAR1
(
.VAR6(VAR6),
.VAR3(VAR3),
.VAR8(VAR8),
.reset(reset),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/isolatch/sky130_fd_sc_lp__isolatch.functional.pp.v | 1,843 | module MODULE1 (
VAR2 ,
VAR14 ,
VAR12,
VAR11 ,
VAR9 ,
VAR5 ,
VAR6 ,
VAR8
);
output VAR2 ;
input VAR14 ;
input VAR12;
input VAR11 ;
input VAR9 ;
input VAR5 ;
input VAR6 ;
input VAR8 ;
wire VAR10 ;
wire VAR15;
wire VAR3 ;
VAR1 VAR7 VAR13 (VAR10 , VAR14, VAR12, , VAR11, VAR5, VAR9);
buf VAR4 (VAR2 , VAR10 );
endmodule | apache-2.0 |
dingzh/piplined-MIPS-CPU | src/LAB5/Alu.v | 1,411 | module MODULE1(
input [31:0] VAR1,
input [31:0] VAR4,
input [3:0] VAR5,
output reg VAR2,
output reg [31:0] VAR3
);
always @(VAR1 or VAR4 or VAR5)
begin
case(VAR5)
'b0000: begin
VAR3 = VAR1 & VAR4;
VAR2 = 0;
end
'b0001: begin
VAR3 = VAR1 | VAR4;
VAR2 = 0;
end
'b0010: begin
VAR3 = VAR1 + VAR4;
VAR2 = 0;
end
'b0110: begin
VAR3 = VAR1 - VAR4;
if(VAR3 == 0)
VAR2 = 1;
end
else VAR2 = 0;
end
'b0111: begin
VAR2 = 0;
if(VAR1<VAR4) VAR3 = 1;
end
else VAR3 = 0;
end
'b1100: begin
VAR3 = VAR1 | VAR4;
VAR3 = ~VAR3;
VAR2 = 0;
end
default:
begin
VAR3 = 0;
VAR2 = 0;
end
endcase
end
endmodule | gpl-3.0 |
daphil19/CMSC411-Project | cordic_alog.v | 2,022 | module MODULE1();
reg [31:0] VAR11, VAR1, VAR9;
reg real VAR7 [0:15];
reg real VAR3 [0:16];
reg real VAR4, VAR8, VAR5;
integer VAR6;
reg real VAR10, VAR2; | mit |
FAST-Switch/fast | lib/hardware/pipeline/IPE_IF_OPENFLOW/mac_core/altera_tse_reset_synchronizer.v | 4,426 | module MODULE1
parameter VAR6 = 1,
parameter VAR4 = 2
)
(
input VAR2 ,
input clk,
output VAR5
);
reg [VAR4-1:0] VAR3;
reg VAR1;
generate if (VAR6) begin
always @(posedge clk or posedge VAR2) begin
if (VAR2) begin
VAR3 <= {VAR4{1'b1}};
VAR1 <= 1'b1;
end
else begin
VAR3[VAR4-2:0] <= VAR3[VAR4-1:1];
VAR3[VAR4-1] <= 0;
VAR1 <= VAR3[0];
end
end
assign VAR5 = VAR1;
end else begin
always @(posedge clk) begin
VAR3[VAR4-2:0] <= VAR3[VAR4-1:1];
VAR3[VAR4-1] <= VAR2;
VAR1 <= VAR3[0];
end
assign VAR5 = VAR1;
end
endgenerate
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a2111oi/sky130_fd_sc_lp__a2111oi_lp.v | 2,469 | module MODULE2 (
VAR8 ,
VAR5 ,
VAR12 ,
VAR9 ,
VAR11 ,
VAR10 ,
VAR2,
VAR7,
VAR1 ,
VAR4
);
output VAR8 ;
input VAR5 ;
input VAR12 ;
input VAR9 ;
input VAR11 ;
input VAR10 ;
input VAR2;
input VAR7;
input VAR1 ;
input VAR4 ;
VAR3 VAR6 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR12(VAR12),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR1(VAR1),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR8 ,
VAR5,
VAR12,
VAR9,
VAR11,
VAR10
);
output VAR8 ;
input VAR5;
input VAR12;
input VAR9;
input VAR11;
input VAR10;
supply1 VAR2;
supply0 VAR7;
supply1 VAR1 ;
supply0 VAR4 ;
VAR3 VAR6 (
.VAR8(VAR8),
.VAR5(VAR5),
.VAR12(VAR12),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR10(VAR10)
);
endmodule | apache-2.0 |
ByronPhung/hardware-accelerated-dna-matching-and-variation-detection | Hardware/Verilog/Search_8Comparators_tf.v | 2,438 | module MODULE1;
reg VAR2;
reg reset;
reg [1023:0] VAR3;
reg [63:0] VAR4;
wire VAR6;
VAR5 VAR1 (
.VAR2(VAR2),
.reset(reset),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR6(VAR6)
); | apache-2.0 |
cliffordwolf/yosys | techlibs/gowin/cells_map.v | 6,100 | module \VAR34 (input VAR64, VAR8, output VAR78);
VAR34 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8));
wire VAR55 = 1;
endmodule
module \VAR33 (input VAR64, VAR8, output VAR78);
VAR10 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8));
wire VAR55 = 1;
endmodule
module \VAR76 (input VAR64, VAR8, VAR20, output VAR78);
VAR28 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR2 (input VAR64, VAR8, VAR20, output VAR78);
VAR3 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR18 (input VAR64, VAR8, VAR45, output VAR78);
VAR67 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR6(VAR45));
wire VAR55 = 1;
endmodule
module \VAR14 (input VAR64, VAR8, VAR45, output VAR78);
VAR43 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR6(VAR45));
wire VAR55 = 1;
endmodule
module \VAR52 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR9 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR6(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR53 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR50 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR6(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR51 (input VAR64, VAR8, VAR45, output VAR78);
VAR72 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR47(VAR45));
wire VAR55 = 1;
endmodule
module \VAR54 (input VAR64, VAR8, VAR45, output VAR78);
VAR26 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR47(VAR45));
wire VAR55 = 1;
endmodule
module \VAR24 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR60 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR47(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR23 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR13 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR47(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR44 (input VAR64, VAR8, VAR45, output VAR78);
VAR33 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR21(VAR45));
wire VAR55 = 1;
endmodule
module \VAR5 (input VAR64, VAR8, VAR45, output VAR78);
VAR80 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR21(VAR45));
wire VAR55 = 1;
endmodule
module \VAR58 (input VAR64, VAR8, VAR45, output VAR78);
VAR38 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR4(VAR45));
wire VAR55 = 1;
endmodule
module \VAR7 (input VAR64, VAR8, VAR45, output VAR78);
VAR11 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR4(VAR45));
wire VAR55 = 1;
endmodule
module \VAR36 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR1 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR21(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR61 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR32 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR21(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR39 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR31 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR4(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module \VAR29 (input VAR64, VAR8, VAR45, VAR20, output VAR78);
VAR68 VAR74 (.VAR64(VAR64), .VAR78(VAR78), .VAR15(VAR8), .VAR4(VAR45), .VAR49(VAR20));
wire VAR55 = 1;
endmodule
module MODULE8 (VAR48, VAR66);
parameter VAR42 = 0;
parameter VAR77 = 0;
input [VAR42-1:0] VAR48;
output VAR66;
generate
if (VAR42 == 1) begin
VAR17 #(.VAR16(VAR77)) VAR74 (.VAR46(VAR66),
.VAR12(VAR48[0]));
end else
if (VAR42 == 2) begin
VAR40 #(.VAR16(VAR77)) VAR74 (.VAR46(VAR66),
.VAR12(VAR48[0]), .VAR56(VAR48[1]));
end else
if (VAR42 == 3) begin
VAR57 #(.VAR16(VAR77)) VAR74 (.VAR46(VAR66),
.VAR12(VAR48[0]), .VAR56(VAR48[1]), .VAR37(VAR48[2]));
end else
if (VAR42 == 4) begin
VAR59 #(.VAR16(VAR77)) VAR74 (.VAR46(VAR66),
.VAR12(VAR48[0]), .VAR56(VAR48[1]), .VAR37(VAR48[2]), .VAR69(VAR48[3]));
end else
if (VAR42 == 5) begin
wire VAR41, VAR71;
MODULE8 #(.VAR77(VAR77[15: 0]), .VAR42(4)) VAR27 (.VAR48(VAR48[3:0]), .VAR66(VAR41));
MODULE8 #(.VAR77(VAR77[31:16]), .VAR42(4)) VAR63 (.VAR48(VAR48[3:0]), .VAR66(VAR71));
VAR65 VAR75(.VAR12(VAR41), .VAR56(VAR71), .VAR35(VAR48[4]), .VAR79(VAR66));
end else
if (VAR42 == 6) begin
wire VAR41, VAR71;
MODULE8 #(.VAR77(VAR77[31: 0]), .VAR42(5)) VAR27 (.VAR48(VAR48[4:0]), .VAR66(VAR41));
MODULE8 #(.VAR77(VAR77[63:32]), .VAR42(5)) VAR63 (.VAR48(VAR48[4:0]), .VAR66(VAR71));
VAR73 VAR70(.VAR12(VAR41), .VAR56(VAR71), .VAR35(VAR48[5]), .VAR79(VAR66));
end else
if (VAR42 == 7) begin
wire VAR41, VAR71;
MODULE8 #(.VAR77(VAR77[63: 0]), .VAR42(6)) VAR27 (.VAR48(VAR48[5:0]), .VAR66(VAR41));
MODULE8 #(.VAR77(VAR77[127:64]), .VAR42(6)) VAR63 (.VAR48(VAR48[5:0]), .VAR66(VAR71));
VAR19 VAR25(.VAR12(VAR41), .VAR56(VAR71), .VAR35(VAR48[6]), .VAR79(VAR66));
end else
if (VAR42 == 8) begin
wire VAR41, VAR71;
MODULE8 #(.VAR77(VAR77[127: 0]), .VAR42(7)) VAR27 (.VAR48(VAR48[6:0]), .VAR66(VAR41));
MODULE8 #(.VAR77(VAR77[255:128]), .VAR42(7)) VAR63 (.VAR48(VAR48[6:0]), .VAR66(VAR71));
VAR22 VAR30(.VAR12(VAR41), .VAR56(VAR71), .VAR35(VAR48[7]), .VAR79(VAR66));
end else begin
wire VAR62 = 1;
end
endgenerate
endmodule | isc |
m13253/riscade | hdl/src/step_id.v | 1,132 | module MODULE1(VAR14, VAR12, VAR8,
VAR3, VAR4, VAR1, VAR6, VAR9, VAR2, VAR10, VAR7, VAR15, VAR16, VAR5);
input[7:0] VAR14;
input VAR12;
input VAR8;
output VAR3, VAR4, VAR1, VAR6, VAR9, VAR2, VAR10, VAR7, VAR15, VAR16, VAR5;
wire VAR11 = VAR14[7] ^ VAR8;
wire[6:0] VAR13 = VAR14[6:0] & {7{~(VAR11 | VAR12)}};
assign VAR3 = VAR13[6:0] != 7'b0000000 || VAR12;
assign VAR4 = VAR13[6:4] != 3'b010 || VAR13[3:0] == 4'b0000;
assign VAR1 = VAR13[6:4] != 3'b011 || VAR13[3:0] == 4'b0000;
assign VAR6 = {VAR13[6:2], VAR13[0]} != {5'b10001, 1'b0};
assign VAR9 = {VAR13[6:2], VAR13[0]} != {5'b10001, 1'b1};
assign VAR2 = VAR13 != 7'b1010000;
assign VAR10 = VAR13[6:5] != 2'b11;
assign VAR7 = VAR13 != 7'b0001100;
assign VAR15 = {VAR13[6], VAR13[3:0]} != {1'b0, 4'b0000} || VAR13[5:4] == 2'b00;
assign VAR16 = VAR13 != 7'b1010110;
assign VAR5 = VAR13 != 7'b1010111;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | models/udp_dff_pr_pp_pg_n/sky130_fd_sc_hd__udp_dff_pr_pp_pg_n.symbol.v | 1,478 | module MODULE1 (
input VAR6 ,
output VAR2 ,
input VAR1 ,
input VAR4 ,
input VAR7,
input VAR5 ,
input VAR3
);
endmodule | apache-2.0 |
lerwys/bpm-sw-old-backup | hdl/ip_cores/pcie/7a200ffg1156/pcie_core/source/pcie_core_pcie_bram_top_7x.v | 8,590 | module MODULE1
parameter VAR5 = "VAR23", parameter VAR28 = 0, parameter [3:0] VAR18 = 4'h1, parameter [5:0] VAR12 = 6'h08,
parameter VAR7 = 31, parameter VAR15 = 24, parameter VAR35 = 1, parameter VAR33 = 2, parameter VAR1 = 1,
parameter VAR30 = 'h1FFF, parameter VAR10 = 1, parameter VAR14 = 2, parameter VAR9 = 1 )
(
input VAR4, input VAR22,
input VAR25, input [12:0] VAR32, input [71:0] VAR27, input VAR24, input VAR29, input [12:0] VAR8, output [71:0] VAR21,
input VAR31, input [12:0] VAR16, input [71:0] VAR13, input VAR6, input VAR19, input [12:0] VAR34, output [71:0] VAR11 );
localparam VAR3 = ((VAR28 == 0) ? 128 :
(VAR28 == 1) ? 256 :
(VAR28 == 2) ? 512 :
1024 );
localparam VAR20 = (VAR7 + 1) * (VAR3 + VAR15);
localparam VAR36 = 1;
localparam VAR17 = ((VAR20 <= 4096) ? 1 :
(VAR20 <= 8192) ? 2 :
(VAR20 <= 16384) ? 4 :
(VAR20 <= 32768) ? 8 :
18
);
localparam VAR2 = 1;
localparam VAR26 = ((VAR30 < 'h0200) ? 1 :
(VAR30 < 'h0400) ? 2 :
(VAR30 < 'h0800) ? 4 :
(VAR30 < 'h1000) ? 8 :
18
); | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/buf/sky130_fd_sc_hvl__buf.pp.symbol.v | 1,240 | module MODULE1 (
input VAR2 ,
output VAR5 ,
input VAR4 ,
input VAR6,
input VAR1,
input VAR3
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/sdfrbp/sky130_fd_sc_hd__sdfrbp_2.v | 2,695 | module MODULE2 (
VAR8 ,
VAR11 ,
VAR1 ,
VAR5 ,
VAR3 ,
VAR10 ,
VAR7,
VAR9 ,
VAR13 ,
VAR6 ,
VAR12
);
output VAR8 ;
output VAR11 ;
input VAR1 ;
input VAR5 ;
input VAR3 ;
input VAR10 ;
input VAR7;
input VAR9 ;
input VAR13 ;
input VAR6 ;
input VAR12 ;
VAR4 VAR2 (
.VAR8(VAR8),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR13(VAR13),
.VAR6(VAR6),
.VAR12(VAR12)
);
endmodule
module MODULE2 (
VAR8 ,
VAR11 ,
VAR1 ,
VAR5 ,
VAR3 ,
VAR10 ,
VAR7
);
output VAR8 ;
output VAR11 ;
input VAR1 ;
input VAR5 ;
input VAR3 ;
input VAR10 ;
input VAR7;
supply1 VAR9;
supply0 VAR13;
supply1 VAR6 ;
supply0 VAR12 ;
VAR4 VAR2 (
.VAR8(VAR8),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR5(VAR5),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR7(VAR7)
);
endmodule | apache-2.0 |
scalable-networks/ext | uhd/fpga/usrp2/models/IDDR2.v | 4,605 | module MODULE1 (VAR22, VAR6, VAR21, VAR13, VAR3, VAR7, VAR17, VAR14);
output VAR22;
output VAR6;
input VAR21;
input VAR13;
input VAR3;
input VAR7;
tri0 VAR20 = VAR1.VAR20;
input VAR17;
input VAR14;
parameter VAR23 = "VAR15";
parameter VAR5 = 1'b0;
parameter VAR19 = 1'b0;
parameter VAR18 = "VAR4";
reg VAR9, VAR10;
reg VAR12, VAR16;
reg VAR8, VAR24;
buf VAR2 (VAR22, VAR9);
buf VAR11 (VAR6, VAR10); | gpl-2.0 |
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors- | DE4_network_processor_4cores_6monitors_release/lib/verilog/core/bin_cam/src/erase_keys.v | 10,186 | module MODULE1 (
reset,
VAR10,
VAR14,
VAR27,
VAR26,
VAR7,
VAR35,
VAR1,
VAR11,
VAR16
);
parameter VAR19 = "VAR38 VAR29";
parameter VAR33 = 8;
parameter VAR31 = 416;
localparam VAR37 = VAR18(VAR31); localparam VAR13 = 2**VAR37;
input reset;
input VAR10, VAR14;
input [VAR33-1:0] VAR27;
input [VAR37-1:0] VAR26;
input VAR7;
output [VAR33-1:0] VAR35;
output [VAR13-1:0] VAR1;
output VAR11, VAR16;
reg VAR30, VAR6;
always @(posedge VAR10 or posedge reset)
begin
if (reset)
begin
VAR6 <= 1'b1;
VAR30 <= 1'b1;
end
else
begin
VAR6 <= VAR30;
VAR30 <= 1'b0;
end
end
wire VAR22 = VAR6;
reg [VAR13-1:0] VAR1;
reg VAR16;
reg [VAR33-1:0] VAR20;
reg VAR32;
reg [VAR33-1:0] VAR5;
wire [VAR33-1:0] VAR21;
wire [VAR13-1:0] VAR28;
wire [VAR33-1:0] VAR35 = VAR32 ? VAR5 : VAR20;
wire VAR11 = &VAR1;
always @(posedge VAR10 or posedge VAR22)
begin
if (VAR22)
begin: VAR23
VAR1 <= {VAR13{1'b0}};
VAR16 <= 1'b0;
VAR32 <= 1'b0;
VAR5 <= {VAR33{1'b0}};
end
else if (VAR14 && VAR7)
begin: VAR9
VAR1 <= VAR1 | VAR28;
VAR32 <= VAR7;
VAR5 <= VAR27;
if ((VAR1 & VAR28) != 0)
VAR16 <= 1'b1;
end
else
VAR16 <= 1'b0;
end
else if (VAR14 && !VAR7)
begin: VAR34
VAR1 <= VAR1 & ~VAR28;
VAR16 <= 1'b0;
VAR32 <= VAR7;
VAR5 <= VAR27;
end
else
begin
VAR1 <= VAR1;
VAR16 <= 1'b0;
VAR32 <= VAR32;
VAR5 <= VAR5;
end
end
always @(VAR21 or VAR10)
begin
if (VAR10)
begin
VAR20 <= VAR21;
end
end
VAR2 #(
.VAR19 ( VAR19 ),
.VAR33 ( VAR33 ),
.VAR31 ( VAR13 )
) VAR12 (
.address ( VAR26 ),
.VAR4 ( VAR10 ),
.VAR15 ( VAR27 ),
.VAR14 ( VAR14 ),
.VAR25 ( VAR21 )
);
decoder #(
.VAR39 ( VAR13 )
) VAR36 (
.VAR8 ( VAR26 ),
.VAR24 ( VAR28 )
);
wire [VAR13-1:0] VAR1 = VAR13'b0;
wire VAR16 = 0;
wire VAR11 = 0;
wire [VAR33-1:0] VAR35 = VAR27;
function integer VAR18;
input [31:0] VAR17;
integer VAR3;
begin
VAR18 = 1;
for (VAR3=0; 2**VAR3 < VAR17; VAR3=VAR3+1)
VAR18 = VAR3 + 1;
end
endfunction
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o31ai/sky130_fd_sc_lp__o31ai.pp.symbol.v | 1,359 | module MODULE1 (
input VAR2 ,
input VAR3 ,
input VAR1 ,
input VAR9 ,
output VAR8 ,
input VAR4 ,
input VAR7,
input VAR6,
input VAR5
);
endmodule | apache-2.0 |
GSejas/Dise-o-ASIC-FPGA-FPU | ASIC_FLOW/ASIC_KOA_1_cycles/integracion_fisica/front_end/source/RecursiveKOA_1c.v | 5,738 | module MODULE1
(
input wire clk,
input wire rst,
input wire VAR17,
input wire [VAR35-1:0] VAR5,
input wire [VAR35-1:0] VAR31,
output wire [2*VAR35-1:0] VAR12
);
wire [1:0] VAR18;
wire [3:0] VAR25;
assign VAR18 = 2'b00;
assign VAR25 = 4'b0000;
wire [VAR35/2-1:0] VAR23;
wire [VAR35/2:0] VAR1;
wire [VAR35/2-3:0] VAR21;
wire [VAR35/2-4:0] VAR24;
reg [4*(VAR35/2)+2:0] VAR7;
reg [4*(VAR35/2)-1:0] VAR28;
assign VAR23 = {(VAR35/2){1'b0}};
assign VAR1 = {(VAR35/2+1){1'b0}};
assign VAR21 = {(VAR35/2-4){1'b0}}; assign VAR24 = {(VAR35/2-5){1'b0}};
localparam VAR32 = VAR35/2;
generate
case (VAR35%2)
0:begin : VAR22
reg [VAR35/2:0] VAR34;
reg [VAR35/2:0] VAR14;
reg [VAR35-1:0] VAR26;
reg [VAR35-1:0] VAR15;
reg [VAR35+1:0] VAR11;
reg [2*(VAR35/2+2)-1:0] VAR13;
reg [VAR35+1:0] VAR19;
VAR20 #(.VAR35(VAR35/2)) VAR10(
.VAR5(VAR5[VAR35-1:VAR35-VAR35/2]),
.VAR31(VAR31[VAR35-1:VAR35-VAR35/2]),
.VAR33(VAR26)
);
VAR20 #(.VAR35(VAR35/2)) VAR16(
.VAR5(VAR5[VAR35-VAR35/2-1:0]),
.VAR31(VAR31[VAR35-VAR35/2-1:0]),
.VAR33(VAR15)
);
VAR20 #(.VAR35((VAR35/2)+1)) VAR27 (
.VAR5(VAR34),
.VAR31(VAR14),
.VAR33(VAR11)
);
always @* begin : VAR8
VAR34 <= (VAR5[((VAR35/2)-1):0] + VAR5[(VAR35-1) -: VAR35/2]);
VAR14 <= (VAR31[((VAR35/2)-1):0] + VAR31[(VAR35-1) -: VAR35/2]);
VAR19 <= (VAR11 - VAR26 - VAR15);
VAR7[4*(VAR35/2):0] <= {VAR21,VAR19,VAR23} + {VAR26,VAR15};
end
VAR9 #(.VAR36(4*(VAR35/2))) VAR29 ( .clk(clk),
.rst(rst),
.VAR6(VAR17),
.VAR3(VAR7[4*(VAR35/2)-1:0]),
.VAR4({VAR12})
);
end
1:begin : VAR2
reg [VAR35/2+1:0] VAR34;
reg [VAR35/2+1:0] VAR14;
reg [2*(VAR35/2)-1:0] VAR26;
reg [2*(VAR35/2+1)-1:0] VAR15;
reg [2*(VAR35/2+2)-1:0] VAR11;
reg [2*(VAR35/2+2)-1:0] VAR13;
reg [VAR35+4-1:0] VAR19;
VAR20 #(.VAR35(VAR35/2)) VAR10(
.VAR5(VAR5[VAR35-1:VAR35-VAR35/2]),
.VAR31(VAR31[VAR35-1:VAR35-VAR35/2]),
.VAR33(VAR26)
);
VAR20 #(.VAR35((VAR35/2)+1)) VAR16(
.VAR5(VAR5[VAR35-VAR35/2-1:0]),
.VAR31(VAR31[VAR35-VAR35/2-1:0]),
.VAR33(VAR15)
);
VAR20 #(.VAR35(VAR35/2+2)) VAR27 (
.VAR5(VAR34),
.VAR31(VAR14),
.VAR33(VAR11)
);
always @* begin : VAR30
VAR34 <= (VAR5[VAR35-VAR35/2-1:0] + VAR5[VAR35-1:VAR35-VAR35/2]);
VAR14 <= VAR31[VAR35-VAR35/2-1:0] + VAR31[VAR35-1:VAR35-VAR35/2];
VAR19 <= (VAR11 - VAR26 - VAR15);
VAR7[4*(VAR35/2)+2:0]<= {VAR24,VAR19,VAR1} + {VAR26,VAR15};
end
VAR9 #(.VAR36(4*(VAR35/2)+2)) VAR29 ( .clk(clk),
.rst(rst),
.VAR6(VAR17),
.VAR3(VAR7[2*VAR35-1:0]),
.VAR4({VAR12})
);
end
endcase
endgenerate
endmodule | gpl-3.0 |
bluespec/Flute | src_SSITH_P2/Verilog_RTL/mkGPR_RegFile.v | 7,872 | module MODULE1(VAR13,
VAR39,
VAR35,
VAR29,
VAR28,
VAR32,
VAR5,
VAR73,
VAR59,
VAR63,
VAR54,
VAR74,
VAR44,
VAR64,
VAR51);
input VAR13;
input VAR39;
input VAR35;
output VAR29;
input VAR28;
output VAR32;
input [4 : 0] VAR5;
output [63 : 0] VAR73;
input [4 : 0] VAR59;
output [63 : 0] VAR63;
input [4 : 0] VAR54;
output [63 : 0] VAR74;
input [4 : 0] VAR44;
input [63 : 0] VAR64;
input VAR51;
wire [63 : 0] VAR73, VAR63, VAR74;
wire VAR29, VAR32;
reg [4 : 0] VAR56;
wire [4 : 0] VAR49;
wire VAR68;
reg [1 : 0] VAR79;
reg [1 : 0] VAR11;
wire VAR70;
wire VAR7,
VAR47,
VAR37,
VAR2,
VAR80;
wire [63 : 0] VAR26,
VAR24,
VAR52,
VAR25;
wire [4 : 0] VAR9,
VAR12,
VAR23,
VAR18,
VAR3,
VAR41;
wire VAR58;
wire VAR42,
VAR43,
VAR6,
VAR8,
VAR48,
VAR45,
VAR50,
VAR78,
VAR69,
VAR77;
wire [4 : 0] VAR67;
wire VAR34, VAR71;
assign VAR29 = VAR80 ;
assign VAR6 = VAR80 ;
assign VAR78 = VAR35 ;
assign VAR32 =
VAR79 == 2'd2 && VAR37 ;
assign VAR8 =
VAR79 == 2'd2 && VAR37 ;
assign VAR69 = VAR28 ;
assign VAR73 = (VAR5 == 5'd0) ? 64'd0 : VAR25 ;
assign VAR63 =
(VAR59 == 5'd0) ? 64'd0 : VAR52 ;
assign VAR74 = (VAR54 == 5'd0) ? 64'd0 : VAR24 ;
assign VAR48 = 1'd1 ;
assign VAR77 = VAR51 ;
VAR57 #(.VAR76(32'd1)) VAR19(.VAR53(VAR39),
.VAR13(VAR13),
.VAR40(VAR2),
.VAR60(VAR47),
.VAR21(VAR7),
.VAR22(VAR80),
.VAR66(VAR37));
VAR62 #(.VAR75(32'd5),
.VAR17(32'd64),
.VAR27(5'h0),
.VAR30(5'd31)) VAR61(.VAR13(VAR13),
.VAR16(VAR9),
.VAR55(VAR12),
.VAR10(VAR23),
.VAR36(VAR18),
.VAR72(VAR3),
.VAR38(VAR41),
.VAR33(VAR26),
.VAR65(VAR58),
.VAR4(VAR24),
.VAR14(VAR52),
.VAR20(VAR25),
.VAR1(),
.VAR46());
assign VAR43 = VAR79 == 2'd0 ;
assign VAR50 = VAR43 ;
assign VAR42 = VAR79 == 2'd1 ;
assign VAR45 =
VAR42 && !VAR51 ;
assign VAR34 = VAR51 && VAR44 != 5'd0 ;
assign VAR71 =
VAR45 && VAR56 == 5'd31 ;
assign VAR67 = VAR56 + 5'd1 ;
assign VAR49 =
VAR45 ? VAR67 : 5'd1 ;
assign VAR68 = VAR45 || VAR50 ;
always@(VAR35 or
VAR71 or VAR50)
case (1'b1)
VAR35: VAR11 = 2'd0;
VAR71: VAR11 = 2'd2;
VAR50: VAR11 = 2'd1;
default: VAR11 = 2'b10 ;
endcase
assign VAR70 =
VAR45 && VAR56 == 5'd31 ||
VAR35 ||
VAR50 ;
assign VAR2 = VAR35 ;
assign VAR47 = VAR28 ;
assign VAR7 = 1'b0 ;
assign VAR9 = VAR54 ;
assign VAR12 = VAR59 ;
assign VAR23 = VAR5 ;
assign VAR18 = 5'h0 ;
assign VAR3 = 5'h0 ;
assign VAR41 = VAR34 ? VAR44 : VAR56 ;
assign VAR26 = VAR34 ? VAR64 : 64'd0 ;
assign VAR58 =
VAR51 && VAR44 != 5'd0 ||
VAR45 ;
always@(posedge VAR13)
begin
if (VAR39 == VAR15)
begin
VAR79 <= VAR31 2'd0;
end
else
begin
if (VAR70) VAR79 <= VAR31 VAR11;
end
if (VAR68) VAR56 <= VAR31 VAR49;
end
begin
VAR56 = 5'h0A;
VAR79 = 2'h2;
end | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/einvn/sky130_fd_sc_ms__einvn.behavioral.pp.v | 1,872 | module MODULE1 (
VAR9 ,
VAR7 ,
VAR8,
VAR11,
VAR5,
VAR2 ,
VAR10
);
output VAR9 ;
input VAR7 ;
input VAR8;
input VAR11;
input VAR5;
input VAR2 ;
input VAR10 ;
wire VAR12 ;
wire VAR13;
VAR6 VAR4 (VAR12 , VAR7, VAR11, VAR5 );
VAR6 VAR1 (VAR13, VAR8, VAR11, VAR5 );
notif0 VAR3 (VAR9 , VAR12, VAR13);
endmodule | apache-2.0 |
ElegantLin/My-CPU | Snake/Snake.srcs/sources_1/new/VGA_top.v | 1,041 | module MODULE1(
input clk,
input rst,
input [1:0]VAR9,
input [5:0]VAR11,
input [4:0]VAR7,
output [9:0]VAR4,
output [9:0]VAR5,
output VAR1,
output VAR6,
output [11:0] VAR8
);
wire VAR2;
VAR12 VAR10(
.clk(clk),
.rst(rst),
.VAR2(VAR2)
);
VAR13 VAR3
(
.clk(VAR2),
.rst(rst),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR9(VAR9),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR7(VAR7)
);
endmodule | gpl-3.0 |
Tommydag/CAN-Bus-Controller | shift_reg.v | 1,867 | module MODULE1(
input VAR5,
output reg[(VAR7-1):0] VAR11,
output reg VAR2,
input rst,
input VAR6
);
parameter VAR7 = 150;
VAR1 VAR2 = 0;
parameter VAR13 = 2'b00, VAR10 = 2'b01, VAR9 = 2'b10, VAR4 = 2'b11;
reg[1:0] VAR12, VAR8;
reg [(VAR7-1):0] VAR3 = {VAR7{1'b1}};
always @(posedge VAR6 or posedge rst) begin
if(rst) begin
VAR3 <= {VAR7{1'b1}};
VAR12 <= VAR13;
end
else begin
VAR12 <= VAR8;
VAR3 <= {VAR3[(VAR7-2):0],VAR5};
end
end
always @(VAR5 or VAR3 or VAR12) begin
case(VAR12)
VAR13: begin
if(VAR5 == 1)
VAR8 <= VAR13;
end
else
VAR8 <= VAR10;
end
VAR10: begin
if(VAR3[6:0] == {7{1'b1}})
VAR8 <= VAR9;
end
else
VAR8 <= VAR10;
end
VAR9: begin
VAR8<= VAR4;
end
default: begin
VAR8<=VAR13;
end
endcase
end
always @ (VAR12) begin
if(VAR12 == VAR9)
VAR2 <= 1;
end
else
VAR2 <= 0;
end
always @ (posedge VAR2 or posedge rst) begin
if(rst)
VAR11 <= {VAR7{1'b1}};
end
else
VAR11 <= VAR3;
end
endmodule | mit |
mistryalok/Zedboard | learning/opencv_hls/xapp1167_vivado/sw/fast-corner/ipi_proj/srcs/ip/xilinx_com_hls_image_filter_1_0/hdl/verilog/FIFO_image_filter_p_src_cols_V_channel.v | 3,019 | module MODULE1 (
clk,
VAR25,
VAR23,
VAR10,
VAR20);
parameter VAR1 = 32'd12;
parameter VAR8 = 32'd2;
parameter VAR6 = 32'd3;
input clk;
input [VAR1-1:0] VAR25;
input VAR23;
input [VAR8-1:0] VAR10;
output [VAR1-1:0] VAR20;
reg[VAR1-1:0] VAR17 [0:VAR6-1];
integer VAR22;
always @ (posedge clk)
begin
if (VAR23)
begin
for (VAR22=0;VAR22<VAR6-1;VAR22=VAR22+1)
VAR17[VAR22+1] <= VAR17[VAR22];
VAR17[0] <= VAR25;
end
end
assign VAR20 = VAR17[VAR10];
endmodule
module MODULE2 (
clk,
reset,
VAR11,
VAR13,
VAR5,
VAR21,
VAR9,
VAR12,
VAR27,
VAR26);
parameter VAR14 = "VAR3";
parameter VAR1 = 32'd12;
parameter VAR8 = 32'd2;
parameter VAR6 = 32'd3;
input clk;
input reset;
output VAR11;
input VAR13;
input VAR5;
output[VAR1 - 1:0] VAR21;
output VAR9;
input VAR12;
input VAR27;
input[VAR1 - 1:0] VAR26;
wire[VAR8 - 1:0] VAR15 ;
wire[VAR1 - 1:0] VAR16, VAR2;
reg[VAR8:0] VAR19 = {(VAR8+1){1'b1}};
reg VAR24 = 0, VAR7 = 1;
assign VAR11 = VAR24;
assign VAR9 = VAR7;
assign VAR16 = VAR26;
assign VAR21 = VAR2;
always @ (posedge clk) begin
if (reset == 1'b1)
begin
VAR19 <= ~{VAR8+1{1'b0}};
VAR24 <= 1'b0;
VAR7 <= 1'b1;
end
else begin
if (((VAR5 & VAR13) == 1 & VAR24 == 1) &&
((VAR27 & VAR12) == 0 | VAR7 == 0))
begin
VAR19 <= VAR19 -1;
if (VAR19 == 0)
VAR24 <= 1'b0;
VAR7 <= 1'b1;
end
else if (((VAR5 & VAR13) == 0 | VAR24 == 0) &&
((VAR27 & VAR12) == 1 & VAR7 == 1))
begin
VAR19 <= VAR19 +1;
VAR24 <= 1'b1;
if (VAR19 == VAR6-2)
VAR7 <= 1'b0;
end
end
end
assign VAR15 = VAR19[VAR8] == 1'b0 ? VAR19[VAR8-1:0]:{VAR8{1'b0}};
assign VAR18 = (VAR27 & VAR12) & VAR7;
MODULE1
.VAR1(VAR1),
.VAR8(VAR8),
.VAR6(VAR6))
VAR4 (
.clk(clk),
.VAR25(VAR16),
.VAR23(VAR18),
.VAR10(VAR15),
.VAR20(VAR2));
endmodule | gpl-3.0 |
SymbiFlow/yosys | techlibs/ice40/arith_map.v | 2,170 | module MODULE1(
module 80ice40alu (VAR15, VAR17, VAR26, VAR22, VAR19, VAR5, VAR11);
parameter VAR3 = 0;
parameter VAR25 = 0;
parameter VAR30 = 1;
parameter VAR14 = 1;
parameter VAR7 = 1;
input [VAR30-1:0] VAR15;
input [VAR14-1:0] VAR17;
output [VAR7-1:0] VAR19, VAR5;
input VAR26, VAR22;
output [VAR7-1:0] VAR11;
wire VAR2 = VAR7 <= 2;
wire [VAR7-1:0] VAR13, VAR4;
\pos #(.VAR3(VAR3), .VAR30(VAR30), .VAR7(VAR7)) VAR18 (.VAR15(VAR15), .VAR5(VAR13));
\pos #(.VAR3(VAR25), .VAR30(VAR14), .VAR7(VAR7)) VAR27 (.VAR15(VAR17), .VAR5(VAR4));
wire [VAR7-1:0] VAR28 = VAR13;
wire [VAR7-1:0] VAR6 = VAR22 ? ~VAR4 : VAR4;
wire [VAR7-1:0] VAR20 = {VAR11, VAR26};
genvar VAR21;
generate for (VAR21 = 0; VAR21 < VAR7; VAR21 = VAR21 + 1) begin:VAR16
\VAR12 #(
.VAR24(16'VAR29 0110100110010110),
.VAR8(1'b1)
) VAR23 (
.VAR15(VAR28[VAR21]),
.VAR17(VAR6[VAR21]),
.VAR26(VAR20[VAR21]),
.VAR9(1'b0),
.VAR10(1'VAR1),
.VAR11(VAR11[VAR21]),
.VAR31(VAR5[VAR21])
);
end endgenerate
assign VAR19 = VAR28 ^ VAR6;
endmodule | isc |
archlabo/Frix | common/bios_loader.v | 5,406 | module MODULE1 (
input wire clk,
input wire rst,
output reg [27:0] address,
output reg [3:0] VAR6,
output reg write,
output reg [31:0] VAR5,
output reg read,
input wire [31:0] VAR1,
input wire VAR4
);
parameter VAR11 = 32'h00008860;
parameter VAR2 = 32'h00000000;
parameter VAR10 = 72;
parameter VAR7 = (64*1024);
parameter VAR3 = 32'hF0000 | 32'h8000000;
parameter VAR8 = 8;
parameter VAR12 = (32*1024);
parameter VAR9 = 32'hC0000 | 32'h8000000;
parameter VAR13 = 2;
reg [31:0] state;
always @(posedge clk) begin
if(rst) state <= 1;
end
else if(state != 0 && (!(VAR4 && write))) state <= state + 1;
end
always @(posedge clk) begin
if(rst) begin
write <= 0;
read <= 0;
VAR5 <= 0;
address <= 0;
VAR6 <= 4'b0000;
end else if(!(VAR4 && write))begin
case(state)
20000000: begin
address <= VAR11;
VAR5 <= 32'h1;
write <= 1;
end
20001000: begin
write <= 1;
address <= VAR2;
VAR5 <= VAR3;
end
20002000: begin
write <= 1;
address <= VAR2 + 4;
VAR5 <= VAR10;
end
20003000: begin
write <= 1;
address <= VAR2 + 8;
VAR5 <= VAR7 / 512;
end
20004000: begin
write <= 1;
address <= VAR2 + 12;
VAR5 <= VAR13;
end
40004000: begin
write <= 1;
address <= VAR2;
VAR5 <= VAR9;
end
40005000: begin
write <= 1;
address <= VAR2 + 4;
VAR5 <= VAR8;
end
40006000: begin
write <= 1;
address <= VAR2 + 8;
VAR5 <= VAR12 / 512;
end
40007000: begin
write <= 1;
address <= VAR2 + 12;
VAR5 <= VAR13;
end
60007000: begin
address <= VAR11;
VAR5 <= 32'h0;
write <= 1;
end
default: begin
write <= 0;
VAR5 <= 0;
address <= 0;
end
endcase
end
end
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/and4bb/sky130_fd_sc_lp__and4bb.symbol.v | 1,333 | module MODULE1 (
input VAR7,
input VAR6,
input VAR3 ,
input VAR2 ,
output VAR9
);
supply1 VAR4;
supply0 VAR1;
supply1 VAR5 ;
supply0 VAR8 ;
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig39_2/mig_39_2/example_design/rtl/ip_top/memc_ui_top.v | 33,295 | module MODULE1 #
(
parameter VAR218 = 200,
parameter VAR128 = "VAR199",
parameter VAR100 = "VAR98",
parameter VAR193 = 2,
parameter VAR117 = "VAR153",
parameter VAR176 = 1,
parameter VAR59 = 6,
parameter VAR62 = 3,
parameter VAR52 = 1,
parameter VAR116 = 3,
parameter VAR112 = 1,
parameter VAR215 = 1,
parameter VAR76 = 10,
parameter VAR55 = 1,
parameter VAR120 = 8,
parameter VAR167 = 1,
parameter VAR204 = 64,
parameter VAR202 = 8,
parameter VAR13 = 8,
parameter VAR113 = 13,
parameter VAR14 = "0",
parameter VAR51 = "8",
parameter VAR169 = "VAR30",
parameter VAR136 = "VAR199",
parameter VAR77 = "VAR86",
parameter VAR29 = 0,
parameter VAR90 = 6,
parameter VAR223 = 5,
parameter VAR103 = 4,
parameter VAR143 = 1,
parameter VAR82 = 2,
parameter VAR129 = "1T" ,
parameter VAR20 = 4,
parameter VAR214 = "VAR174",
parameter VAR161 = 1,
parameter VAR132 = "VAR86",
parameter VAR119 = "VAR86",
parameter VAR190 = "VAR159",
parameter VAR168 = "60",
parameter VAR173 = "VAR199",
parameter VAR138 = "VAR118",
parameter VAR182 = "VAR199",
parameter VAR145 = 6,
parameter VAR57 = 2,
parameter VAR95 = 0,
parameter VAR109 = 0,
parameter VAR15 = 48'h050403020100,
parameter VAR72 = 48'h050403020100,
parameter VAR108 = 48'h050403020100,
parameter VAR137 = 48'h050403020100,
parameter VAR200 = 2500,
parameter VAR194 = 37500,
parameter VAR139 = 1000000,
parameter VAR11 = 10000,
parameter VAR17 = 37500,
parameter VAR5 = 13130,
parameter VAR134 = 7800000,
parameter VAR180 = 110000,
parameter VAR201 = 13130,
parameter VAR97 = 7500,
parameter VAR152 = 7500,
parameter VAR66 = 128000000,
parameter VAR184 = 64,
parameter VAR122 = 8'b00000001,
parameter VAR210 = 8'b00000000,
parameter VAR187 = "VAR86",
parameter VAR157 = 27,
parameter VAR83 = "VAR56",
parameter VAR147 = 2,
parameter VAR25 = 100,
parameter VAR65 = "VAR199",
parameter VAR32 = 64,
parameter VAR53 = "VAR199",
parameter VAR121 = 64,
parameter VAR45 = VAR121*4,
parameter VAR75 = VAR45/8
)
(
input clk,
input VAR195,
input VAR208,
input rst,
output [VAR113-1:0] VAR64,
output [VAR116-1:0] VAR101,
output VAR107,
output [VAR112-1:0] VAR46,
output [VAR112-1:0] VAR130,
output [VAR215-1:0] VAR192,
output [VAR55*VAR176-1:0] VAR27,
output [VAR120-1:0] VAR89,
output [VAR55*VAR176-1:0] VAR6,
output VAR63,
output VAR207,
output VAR69,
output VAR160,
inout [VAR204-1:0] VAR105,
inout [VAR13-1:0] VAR37,
inout [VAR13-1:0] VAR92,
output VAR211,
output VAR155,
input VAR16,
output VAR156,
output [VAR82-1:0] VAR125,
output [3:0] VAR22,
output [VAR45-1:0] VAR131,
output VAR79,
output VAR181,
output VAR213,
output VAR34,
input [VAR157-1:0] VAR106,
input [2:0] VAR8,
input VAR197,
input VAR2,
input VAR224,
input [VAR45-1:0] VAR178,
input VAR81,
input [VAR75-1:0] VAR104,
input VAR54,
input VAR58,
input [5*VAR13-1:0] VAR124,
input [5*VAR13-1:0] VAR42,
input VAR191,
output VAR74,
output VAR221,
output VAR24,
output [VAR13-1:0] VAR41,
output [2*VAR13-1:0] VAR151,
output [5*VAR13-1:0] VAR158,
output [5*VAR13-1:0] VAR222,
output [1:0] VAR61,
output [1:0] VAR220,
output [1:0] VAR85,
output [5*VAR13-1:0] VAR198,
output [5*VAR13-1:0] VAR87,
output [5*VAR13-1:0] VAR170,
output [3*VAR13-1:0] VAR26,
output [2*VAR13-1:0] VAR142,
output [4:0] VAR209,
input VAR189,
input VAR31,
input VAR67,
input VAR179,
input VAR70,
input VAR28,
input VAR135,
input [VAR62-1:0] VAR225,
input VAR1,
input VAR39,
output [5*VAR13-1:0] VAR140,
output [5*VAR13-1:0] VAR99,
output [4*VAR204-1:0] VAR44
);
localparam VAR18 = 0;
localparam VAR49 = ((VAR55 == 1) ? 0 : VAR52) + VAR116
+ VAR113 + VAR76 + VAR143;
localparam VAR102 = (VAR65 == "VAR199")?
0 : (VAR32 <= 4)?
4 : (VAR32 <= 10)?
5 : (VAR32 <= 26)?
6 : (VAR32 <= 57)?
7 : (VAR32 <= 120)?
8 : (VAR32 <= 247)?
9 : 10;
localparam VAR10 = "VAR43";
localparam VAR73 = VAR53;
wire VAR126;
wire [3:0] VAR144;
wire [3:0] VAR35;
wire [3:0] VAR133;
wire [VAR49-1:0] VAR164;
wire [3:0] VAR21;
wire [VAR204/8-1:0] VAR188;
wire [VAR204-1:0] VAR23;
wire [VAR143-1:0] VAR88;
wire VAR185;
wire [VAR103-1:0] VAR148;
wire [VAR143-1:0] VAR68;
wire VAR36;
wire [VAR103-1:0] VAR206;
wire VAR216;
wire VAR217;
wire [(4*VAR121)-1:0] VAR48;
wire VAR94;
wire VAR50;
wire VAR60;
wire [VAR113-1:0] VAR146;
wire [VAR52-1:0] VAR123;
wire VAR205;
wire [VAR103-1:0] VAR172;
wire [VAR76-1:0] VAR186;
wire [2:0] VAR110;
wire [VAR116-1:0] VAR12;
wire [(4*VAR121)-1:0] VAR183;
wire [(4*(VAR32/8))-1:0] VAR71;
VAR80 #
(
.VAR25 (VAR25),
.VAR129 (VAR129),
.VAR14 (VAR14),
.VAR116 (VAR116),
.VAR82 (VAR82),
.VAR51 (VAR51),
.VAR169 (VAR169),
.VAR112 (VAR112),
.VAR215 (VAR215),
.VAR90 (VAR90),
.VAR76 (VAR76),
.VAR55 (VAR55),
.VAR223 (VAR223),
.VAR32 (VAR32),
.VAR103 (VAR103),
.VAR143 (VAR143),
.VAR120 (VAR120),
.VAR167 (VAR167),
.VAR59 (VAR59),
.VAR204 (VAR204),
.VAR62 (VAR62),
.VAR13 (VAR13),
.VAR117 (VAR117),
.VAR202 (VAR202),
.VAR65 (VAR65),
.VAR40 (VAR73),
.VAR121 (VAR121),
.VAR102 (VAR102),
.VAR49 (VAR49),
.VAR29 (VAR29),
.VAR20 (VAR20),
.VAR193 (VAR193),
.VAR176 (VAR176),
.VAR214 (VAR214),
.VAR119 (VAR119),
.VAR136 (VAR136),
.VAR77 (VAR77),
.VAR100 (VAR100),
.VAR138 (VAR138),
.VAR182 (VAR182),
.VAR168 (VAR168),
.VAR173 (VAR173),
.VAR147 (VAR147),
.VAR200 (VAR200),
.VAR194 (VAR194),
.VAR139 (VAR139),
.VAR17 (VAR17),
.VAR5 (VAR5),
.VAR134 (VAR134),
.VAR180 (VAR180),
.VAR201 (VAR201),
.VAR11 (VAR11),
.VAR97 (VAR97),
.VAR152 (VAR152),
.VAR66 (VAR66),
.VAR184 (VAR184),
.VAR132 (VAR132),
.VAR187 (VAR187),
.VAR190 (VAR190),
.VAR52 (VAR52),
.VAR161 (VAR161),
.VAR113 (VAR113),
.VAR122 (VAR122),
.VAR210 (VAR210),
.VAR128 (VAR128),
.VAR218 (VAR218),
.VAR145 (VAR145),
.VAR57 (VAR57),
.VAR95 (VAR95),
.VAR109 (VAR109),
.VAR15 (VAR15),
.VAR72 (VAR72),
.VAR108 (VAR108),
.VAR137 (VAR137)
)
VAR78
(
.VAR88 (VAR88),
.VAR185 (VAR185),
.VAR148 (VAR148),
.VAR68 (VAR68),
.VAR36 (VAR36),
.VAR206 (VAR206),
.VAR160 (VAR160),
.VAR69 (VAR69),
.VAR207 (VAR207),
.VAR63 (VAR63),
.VAR6 (VAR6),
.VAR89 (VAR89),
.VAR27 (VAR27),
.VAR192 (VAR192),
.VAR130 (VAR130),
.VAR46 (VAR46),
.VAR107 (VAR107),
.VAR101 (VAR101),
.VAR64 (VAR64),
.VAR124 (VAR124),
.VAR42 (VAR42),
.VAR191 (VAR191),
.VAR74 (VAR74),
.VAR221 (VAR221),
.VAR24 (VAR24),
.VAR41 (VAR41),
.VAR151 (VAR151),
.VAR158 (VAR158),
.VAR222 (VAR222),
.VAR93 (),
.VAR154 (),
.VAR3 (),
.VAR61 (VAR61),
.VAR220 (VAR220),
.VAR85 (VAR85),
.VAR87 (VAR87),
.VAR170 (VAR170),
.VAR26 (VAR26),
.VAR142 (VAR142),
.VAR209 (VAR209),
.VAR44 (VAR44),
.VAR4 (1'b0),
.VAR19 (1'b0),
.VAR162 (VAR179),
.VAR9 (VAR70),
.VAR175 (1'b0),
.VAR96 (1'b0),
.VAR212 (VAR225),
.VAR163 (1'b0),
.VAR150 ({VAR62{1'b0}}),
.VAR166 (1'b0),
.VAR198 (VAR198),
.VAR149 (),
.VAR140 (VAR140),
.VAR99 (VAR99),
.VAR189 (VAR189),
.VAR31 (VAR31),
.VAR67 (VAR67),
.VAR115 (1'b0),
.VAR84 (1'b0),
.VAR91 (VAR28),
.VAR47 (VAR135),
.VAR219 (1'b0),
.VAR165 (1'b0),
.VAR196 (4'b0000),
.VAR7 (VAR225),
.VAR1 (VAR1),
.VAR39 (VAR39),
.VAR111 (),
.VAR114 (),
.VAR33 (),
.VAR203 (),
.VAR125 (VAR125),
.VAR216 (VAR216),
.VAR217 (VAR217),
.VAR48 (VAR48[VAR45-1:0]),
.VAR94 (VAR94),
.VAR211 (VAR211),
.VAR155 (VAR155),
.VAR127 (VAR156),
.VAR35 (VAR35),
.VAR133 (VAR133),
.VAR164 (VAR164),
.VAR92 (VAR92),
.VAR37 (VAR37),
.VAR105 (VAR105),
.VAR50 (VAR50),
.VAR60 (VAR60),
.rst (rst),
.VAR146 (VAR146),
.VAR123 (VAR123),
.VAR205 (1'b0),
.VAR172 (VAR172),
.VAR186 (VAR186),
.VAR110 (VAR110),
.VAR195 (VAR195),
.clk (clk),
.VAR208 (VAR208),
.VAR12 (VAR12),
.VAR183 (VAR183),
.VAR71 (VAR71),
.VAR16 (VAR16),
.VAR141 (VAR122),
.VAR171 (VAR210),
.VAR126 (VAR126),
.VAR144 (VAR144),
.VAR188 (VAR188),
.VAR23 (VAR23)
);
VAR38 #
(
.VAR25 (VAR25),
.VAR45 (VAR45),
.VAR75 (VAR75),
.VAR116 (VAR116),
.VAR76 (VAR76),
.VAR223 (VAR223),
.VAR65 (VAR65),
.VAR53 (VAR53),
.VAR214 (VAR214),
.VAR161 (VAR161),
.VAR52 (VAR52),
.VAR113 (VAR113),
.VAR83 (VAR83)
)
VAR177
(
.VAR71 (VAR71[VAR75-1:0]),
.VAR183 (VAR183[VAR45-1:0]),
.VAR50 (VAR50),
.VAR60 (VAR60),
.VAR146 (VAR146[VAR113-1:0]),
.VAR123 (VAR123[VAR52-1:0]),
.VAR205 (VAR205),
.VAR172 (VAR172[3:0]),
.VAR186 (VAR186),
.VAR110 (VAR110),
.VAR12 (VAR12),
.VAR34 (VAR34),
.VAR213 (VAR213),
.VAR181 (VAR181),
.VAR79 (VAR79),
.VAR131 (VAR131),
.VAR88 (VAR88),
.VAR185 (VAR185),
.VAR148 (VAR148[3:0]),
.rst (rst),
.VAR68 (VAR68),
.VAR94 (VAR94),
.VAR36 (VAR36),
.VAR206 (VAR206[3:0]),
.VAR48 (VAR48[VAR45-1:0]),
.clk (clk),
.VAR144 (VAR144),
.VAR22 (VAR22),
.VAR126 (VAR126),
.VAR133 (VAR133),
.VAR21 (VAR21),
.VAR58 (VAR58),
.VAR54 (VAR54),
.VAR104 (VAR104),
.VAR81 (VAR81),
.VAR178 (VAR178),
.VAR224 (VAR224),
.VAR2 (VAR2),
.VAR197 (VAR197),
.VAR8 (VAR8),
.VAR106 (VAR106),
.VAR217 (VAR217),
.VAR216 (VAR216)
);
endmodule | lgpl-3.0 |
hanw/sonic-lite | p4/bsv/AsymmetricBRAM/AsymmetricBRAM_Altera.v | 7,699 | module MODULE1(
VAR65,
VAR37,
VAR11,
VAR16,
VAR60,
VAR46,
VAR40
);
parameter VAR43 = 'VAR39 0;
parameter VAR58 = 'VAR39 0;
parameter VAR20 = 'VAR39 0;
parameter VAR35 = 'VAR39 0;
parameter VAR64 = 'VAR39 0;
parameter VAR69 = 'VAR39 0;
parameter VAR6 = 'VAR39 1;
parameter VAR12 = (VAR43 == 0) ? "VAR31":"VAR53";
input VAR65;
input [VAR64-1:0] VAR37;
output [VAR69-1:0] VAR11;
input VAR16;
input [VAR20-1:0] VAR60;
input [VAR35-1:0] VAR46;
input VAR40;
VAR33 #( .VAR32 ("VAR72" ),
.VAR15 ("VAR53" ),
.VAR50 ("VAR3" ),
.VAR48 ("VAR3" ),
.VAR5 ("VAR3" ),
.VAR59 ("VAR41 VAR67" ),
.VAR71 ("VAR33" ),
.VAR27 (VAR6 ),
.VAR56 (VAR6/(VAR69/VAR35)),
.VAR4 ("VAR54" ),
.VAR21 ("VAR34" ),
.VAR66 ("VAR31" ),
.VAR42 ("VAR63" ),
.VAR19 ("VAR68" ),
.VAR10 ("VAR38" ),
.VAR7 (VAR20 ),
.VAR44 (VAR64 ),
.VAR30 (VAR35 ),
.VAR52 (VAR69 ),
.VAR70 (1 ))
VAR14 ( .VAR2 (1'b0 ),
.VAR28 (VAR60 ),
.VAR45 (VAR65 ),
.VAR26 (VAR46 ),
.VAR1 (VAR40 ),
.VAR18 (VAR37 ),
.VAR8 (VAR11 ),
.VAR36 (1'b0 ),
.VAR29 (1'b0 ),
.VAR55 (1'b0 ),
.VAR62 (1'b1 ),
.VAR51 (1'b1 ),
.VAR57 (1'b1 ),
.VAR61 (1'b1 ),
.VAR47 (1'b1 ),
.VAR24 (1'b1 ),
.VAR49 (1'b1 ),
.VAR22 ({VAR69{1'b1}}),
.VAR9 ( ),
.VAR17 ( ),
.VAR13 (1'b1 ),
.VAR25 (1'b1 ),
.VAR23 (1'b0 ));
endmodule | mit |
SymbiFlow/yosys-f4pga-plugins | ql-qlf-plugin/qlf_k6n10f/ffs_map.v | 13,199 | module \VAR15 (VAR54, VAR12, VAR71);
input VAR54;
input VAR12;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(1'b1), .VAR78(1'b1));
endmodule
module \VAR1 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(!VAR50), .VAR78(1'b1));
endmodule
module \VAR13 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(VAR50), .VAR78(1'b1));
endmodule
module \VAR58 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(1'b1), .VAR78(!VAR50));
endmodule
module \VAR67 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(1'b1), .VAR78(VAR50));
endmodule
module \VAR42 (VAR54, VAR12, VAR23, VAR71);
input VAR54;
input VAR12;
input VAR23;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(1'b1), .VAR78(1'b1));
endmodule
module \VAR9 (VAR54, VAR12, VAR23, VAR71);
input VAR54;
input VAR12;
input VAR23;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(1'b1), .VAR78(1'b1));
endmodule
module \VAR7 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(!VAR50), .VAR78(1'b1));
endmodule
module \VAR46 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(!VAR50), .VAR78(1'b1));
endmodule
module \VAR40 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(VAR50), .VAR78(1'b1));
endmodule
module \VAR76 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(VAR50), .VAR78(1'b1));
endmodule
module \VAR22 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(1'b1), .VAR78(!VAR50));
endmodule
module \VAR63 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(1'b1), .VAR78(!VAR50));
endmodule
module \VAR8 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(1'b1), .VAR78(VAR50));
endmodule
module \VAR10 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(1'b1), .VAR78(VAR50));
endmodule
module \VAR52 (VAR54, VAR12, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR35 (VAR54, VAR71, VAR12, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(!VAR50), .VAR78(VAR78));
endmodule
module \VAR30 (VAR54, VAR71, VAR12, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(VAR50), .VAR78(VAR78));
endmodule
module \VAR77 (VAR54, VAR71, VAR12, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(VAR50), .VAR78(!VAR78));
endmodule
module \VAR74 (VAR54, VAR71, VAR12, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR73 (VAR54, VAR71, VAR12, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(!VAR50), .VAR78(VAR78));
endmodule
module \VAR32 (VAR54, VAR71, VAR12, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(VAR50), .VAR78(VAR78));
endmodule
module \VAR61 (VAR54, VAR71, VAR12, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(VAR50), .VAR78(!VAR78));
endmodule
module \VAR2 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR18 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(!VAR50), .VAR78(VAR78));
endmodule
module \VAR16 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(VAR50), .VAR78(!VAR78));
endmodule
module \VAR56 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(VAR50), .VAR78(VAR78));
endmodule
module \VAR68 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR6 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(!VAR50), .VAR78(VAR78));
endmodule
module \VAR41 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(VAR50), .VAR78(!VAR78));
endmodule
module \VAR24 (VAR54, VAR71, VAR12, VAR23, VAR50, VAR78);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR59 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(VAR50), .VAR78(VAR78));
endmodule
module \VAR75 (input VAR23, VAR78, VAR50, VAR54, output VAR71);
VAR4 VAR3 (.VAR54(VAR54), .VAR71(VAR71), .VAR23(1'b1), .VAR49(VAR23), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR11 (input VAR23, VAR78, VAR50, VAR54, output VAR71);
VAR20 VAR3 (.VAR54(VAR54), .VAR71(VAR71), .VAR23(1'b1), .VAR49(VAR23), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR38 (VAR54, VAR12, VAR71);
input VAR54;
input VAR12;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(1'b1), .VAR78(1'b1));
endmodule
module \VAR57 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(!VAR50), .VAR78(1'b1));
endmodule
module \VAR14 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(VAR50), .VAR78(1'b1));
endmodule
module \VAR21 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(1'b1), .VAR78(!VAR50));
endmodule
module \VAR69 (VAR54, VAR12, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR50;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(1'b1), .VAR50(1'b1), .VAR78(VAR50));
endmodule
module \VAR5 (VAR54, VAR12, VAR23, VAR71);
input VAR54;
input VAR12;
input VAR23;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(1'b1), .VAR78(1'b1));
endmodule
module \VAR70 (VAR54, VAR12, VAR23, VAR71);
input VAR54;
input VAR12;
input VAR23;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(1'b1), .VAR78(1'b1));
endmodule
module \VAR33 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(!VAR50), .VAR78(1'b1));
endmodule
module \VAR28 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(!VAR50), .VAR78(1'b1));
endmodule
module \VAR55 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(VAR50), .VAR78(1'b1));
endmodule
module \VAR29 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(VAR50), .VAR78(1'b1));
endmodule
module \VAR34 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(1'b1), .VAR78(!VAR50));
endmodule
module \VAR17 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(1'b1), .VAR78(!VAR50));
endmodule
module \VAR39 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(1'b1), .VAR78(VAR50));
endmodule
module \VAR51 (VAR54, VAR12, VAR23, VAR50, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
output VAR71;
parameter VAR45 = 1'VAR25;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(1'b1), .VAR78(VAR50));
endmodule
module \VAR60 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR43 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(!VAR50), .VAR78(VAR78));
endmodule
module \VAR19 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(VAR50), .VAR78(!VAR78));
endmodule
module \VAR47 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(VAR23), .VAR50(VAR50), .VAR78(VAR78));
endmodule
module \VAR79 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(!VAR50), .VAR78(!VAR78));
endmodule
module \VAR53 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(!VAR50), .VAR78(VAR78));
endmodule
module \VAR31 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(VAR50), .VAR78(!VAR78));
endmodule
module \VAR36 (VAR54, VAR12, VAR23, VAR50, VAR78, VAR71);
input VAR54;
input VAR12;
input VAR23;
input VAR50;
input VAR78;
output VAR71;
VAR72 VAR3 (.VAR71(VAR71), .VAR54(VAR54), .VAR12(VAR12), .VAR23(!VAR23), .VAR50(VAR50), .VAR78(VAR78));
endmodule
module \VAR65 (VAR54, VAR71, VAR12);
input VAR54;
input VAR12;
output VAR71;
parameter VAR37 = 2;
reg [VAR37-2:0] VAR64;
genvar VAR44;
generate for (VAR44 = 0; VAR44 < VAR37; VAR44 = VAR44 + 1) begin: VAR26
generate if (VAR44 == 0) begin
VAR66 #() VAR48 (
.VAR71(VAR64[VAR44]),
.VAR54(VAR54),
.VAR12(VAR12)
);
end endgenerate
generate if (VAR44 > 0 && VAR44 != VAR37-1) begin
VAR66 #() VAR62 (
.VAR71(VAR64[VAR44]),
.VAR54(VAR64[VAR44-1]),
.VAR12(VAR12)
);
end endgenerate
generate if (VAR44 == VAR37-1) begin
VAR66 #() VAR27 (
.VAR71(VAR71),
.VAR54(VAR64[VAR44-1]),
.VAR12(VAR12)
);
end endgenerate
end: VAR26
endgenerate
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/common/ad_lvds_out.v | 5,200 | module MODULE1 (
VAR45,
VAR36,
VAR5,
VAR38,
VAR15,
VAR49,
VAR67,
VAR22,
VAR21,
VAR28,
VAR19,
VAR46);
parameter VAR16 = 0;
parameter VAR64 = 0;
parameter VAR60 = 0;
parameter VAR50 = "VAR9";
localparam VAR66 = 0;
localparam VAR59 = 1;
input VAR45;
input VAR36;
input VAR5;
output VAR38;
output VAR15;
input VAR49;
input VAR67;
input [ 4:0] VAR22;
output [ 4:0] VAR21;
input VAR28;
input VAR19;
output VAR46;
wire VAR61;
wire VAR10;
generate
if ((VAR64 == 1) && (VAR16 == VAR66) && (VAR60 == 1)) begin
VAR62 VAR3 (
.VAR47 (VAR19),
.VAR33 (VAR28),
.VAR13 (VAR46));
end else begin
assign VAR46 = 1'b1;
end
endgenerate
VAR34 #(
.VAR8 ("VAR48"),
.VAR39 (1'b0),
.VAR55 ("VAR24"))
VAR56 (
.VAR41 (1'b1),
.VAR57 (1'b0),
.VAR11 (1'b0),
.VAR68 (VAR45),
.VAR12 (VAR36),
.VAR65 (VAR5),
.VAR1 (VAR61));
generate
if ((VAR64 == 1) && (VAR16 == VAR66)) begin
VAR29 #(
.VAR30 ("VAR26"),
.VAR7 ("VAR23"),
.VAR31 ("VAR26"),
.VAR52 ("VAR43"),
.VAR4 (0),
.VAR32 (200.0),
.VAR6 ("VAR26"),
.VAR54 ("VAR18"))
VAR42 (
.VAR41 (1'b0),
.VAR40 (1'b0),
.VAR63 (1'b0),
.VAR27 (1'b0),
.VAR44 (1'b0),
.VAR25 (1'b0),
.VAR68 (VAR49),
.VAR23 (VAR61),
.VAR2 (VAR10),
.VAR37 (VAR67),
.VAR58 (VAR22),
.VAR51 (VAR21));
end else begin
assign VAR21 = 5'd0;
assign VAR10 = VAR61;
end
endgenerate
VAR53 VAR20 (
.VAR14 (VAR10),
.VAR17 (VAR38),
.VAR35 (VAR15));
endmodule | gpl-3.0 |
marmolejo/zet | cores/zet/rtl/zet_addsub.v | 1,975 | module MODULE1 (
input [15:0] VAR9,
input [15:0] VAR16,
output [15:0] out,
input [ 2:0] VAR19,
input VAR7,
input VAR1,
output VAR14,
output VAR10,
output VAR3
);
wire [15:0] VAR17;
wire VAR4;
wire VAR2;
wire VAR8, VAR12, VAR15;
VAR13 VAR5 ( .VAR9 (VAR9), .VAR16 (VAR17),
.VAR4 (VAR4),
.VAR18 (VAR2),
.VAR6 (out),
.VAR11 (VAR19[2])
);
assign VAR17 = VAR19[2] ? ~VAR16
: ((VAR19[1:0]==2'b11) ? { 8'b0, VAR16[7:0] } : VAR16);
assign VAR4 = VAR19[2] & VAR19[1] | VAR19[2] & ~VAR19[0] & ~VAR1
| VAR19[2] & VAR19[0] | (VAR19==3'b0) & VAR1;
assign VAR10 = VAR19[1] ? (VAR19[2] ? &out[3:0] : ~|out[3:0] )
: (VAR9[4] ^ VAR16[4] ^ out[4]);
assign VAR14 = VAR19[1] ? VAR1
: (VAR7 ? VAR2 : (VAR9[8]^VAR16[8]^out[8]));
assign VAR8 = VAR7 ? VAR9[15] : VAR9[7];
assign VAR12 = VAR7 ? VAR16[15] : VAR16[7];
assign VAR15 = VAR7 ? out[15] : out[7];
assign VAR3 = VAR19[2] ? (~VAR8 & VAR12 & VAR15 | VAR8 & ~VAR12 & ~VAR15)
: (~VAR8 & ~VAR12 & VAR15 | VAR8 & VAR12 & ~VAR15);
endmodule | gpl-3.0 |
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC | bin_Gaussian_Filter/system/synthesis/submodules/system_acl_iface_acl_kernel_interface_sys_description_rom.v | 3,685 | module MODULE1 (
address,
VAR4,
VAR33,
clk,
VAR22,
VAR7,
reset,
VAR18,
write,
VAR36,
VAR35
)
;
parameter VAR19 = "VAR1.VAR29";
output [ 63: 0] VAR35;
input [ 8: 0] address;
input [ 7: 0] VAR4;
input VAR33;
input clk;
input VAR22;
input VAR7;
input reset;
input VAR18;
input write;
input [ 63: 0] VAR36;
wire VAR8;
reg [ 63: 0] VAR35;
wire [ 63: 0] VAR37;
wire VAR5;
always @(posedge clk)
begin
if (VAR22)
VAR35 <= VAR37;
end
assign VAR5 = VAR33 & write & VAR7;
assign VAR8 = VAR22 & ~VAR18;
VAR32 VAR31
(
.VAR17 (address),
.VAR10 (VAR4),
.VAR11 (clk),
.VAR8 (VAR8),
.VAR21 (VAR36),
.VAR34 (VAR37),
.VAR20 (VAR5)
);
VAR31.VAR30 = VAR19,
VAR31.VAR28 = "VAR32",
VAR31.VAR12 = 512,
VAR31.VAR23 = 512,
VAR31.VAR25 = "VAR15",
VAR31.VAR26 = "VAR14",
VAR31.VAR16 = "VAR3",
VAR31.VAR2 = "VAR9",
VAR31.VAR27 = 64,
VAR31.VAR24 = 8,
VAR31.VAR13 = 9;
endmodule | mit |
UGent-HES/ConnectionRouter | vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_nopipe_33.v | 23,161 | module MODULE2 (
clk,
reset,
VAR131,
VAR182,
VAR20,
VAR156,
VAR12
);
parameter VAR71 = 18;
parameter VAR195 = 33;
parameter VAR186 = 17;
localparam VAR67 = 34;
input clk;
input reset;
input VAR131;
input VAR182;
input [VAR71-1:0] VAR20; output VAR156;
output [VAR71-1:0] VAR12;
localparam VAR70 = 18; localparam VAR94 = 36; localparam VAR77 = 17;
localparam VAR76 = 33;
reg [VAR71-1:0] VAR180;
reg [VAR71-1:0] VAR48;
reg [VAR71-1:0] VAR115;
reg [VAR71-1:0] VAR78;
reg [VAR71-1:0] VAR92;
reg [VAR71-1:0] VAR87;
reg [VAR71-1:0] VAR30;
reg [VAR71-1:0] VAR65;
reg [VAR71-1:0] VAR197;
reg [VAR71-1:0] VAR103;
reg [VAR71-1:0] VAR187;
reg [VAR71-1:0] VAR13;
reg [VAR71-1:0] VAR119;
reg [VAR71-1:0] VAR90;
reg [VAR71-1:0] VAR170;
reg [VAR71-1:0] VAR10;
reg [VAR71-1:0] VAR41;
always@(posedge clk) begin
VAR180 <= 18'd88;
VAR48 <= 18'd0;
VAR115 <= -18'd97;
VAR78 <= -18'd197;
VAR92 <= -18'd294;
VAR87 <= -18'd380;
VAR30 <= -18'd447;
VAR65 <= -18'd490;
VAR197 <= -18'd504;
VAR103 <= -18'd481;
VAR187 <= -18'd420;
VAR13 <= -18'd319;
VAR119 <= -18'd178;
VAR90 <= 18'd0;
VAR170 <= 18'd212;
VAR10 <= 18'd451;
VAR41 <= 18'd710;
end
reg [VAR67-1:0] VAR175;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR175 <= 0;
end else begin
if(VAR131) begin
VAR175 <= {VAR175[VAR67-2:0], VAR182};
end else begin
VAR175 <= VAR175;
end
end
end
wire [VAR71-1:0] VAR22;
wire [VAR71-1:0] VAR79;
wire [VAR71-1:0] VAR203;
wire [VAR71-1:0] VAR143;
wire [VAR71-1:0] VAR74;
wire [VAR71-1:0] VAR8;
wire [VAR71-1:0] VAR134;
wire [VAR71-1:0] VAR172;
wire [VAR71-1:0] VAR106;
wire [VAR71-1:0] VAR45;
wire [VAR71-1:0] VAR68;
wire [VAR71-1:0] VAR177;
wire [VAR71-1:0] VAR129;
wire [VAR71-1:0] VAR3;
wire [VAR71-1:0] VAR51;
wire [VAR71-1:0] VAR4;
wire [VAR71-1:0] VAR39;
wire [VAR71-1:0] VAR21;
wire [VAR71-1:0] VAR23;
wire [VAR71-1:0] VAR147;
wire [VAR71-1:0] VAR159;
wire [VAR71-1:0] VAR19;
wire [VAR71-1:0] VAR174;
wire [VAR71-1:0] VAR17;
wire [VAR71-1:0] VAR183;
wire [VAR71-1:0] VAR152;
wire [VAR71-1:0] VAR179;
wire [VAR71-1:0] VAR189;
wire [VAR71-1:0] VAR192;
wire [VAR71-1:0] VAR132;
wire [VAR71-1:0] VAR2;
wire [VAR71-1:0] VAR47;
wire [VAR71-1:0] VAR83;
MODULE4 MODULE33(
.clk(clk), .VAR131(VAR131),
.VAR136(VAR20),
.VAR91(VAR22),
.VAR112(VAR79),
.VAR63(VAR203),
.VAR122(VAR143),
.VAR27(VAR74),
.VAR120(VAR8),
.VAR52(VAR134),
.VAR151(VAR172),
.VAR166(VAR106),
.VAR149(VAR45),
.VAR110(VAR68),
.VAR181(VAR177),
.VAR34(VAR129),
.VAR82(VAR3),
.VAR210(VAR51),
.VAR50(VAR4),
.VAR42(VAR39),
.VAR49(VAR21),
.VAR54(VAR23),
.VAR105(VAR147),
.VAR165(VAR159),
.VAR72(VAR19),
.VAR38(VAR174),
.VAR40(VAR17),
.VAR116(VAR183),
.VAR28(VAR152),
.VAR9(VAR179),
.VAR167(VAR189),
.VAR109(VAR192),
.VAR207(VAR132),
.VAR193(VAR2),
.VAR102(VAR47),
.VAR98(VAR83),
.reset(reset) );
wire [VAR71-1:0] VAR61;
wire [VAR71-1:0] VAR164;
wire [VAR71-1:0] VAR127;
wire [VAR71-1:0] VAR37;
wire [VAR71-1:0] VAR96;
wire [VAR71-1:0] VAR168;
wire [VAR71-1:0] VAR139;
wire [VAR71-1:0] VAR6;
wire [VAR71-1:0] VAR64;
wire [VAR71-1:0] VAR125;
wire [VAR71-1:0] VAR26;
wire [VAR71-1:0] VAR173;
wire [VAR71-1:0] VAR157;
wire [VAR71-1:0] VAR29;
wire [VAR71-1:0] VAR200;
wire [VAR71-1:0] VAR113;
wire [VAR71-1:0] VAR126;
MODULE5 VAR7(
.VAR162 (VAR22),
.VAR25 (VAR83),
.VAR144(VAR61)
);
MODULE5 VAR153(
.VAR162 (VAR79),
.VAR25 (VAR47),
.VAR144(VAR164)
);
MODULE5 VAR35(
.VAR162 (VAR203),
.VAR25 (VAR2),
.VAR144(VAR127)
);
MODULE5 VAR201(
.VAR162 (VAR143),
.VAR25 (VAR132),
.VAR144(VAR37)
);
MODULE5 VAR123(
.VAR162 (VAR74),
.VAR25 (VAR192),
.VAR144(VAR96)
);
MODULE5 VAR135(
.VAR162 (VAR8),
.VAR25 (VAR189),
.VAR144(VAR168)
);
MODULE5 VAR208(
.VAR162 (VAR134),
.VAR25 (VAR179),
.VAR144(VAR139)
);
MODULE5 VAR95(
.VAR162 (VAR172),
.VAR25 (VAR152),
.VAR144(VAR6)
);
MODULE5 VAR205(
.VAR162 (VAR106),
.VAR25 (VAR183),
.VAR144(VAR64)
);
MODULE5 VAR196(
.VAR162 (VAR45),
.VAR25 (VAR17),
.VAR144(VAR125)
);
MODULE5 VAR33(
.VAR162 (VAR68),
.VAR25 (VAR174),
.VAR144(VAR26)
);
MODULE5 VAR11(
.VAR162 (VAR177),
.VAR25 (VAR19),
.VAR144(VAR173)
);
MODULE5 VAR137(
.VAR162 (VAR129),
.VAR25 (VAR159),
.VAR144(VAR157)
);
MODULE5 VAR148(
.VAR162 (VAR3),
.VAR25 (VAR147),
.VAR144(VAR29)
);
MODULE5 VAR85(
.VAR162 (VAR51),
.VAR25 (VAR23),
.VAR144(VAR200)
);
MODULE5 VAR191(
.VAR162 (VAR4),
.VAR25 (VAR21),
.VAR144(VAR113)
);
MODULE3 VAR133(
.VAR162 (VAR39),
.VAR144(VAR126)
);
wire [VAR71-1:0] VAR178;
wire [VAR71-1:0] VAR198;
wire [VAR71-1:0] VAR53;
wire [VAR71-1:0] VAR60;
wire [VAR71-1:0] VAR107;
wire [VAR71-1:0] VAR185;
wire [VAR71-1:0] VAR141;
wire [VAR71-1:0] VAR75;
wire [VAR71-1:0] VAR163;
wire [VAR71-1:0] VAR69;
wire [VAR71-1:0] VAR154;
wire [VAR71-1:0] VAR171;
wire [VAR71-1:0] VAR104;
wire [VAR71-1:0] VAR59;
wire [VAR71-1:0] VAR211;
wire [VAR71-1:0] VAR66;
wire [VAR71-1:0] VAR73;
MODULE1 VAR86(
.VAR162 (VAR61),
.VAR25 (VAR180),
.VAR144(VAR178)
);
MODULE1 VAR24(
.VAR162 (VAR164),
.VAR25 (VAR48),
.VAR144(VAR198)
);
MODULE1 VAR209(
.VAR162 (VAR127),
.VAR25 (VAR115),
.VAR144(VAR53)
);
MODULE1 VAR99(
.VAR162 (VAR37),
.VAR25 (VAR78),
.VAR144(VAR60)
);
MODULE1 VAR57(
.VAR162 (VAR96),
.VAR25 (VAR92),
.VAR144(VAR107)
);
MODULE1 VAR138(
.VAR162 (VAR168),
.VAR25 (VAR87),
.VAR144(VAR185)
);
MODULE1 VAR16(
.VAR162 (VAR139),
.VAR25 (VAR30),
.VAR144(VAR141)
);
MODULE1 VAR46(
.VAR162 (VAR6),
.VAR25 (VAR65),
.VAR144(VAR75)
);
MODULE1 VAR155(
.VAR162 (VAR64),
.VAR25 (VAR197),
.VAR144(VAR163)
);
MODULE1 VAR36(
.VAR162 (VAR125),
.VAR25 (VAR103),
.VAR144(VAR69)
);
MODULE1 VAR184(
.VAR162 (VAR26),
.VAR25 (VAR187),
.VAR144(VAR154)
);
MODULE1 VAR80(
.VAR162 (VAR173),
.VAR25 (VAR13),
.VAR144(VAR171)
);
MODULE1 VAR56(
.VAR162 (VAR157),
.VAR25 (VAR119),
.VAR144(VAR104)
);
MODULE1 VAR188(
.VAR162 (VAR29),
.VAR25 (VAR90),
.VAR144(VAR59)
);
MODULE1 VAR43(
.VAR162 (VAR200),
.VAR25 (VAR170),
.VAR144(VAR211)
);
MODULE1 VAR128(
.VAR162 (VAR113),
.VAR25 (VAR10),
.VAR144(VAR66)
);
MODULE1 VAR88(
.VAR162 (VAR126),
.VAR25 (VAR41),
.VAR144(VAR73)
);
wire [VAR71-1:0] VAR31;
wire [VAR71-1:0] VAR111;
wire [VAR71-1:0] VAR15;
wire [VAR71-1:0] VAR114;
wire [VAR71-1:0] VAR108;
wire [VAR71-1:0] VAR55;
wire [VAR71-1:0] VAR118;
wire [VAR71-1:0] VAR199;
wire [VAR71-1:0] VAR58;
MODULE5 VAR44(
.VAR162 (VAR178),
.VAR25 (VAR198),
.VAR144(VAR31)
);
MODULE5 VAR206(
.VAR162 (VAR53),
.VAR25 (VAR60),
.VAR144(VAR111)
);
MODULE5 VAR14(
.VAR162 (VAR107),
.VAR25 (VAR185),
.VAR144(VAR15)
);
MODULE5 VAR1(
.VAR162 (VAR141),
.VAR25 (VAR75),
.VAR144(VAR114)
);
MODULE5 VAR62(
.VAR162 (VAR163),
.VAR25 (VAR69),
.VAR144(VAR108)
);
MODULE5 VAR84(
.VAR162 (VAR154),
.VAR25 (VAR171),
.VAR144(VAR55)
);
MODULE5 VAR150(
.VAR162 (VAR104),
.VAR25 (VAR59),
.VAR144(VAR118)
);
MODULE5 VAR194(
.VAR162 (VAR211),
.VAR25 (VAR66),
.VAR144(VAR199)
);
MODULE3 VAR145(
.VAR162 (VAR73),
.VAR144(VAR58)
);
wire [VAR71-1:0] VAR93;
wire [VAR71-1:0] VAR142;
wire [VAR71-1:0] VAR124;
wire [VAR71-1:0] VAR81;
wire [VAR71-1:0] VAR190;
MODULE5 VAR158(
.VAR162 (VAR31),
.VAR25 (VAR111),
.VAR144(VAR93)
);
MODULE5 VAR101(
.VAR162 (VAR15),
.VAR25 (VAR114),
.VAR144(VAR142)
);
MODULE5 VAR161(
.VAR162 (VAR108),
.VAR25 (VAR55),
.VAR144(VAR124)
);
MODULE5 VAR130(
.VAR162 (VAR118),
.VAR25 (VAR199),
.VAR144(VAR81)
);
MODULE3 VAR202(
.VAR162 (VAR58),
.VAR144(VAR190)
);
wire [VAR71-1:0] VAR204;
wire [VAR71-1:0] VAR169;
wire [VAR71-1:0] VAR146;
MODULE5 VAR97(
.VAR162 (VAR93),
.VAR25 (VAR142),
.VAR144(VAR204)
);
MODULE5 VAR117(
.VAR162 (VAR124),
.VAR25 (VAR81),
.VAR144(VAR169)
);
MODULE3 VAR32(
.VAR162 (VAR190),
.VAR144(VAR146)
);
wire [VAR71-1:0] VAR100;
wire [VAR71-1:0] VAR176;
MODULE5 VAR160(
.VAR162 (VAR204),
.VAR25 (VAR169),
.VAR144(VAR100)
);
MODULE3 VAR18(
.VAR162 (VAR146),
.VAR144(VAR176)
);
wire [VAR71-1:0] VAR140;
MODULE5 VAR121(
.VAR162 (VAR100),
.VAR25 (VAR176),
.VAR144(VAR140)
);
reg [17:0] VAR12;
always @(posedge clk) begin
if(VAR131) begin
VAR12 <= VAR140;
end
end
assign VAR156 = VAR175[VAR67-1];
endmodule
module MODULE4 (
clk,
VAR131,
VAR136,
VAR91,
VAR112,
VAR63,
VAR122,
VAR27,
VAR120,
VAR52,
VAR151,
VAR166,
VAR149,
VAR110,
VAR181,
VAR34,
VAR82,
VAR210,
VAR50,
VAR42,
VAR49,
VAR54,
VAR105,
VAR165,
VAR72,
VAR38,
VAR40,
VAR116,
VAR28,
VAR9,
VAR167,
VAR109,
VAR207,
VAR193,
VAR102,
VAR98,
reset);
parameter VAR5 = 1;
input clk;
input VAR131;
input [VAR5-1:0] VAR136;
output [VAR5-1:0] VAR91;
output [VAR5-1:0] VAR112;
output [VAR5-1:0] VAR63;
output [VAR5-1:0] VAR122;
output [VAR5-1:0] VAR27;
output [VAR5-1:0] VAR120;
output [VAR5-1:0] VAR52;
output [VAR5-1:0] VAR151;
output [VAR5-1:0] VAR166;
output [VAR5-1:0] VAR149;
output [VAR5-1:0] VAR110;
output [VAR5-1:0] VAR181;
output [VAR5-1:0] VAR34;
output [VAR5-1:0] VAR82;
output [VAR5-1:0] VAR210;
output [VAR5-1:0] VAR50;
output [VAR5-1:0] VAR42;
output [VAR5-1:0] VAR49;
output [VAR5-1:0] VAR54;
output [VAR5-1:0] VAR105;
output [VAR5-1:0] VAR165;
output [VAR5-1:0] VAR72;
output [VAR5-1:0] VAR38;
output [VAR5-1:0] VAR40;
output [VAR5-1:0] VAR116;
output [VAR5-1:0] VAR28;
output [VAR5-1:0] VAR9;
output [VAR5-1:0] VAR167;
output [VAR5-1:0] VAR109;
output [VAR5-1:0] VAR207;
output [VAR5-1:0] VAR193;
output [VAR5-1:0] VAR102;
output [VAR5-1:0] VAR98;
reg [VAR5-1:0] VAR91;
reg [VAR5-1:0] VAR112;
reg [VAR5-1:0] VAR63;
reg [VAR5-1:0] VAR122;
reg [VAR5-1:0] VAR27;
reg [VAR5-1:0] VAR120;
reg [VAR5-1:0] VAR52;
reg [VAR5-1:0] VAR151;
reg [VAR5-1:0] VAR166;
reg [VAR5-1:0] VAR149;
reg [VAR5-1:0] VAR110;
reg [VAR5-1:0] VAR181;
reg [VAR5-1:0] VAR34;
reg [VAR5-1:0] VAR82;
reg [VAR5-1:0] VAR210;
reg [VAR5-1:0] VAR50;
reg [VAR5-1:0] VAR42;
reg [VAR5-1:0] VAR49;
reg [VAR5-1:0] VAR54;
reg [VAR5-1:0] VAR105;
reg [VAR5-1:0] VAR165;
reg [VAR5-1:0] VAR72;
reg [VAR5-1:0] VAR38;
reg [VAR5-1:0] VAR40;
reg [VAR5-1:0] VAR116;
reg [VAR5-1:0] VAR28;
reg [VAR5-1:0] VAR9;
reg [VAR5-1:0] VAR167;
reg [VAR5-1:0] VAR109;
reg [VAR5-1:0] VAR207;
reg [VAR5-1:0] VAR193;
reg [VAR5-1:0] VAR102;
reg [VAR5-1:0] VAR98;
input reset;
always@(posedge clk or posedge reset) begin
if(reset) begin
VAR91 <= 0;
VAR112 <= 0;
VAR63 <= 0;
VAR122 <= 0;
VAR27 <= 0;
VAR120 <= 0;
VAR52 <= 0;
VAR151 <= 0;
VAR166 <= 0;
VAR149 <= 0;
VAR110 <= 0;
VAR181 <= 0;
VAR34 <= 0;
VAR82 <= 0;
VAR210 <= 0;
VAR50 <= 0;
VAR42 <= 0;
VAR49 <= 0;
VAR54 <= 0;
VAR105 <= 0;
VAR165 <= 0;
VAR72 <= 0;
VAR38 <= 0;
VAR40 <= 0;
VAR116 <= 0;
VAR28 <= 0;
VAR9 <= 0;
VAR167 <= 0;
VAR109 <= 0;
VAR207 <= 0;
VAR193 <= 0;
VAR102 <= 0;
VAR98 <= 0;
end else begin
if(VAR131) begin
VAR91 <= VAR136;
VAR112 <= VAR91;
VAR63 <= VAR112;
VAR122 <= VAR63;
VAR27 <= VAR122;
VAR120 <= VAR27;
VAR52 <= VAR120;
VAR151 <= VAR52;
VAR166 <= VAR151;
VAR149 <= VAR166;
VAR110 <= VAR149;
VAR181 <= VAR110;
VAR34 <= VAR181;
VAR82 <= VAR34;
VAR210 <= VAR82;
VAR50 <= VAR210;
VAR42 <= VAR50;
VAR49 <= VAR42;
VAR54 <= VAR49;
VAR105 <= VAR54;
VAR165 <= VAR105;
VAR72 <= VAR165;
VAR38 <= VAR72;
VAR40 <= VAR38;
VAR116 <= VAR40;
VAR28 <= VAR116;
VAR9 <= VAR28;
VAR167 <= VAR9;
VAR109 <= VAR167;
VAR207 <= VAR109;
VAR193 <= VAR207;
VAR102 <= VAR193;
VAR98 <= VAR102;
end end
end
endmodule
module MODULE5 (
VAR162,
VAR25,
VAR144);
input clk;
input VAR131;
input [17:0] VAR162;
input [17:0] VAR25;
output [17:0] VAR144;
assign VAR144 = VAR162 + VAR25;
endmodule
module MODULE1 (
VAR162,
VAR25,
VAR144);
input clk;
input VAR131;
input [17:0] VAR162;
input [17:0] VAR25;
output [17:0] VAR144;
assign VAR144 = VAR162 * VAR25;
endmodule
module MODULE3 (
VAR162,
VAR144);
input clk;
input VAR131;
input [17:0] VAR162;
output [17:0] VAR144;
assign VAR144 = VAR162;
endmodule | mit |
asicguy/gplgpu | hdl/dlp/dlp_reg.v | 12,669 | module MODULE1
(
input VAR10,
input VAR15,
input VAR14, input [8:2] VAR44,
input VAR13,
input [3:0] VAR31,
input VAR6,
input [31:0] VAR22,
input [8:2] VAR42,
input VAR5,
input [3:0] VAR51,
input VAR39,
input [31:0] VAR36,
input VAR28,
input VAR49,
input VAR41,
input VAR7, input [3:0] VAR4,
output reg [27:0] VAR9,
output reg [27:0] VAR40,
output VAR43,
output VAR16,
output VAR25,
output VAR19,
output reg VAR48,
output VAR11,
output reg VAR32,
output reg VAR3,
output VAR2,
output reg [4:0] VAR34
);
reg signed [28:0] VAR50;
reg VAR29;
reg VAR17;
reg [27:0] VAR33;
reg [27:0] VAR1;
reg VAR18;
reg [3:0] VAR45;
reg VAR37;
reg [3:0] VAR24;
reg [1:0] VAR20;
reg [1:0] VAR26;
wire VAR23;
wire VAR30;
wire VAR38;
wire VAR35;
wire VAR21;
parameter VAR46 = 6'b011111;
always @(posedge VAR10 or negedge VAR15) begin
if (!VAR15) VAR3 <= 1'b0;
end
else if (VAR14) VAR3 <= 1'b0;
else if (VAR29 || VAR17 && VAR11) VAR3 <= 1'b1;
else if (VAR41) VAR3 <= 1'b0;
end
assign VAR38 = (VAR44[8:3]==VAR46) && VAR13 && !VAR6;
assign VAR35 = (VAR42[8:3]==VAR46) && VAR5 && !VAR39;
assign VAR21 = ((VAR38 & ~VAR31[3] & ~VAR44[2]) |
(VAR35 & ~VAR42[2]));
wire VAR47;
assign VAR47 = ~VAR24[3] && VAR45[3];
always @(posedge VAR10) begin
if (!VAR15) begin
VAR29 <= 1'b0;
VAR33 <= 28'b0;
VAR1 <= 28'b0;
VAR26 <= 2'b0;
VAR18 <= 1'b0;
VAR45 <= 4'b0;
VAR9 <= 28'b0;
VAR40 <= 28'b0;
VAR24 <= 4'b1000;
VAR17 <= 1'b0;
VAR20 <= 2'b0;
VAR37 <= 1'b0;
VAR32 <= 1'b0;
VAR50 <= 0;
end else if (VAR14) begin
VAR29 <= 1'b0;
VAR17 <= 1'b0;
VAR24 <= 4'b1000;
VAR32 <= 1'b0;
VAR50 <= 0;
end else begin
VAR50 <= (VAR40 - VAR9);
if (VAR21) VAR17 <= 1'b1;
if (VAR38 && ~VAR44[2]) begin
VAR32 <= 1'b1;
if(!VAR31[0]) VAR33[3:0] <= VAR22[7:4];
if(!VAR31[1]) VAR33[11:4] <= VAR22[15:8];
if(!VAR31[2]) VAR33[19:12] <= VAR22[23:16];
if(!VAR31[3]) begin
VAR33[27:20] <= {VAR4, VAR22[27:24]};
end
if(!VAR31[3]) VAR26 <= VAR22[30:29];
if(!VAR31[3]) VAR18 <= VAR22[31];
end else if (VAR35 && ~VAR42[2]) begin
VAR32 <= 1'b1;
if(!VAR51[0]) VAR33[3:0] <= VAR36[7:4];
if(!VAR51[1]) VAR33[11:4] <= VAR36[15:8];
if(!VAR51[2]) VAR33[19:12] <= VAR36[23:16];
if(!VAR51[3]) begin
VAR33[27:20] <= {VAR4, VAR36[27:24]};
end
if(!VAR51[3]) VAR26 <= VAR36[30:29]; if(!VAR51[3]) VAR18 <= VAR36[31];
end
if (VAR38 && VAR44[2]) begin
VAR29 <= ~VAR31[3];
if(!VAR31[0]) VAR1[3:0] <= VAR22[7:4];
if(!VAR31[1]) VAR1[11:4] <= VAR22[15:8];
if(!VAR31[2]) VAR1[19:12] <= VAR22[23:16];
if(!VAR31[3]) begin
VAR1[27:20] <= {VAR4, VAR22[27:24]};
end
if(!VAR31[3]) VAR45[3:0] <= VAR22[31:28];
end else if (VAR35 && VAR42[2]) begin
VAR29 <= ~VAR51[3];
if(!VAR51[0]) VAR1[3:0] <= VAR36[7:4];
if(!VAR51[1]) VAR1[11:4] <= VAR36[15:8];
if(!VAR51[2]) VAR1[19:12] <= VAR36[23:16];
if(!VAR51[3]) begin
VAR1[27:20] <= {VAR4, VAR36[27:24]};
end
if(!VAR51[3]) VAR45[3:0] <= VAR36[31:28];
end
if (VAR7) VAR20[0] <= 1'b0;
if (VAR29 && ~VAR32 && VAR47 && ~VAR11) begin
VAR9 <= VAR1-28'h1;
VAR17 <= 1'b0;
VAR29 <= 1'b0;
end else if (VAR17 && VAR11) begin
VAR32 <= 1'b0;
VAR17 <= 1'b0;
VAR9 <= VAR33;
VAR20 <= VAR26;
VAR37 <= VAR18;
end else if (VAR49 && ~VAR11)
VAR9 <= VAR9 + 28'h1;
if (VAR29 && ~VAR32)
begin
VAR40 <= VAR1;
VAR24 <= VAR45;
VAR29 <= 1'b0;
end
else if (VAR11 && ~VAR17)
VAR24[3] <= 1'b1;
end end
always @*
if(VAR50 > VAR12) VAR34 = VAR8;
end
else VAR34 = VAR50 -1'b1;
assign VAR43 = VAR24[1];
assign VAR25 = VAR24[2];
assign VAR19 = VAR24[3];
assign VAR16 = VAR37;
assign VAR2 = VAR20[0];
reg VAR27;
assign VAR11 = (VAR9 == VAR40) | VAR27;
always @(posedge VAR10, negedge VAR15) begin
if (!VAR15) VAR27 <= 1'b0;
end
else if (VAR29 && ~VAR32) VAR27 <= 1'b0;
end
else if (VAR19 || VAR11) VAR27 <= 1'b1;
end
assign VAR23 = VAR24[3];
assign VAR30 = VAR11 & ~VAR23;
always @(posedge VAR10 or negedge VAR15) begin
if(!VAR15) VAR48 <= 1'b0;
end
else if(VAR14) VAR48 <= 1'b0;
end
else if ((VAR29 && ~VAR45[3]) || VAR30) VAR48 <= 1'b1;
end
else if ((~VAR28 && VAR11 && VAR23) ||
(VAR29 && VAR45[3])) VAR48 <= 1'b0;
end
endmodule | gpl-3.0 |
UGent-HES/ConnectionRouter | vtr_flow/benchmarks/arithmetic/generated_circuits/multless_consts/verilog/mult_104.v | 1,466 | module MODULE2 (
VAR5,
VAR9
);
input [31:0] VAR5;
output [31:0]
VAR9;
wire [31:0]
VAR12,
VAR3,
VAR1,
VAR8,
VAR6,
VAR4,
VAR10,
VAR2;
assign VAR12 = VAR5;
assign VAR2 = VAR10 << 3;
assign VAR4 = VAR6 << 5;
assign VAR10 = VAR6 + VAR4;
assign VAR1 = VAR3 - VAR12;
assign VAR3 = VAR12 << 2;
assign VAR8 = VAR1 << 4;
assign VAR6 = VAR1 + VAR8;
assign VAR9 = VAR2;
endmodule
module MODULE1(
VAR5,
VAR9,
clk
);
input [31:0] VAR5;
output [31:0] VAR9;
reg [31:0] VAR9;
input clk;
reg [31:0] VAR13;
wire [30:0] VAR7;
always @(posedge clk) begin
VAR13 <= VAR5;
VAR9 <= VAR7;
end
MODULE2 MODULE1(
.VAR5(VAR13),
.VAR9(VAR7)
);
endmodule | mit |
pemsac/ANN_project | ANN_project.hls/ANN_complete/ANN_complete/solution1/syn/verilog/ANN_fmul_32ns_32ns_32_4_max_dsp.v | 1,909 | module MODULE1
VAR22 = 1,
VAR21 = 4,
VAR13 = 32,
VAR2 = 32,
VAR23 = 32
)(
input wire clk,
input wire reset,
input wire VAR24,
input wire [VAR13-1:0] VAR15,
input wire [VAR2-1:0] VAR6,
output wire [VAR23-1:0] dout
);
wire VAR17;
wire VAR20;
wire VAR25;
wire [31:0] VAR18;
wire VAR4;
wire [31:0] VAR5;
wire VAR10;
wire [31:0] VAR27;
reg [VAR13-1:0] VAR12;
reg [VAR2-1:0] VAR11;
VAR8 VAR14 (
.VAR17 ( VAR17 ),
.VAR20 ( VAR20 ),
.VAR16 ( VAR25 ),
.VAR3 ( VAR18 ),
.VAR26 ( VAR4 ),
.VAR7 ( VAR5 ),
.VAR9 ( VAR10 ),
.VAR1 ( VAR27 )
);
assign VAR17 = clk;
assign VAR20 = VAR24;
assign VAR25 = 1'b1;
assign VAR18 = VAR12==='VAR19 ? 'b0 : VAR12;
assign VAR4 = 1'b1;
assign VAR5 = VAR11==='VAR19 ? 'b0 : VAR11;
assign dout = VAR27;
always @(posedge clk) begin
if (VAR24) begin
VAR12 <= VAR15;
VAR11 <= VAR6;
end
end
endmodule | gpl-3.0 |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v | 2,204 | module MODULE1
, parameter VAR15(VAR9)
, parameter VAR15(VAR23)
, parameter VAR25 = VAR4(VAR19,1)
)
(input VAR10
, input VAR2
, input [VAR25-1:0] VAR7
, input VAR27
, input [VAR9-1:0] VAR3
, input [VAR23-1:0] VAR22
, output [VAR25-1:0] VAR17
, input VAR5
, input [VAR9-1:0] VAR8
, output logic [VAR23-1:0] VAR11
, output logic VAR20
);
logic [VAR25-1:0] VAR16;
logic [VAR25-1:0] VAR14;
logic [VAR25-1:0] VAR18;
VAR13
,.VAR19(VAR25)
)
VAR1
(.VAR10(VAR10)
,.VAR2(VAR2)
,.VAR7(VAR7)
,.VAR27(VAR27)
,.VAR3(VAR3)
,.VAR17(VAR17)
,.VAR5(VAR5)
,.VAR8(VAR8)
,.VAR6(VAR16)
);
logic [VAR25-1:0] VAR12;
VAR28
,.VAR19(VAR25)
)
VAR26
(.VAR24(VAR10)
,.VAR21(VAR2)
,.VAR7(VAR7)
,.VAR22(VAR22)
,.VAR5(VAR16)
,.VAR11(VAR11)
);
assign VAR20 = |VAR16;
endmodule | bsd-3-clause |
lvd2/ngs | fpga/pgmflash/zxbus/zxbus.v | 4,603 | module MODULE1
(
input wire clk,
input wire VAR15,
inout wire [7:0] VAR26, input wire [7:0] VAR18, input wire VAR28,
input wire VAR37,
input wire VAR6,
input wire VAR33,
output wire VAR36, output reg VAR4, output reg VAR1,
output reg VAR31, input wire VAR27,
output reg VAR16,
output reg VAR38,
output reg VAR12, output reg VAR19, output reg VAR5, output reg [7:0] VAR40, input wire [7:0] VAR25 );
wire VAR13 = ~(VAR28 | VAR33);
wire VAR39 = ~(VAR28 | VAR6);
reg [2:0] VAR24;
reg [2:0] VAR11;
wire VAR9, VAR29;
wire VAR34, VAR21;
wire VAR35, VAR8;
wire VAR30;
reg VAR23;
wire [1:0] VAR32;
reg [7:0] VAR3;
reg VAR22;
reg [7:0] VAR7;
wire [7:0] VAR20;
reg [8:0] VAR2;
reg [7:0] VAR10;
reg VAR14;
assign VAR32[1:0] = { VAR18[7], VAR18[3] };
assign VAR30 = (VAR18==8'h33) || (VAR18==8'h3B) || (VAR18==8'hB3) || (VAR18==8'hBB);
assign VAR36 = ~VAR30;
assign VAR26 = VAR22 ? VAR7 : 8'VAR17;
assign VAR20 = VAR26;
always @(posedge clk)
begin
VAR24[2:0] <= { VAR24[1:0], VAR13 };
VAR11[2:0] <= { VAR11[1:0], VAR39 };
end
assign VAR9 = VAR24[1] && !VAR24[2];
assign VAR34 = VAR11[1] && !VAR11[2];
assign VAR29 = !VAR24[1] && VAR24[2];
assign VAR21 = !VAR11[1] && VAR11[2];
assign VAR35 = VAR9 || VAR34;
assign VAR8 = VAR29 || VAR21;
always @(posedge clk, negedge VAR15)
if( !VAR15 )
begin
VAR4 <= 1'b1;
VAR1 <= 1'b1;
end
else if( VAR30 && VAR35 )
begin
VAR4 <= ~VAR34;
VAR1 <= 1'b0;
end
else if( VAR8 )
begin
VAR1 <= 1'b1;
end
always @(posedge clk, negedge VAR15)
if( !VAR15 )
begin
VAR22 <= 1'b0;
end
else if( VAR30 && VAR35 )
begin
VAR22 <= VAR34;
end
else if( VAR8 )
begin
VAR22 <= 1'b0;
end
always @(posedge clk, negedge VAR15)
if( !VAR15 )
VAR23 <= 1'b0;
else
VAR23 <= VAR30 && VAR9;
always @(posedge clk, negedge VAR15)
if( !VAR15 )
VAR16 <= 1'b0;
else if( VAR31 )
VAR16 <= 1'b0;
else if( VAR23 && VAR32==2'b00 && VAR26[6] )
VAR16 <= ~VAR16;
always @(posedge clk, negedge VAR15)
if( !VAR15 )
VAR31 <= 1'b0;
else if( VAR23 && VAR32==2'b00 && VAR26[7] )
VAR31 <= 1'b1;
else
VAR31 <= 1'b0;
always @(posedge clk, negedge VAR15)
if( !VAR15 )
VAR38 <= 1'b0;
else if( VAR23 && VAR32==2'b00 )
VAR38 <= VAR26[5];
always @(posedge clk, negedge VAR15)
if( !VAR15 )
begin
VAR2 <= 9'd0;
end
else if( VAR31 )
begin
VAR2 <= 9'd0;
end
else if( VAR14 )
begin
VAR2[8:0] <= { (~VAR10[7:0]), VAR2[8] };
end
always @(posedge clk)
if( VAR23 && VAR32==2'b01 )
begin
VAR10 <= VAR20;
VAR14 <= 1'b1;
end
else
begin
VAR14 <= 1'b0;
end
always @(posedge clk)
if( VAR23 && VAR32==2'b10 )
VAR12 <= 1'b1;
else
VAR12 <= 1'b0;
always @(posedge clk)
if( VAR23 && VAR32==2'b11 )
VAR19 <= 1'b1;
else
VAR19 <= 1'b0;
always @(posedge clk)
if( VAR30 && VAR32==2'b11 && VAR34 )
VAR5 <= 1'b1;
else
VAR5 <= 1'b0;
always @(posedge clk)
if( VAR23 && VAR32[1]==1'b1 )
VAR40 <= VAR20;
always @*
case( VAR32 )
2'b00: VAR3 = { VAR27, 7'd0 };
2'b01: VAR3 = VAR2[7:0];
2'b11: VAR3 = VAR25;
default: VAR3 = 8'd0;
endcase
always @(posedge clk)
if( VAR30 && VAR34 )
VAR7 <= VAR3;
endmodule | gpl-3.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.