repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
nickdesaulniers/Omicron | instruction_fetch.v | 1,389 | module MODULE1(
input VAR7,
input VAR9,
input [6:0] VAR10,
input VAR1,
output [6:0] VAR4,
output [15:0] VAR3
);
reg [6:0] VAR11;
assign VAR4 = VAR11 + 1;
always@(posedge VAR7 or negedge VAR9) begin
if(!VAR9) begin
VAR11 <= 7'b0;
end else begin
if(VAR1) begin
VAR11 <= VAR10;
end else begin
VAR11 <= VAR4;
end
end
end
VAR8 VAR6(
.VAR2(VAR7),
.VAR12(VAR11),
.VAR5(VAR3)
);
endmodule | gpl-3.0 |
hydai/Verilog-Practice | HardwareLab/Lab6/debounce.v | 1,228 | module MODULE1(VAR1, VAR2, clk);
output VAR1; input VAR2; input clk;
reg [3:0] VAR3;
always @(posedge clk) begin
VAR3[3:1] <= VAR3[2:0];
VAR3[0] <= VAR2;
end
assign VAR1 = ((VAR3 == 4'b0000) ? 1'b0 : 1'b1);
endmodule | mit |
PhilippMundhenk/AutomotiveEthernetSwitch | aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_3_stub.v | 1,485 | module MODULE1(VAR2, VAR6, VAR7, VAR3, din, VAR4, VAR5, dout, VAR1, VAR8)
;
input VAR2;
input VAR6;
input VAR7;
input VAR3;
input [9:0]din;
input VAR4;
input VAR5;
output [9:0]dout;
output VAR1;
output VAR8;
endmodule | mit |
atti92/heterogenhomework | project1/solution1/syn/verilog/fir_hw.v | 20,598 | module MODULE1 (
VAR102,
VAR30,
VAR34,
VAR82,
VAR146,
VAR158,
VAR23,
VAR45,
VAR160
);
parameter VAR32 = 1'b1;
parameter VAR46 = 1'b0;
parameter VAR81 = 9'b1;
parameter VAR5 = 9'b10;
parameter VAR138 = 9'b100;
parameter VAR94 = 9'b1000;
parameter VAR133 = 9'b10000;
parameter VAR41 = 9'b100000;
parameter VAR123 = 9'b1000000;
parameter VAR150 = 9'b10000000;
parameter VAR53 = 9'b100000000;
parameter VAR26 = 32'b00000000000000000000000000000000;
parameter VAR141 = 1'b1;
parameter VAR49 = 32'b1;
parameter VAR162 = 1'b0;
parameter VAR71 = 32'b11;
parameter VAR117 = 32'b100;
parameter VAR143 = 32'b110;
parameter VAR47 = 32'b111;
parameter VAR156 = 7'b0000000;
parameter VAR118 = 32'b10;
parameter VAR59 = 39'b000000000000000000000000000000000000000;
parameter VAR114 = 8'b00000000;
parameter VAR60 = 32'b1000;
parameter VAR57 = 7'b1111111;
parameter VAR165 = 7'b1;
parameter VAR50 = 8'b10000000;
parameter VAR84 = 8'b1;
parameter VAR142 = 32'b10000;
parameter VAR135 = 16'b0000000000000000;
parameter VAR90 = 32'b10001;
parameter VAR63 = 32'b100010;
parameter VAR155 = 32'b100011;
parameter VAR55 = 32'b100100;
parameter VAR136 = 32'b100110;
parameter VAR24 = 3'b111;
parameter VAR108 = 4'b1111;
parameter VAR10 = 4'b0000;
parameter VAR80 = 17'b00000000000000000;
parameter VAR15 = 18'b11111111111111111;
parameter VAR166 = 18'b100000000000000001;
parameter VAR111 = 1'b1;
input VAR102;
input VAR30;
input VAR34;
output VAR82;
output VAR146;
output VAR158;
input [17:0] VAR23;
output [17:0] VAR45;
output VAR160;
reg VAR82;
reg VAR146;
reg VAR158;
reg VAR160;
reg [8:0] VAR97 = 9'b1;
reg VAR121;
reg VAR86;
reg [6:0] VAR51;
reg VAR76;
reg VAR124;
reg [17:0] VAR109;
wire [17:0] VAR115;
wire [6:0] VAR52;
reg VAR54;
wire [14:0] VAR144;
wire [6:0] VAR110;
reg [6:0] VAR29;
reg VAR139;
reg VAR20;
wire [0:0] VAR78;
wire [7:0] VAR153;
reg [7:0] VAR13;
reg VAR120;
reg VAR61;
wire [0:0] VAR21;
wire [0:0] VAR75;
reg [0:0] VAR89;
reg VAR99;
reg VAR100;
wire signed [38:0] VAR163;
reg VAR96;
reg VAR62;
wire [17:0] VAR126;
reg [17:0] VAR101;
reg VAR18;
reg VAR91;
wire [0:0] VAR85;
reg [0:0] VAR64;
wire [0:0] VAR40;
reg [0:0] VAR12;
wire [0:0] VAR137;
reg [0:0] VAR157;
reg [6:0] VAR3;
reg VAR6;
reg VAR9;
reg [38:0] VAR17;
reg [7:0] VAR66;
wire [63:0] VAR70;
wire [63:0] VAR116;
wire [63:0] VAR88;
reg VAR72;
reg VAR79;
wire [15:0] VAR35;
wire [0:0] VAR161;
wire [0:0] VAR104;
wire [0:0] VAR147;
wire [0:0] VAR148;
wire signed [17:0] VAR16;
wire signed [14:0] VAR112;
wire signed [32:0] VAR95;
wire signed [38:0] VAR87;
wire [17:0] VAR105;
wire [17:0] VAR122;
wire [0:0] VAR68;
wire [0:0] VAR159;
wire [0:0] VAR129;
wire [2:0] VAR131;
wire [3:0] VAR42;
wire [0:0] VAR93;
wire [0:0] VAR8;
wire [0:0] VAR4;
wire [0:0] VAR119;
wire [0:0] VAR127;
wire [0:0] VAR103;
wire [0:0] VAR36;
wire [0:0] VAR56;
wire [0:0] VAR151;
wire [0:0] VAR44;
wire [0:0] VAR38;
wire [16:0] VAR43;
wire [0:0] VAR98;
wire [0:0] VAR152;
wire [0:0] VAR77;
wire [0:0] VAR28;
wire [0:0] VAR14;
wire [0:0] VAR2;
wire [0:0] VAR69;
wire [0:0] VAR19;
wire [0:0] VAR1;
wire [0:0] VAR92;
wire [0:0] VAR74;
wire [17:0] VAR132;
wire [17:0] VAR27;
wire VAR33;
reg [8:0] VAR65;
VAR83 #(
.VAR73( 18 ),
.VAR130( 128 ),
.VAR25( 7 ))
VAR22(
.clk( VAR102 ),
.reset( VAR30 ),
.VAR67( VAR51 ),
.VAR149( VAR76 ),
.VAR39( VAR124 ),
.VAR134( VAR109 ),
.VAR128( VAR115 )
);
VAR107 #(
.VAR73( 15 ),
.VAR130( 128 ),
.VAR25( 7 ))
VAR154(
.clk( VAR102 ),
.reset( VAR30 ),
.VAR67( VAR52 ),
.VAR149( VAR54 ),
.VAR128( VAR144 )
);
VAR145 #(
.VAR58( 1 ),
.VAR48( 3 ),
.VAR164( 18 ),
.VAR113( 15 ),
.VAR140( 33 ))
VAR37(
.clk( VAR102 ),
.reset( VAR30 ),
.VAR7( VAR16 ),
.VAR106( VAR112 ),
.VAR125( VAR33 ),
.dout( VAR95 )
);
always @ (posedge VAR102)
begin : VAR11
if (VAR30 == 1'b1) begin
VAR97 <= VAR81;
end else begin
VAR97 <= VAR65;
end
end
always @(posedge VAR102)
begin
if ((VAR32 == VAR96)) begin
VAR66 <= VAR13;
end else if (((VAR32 == VAR139) & ~(VAR78 == VAR162))) begin
VAR66 <= VAR114;
end
end
always @(posedge VAR102)
begin
if ((VAR32 == VAR6)) begin
VAR3 <= VAR29;
end else if (((VAR32 == VAR121) & ~(VAR34 == VAR46))) begin
VAR3 <= VAR156;
end
end
always @(posedge VAR102)
begin
if ((VAR32 == VAR96)) begin
VAR17 <= VAR163;
end else if (((VAR32 == VAR139) & ~(VAR78 == VAR162))) begin
VAR17 <= VAR59;
end
end
always @(posedge VAR102)
begin
if ((VAR32 == VAR18)) begin
VAR12 <= VAR40;
VAR64 <= VAR85;
VAR101 <= VAR126;
VAR157 <= VAR137;
end
end
always @(posedge VAR102)
begin
if ((VAR32 == VAR139)) begin
VAR29 <= VAR110;
end
end
always @(posedge VAR102)
begin
if ((VAR32 == VAR120)) begin
VAR13 <= VAR153;
end
end
always @(posedge VAR102)
begin
if (((VAR32 == VAR120) & ~(VAR162 == VAR21))) begin
VAR89 <= VAR75;
end
end
always @ (VAR72)
begin
if ((VAR32 == VAR72)) begin
VAR82 = VAR32;
end else begin
VAR82 = VAR46;
end
end
always @ (VAR34 or VAR121)
begin
if ((~(VAR32 == VAR34) & (VAR32 == VAR121))) begin
VAR146 = VAR32;
end else begin
VAR146 = VAR46;
end
end
always @ (VAR72)
begin
if ((VAR32 == VAR72)) begin
VAR158 = VAR32;
end else begin
VAR158 = VAR46;
end
end
always @ (VAR86)
begin
if (VAR86) begin
VAR121 = VAR32;
end else begin
VAR121 = VAR46;
end
end
always @ (VAR20)
begin
if (VAR20) begin
VAR139 = VAR32;
end else begin
VAR139 = VAR46;
end
end
always @ (VAR9)
begin
if (VAR9) begin
VAR6 = VAR32;
end else begin
VAR6 = VAR46;
end
end
always @ (VAR61)
begin
if (VAR61) begin
VAR120 = VAR32;
end else begin
VAR120 = VAR46;
end
end
always @ (VAR100)
begin
if (VAR100) begin
VAR99 = VAR32;
end else begin
VAR99 = VAR46;
end
end
always @ (VAR62)
begin
if (VAR62) begin
VAR96 = VAR32;
end else begin
VAR96 = VAR46;
end
end
always @ (VAR91)
begin
if (VAR91) begin
VAR18 = VAR32;
end else begin
VAR18 = VAR46;
end
end
always @ (VAR79)
begin
if (VAR79) begin
VAR72 = VAR32;
end else begin
VAR72 = VAR46;
end
end
always @ (VAR120)
begin
if ((VAR32 == VAR120)) begin
VAR54 = VAR32;
end else begin
VAR54 = VAR46;
end
end
always @ (VAR72)
begin
if ((VAR32 == VAR72)) begin
VAR160 = VAR32;
end else begin
VAR160 = VAR46;
end
end
always @ (VAR139 or VAR78 or VAR120 or VAR6 or VAR70 or VAR116 or VAR88)
begin
if ((VAR32 == VAR6)) begin
VAR51 = VAR116;
end else if (((VAR32 == VAR139) & ~(VAR78 == VAR162))) begin
VAR51 = VAR57;
end else if ((VAR32 == VAR120)) begin
VAR51 = VAR88;
end else if (((VAR32 == VAR139) & (VAR78 == VAR162))) begin
VAR51 = VAR70;
end else begin
VAR51 = 'VAR31;
end
end
always @ (VAR139 or VAR78 or VAR120 or VAR6)
begin
if ((((VAR32 == VAR139) & (VAR78 == VAR162)) | (VAR32 == VAR120) | (VAR32 == VAR6) | ((VAR32 == VAR139) & ~(VAR78 == VAR162)))) begin
VAR76 = VAR32;
end else begin
VAR76 = VAR46;
end
end
always @ (VAR23 or VAR115 or VAR139 or VAR78 or VAR6)
begin
if ((VAR32 == VAR6)) begin
VAR109 = VAR115;
end else if (((VAR32 == VAR139) & ~(VAR78 == VAR162))) begin
VAR109 = VAR23;
end else begin
VAR109 = 'VAR31;
end
end
always @ (VAR139 or VAR78 or VAR6)
begin
if (((VAR32 == VAR6) | ((VAR32 == VAR139) & ~(VAR78 == VAR162)))) begin
VAR124 = VAR32;
end else begin
VAR124 = VAR46;
end
end
always @ (VAR34 or VAR97 or VAR78 or VAR21)
begin
case (VAR97)
VAR81 :
begin
if (~(VAR34 == VAR46)) begin
VAR65 = VAR5;
end else begin
VAR65 = VAR81;
end
end
VAR5 :
begin
if (~(VAR78 == VAR162)) begin
VAR65 = VAR94;
end else begin
VAR65 = VAR138;
end
end
VAR138 :
begin
VAR65 = VAR5;
end
VAR94 :
begin
if (~(VAR162 == VAR21)) begin
VAR65 = VAR150;
end else begin
VAR65 = VAR133;
end
end
VAR133 :
begin
VAR65 = VAR41;
end
VAR41 :
begin
VAR65 = VAR123;
end
VAR123 :
begin
VAR65 = VAR94;
end
VAR150 :
begin
VAR65 = VAR53;
end
VAR53 :
begin
VAR65 = VAR81;
end
default :
begin
VAR65 = 'VAR31;
end
endcase
end
assign VAR8 = (VAR42 == VAR108? 1'b1: 1'b0);
assign VAR4 = (VAR42 == VAR10? 1'b1: 1'b0);
assign VAR127 = (VAR131 == VAR24? 1'b1: 1'b0);
assign VAR163 = ((VAR17) + (VAR87));
always @ (VAR97)
begin
VAR62 = (VAR141 == VAR97[VAR143]);
end
always @ (VAR97)
begin
VAR91 = (VAR141 == VAR97[VAR47]);
end
always @ (VAR97)
begin
VAR9 = (VAR141 == VAR97[VAR118]);
end
always @ (VAR97)
begin
VAR79 = (VAR141 == VAR97[VAR60]);
end
always @ (VAR97)
begin
VAR86 = (VAR97[VAR26] == VAR141);
end
always @ (VAR97)
begin
VAR20 = (VAR141 == VAR97[VAR49]);
end
always @ (VAR97)
begin
VAR61 = (VAR141 == VAR97[VAR71]);
end
always @ (VAR97)
begin
VAR100 = (VAR141 == VAR97[VAR117]);
end
assign VAR38 = (VAR68 & VAR44);
assign VAR152 = (VAR38 ^ VAR141);
assign VAR74 = (VAR19 | VAR1);
assign VAR40 = (VAR68 | VAR151);
assign VAR92 = (VAR69 | VAR19);
assign VAR93 = (VAR159 & VAR129);
assign VAR52 = VAR88;
assign VAR44 = ((VAR93[0:0]===1'b1)? VAR36: VAR8);
assign VAR56 = ((VAR93[0:0]===1'b1)? VAR8: VAR4);
assign VAR78 = (VAR3 == VAR57? 1'b1: 1'b0);
assign VAR21 = (VAR66 == VAR50? 1'b1: 1'b0);
assign VAR33 = VAR32;
assign VAR16 = VAR115;
assign VAR112 = VAR144;
assign VAR110 = (VAR3 + VAR165);
assign VAR153 = (VAR66 + VAR84);
assign VAR68 = VAR126[VAR90];
assign VAR19 = (VAR12 & VAR28);
assign VAR85 = (VAR93 & VAR8);
assign VAR36 = (VAR127 & VAR103);
assign VAR105 = {{VAR17[VAR63 : VAR90]}};
assign VAR126 = (VAR105 + VAR122);
assign VAR27 = ((VAR69[0:0]===1'b1)? VAR166: VAR101);
assign VAR132 = ((VAR92[0:0]===1'b1)? VAR15: VAR101);
assign VAR151 = (VAR56 ^ VAR141);
assign VAR75 = (VAR147 & VAR148);
assign VAR148 = VAR17[VAR142];
assign VAR104 = (VAR35 != VAR135? 1'b1: 1'b0);
assign VAR147 = (VAR161 | VAR104);
assign VAR45 = ((VAR74[0:0]===1'b1)? VAR132: VAR27);
assign VAR77 = VAR17[VAR136];
assign VAR2 = (VAR157 & VAR14);
assign VAR137 = (VAR98 | VAR152);
assign VAR35 = VAR17[15:0];
assign VAR159 = VAR17[VAR63];
assign VAR161 = VAR17[VAR90];
assign VAR119 = VAR17[VAR155];
assign VAR43 = VAR126[16:0];
assign VAR88 = VAR66;
assign VAR70 = VAR110;
assign VAR28 = (VAR77 ^ VAR141);
assign VAR42 = {{VAR17[VAR136 : VAR155]}};
assign VAR98 = (VAR43 == VAR80? 1'b1: 1'b0);
assign VAR129 = (VAR68 ^ VAR141);
assign VAR103 = (VAR119 ^ VAR141);
assign VAR14 = (VAR64 ^ VAR141);
assign VAR87 = VAR95;
assign VAR131 = {{VAR17[VAR136 : VAR55]}};
assign VAR116 = VAR3;
assign VAR122 = VAR89;
assign VAR1 = (VAR69 ^ VAR141);
assign VAR69 = (VAR2 & VAR77);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/and4b/sky130_fd_sc_ms__and4b.behavioral.pp.v | 1,988 | module MODULE1 (
VAR5 ,
VAR9 ,
VAR1 ,
VAR17 ,
VAR10 ,
VAR2,
VAR16,
VAR7 ,
VAR12
);
output VAR5 ;
input VAR9 ;
input VAR1 ;
input VAR17 ;
input VAR10 ;
input VAR2;
input VAR16;
input VAR7 ;
input VAR12 ;
wire VAR13 ;
wire VAR15 ;
wire VAR11;
not VAR4 (VAR13 , VAR9 );
and VAR6 (VAR15 , VAR13, VAR1, VAR17, VAR10 );
VAR14 VAR3 (VAR11, VAR15, VAR2, VAR16);
buf VAR8 (VAR5 , VAR11 );
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig37/mig_37/user_design/rtl/phy/rd_bitslip.v | 5,423 | module MODULE1 #
(
parameter VAR8 = 100
)
(
input clk,
input [1:0] VAR3,
input [1:0] VAR9,
input [5:0] din,
output reg [3:0] VAR2
);
reg VAR6;
reg [3:0] VAR4;
reg [3:0] VAR1;
reg [3:0] VAR7;
reg [3:0] VAR5;
always @(posedge clk)
always @(VAR3 or din or VAR6)
case (VAR3)
2'b00: VAR4 = {din[3], din[2], din[1], din[0]};
2'b01: VAR4 = {din[4], din[3], din[2], din[1]};
2'b10: VAR4 = {din[5], din[4], din[3], din[2]};
2'b11: VAR4 = {VAR6, din[5], din[4], din[3]};
endcase
always @(posedge clk) begin
end
always @(posedge clk)
case (VAR9)
endcase
endmodule | lgpl-3.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/nand2/gf180mcu_fd_sc_mcu7t5v0__nand2_1.functional.pp.v | 1,072 | module MODULE1( VAR7, VAR1, VAR4, VAR9, VAR5 );
input VAR1, VAR7;
inout VAR9, VAR5;
output VAR4;
wire VAR3;
not VAR10( VAR3, VAR1 );
wire VAR8;
not VAR2( VAR8, VAR7 );
or VAR6( VAR4, VAR3, VAR8 );
endmodule | apache-2.0 |
SiLab-Bonn/pyBAR | firmware/mmc3_8chip_multi_tx_eth/src/mmc3_8chip_multi_tx_eth.v | 31,195 | module MODULE1(
input wire VAR264,
input wire VAR252,
output wire [3:0] VAR367,
output wire VAR93,
output wire VAR329,
input wire [3:0] VAR190,
input wire VAR5,
input wire VAR38,
output wire VAR343,
inout wire VAR103,
output wire VAR354,
output wire [7:0] VAR392,
output wire [7:0] VAR220, VAR164,
output wire [7:0] VAR333, VAR142,
input wire [7:0] VAR188, VAR25,
input wire [7:0] VAR130, VAR294,
output wire VAR337, VAR105,
output wire [1:0] VAR297, input wire VAR149, VAR246,
input wire [1:0] VAR27,
inout wire [7:0] VAR136 );
wire VAR10;
wire VAR99, VAR159;
wire VAR313, VAR213;
VAR165 #(
.VAR183("VAR390"), .VAR116(10), .VAR233(0.0), .VAR91(10.000),
.VAR204(8), .VAR144(0.5), .VAR372(0.0),
.VAR46(8), .VAR200(0.5), .VAR52(90.0),
.VAR175(1), .VAR176(0.0), .VAR74("VAR132") ) VAR59 (
.VAR265(VAR99),
.VAR248(VAR159),
.VAR28(),
.VAR299(),
.VAR55(),
.VAR383(),
.VAR306(VAR313),
.VAR213(VAR213),
.VAR374(VAR252),
.VAR260(0),
.VAR10(!VAR264),
.VAR244(VAR313)
);
wire VAR95, VAR318, VAR245, VAR243, VAR249;
wire VAR172, VAR258;
VAR165 #(
.VAR183("VAR390"),
.VAR116(16),
.VAR233(0.0),
.VAR91(10.000),
.VAR204(10),
.VAR144(0.5),
.VAR372(0.0),
.VAR46(40),
.VAR200(0.5),
.VAR52(0.0),
.VAR18(5),
.VAR373(0.5),
.VAR177(0.0),
.VAR11(100),
.VAR350(0.5),
.VAR393(0.0),
.VAR151(12),
.VAR47(0.5),
.VAR167(0.0),
.VAR175(1),
.VAR176(0.0),
.VAR74("VAR132")
) VAR77 (
.VAR265(VAR95),
.VAR248(VAR245),
.VAR28(VAR318),
.VAR299(VAR243),
.VAR55(VAR249),
.VAR383(),
.VAR306(VAR172),
.VAR213(VAR258),
.VAR374(VAR252),
.VAR260(0),
.VAR10(!VAR264),
.VAR244(VAR172)
);
wire VAR275, VAR152, VAR199, VAR211, VAR387;
VAR216 VAR298 (.VAR223(VAR275), .VAR111(VAR95));
VAR216 VAR90 (.VAR223(VAR152), .VAR111(VAR245));
VAR216 VAR4 (.VAR223(VAR199), .VAR111(VAR318));
VAR216 VAR293 (.VAR223(VAR211), .VAR111(VAR243));
VAR216 VAR118 (.VAR223(VAR387), .VAR111(VAR249));
wire VAR112, VAR380, VAR75;
VAR216 VAR194(.VAR223(VAR112), .VAR111(VAR99));
VAR216 VAR15(.VAR223(VAR380), .VAR111(VAR159));
VAR216 VAR278(.VAR223(VAR75), .VAR111(VAR38));
assign VAR10 = !VAR264 | !VAR213 | !VAR258;
wire VAR174;
wire VAR62;
wire [7:0] VAR133;
wire VAR102;
wire VAR162;
wire VAR120;
wire VAR315;
wire VAR124;
wire [7:0] VAR210;
wire VAR42;
wire VAR226;
wire VAR242;
wire VAR238;
wire VAR92;
wire VAR269;
wire [1:0] VAR375;
wire VAR241;
VAR56 VAR44
(
.VAR367(VAR367),
.VAR93(VAR93),
.VAR329(VAR329),
.VAR190(VAR190),
.VAR5(VAR5),
.VAR261(VAR133), .VAR3(VAR62),
.VAR40(VAR102),
.VAR191(VAR120),
.VAR143(VAR162),
.VAR109(VAR210), .VAR340(VAR124), .VAR239(VAR42),
.VAR138(VAR269),
.VAR14(VAR375),
.VAR86(VAR241),
.VAR259(VAR112), .VAR319(VAR380), .VAR43(VAR75),
.reset(!VAR354)
);
VAR71 VAR76(
.VAR223(VAR242),
.VAR24(VAR103),
.VAR111(VAR238),
.VAR280(VAR92));
wire VAR389, VAR17, VAR117;
wire VAR161;
wire VAR344, VAR287, VAR338;
wire [7:0] VAR127, VAR37;
wire [31:0] VAR236;
wire VAR179;
wire [7:0] VAR49;
wire [15:0] VAR336;
wire VAR128;
wire VAR129;
wire VAR185;
wire VAR309;
wire [7:0] VAR361;
wire [7:0] VAR139;
VAR71 VAR187 [7:0] (
.VAR223(VAR139),
.VAR24(VAR136),
.VAR111({8'b11110000}),
.VAR280({8'b00001111})
);
wire [7:0] VAR153;
assign VAR153 = {4'b0, VAR139[3], VAR139[2], VAR139[1], VAR139[0]};
VAR303 VAR234(
.VAR379(VAR387), .VAR10(VAR10), .VAR94(1'b0), .VAR51({8'd192, 8'd168, 8'd10 + VAR153, 8'd11}), .VAR222(16'd24), .VAR381(16'd4660), .VAR73(5'd3), .VAR389(VAR389), .VAR17(VAR17), .VAR117(VAR117), .VAR68(1'b0), .VAR279(), .VAR173(), .VAR78(), .VAR180(), .VAR357(VAR354), .VAR263(1'b1), .VAR163(VAR112), .VAR227(VAR62), .VAR96(VAR133), .VAR57(VAR102), .VAR304(VAR75), .VAR288(VAR124), .VAR231(VAR210), .VAR166(VAR42), .VAR290(VAR162), .VAR101(VAR120), .VAR273(VAR343), .VAR145(VAR242), .VAR100(VAR238), .VAR156(VAR92), .VAR129(VAR129), .VAR67(1'b0), .VAR370(), .VAR192(), .VAR161(VAR161), .VAR221(VAR161), .VAR336(VAR336), .VAR179(VAR179), .VAR49(VAR49), .VAR185(VAR185), .VAR309(VAR309), .VAR361(VAR361), .VAR344(VAR344), .VAR236(VAR236), .VAR127(VAR127), .VAR287(VAR287), .VAR338(VAR338), .VAR128(VAR128), .VAR37(VAR37) );
wire VAR363, VAR251, VAR270;
wire [31:0] VAR201;
wire [7:0] VAR214;
wire VAR80;
assign VAR270 = VAR129;
VAR146 VAR123(
.VAR270(VAR270),
.VAR387(VAR387),
.VAR336(VAR336),
.VAR179(VAR179),
.VAR49(VAR49),
.VAR344(VAR344),
.VAR236(VAR236),
.VAR127(VAR127),
.VAR287(VAR287),
.VAR338(VAR338),
.VAR128(VAR128),
.VAR37(VAR37),
.VAR363(VAR363),
.VAR251(VAR251),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR80(VAR80)
);
localparam VAR83 = 32'h0000;
localparam VAR125 = 32'h8000-1;
localparam VAR195 = 32'h40000;
localparam VAR219 = 32'h40100-1;
localparam VAR150 = 32'h41000;
localparam VAR81 = 32'h41100-1;
localparam VAR35 = 32'h41fff;
localparam VAR104 = 32'h420ff-1;
localparam VAR247 = 32'h430ef;
localparam VAR197 = 32'h631ef-1;
wire [47:0] VAR147;
VAR1
.VAR115(VAR247),
.VAR353(VAR197),
.VAR23(48),
.VAR19(48'hffffffffffff),
.VAR141(48'h000000000000),
.VAR9(32)
) VAR182
(
.VAR387(VAR387),
.VAR270(VAR270),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR251(VAR251),
.VAR363(VAR363),
.VAR24(VAR147)
);
wire [4:0] VAR171;
wire [4:0] VAR317;
wire [4:0] VAR225 [4:0];
wire [2:0] VAR157;
assign VAR317[0] = VAR147[7];
assign VAR171[0] = VAR147[6];
assign VAR225[0] = VAR147[4:0];
assign VAR317[1] = VAR147[7+1*8];
assign VAR171[1] = VAR147[6+1*8];
assign VAR225[1] = VAR147[4+1*8:0+1*8];
assign VAR317[2] = VAR147[7+2*8];
assign VAR171[2] = VAR147[6+2*8];
assign VAR225[2] = VAR147[4+2*8:0+2*8];
assign VAR317[3] = VAR147[7+3*8];
assign VAR171[3] = VAR147[6+3*8];
assign VAR225[3] = VAR147[4+3*8:0+3*8];
assign VAR317[4] = VAR147[7+4*8];
assign VAR171[4] = VAR147[6+4*8];
assign VAR225[4] = VAR147[4+4*8:0+4*8];
assign VAR157 = VAR147[42:40];
wire [7:0] VAR365, VAR292;
wire [7:0] VAR352; wire [7:0] VAR271; wire [7:0] VAR339;
wire [7:0] VAR332;
wire [7:0] VAR20;
assign VAR20 = VAR332;
wire [7:0] VAR106;
reg [7:0] VAR170;
reg VAR88;
always@(posedge VAR199)
VAR170 <= {VAR170[6:0],VAR152};
always@(posedge VAR199)
VAR88 <= VAR170[VAR157];
wire VAR215;
genvar VAR345;
generate
for (VAR345 = 0; VAR345 < 8; VAR345 = VAR345 + 1) begin: VAR300
VAR335 #(
.VAR115(VAR83+32'h8000*VAR345),
.VAR353(VAR125+32'h8000*VAR345),
.VAR9(32),
.VAR250(1)
) VAR85 (
.VAR387(VAR387),
.VAR270(VAR270),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR251(VAR251),
.VAR363(VAR363),
.VAR107(VAR152),
.VAR267(VAR292[VAR345]),
.VAR365(VAR365[VAR345]),
.VAR20(VAR215 ? VAR20[0] : VAR20[VAR345]),
.VAR240(VAR106[VAR345]),
.VAR271(VAR271[VAR345]),
.VAR339(VAR339[VAR345])
);
VAR255 #(
.VAR308("VAR316"),
.VAR54("VAR391")
) VAR114 (
.VAR223(VAR220[VAR345]),
.VAR206(VAR164[VAR345]),
.VAR111(VAR88)
);
VAR255 #(
.VAR308("VAR316"),
.VAR54("VAR391")
) VAR356 (
.VAR223(VAR333[VAR345]),
.VAR206(VAR142[VAR345]),
.VAR111(VAR365[VAR345])
);
end
endgenerate
wire [7:0] VAR364; reg [7:0] VAR122;
always @ (posedge VAR152)
begin
VAR122 <= VAR271;
end
assign VAR364 = VAR271 & ~VAR122;
reg VAR388;
always @ (posedge VAR152)
begin
if (!VAR215)
VAR388 <= 1'b1;
end
else
VAR388 <= ~|(~VAR271 & VAR106);
end
wire VAR310;
reg VAR330;
always @ (posedge VAR152)
begin
VAR330 <= VAR388;
end
assign VAR310 = VAR388 & ~VAR330;
wire [7:0] VAR217, VAR302;
VAR7 #(
.VAR277(8)
) VAR193 (
.VAR379(VAR152),
.VAR282(VAR217),
.VAR33(VAR302)
);
wire [7:0] VAR87, VAR72;
VAR7 #(
.VAR277(8)
) VAR84 (
.VAR379(VAR152),
.VAR282(VAR87),
.VAR33(VAR72)
);
parameter VAR256 = 64;
reg VAR296;
reg VAR382;
integer VAR321;
always @(posedge VAR152)
begin
VAR296 <= VAR296;
VAR382 <= VAR382;
VAR321 <= VAR321;
if (!VAR215)
begin
VAR296 <= 1'b0;
VAR382 <= 1'b1;
VAR321 <= 0;
end
else if (VAR296 == 1'b0 && VAR310 == 1'b1)
begin
VAR296 <= 1'b1;
VAR382 <= 1'b0;
VAR321 <= 0;
end
else if (VAR296 == 1'b1 && |(~VAR72 & VAR302))
begin
VAR321 <= 0;
end
else if (VAR296 == 1'b1 && VAR321 == VAR256)
begin
VAR296 <= 1'b0;
VAR382 <= 1'b1;
end
else if (VAR296 == 1'b1 && &(VAR72 | ~VAR302))
begin
VAR321 <= VAR321 + 1'b1;
end
end
wire VAR36;
reg VAR268;
always @ (posedge VAR152)
begin
VAR268 <= VAR382;
end
assign VAR36 = VAR382 & ~VAR268;
reg VAR274 [7:0];
reg VAR178 [7:0];
integer VAR368 [7:0];
wire VAR154 [7:0];
reg VAR70 [7:0];
wire [7:0] VAR110;
wire [7:0] VAR291;
wire [31:0] VAR41 [7:0];
wire [7:0] VAR2;
wire [31:0] VAR126 [7:0];
wire [7:0] VAR198;
wire [7:0] VAR12, VAR358, VAR284, VAR203;
wire VAR97;
wire VAR348, VAR276;
wire [7:0] VAR8, VAR45;
wire [8:0] VAR253 [7:0];
assign VAR337 = VAR348;
assign VAR297[1] = VAR337; assign VAR105 = VAR276;
assign VAR297[0] = VAR105;
genvar VAR377;
generate
for (VAR377 = 1; VAR377 < 8; VAR377 = VAR377 + 1) begin: VAR58
always @(posedge VAR152)
begin
if (~VAR106[VAR377] || ~VAR8[VAR377])
begin
VAR274[VAR377] <= 1'b0;
VAR178[VAR377] <= 1'b1;
VAR368[VAR377] <= 0;
end
else if (VAR274[VAR377] == 1'b0 && VAR364[VAR377] == 1'b1)
begin
VAR274[VAR377] <= 1'b1;
VAR178[VAR377] <= 1'b0;
VAR368[VAR377] <= 0;
end
else if (VAR274[VAR377] == 1'b1 && |(~VAR72[VAR377] & VAR302[VAR377]))
begin
VAR368[VAR377] <= 0;
end
else if (VAR274[VAR377] == 1'b1 && VAR368[VAR377] == VAR256)
begin
VAR274[VAR377] <= 1'b0;
VAR178[VAR377] <= 1'b1;
end
else if (VAR274[VAR377] == 1'b1 && &(VAR72[VAR377] | ~VAR302[VAR377]))
begin
VAR368[VAR377] <= VAR368[VAR377] + 1'b1;
end
end
always @ (posedge VAR152)
begin
VAR70[VAR377] <= VAR178[VAR377];
end
assign VAR154[VAR377] = VAR178[VAR377] & ~VAR70[VAR377];
VAR224 #(
.VAR115(VAR195+32'h0100*VAR377),
.VAR353(VAR219+32'h0100*VAR377),
.VAR378(8),
.VAR9(32),
.VAR277(9),
.VAR311(32)
) VAR119 (
.VAR387(VAR387),
.VAR270(VAR270),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR251(VAR251),
.VAR363(VAR363),
.VAR295(VAR152),
.VAR137(VAR110[VAR377]),
.VAR235(VAR291[VAR377]),
.VAR257(VAR41[VAR377]),
.VAR218(VAR2[VAR377]),
.VAR8(VAR8[VAR377]),
.VAR253(VAR253[VAR377]),
.VAR45(VAR45[VAR377]),
.VAR61({VAR198, VAR27[0]}),
.VAR53({VAR203, VAR97}),
.VAR32(1'b0),
.VAR106(VAR215 ? 1'b0 : VAR106[VAR377]),
.VAR131(VAR215 ? 1'b0 : VAR154[VAR377]),
.VAR332(VAR332[VAR377]),
.VAR272(1'b0),
.VAR189(1'b0),
.VAR348(),
.VAR276(),
.VAR184(),
.VAR126(VAR126[VAR377])
);
end
endgenerate
always @(posedge VAR152)
begin
if (~VAR106[0] || ~VAR8[0])
begin
VAR274[0] <= 1'b0;
VAR178[0] <= 1'b1;
VAR368[0] <= 0;
end
else if (VAR274[0] == 1'b0 && VAR364[0] == 1'b1)
begin
VAR274[0] <= 1'b1;
VAR178[0] <= 1'b0;
VAR368[0] <= 0;
end
else if (VAR274[0] == 1'b1 && |(~VAR72[0] & VAR302[0]))
begin
VAR368[0] <= 0;
end
else if (VAR274[0] == 1'b1 && VAR368[0] == VAR256)
begin
VAR274[0] <= 1'b0;
VAR178[0] <= 1'b1;
end
else if (VAR274[0] == 1'b1 && &(VAR72[0] | ~VAR302[0]))
begin
VAR368[0] <= VAR368[0] + 1;
end
end
always @ (posedge VAR152)
begin
VAR70[0] <= VAR178[0];
end
assign VAR154[0] = VAR178[0] & ~VAR70[0];
VAR224 #(
.VAR115(VAR195),
.VAR353(VAR219),
.VAR378(8),
.VAR9(32),
.VAR277(9),
.VAR311(32)
) VAR108 (
.VAR387(VAR387),
.VAR270(VAR270),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR251(VAR251),
.VAR363(VAR363),
.VAR295(VAR152),
.VAR137(VAR110[0]),
.VAR235(VAR291[0]),
.VAR257(VAR41[0]),
.VAR218(VAR2[0]),
.VAR8(VAR8[0]),
.VAR253(VAR253[0]),
.VAR45(VAR45[0]),
.VAR61({VAR198, VAR27[0]}),
.VAR53({VAR203, VAR97}),
.VAR32(1'b0),
.VAR106(VAR215 ? |VAR106 : VAR106[0]),
.VAR131(VAR215 ? VAR36 : VAR154[0]),
.VAR332(VAR332[0]),
.VAR272(VAR149),
.VAR189(VAR246),
.VAR348(VAR348),
.VAR276(VAR276),
.VAR184(),
.VAR126(VAR126[0])
);
assign VAR215 = (VAR8 == 1);
wire [7:0] VAR135;
wire [31:0] VAR386 [7:0];
wire [7:0] VAR327;
wire [7:0] VAR289;
wire [31:0] VAR205 [7:0];
genvar VAR26;
generate
for (VAR26 = 0; VAR26 < 8; VAR26 = VAR26 + 1) begin: VAR349
wire VAR64;
reg VAR34;
VAR140 #(
.VAR115(VAR150+32'h0100*VAR26),
.VAR353(VAR81+32'h0100*VAR26),
.VAR347(10),
.VAR13(VAR26),
.VAR9(32)
) VAR307 (
.VAR323(VAR275),
.VAR232(VAR199),
.VAR230(VAR211),
.VAR30(VAR34),
.VAR12(VAR12[VAR26]),
.VAR358(VAR358[VAR26]),
.VAR284(VAR284[VAR26]),
.VAR209(1'b0),
.VAR137(VAR135[VAR26]),
.VAR235(VAR87[VAR26]),
.VAR257(VAR386[VAR26]),
.VAR203(VAR203[VAR26]),
.VAR217(VAR217[VAR26]),
.VAR387(VAR387),
.VAR270(VAR270),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR251(VAR251),
.VAR363(VAR363)
);
VAR262 #(
.VAR237("VAR351"),
.VAR394("VAR132"),
.VAR308("VAR316")
) VAR186 (
.VAR223(VAR64),
.VAR111(VAR294[VAR26]),
.VAR229(VAR130[VAR26])
);
always@(*) VAR34 = VAR64;
end
endgenerate
wire [7:0] VAR228;
genvar VAR158;
generate
for (VAR158 = 0; VAR158 < 7; VAR158 = VAR158 + 1) begin: VAR376
VAR196 #(
.VAR115(VAR35+32'h0100*VAR158),
.VAR353(VAR104+32'h0100*VAR158),
.VAR9(32),
.VAR69(4),
.VAR13(4'b0001 + VAR158),
.VAR29(1),
.VAR89(0)
) VAR181 (
.VAR199(VAR199),
.VAR275(VAR275),
.VAR266(VAR152),
.VAR334(VAR228[VAR158]),
.VAR198(VAR198[VAR158]),
.VAR360(1'b0),
.VAR286(),
.VAR160(),
.VAR312(),
.VAR137(VAR327[VAR158]),
.VAR235(VAR289[VAR158]),
.VAR257(VAR205[VAR158]),
.VAR387(VAR387),
.VAR270(VAR270),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR251(VAR251),
.VAR363(VAR363),
.VAR324(VAR339[VAR158]), .VAR98(1'b0),
.VAR126(VAR126[VAR158][15:0])
);
VAR262 #(
.VAR237("VAR351"),
.VAR394("VAR132"),
.VAR308("VAR316")
) VAR63 (
.VAR223(VAR228[VAR158]),
.VAR111(VAR25[VAR158]),
.VAR229(VAR188[VAR158])
);
end
endgenerate
VAR196 #(
.VAR115(VAR35+32'h0100*7),
.VAR353(VAR104+32'h0100*7),
.VAR9(32),
.VAR69(4),
.VAR13(4'b0001),
.VAR29(1),
.VAR89(0)
) VAR212 (
.VAR199(VAR199),
.VAR275(VAR275),
.VAR266(VAR152),
.VAR334(VAR228[7]),
.VAR198(VAR198[7]),
.VAR360(1'b0),
.VAR286(),
.VAR160(),
.VAR312(),
.VAR137(VAR327[7]),
.VAR235(VAR289[7]),
.VAR257(VAR205[7]),
.VAR387(VAR387),
.VAR270(VAR270),
.VAR201(VAR201),
.VAR214(VAR214),
.VAR251(VAR251),
.VAR363(VAR363),
.VAR324(VAR339[7]), .VAR98(1'b0),
.VAR126(VAR126[7][15:0])
);
VAR262 #(
.VAR237("VAR351"),
.VAR394("VAR132"),
.VAR308("VAR316")
) VAR305 (
.VAR223(VAR228[7]),
.VAR111(VAR25[7]),
.VAR229(VAR188[7])
);
wire VAR346, VAR22;
wire [31:0] VAR155;
wire [23:0] VAR6;
VAR254 #(
.VAR277(24)
) VAR369 (
.VAR10(VAR270),
.VAR379(VAR387),
.VAR134({~VAR289, ~VAR87, ~VAR291}),
.VAR384({16'b0, VAR2}),
.VAR320({VAR205[7], VAR205[6], VAR205[5], VAR205[4], VAR205[3], VAR205[2], VAR205[1], VAR205[0], VAR386[7], VAR386[6], VAR386[5], VAR386[4], VAR386[3], VAR386[2], VAR386[1], VAR386[0], VAR41[7], VAR41[6], VAR41[5], VAR41[4], VAR41[3], VAR41[2], VAR41[1], VAR41[0]}),
.VAR6(VAR6),
.VAR202(VAR346),
.VAR31(VAR22),
.VAR341(VAR155)
);
assign VAR110 = VAR6[7:0];
assign VAR135 = VAR6[15:8];
assign VAR327 = VAR6[23:16];
wire [31:0] VAR314;
wire VAR168, VAR207;
wire VAR235;
VAR359 #(.VAR347(32), .VAR331(3)) VAR385
(
.VAR50(VAR314),
.VAR21(VAR97),
.VAR322(VAR207),
.VAR148(VAR155),
.VAR82(VAR22), .VAR121(VAR387), .VAR65(VAR270),
.VAR60(!VAR168), .VAR48(VAR387), .VAR281(VAR270)
);
assign VAR346 = !VAR97;
VAR301 #(.VAR66(256*1024)) VAR371 (
.VAR10(VAR270),
.VAR379(VAR387),
.VAR325(!VAR207),
.VAR342(VAR309),
.VAR320(VAR314),
.VAR362(VAR168),
.VAR113(VAR235),
.VAR341(VAR361)
);
assign VAR309 = !VAR185 && !VAR235;
wire VAR169;
VAR355 #(
.VAR378(40000000)
) VAR39 (
.VAR379(VAR152),
.VAR79(1'b0),
.VAR285(),
.VAR208(VAR169)
);
wire VAR16;
VAR355 #(
.VAR378(13333333)
) VAR366 (
.VAR379(VAR152),
.VAR79(1'b0),
.VAR285(),
.VAR208(VAR16)
);
reg [7:0] VAR326;
wire [7:0] VAR283;
always @ (posedge VAR152)
begin
VAR326 <= VAR302;
end
assign VAR283 = VAR302 & ~VAR326;
reg [7:0] VAR328;
always @ (posedge VAR152)
begin
if (|VAR283)
VAR328 <= VAR302;
end
else
VAR328 <= VAR328;
end
assign VAR392[7:4] = 4'hf;
assign VAR392[0] = ~((VAR169 | VAR97) & VAR213 & VAR258);
assign VAR392[1] = ~(((|(~VAR12 & VAR328) || |(VAR358 & VAR328))? VAR16 : VAR169) | (|(VAR284 & VAR328)) | (|(VAR203 & VAR328)));
assign VAR392[2] = 1'b1;
assign VAR392[3] = 1'b1;
endmodule | bsd-3-clause |
parallella/oh | common/hdl/oh_fifo_cdc.v | 2,240 | module MODULE1 # (parameter VAR3 = 104, parameter VAR26 = 32, parameter VAR4 = "VAR25" )
(
input VAR14, input VAR15, input VAR9, input [VAR3-1:0] VAR7, output VAR20, input VAR28, output reg VAR10, output [VAR3-1:0] VAR5, input VAR22, output VAR8, output VAR16, output VAR23 );
wire VAR1;
wire VAR2;
VAR17 #(.VAR4(VAR4),
.VAR3(VAR3),
.VAR26(VAR26))
VAR13 (.VAR8 (VAR8),
.VAR16 (VAR16),
.VAR11 (),
.VAR14 (VAR14),
.dout (VAR5[VAR3-1:0]),
.VAR23 (VAR23),
.VAR12 (VAR15),
.VAR24 (VAR28),
.VAR1 (VAR1),
.din (VAR7[VAR3-1:0]),
.VAR2 (VAR2));
assign VAR1 = VAR9;
assign VAR2 = ~VAR23 & VAR22;
assign VAR20 = ~VAR8;
VAR21 VAR27(.VAR6 (VAR18),
.clk (VAR28),
.VAR19 (VAR14));
always @ (posedge VAR28 or negedge VAR18)
if(!VAR18)
VAR10 <= 1'b0;
else if(VAR22)
VAR10 <= VAR2;
endmodule | mit |
ShepardSiegel/ocpi | rtl/mkWciTarget.v | 25,292 | module MODULE1(VAR61,
VAR22,
VAR144,
VAR43,
VAR123,
VAR95,
VAR94,
VAR131,
VAR160,
VAR91,
VAR133,
VAR138);
input VAR61;
input VAR22;
input [2 : 0] VAR144;
input VAR43;
input [3 : 0] VAR123;
input [31 : 0] VAR95;
input [31 : 0] VAR94;
output [1 : 0] VAR131;
output [31 : 0] VAR160;
output VAR91;
output [1 : 0] VAR133;
input [1 : 0] VAR138;
wire [31 : 0] VAR160;
wire [1 : 0] VAR133, VAR131;
wire VAR91;
wire [71 : 0] VAR125;
wire [33 : 0] VAR51;
wire [31 : 0] VAR2, VAR15;
wire [3 : 0] VAR74;
wire [2 : 0] VAR77, VAR80;
wire VAR155,
VAR3,
VAR117,
VAR53,
VAR55,
VAR60,
VAR126,
VAR88,
VAR135,
VAR44,
VAR150,
VAR26,
VAR23,
VAR72,
VAR65,
VAR24,
VAR161,
VAR79,
VAR143,
VAR153,
VAR127,
VAR114;
reg [31 : 0] VAR49;
wire [31 : 0] VAR47;
wire VAR57;
reg [31 : 0] VAR145;
wire [31 : 0] VAR112;
wire VAR32;
reg VAR73;
wire VAR139, VAR45;
reg [2 : 0] VAR78;
wire [2 : 0] VAR85;
wire VAR115;
reg [2 : 0] VAR54;
wire [2 : 0] VAR52;
wire VAR40;
reg VAR162;
wire VAR140, VAR86;
reg VAR151;
wire VAR98, VAR97;
reg VAR81;
wire VAR7, VAR10;
reg VAR129;
wire VAR128, VAR76;
reg [2 : 0] VAR103;
reg [2 : 0] VAR63;
wire VAR136;
reg [1 : 0] VAR30;
wire [1 : 0] VAR66;
wire VAR164;
reg [1 : 0] VAR17;
wire [1 : 0] VAR134;
wire VAR147;
reg [33 : 0] VAR1;
reg [33 : 0] VAR121;
wire VAR18;
reg [33 : 0] VAR46;
reg [33 : 0] VAR109;
wire VAR99;
reg VAR101;
wire VAR110, VAR28;
reg VAR36;
wire VAR12, VAR152;
wire [71 : 0] VAR105, VAR111;
wire VAR5, VAR38, VAR6, VAR156;
wire VAR20,
VAR124,
VAR116,
VAR41,
VAR64,
VAR158,
VAR92,
VAR113,
VAR71;
reg [33 : 0] VAR56;
wire [33 : 0] VAR9,
VAR102,
VAR148,
VAR27;
wire [1 : 0] VAR146;
wire VAR141,
VAR130,
VAR39,
VAR149,
VAR29,
VAR107,
VAR33;
reg [63 : 0] VAR37, VAR62, VAR87, VAR132, VAR13, VAR75;
reg [31 : 0] VAR122;
wire [1 : 0] VAR137;
wire VAR69, VAR157;
assign VAR131 = VAR1[33:32] ;
assign VAR160 = VAR1[31:0] ;
assign VAR91 =
VAR30 > 2'd1 || VAR129 ;
assign VAR133 = { 1'd1, VAR101 } ;
VAR50 #(.VAR35(32'd72),
.VAR14(32'd3),
.VAR118(32'd1),
.VAR120(32'd1)) VAR11(.VAR19(VAR22),
.VAR154(VAR61),
.VAR84(VAR105),
.VAR31(VAR156),
.VAR106(VAR38),
.VAR96(VAR5),
.VAR58(VAR111),
.VAR163(),
.VAR68(VAR6));
assign VAR20 = VAR54 == 3'd2 && !VAR73 ;
assign VAR116 =
VAR17 != 2'd2 && VAR6 &&
VAR65 ;
assign VAR124 =
VAR17 != 2'd2 && VAR6 &&
VAR72 &&
!VAR116 ;
assign VAR64 =
VAR6 && VAR24 &&
!VAR41 &&
!VAR124 &&
!VAR116 ;
assign VAR158 =
VAR24 && VAR64 &&
VAR54 == 3'd0 &&
VAR111[36:34] == 3'd0 ;
assign VAR41 =
VAR17 != 2'd2 && VAR151 &&
VAR162 &&
!VAR124 &&
!VAR116 ;
assign VAR71 =
VAR88 && VAR126 &&
!(VAR17 != 2'd0) ;
assign VAR113 =
VAR17 != 2'd0 && !VAR126 ;
assign VAR92 =
VAR88 && VAR17 != 2'd0 &&
VAR126 ;
assign VAR141 =
VAR41 && VAR81 ;
assign VAR130 =
VAR64 &&
(VAR111[36:34] == 3'd0 && VAR54 != 3'd0 ||
VAR111[36:34] == 3'd1 && VAR54 != 3'd1 &&
VAR54 != 3'd3 ||
VAR111[36:34] == 3'd2 && VAR54 != 3'd2 ||
VAR111[36:34] == 3'd3 && VAR54 != 3'd3 &&
VAR54 != 3'd2 &&
VAR54 != 3'd1 ||
VAR111[36:34] == 3'd4 ||
VAR111[36:34] == 3'd5 ||
VAR111[36:34] == 3'd6 ||
VAR111[36:34] == 3'd7) ;
assign VAR149 =
VAR92 && VAR157 ;
assign VAR29 =
VAR71 && VAR17 == 2'd0 ;
assign VAR107 =
VAR92 && VAR69 ;
assign VAR33 =
VAR71 && VAR17 == 2'd1 ;
assign VAR39 =
VAR111[36:34] != 3'd4 &&
VAR111[36:34] != 3'd5 &&
VAR111[36:34] != 3'd6 ;
assign VAR146 = VAR17 + 2'd1 ;
assign VAR9 =
(VAR17 == 2'd1) ?
VAR56 :
VAR46 ;
always@(VAR41 or
VAR148 or
VAR124 or
VAR27 or VAR116)
begin
case (1'b1) VAR41:
VAR56 =
VAR148;
VAR124:
VAR56 =
VAR27;
VAR116:
VAR56 = 34'h1C0DE4201;
default: VAR56 =
34'h2AAAAAAAA ;
endcase
end
assign VAR102 =
(VAR17 == 2'd2) ?
VAR56 :
34'h0AAAAAAAA ;
assign VAR148 =
VAR81 ? 34'h3C0DE4202 : 34'h1C0DE4201 ;
assign VAR27 =
{ 2'd1, VAR122 } ;
assign VAR125 =
{ VAR144,
VAR43,
VAR123,
VAR95,
VAR94 } ;
assign VAR23 = 1'd1 ;
assign VAR51 = VAR56 ;
assign VAR88 =
VAR41 ||
VAR124 ||
VAR116 ;
assign VAR77 = VAR111[36:34] ;
assign VAR26 = VAR64 ;
assign VAR135 = 1'b0 ;
assign VAR44 = 1'b0 ;
assign VAR155 = 1'd1 ;
assign VAR3 =
VAR24 && VAR64 &&
VAR54 == 3'd2 &&
VAR111[36:34] == 3'd3 ||
VAR24 && VAR64 &&
VAR54 == 3'd1 &&
VAR111[36:34] == 3'd1 ||
VAR158 ;
assign VAR80 = VAR144 ;
assign VAR127 = 1'd1 ;
assign VAR161 = VAR43 ;
assign VAR79 = 1'd1 ;
assign VAR74 = VAR123 ;
assign VAR153 = 1'd1 ;
assign VAR2 = VAR95 ;
assign VAR143 = 1'd1 ;
assign VAR15 = VAR94 ;
assign VAR114 = 1'd1 ;
assign VAR55 = VAR125[71:69] != 3'd0 ;
assign VAR53 =
VAR124 || VAR116 ||
VAR64 ;
assign VAR117 = 1'b0 ;
assign VAR126 =
VAR124 || VAR116 ||
VAR41 ;
assign VAR60 = VAR17 != 2'd0 ;
assign VAR150 = 1'b0 ;
assign VAR65 =
VAR6 && VAR111[68] &&
VAR111[71:69] == 3'd1 ;
assign VAR72 =
VAR6 && VAR111[68] &&
VAR111[71:69] == 3'd2 ;
assign VAR24 =
VAR6 && !VAR111[68] &&
VAR111[71:69] == 3'd2 ;
assign VAR47 =
VAR158 ? 32'd0 : VAR111[31:0] ;
assign VAR57 =
VAR116 && VAR111[39:32] == 8'h0 ||
VAR158 ;
assign VAR112 =
VAR158 ? 32'd0 : VAR111[31:0] ;
assign VAR32 =
VAR116 && VAR111[39:32] == 8'h04 ||
VAR158 ;
assign VAR139 = 1'd1 ;
assign VAR45 = VAR20 ;
assign VAR85 = VAR111[36:34] ;
assign VAR115 = VAR64 ;
assign VAR52 = VAR103 ;
assign VAR40 =
VAR41 && !VAR81 ;
assign VAR140 = VAR3 ;
assign VAR86 = 1'd1 ;
assign VAR98 = !VAR41 ;
assign VAR97 =
VAR41 ||
VAR64 ;
assign VAR7 =
!VAR141 &&
VAR39 ;
assign VAR10 =
VAR41 && VAR81 ||
VAR130 ;
assign VAR128 = 1'd0 ;
assign VAR76 = VAR129 ;
always@(VAR111)
begin
case (VAR111[36:34])
3'd0: VAR63 = 3'd1;
3'd1: VAR63 = 3'd2;
3'd2: VAR63 = 3'd3;
default: VAR63 = 3'd0;
endcase
end
assign VAR136 =
VAR64 &&
(VAR111[36:34] == 3'd0 && VAR54 == 3'd0 ||
VAR111[36:34] == 3'd1 &&
(VAR54 == 3'd1 || VAR54 == 3'd3) ||
VAR111[36:34] == 3'd2 && VAR54 == 3'd2 ||
VAR111[36:34] == 3'd3 &&
(VAR54 == 3'd3 || VAR54 == 3'd2 ||
VAR54 == 3'd1)) ;
assign VAR66 =
(VAR125[71:69] != 3'd0) ?
VAR30 + 2'd1 :
VAR30 - 2'd1 ;
assign VAR164 =
(VAR125[71:69] != 3'd0) != VAR53 ;
assign VAR134 =
VAR113 ?
VAR137 :
VAR146 ;
assign VAR147 =
VAR113 ||
VAR71 ;
always@(VAR149 or
VAR9 or
VAR29 or
VAR56 or
VAR113 or VAR46)
begin
case (1'b1) VAR149:
VAR121 = VAR9;
VAR29:
VAR121 = VAR56;
VAR113:
VAR121 = VAR46;
default: VAR121 = 34'h2AAAAAAAA ;
endcase
end
assign VAR18 =
VAR92 && VAR157 ||
VAR71 &&
VAR17 == 2'd0 ||
VAR113 ;
always@(VAR107 or
VAR102 or
VAR33 or
VAR56 or
VAR113)
begin
case (1'b1) VAR107:
VAR109 = VAR102;
VAR33:
VAR109 = VAR56;
VAR113: VAR109 = 34'h0AAAAAAAA;
default: VAR109 = 34'h2AAAAAAAA ;
endcase
end
assign VAR99 =
VAR92 && VAR69 ||
VAR71 &&
VAR17 == 2'd1 ||
VAR113 ;
assign VAR110 = 1'b0 ;
assign VAR28 = 1'd1 ;
assign VAR12 = 1'b0 ;
assign VAR152 = 1'd1 ;
assign VAR105 = VAR125 ;
assign VAR156 = VAR125[71:69] != 3'd0 ;
assign VAR38 = VAR53 ;
assign VAR5 = 1'b0 ;
assign VAR69 =
VAR17 != 2'd2 ||
VAR137 == 2'd1 ;
assign VAR157 =
VAR17 != 2'd1 ||
VAR137 == 2'd0 ;
assign VAR137 = VAR17 - 2'd1 ;
always@(VAR111 or VAR49 or VAR145)
begin
case (VAR111[39:32])
8'h0: VAR122 = VAR49;
8'h04: VAR122 = VAR145;
default: VAR122 = 32'd0;
endcase
end
always@(posedge VAR61)
begin
if (VAR22 == VAR67)
begin
VAR73 <= VAR93 1'd0;
VAR78 <= VAR93 3'h2;
VAR54 <= VAR93 3'd0;
VAR162 <= VAR93 1'd0;
VAR151 <= VAR93 1'd0;
VAR81 <= VAR93 1'd0;
VAR103 <= VAR93 3'd0;
VAR30 <= VAR93 2'd0;
VAR17 <= VAR93 2'd0;
VAR1 <= VAR93 34'h0AAAAAAAA;
VAR46 <= VAR93 34'h0AAAAAAAA;
VAR101 <= VAR93 1'd0;
VAR36 <= VAR93 1'd1;
end
else
begin
if (VAR45) VAR73 <= VAR93 VAR139;
if (VAR115)
VAR78 <= VAR93 VAR85;
if (VAR40)
VAR54 <= VAR93 VAR52;
if (VAR86)
VAR162 <= VAR93 VAR140;
if (VAR97)
VAR151 <= VAR93 VAR98;
if (VAR10)
VAR81 <= VAR93 VAR7;
if (VAR136)
VAR103 <= VAR93 VAR63;
if (VAR164)
VAR30 <= VAR93
VAR66;
if (VAR147)
VAR17 <= VAR93
VAR134;
if (VAR18)
VAR1 <= VAR93 VAR121;
if (VAR99)
VAR46 <= VAR93 VAR109;
if (VAR28)
VAR101 <= VAR93 VAR110;
if (VAR152)
VAR36 <= VAR93
VAR12;
end
if (VAR57) VAR49 <= VAR93 VAR47;
if (VAR32) VAR145 <= VAR93 VAR112;
end
always@(posedge VAR61 or VAR8 VAR22)
if (VAR22 == VAR67)
begin
VAR129 <= VAR93 1'd1;
end
else
begin
if (VAR76)
VAR129 <= VAR93
VAR128;
end
begin
VAR49 = 32'hAAAAAAAA;
VAR145 = 32'hAAAAAAAA;
VAR73 = 1'h0;
VAR78 = 3'h2;
VAR54 = 3'h2;
VAR162 = 1'h0;
VAR151 = 1'h0;
VAR81 = 1'h0;
VAR129 = 1'h0;
VAR103 = 3'h2;
VAR30 = 2'h2;
VAR17 = 2'h2;
VAR1 = 34'h2AAAAAAAA;
VAR46 = 34'h2AAAAAAAA;
VAR101 = 1'h0;
VAR36 = 1'h0;
end
always@(negedge VAR61)
begin
if (VAR22 != VAR67)
if (VAR20)
begin
VAR132 =
end
if (VAR22 != VAR67)
if (VAR20)
if (VAR22 != VAR67)
if (VAR116)
begin
VAR13 =
end
if (VAR22 != VAR67)
if (VAR116)
VAR89("[%0d]: %VAR82: VAR108 VAR21 VAR70 VAR104 VAR25:%0x VAR34:%0x VAR59:%0x",
VAR13,
VAR111[63:32],
VAR111[67:64],
VAR111[31:0]);
if (VAR22 != VAR67)
if (VAR124)
begin
VAR75 =
end
if (VAR22 != VAR67)
if (VAR124)
VAR89("[%0d]: %VAR82: VAR108 VAR21 VAR70 VAR159 VAR25:%0x VAR34:%0x VAR59:%0x",
VAR75,
VAR111[63:32],
VAR111[67:64],
VAR122);
if (VAR22 != VAR67)
if (VAR64)
begin
VAR37 =
end
if (VAR22 != VAR67)
if (VAR64)
VAR89("[%0d]: %VAR82: VAR108 VAR100: VAR42-VAR16 edge:%VAR4 VAR48:%VAR4",
VAR37,
VAR111[36:34],
VAR54);
if (VAR22 != VAR67)
if (VAR41 && VAR81)
begin
VAR87 =
end
if (VAR22 != VAR67)
if (VAR41 && VAR81)
VAR89("[%0d]: %VAR82: VAR108 VAR100: VAR90-VAR83 VAR119-VAR16 edge:%VAR4 VAR48:%VAR4",
VAR87,
VAR78,
VAR54);
if (VAR22 != VAR67)
if (VAR41 && !VAR81)
begin
VAR62 =
end
if (VAR22 != VAR67)
if (VAR41 && !VAR81)
VAR89("[%0d]: %VAR82: VAR108 VAR100: VAR119-VAR16 edge:%VAR4 VAR48:%VAR4 VAR142:%VAR4",
VAR62,
VAR78,
VAR54,
VAR103);
end
endmodule | lgpl-3.0 |
mgohde/MiniMicroII | pipeBlockedU.v | 1,840 | module MODULE1(
VAR4,
VAR9,
VAR5,
VAR3,
VAR8,
VAR1
);
input [15:0] VAR4;
input [15:0] VAR9;
input [15:0] VAR5;
input [15:0] VAR3;
input [15:0] VAR8;
output VAR1;
wire [6:0] o1;
wire [6:0] o2;
wire [6:0] o3;
wire [6:0] o4;
wire [6:0] o5;
assign o1=VAR4[15:9];
assign o2=VAR9[15:9];
assign o3=VAR5[15:9];
assign o4=VAR3[15:9];
assign o5=VAR8[15:9];
wire VAR7, VAR6, VAR10, VAR2, VAR11;
assign VAR7=(o1>41 && o1<46) || (o1>50 && o1<55) || o1==60 || o1==61;assign VAR6=(o2>41 && o2<46) || (o2>50 && o2<55) || o2==60 || o2==61 || o2==41; assign VAR10=(o3>41 && o3<46) || (o3>50 && o3<55) || o3==60 || o3==61 || o3==41;
assign VAR2=(o4>41 && o4<46) || (o4>50 && o4<55) || o4==60 || o4==61;assign VAR11=(o5==44 || o5==45);
assign VAR1=VAR7||VAR6||VAR10||VAR2||VAR11;
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/xor3/sky130_fd_sc_hdll__xor3.functional.pp.v | 1,863 | module MODULE1 (
VAR9 ,
VAR12 ,
VAR10 ,
VAR13 ,
VAR3,
VAR5,
VAR7 ,
VAR14
);
output VAR9 ;
input VAR12 ;
input VAR10 ;
input VAR13 ;
input VAR3;
input VAR5;
input VAR7 ;
input VAR14 ;
wire VAR1 ;
wire VAR8;
xor VAR2 (VAR1 , VAR12, VAR10, VAR13 );
VAR6 VAR11 (VAR8, VAR1, VAR3, VAR5);
buf VAR4 (VAR9 , VAR8 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/o22ai/sky130_fd_sc_hs__o22ai.functional.v | 2,051 | module MODULE1 (
VAR8,
VAR7,
VAR2 ,
VAR17 ,
VAR11 ,
VAR3 ,
VAR10
);
input VAR8;
input VAR7;
output VAR2 ;
input VAR17 ;
input VAR11 ;
input VAR3 ;
input VAR10 ;
wire VAR10 VAR5 ;
wire VAR10 VAR12 ;
wire VAR4 ;
wire VAR9;
nor VAR13 (VAR5 , VAR3, VAR10 );
nor VAR16 (VAR12 , VAR17, VAR11 );
or VAR14 (VAR4 , VAR12, VAR5 );
VAR15 VAR6 (VAR9, VAR4, VAR8, VAR7);
buf VAR1 (VAR2 , VAR9 );
endmodule | apache-2.0 |
subailong/miaow | src/verilog/rtl/fetch/pc_block.v | 10,578 | module MODULE1 (
VAR129,
VAR26,
wr,
VAR9,
VAR19,
VAR25,
clk,
rst
);
parameter VAR28 = 32;
input [31:0] VAR129;
input [5:0] VAR26;
input wr;
input clk, rst;
input VAR9;
input [5:0] VAR19;
output [32:0]VAR25;
wire write;
wire [31:0] VAR48;
wire [32:0] VAR17, VAR40;
wire [32:0] VAR56, VAR11;
wire [32:0] VAR52, VAR4;
wire [32:0] VAR22, VAR36;
wire [32:0] VAR3, VAR76;
wire [32:0] VAR29, VAR77;
wire [32:0] VAR59, VAR13;
wire [32:0] VAR42, VAR16;
wire [32:0] VAR80, VAR100;
wire [32:0] VAR130, VAR101;
wire [32:0] VAR104, VAR113;
wire [32:0] VAR119, VAR37;
wire [32:0] VAR122, VAR53;
wire [32:0] VAR125, VAR15;
wire [32:0] VAR31, VAR10;
wire [32:0] VAR12, VAR61;
wire [32:0] VAR54, VAR30;
wire [32:0] VAR49, VAR83;
wire [32:0] VAR117, VAR106;
wire [32:0] VAR107, VAR94;
wire [32:0] VAR103, VAR68;
wire [32:0] VAR50, VAR21;
wire [32:0] VAR18, VAR93;
wire [32:0] VAR55, VAR63;
wire [32:0] VAR1, VAR92;
wire [32:0] VAR34, VAR6;
wire [32:0] VAR67, VAR60;
wire [32:0] VAR24, VAR69;
wire [32:0] VAR96, VAR62;
wire [32:0] VAR105, VAR43;
wire [32:0] VAR90, VAR5;
wire [32:0] VAR81, VAR71;
wire [32:0] VAR102, VAR14;
wire [32:0] VAR118, VAR78;
wire [32:0] VAR70, VAR97;
wire [32:0] VAR89, VAR87;
wire [32:0] VAR72, VAR127;
wire [32:0] VAR64, VAR115;
wire [32:0] VAR23, VAR2;
wire [32:0] VAR32, VAR120;
assign write = 1'b1;
VAR121 #(33) VAR98 (VAR17, write, VAR40, clk, rst);
VAR121 #(33) VAR73 (VAR56, write, VAR11, clk, rst);
VAR121 #(33) VAR116 (VAR52, write, VAR4, clk, rst);
VAR121 #(33) VAR99 (VAR22, write, VAR36, clk, rst);
VAR121 #(33) VAR41 (VAR3, write, VAR76, clk, rst);
VAR121 #(33) VAR75 (VAR29, write, VAR77, clk, rst);
VAR121 #(33) VAR95 (VAR59, write, VAR13, clk, rst);
VAR121 #(33) VAR111 (VAR42, write, VAR16, clk, rst);
VAR121 #(33) VAR58 (VAR80, write, VAR100, clk, rst);
VAR121 #(33) VAR112 (VAR130, write, VAR101, clk, rst);
VAR121 #(33) VAR123 (VAR104, write, VAR113, clk, rst);
VAR121 #(33) VAR128 (VAR119, write, VAR37, clk, rst);
VAR121 #(33) VAR65 (VAR122, write, VAR53, clk, rst);
VAR121 #(33) VAR47 (VAR125, write, VAR15, clk, rst);
VAR121 #(33) VAR39 (VAR31, write, VAR10, clk, rst);
VAR121 #(33) VAR88 (VAR12, write, VAR61, clk, rst);
VAR121 #(33) VAR126 (VAR54, write, VAR30, clk, rst);
VAR121 #(33) VAR109 (VAR49, write, VAR83, clk, rst);
VAR121 #(33) VAR91 (VAR117, write, VAR106, clk, rst);
VAR121 #(33) VAR86 (VAR107, write, VAR94, clk, rst);
VAR121 #(33) VAR79 (VAR103, write, VAR68, clk, rst);
VAR121 #(33) VAR114 (VAR50, write, VAR21, clk, rst);
VAR121 #(33) VAR45 (VAR18, write, VAR93, clk, rst);
VAR121 #(33) VAR7 (VAR55, write, VAR63, clk, rst);
VAR121 #(33) VAR57 (VAR1, write, VAR92, clk, rst);
VAR121 #(33) VAR74 (VAR34, write, VAR6, clk, rst);
VAR121 #(33) VAR85 (VAR67, write, VAR60, clk, rst);
VAR121 #(33) VAR46 (VAR24, write, VAR69, clk, rst);
VAR121 #(33) VAR110 (VAR96, write, VAR62, clk, rst);
VAR121 #(33) VAR82 (VAR105, write, VAR43, clk, rst);
VAR121 #(33) VAR20 (VAR90, write, VAR5, clk, rst);
VAR121 #(33) VAR108 (VAR81, write, VAR71, clk, rst);
VAR121 #(33) VAR33 (VAR102, write, VAR14, clk, rst);
VAR121 #(33) VAR44 (VAR118, write, VAR78, clk, rst);
VAR121 #(33) VAR38 (VAR70, write, VAR97, clk, rst);
VAR121 #(33) VAR8 (VAR89, write, VAR87, clk, rst);
VAR121 #(33) VAR84 (VAR72, write, VAR127, clk, rst);
VAR121 #(33) VAR66 (VAR64, write, VAR115, clk, rst);
VAR121 #(33) VAR124 (VAR23, write, VAR2, clk, rst);
VAR121 #(33) VAR51 (VAR32, write, VAR120, clk, rst);
VAR27 VAR35(VAR25[31:0],VAR48);
assign VAR25 = (VAR19==6'd0)?VAR40:
(VAR19==6'd1)?VAR11:
(VAR19==6'd2)?VAR4:
(VAR19==6'd3)?VAR36:
(VAR19==6'd4)?VAR76:
(VAR19==6'd5)?VAR77:
(VAR19==6'd6)?VAR13:
(VAR19==6'd7)?VAR16:
(VAR19==6'd8)?VAR100:
(VAR19==6'd9)?VAR101:
(VAR19==6'd10)?VAR113:
(VAR19==6'd11)?VAR37:
(VAR19==6'd12)?VAR53:
(VAR19==6'd13)?VAR15:
(VAR19==6'd14)?VAR10:
(VAR19==6'd15)?VAR61:
(VAR19==6'd16)?VAR30:
(VAR19==6'd17)?VAR83:
(VAR19==6'd18)?VAR106:
(VAR19==6'd19)?VAR94:
(VAR19==6'd20)?VAR68:
(VAR19==6'd21)?VAR21:
(VAR19==6'd22)?VAR93:
(VAR19==6'd23)?VAR63:
(VAR19==6'd24)?VAR92:
(VAR19==6'd25)?VAR6:
(VAR19==6'd26)?VAR60:
(VAR19==6'd27)?VAR69:
(VAR19==6'd28)?VAR62:
(VAR19==6'd29)?VAR43:
(VAR19==6'd30)?VAR5:
(VAR19==6'd31)?VAR71:
(VAR19==6'd32)?VAR14:
(VAR19==6'd33)?VAR78:
(VAR19==6'd34)?VAR97:
(VAR19==6'd35)?VAR87:
(VAR19==6'd36)?VAR127:
(VAR19==6'd37)?VAR115:
(VAR19==6'd38)?VAR2:
(VAR19==6'd39)?VAR120:
33'd0;
assign VAR17=(wr & (VAR26==6'd0))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd0))?{1'b0,VAR48}:VAR40;
assign VAR56=(wr & (VAR26==6'd1))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd1))?{1'b0,VAR48}:VAR11;
assign VAR52=(wr & (VAR26==6'd2))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd2))?{1'b0,VAR48}:VAR4;
assign VAR22=(wr & (VAR26==6'd3))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd3))?{1'b0,VAR48}:VAR36;
assign VAR3=(wr & (VAR26==6'd4))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd4))?{1'b0,VAR48}:VAR76;
assign VAR29=(wr & (VAR26==6'd5))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd5))?{1'b0,VAR48}:VAR77;
assign VAR59=(wr & (VAR26==6'd6))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd6))?{1'b0,VAR48}:VAR13;
assign VAR42=(wr & (VAR26==6'd7))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd7))?{1'b0,VAR48}:VAR16;
assign VAR80=(wr & (VAR26==6'd8))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd8))?{1'b0,VAR48}:VAR100;
assign VAR130=(wr & (VAR26==6'd9))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd9))?{1'b0,VAR48}:VAR101;
assign VAR104=(wr & (VAR26==6'd10))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd10))?{1'b0,VAR48}:VAR113;
assign VAR119=(wr & (VAR26==6'd11))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd11))?{1'b0,VAR48}:VAR37;
assign VAR122=(wr & (VAR26==6'd12))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd12))?{1'b0,VAR48}:VAR53;
assign VAR125=(wr & (VAR26==6'd13))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd13))?{1'b0,VAR48}:VAR15;
assign VAR31=(wr & (VAR26==6'd14))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd14))?{1'b0,VAR48}:VAR10;
assign VAR12=(wr & (VAR26==6'd15))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd15))?{1'b0,VAR48}:VAR61;
assign VAR54=(wr & (VAR26==6'd16))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd16))?{1'b0,VAR48}:VAR30;
assign VAR49=(wr & (VAR26==6'd17))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd17))?{1'b0,VAR48}:VAR83;
assign VAR117=(wr & (VAR26==6'd18))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd18))?{1'b0,VAR48}:VAR106;
assign VAR107=(wr & (VAR26==6'd19))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd19))?{1'b0,VAR48}:VAR94;
assign VAR103=(wr & (VAR26==6'd20))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd20))?{1'b0,VAR48}:VAR68;
assign VAR50=(wr & (VAR26==6'd21))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd21))?{1'b0,VAR48}:VAR21;
assign VAR18=(wr & (VAR26==6'd22))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd22))?{1'b0,VAR48}:VAR93;
assign VAR55=(wr & (VAR26==6'd23))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd23))?{1'b0,VAR48}:VAR63;
assign VAR1=(wr & (VAR26==6'd24))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd24))?{1'b0,VAR48}:VAR92;
assign VAR34=(wr & (VAR26==6'd25))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd25))?{1'b0,VAR48}:VAR6;
assign VAR67=(wr & (VAR26==6'd26))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd26))?{1'b0,VAR48}:VAR60;
assign VAR24=(wr & (VAR26==6'd27))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd27))?{1'b0,VAR48}:VAR69;
assign VAR96=(wr & (VAR26==6'd28))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd28))?{1'b0,VAR48}:VAR62;
assign VAR105=(wr & (VAR26==6'd29))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd29))?{1'b0,VAR48}:VAR43;
assign VAR90=(wr & (VAR26==6'd30))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd30))?{1'b0,VAR48}:VAR5;
assign VAR81=(wr & (VAR26==6'd31))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd31))?{1'b0,VAR48}:VAR71;
assign VAR102=(wr & (VAR26==6'd32))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd32))?{1'b0,VAR48}:VAR14;
assign VAR118=(wr & (VAR26==6'd33))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd33))?{1'b0,VAR48}:VAR78;
assign VAR70=(wr & (VAR26==6'd34))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd34))?{1'b0,VAR48}:VAR97;
assign VAR89=(wr & (VAR26==6'd35))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd35))?{1'b0,VAR48}:VAR87;
assign VAR72=(wr & (VAR26==6'd36))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd36))?{1'b0,VAR48}:VAR127;
assign VAR64=(wr & (VAR26==6'd37))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd37))?{1'b0,VAR48}:VAR115;
assign VAR23=(wr & (VAR26==6'd38))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd38))?{1'b0,VAR48}:VAR2;
assign VAR32=(wr & (VAR26==6'd39))? {1'b1,VAR129} :(VAR9 & (VAR19==6'd39))?{1'b0,VAR48}:VAR120;
endmodule | bsd-3-clause |
TalentlessAlpaca/Automated_Vacuum_Cleaner | Position/Rot_tst.v | 1,438 | module MODULE1;
reg clk;
reg rst;
reg enable;
reg [15:0] VAR8;
reg [15:0] VAR11;
reg [15:0] VAR4;
reg [15:0] VAR2;
reg [15:0] VAR1;
wire [31:0] VAR7;
wire [31:0] VAR3;
wire [31:0] VAR6;
wire VAR10;
VAR5 VAR9 (
.clk(clk),
.rst(rst),
.enable(enable),
.VAR8(VAR8),
.VAR11(VAR11),
.VAR4(VAR4),
.VAR2(VAR2),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR3(VAR3),
.VAR6(VAR6),
.VAR10(VAR10)
); | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dlrtp/sky130_fd_sc_hs__dlrtp.symbol.v | 1,392 | module MODULE1 (
input VAR3 ,
output VAR2 ,
input VAR5,
input VAR1
);
supply1 VAR6;
supply0 VAR4;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/dfxbp/sky130_fd_sc_ms__dfxbp.blackbox.v | 1,295 | module MODULE1 (
VAR4 ,
VAR7,
VAR1,
VAR3
);
output VAR4 ;
output VAR7;
input VAR1;
input VAR3 ;
supply1 VAR2;
supply0 VAR6;
supply1 VAR5 ;
supply0 VAR8 ;
endmodule | apache-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/common/ad_ss_444to422.v | 5,110 | module MODULE1 (
clk,
VAR7,
VAR21,
VAR3,
VAR6,
VAR15);
parameter VAR13 = 0;
parameter VAR10 = 16;
localparam VAR4 = VAR10 - 1;
input clk;
input VAR7;
input [VAR4:0] VAR21;
input [23:0] VAR3;
output [VAR4:0] VAR6;
output [15:0] VAR15;
reg VAR14 = 'd0;
reg [VAR4:0] VAR17 = 'd0;
reg [23:0] VAR12 = 'd0;
reg VAR16 = 'd0;
reg [VAR4:0] VAR2 = 'd0;
reg [23:0] VAR9 = 'd0;
reg VAR19 = 'd0;
reg [VAR4:0] VAR18 = 'd0;
reg [23:0] VAR1 = 'd0;
reg [ 7:0] VAR20 = 'd0;
reg [ 7:0] VAR11 = 'd0;
reg VAR22 = 'd0;
reg [VAR4:0] VAR6 = 'd0;
reg [15:0] VAR15 = 'd0;
wire [ 9:0] VAR5;
wire [ 9:0] VAR8;
always @(posedge clk) begin
VAR14 <= VAR7;
VAR17 <= VAR21;
if (VAR7 == 1'b1) begin
VAR12 <= VAR3;
end
VAR16 <= VAR14;
VAR2 <= VAR17;
if (VAR14 == 1'b1) begin
VAR9 <= VAR12;
end
VAR19 <= VAR16;
VAR18 <= VAR2;
if (VAR16 == 1'b1) begin
VAR1 <= VAR9;
end
end
assign VAR5 = {2'd0, VAR12[23:16]} +
{2'd0, VAR1[23:16]} +
{1'd0, VAR9[23:16], 1'd0};
assign VAR8 = {2'd0, VAR12[7:0]} +
{2'd0, VAR1[7:0]} +
{1'd0, VAR9[7:0], 1'd0};
always @(posedge clk) begin
VAR20 <= VAR5[9:2];
VAR11 <= VAR8[9:2];
if (VAR19 == 1'b1) begin
VAR22 <= ~VAR22;
end else begin
VAR22 <= VAR13;
end
end
always @(posedge clk) begin
VAR6 <= VAR18;
if (VAR19 == 1'b0) begin
VAR15 <= 'd0;
end else if (VAR22 == 1'b1) begin
VAR15 <= {VAR20, VAR1[15:8]};
end else begin
VAR15 <= {VAR11, VAR1[15:8]};
end
end
endmodule | gpl-3.0 |
fbalakirev/red-pitaya-notes | cores/axis_bram_reader_v1_0/axis_bram_reader.v | 3,421 | module MODULE1 #
(
parameter integer VAR17 = 32,
parameter integer VAR18 = 32,
parameter integer VAR20 = 10,
parameter VAR5 = "VAR13"
)
(
input wire VAR19,
input wire VAR29,
input wire [VAR20-1:0] VAR12,
output wire [VAR20-1:0] VAR14,
input wire VAR23,
output wire [VAR17-1:0] VAR22,
output wire VAR15,
output wire VAR30,
input wire VAR9,
output wire VAR11,
output wire VAR21,
output wire VAR1,
output wire [VAR20-1:0] VAR3,
input wire [VAR18-1:0] VAR16
);
reg [VAR20-1:0] VAR26, VAR31;
reg [VAR20-1:0] VAR28;
reg VAR25, VAR7;
reg VAR10, VAR24;
wire [VAR20-1:0] VAR6;
wire VAR2, VAR27;
always @(posedge VAR19)
begin
if(~VAR29)
begin
VAR26 <= {(VAR20){1'b0}};
VAR28 <= {(VAR20){1'b0}};
VAR25 <= 1'b0;
VAR10 <= 1'b0;
end
else
begin
VAR26 <= VAR31;
VAR28 <= VAR12;
VAR25 <= VAR7;
VAR10 <= VAR24;
end
end
assign VAR6 = VAR26 + 1'b1;
assign VAR2 = VAR26 < VAR28;
assign VAR27 = ~VAR2;
generate
if(VAR5 == "VAR8")
begin : VAR5
always @*
begin
VAR31 = VAR26;
VAR7 = VAR25;
if(~VAR25 & VAR2)
begin
VAR7 = 1'b1;
end
if(VAR23 & VAR25 & VAR2)
begin
VAR31 = VAR6;
end
if(VAR23 & VAR25 & VAR27)
begin
VAR31 = {(VAR20){1'b0}};
end
end
end
else
begin : VAR4
always @*
begin
VAR31 = VAR26;
VAR7 = VAR25;
VAR24 = VAR10;
if(~VAR25 & VAR2)
begin
VAR7 = 1'b1;
end
if(VAR23 & VAR25 & VAR2)
begin
VAR31 = VAR6;
end
if(VAR23 & VAR25 & VAR27)
begin
VAR7 = 1'b0;
VAR24 = 1'b1;
end
if(VAR10 & VAR9)
begin
VAR24 = 1'b0;
end
end
end
endgenerate
assign VAR14 = VAR26;
assign VAR22 = VAR16;
assign VAR15 = VAR25;
assign VAR30 = VAR25 & VAR27;
assign VAR11 = VAR10;
assign VAR21 = VAR19;
assign VAR1 = ~VAR29;
assign VAR3 = VAR23 & VAR25 ? VAR31 : VAR26;
endmodule | mit |
Elphel/x353 | compressor/csconvert18.v | 30,070 | module MODULE1(VAR105,
VAR107,
VAR29,
VAR17, VAR22, VAR28, din,
VAR43,
VAR34, VAR55, VAR67,
VAR35,
VAR12,
VAR31,
VAR104,
VAR102,
VAR25,
VAR57);
input VAR105; input VAR107; input VAR29; input VAR17;
input [ 9:0] VAR22; input [ 9:0] VAR28; input [ 7:0] din; input VAR43; output [7:0] VAR34; output [8:0] VAR55; output [7:0] VAR67; output VAR35; output [6:0] VAR12; output VAR31; output VAR104;
input [1:0] VAR102;
output [7:0] VAR25;
output [7:0] VAR57;
wire [7:0] din;
wire VAR62,VAR66;
wire [9:0] VAR22;
wire [9:0] VAR28;
reg [7:0] VAR67; reg VAR35; reg [6:0] VAR12; reg VAR31; assign VAR104=VAR62;
reg VAR63; reg VAR113; reg VAR92; reg VAR13, VAR23;
reg [7:0] VAR25;
reg [7:0] VAR57;
wire [1:0] VAR88;
wire VAR20;
reg [7:0] VAR34;
VAR37 VAR78 (.VAR10(VAR88[0]), .VAR95(VAR43), .VAR107(VAR107), .VAR48(1'b1), .VAR38(1'b1), .VAR50(1'b1), .VAR81(1'b1)); VAR37 VAR49 (.VAR10(VAR88[1]), .VAR95(VAR88[0]), .VAR107(VAR107), .VAR48(1'b1), .VAR38(1'b1), .VAR50(1'b1), .VAR81(1'b1)); VAR37 VAR58 (.VAR10(VAR20), .VAR95(VAR88[1]), .VAR107(VAR107), .VAR48(1'b0), .VAR38(1'b0), .VAR50(1'b1), .VAR81(1'b0));
VAR37 VAR77 (.VAR10(VAR62), .VAR95(VAR20), .VAR107(VAR107), .VAR48(1'b1), .VAR38(1'b0), .VAR50(1'b1), .VAR81(1'b0)); VAR37 VAR39(.VAR10(VAR66),.VAR95(!VAR105 && VAR35 && (VAR67[3:0]==4'hf) && (VAR67[7:4]!=4'hf)), .VAR107(VAR107), .VAR48(1'b1), .VAR38(1'b0), .VAR50(1'b0), .VAR81(1'b0));
always @ (posedge VAR107) begin
VAR35 <= !VAR105 && (VAR62 || VAR66 || (VAR35 && (VAR67[3:0]!=4'hf)));
VAR67[7:4] <= (VAR105 || VAR20)? 4'h0: (VAR66?(VAR67[7:4]+1):VAR67[7:4]);
VAR67[3:0] <= VAR35? (VAR67[3:0]+1):4'h0;
VAR63 <= VAR105 || VAR20 || ~VAR63;
if (VAR105 || VAR20) VAR113 <= 1'b0;
end
else if (VAR67[3:0]==4'hd) VAR113 <= ~VAR113;
if (VAR105 || VAR20) VAR92 <=VAR102[1]^VAR102[0];
else VAR92 <=~(VAR67[3:0]==4'hd)^VAR92;
end
reg [7:0] VAR79;
reg [7:0] VAR56;
reg [7:0] VAR80;
reg [7:0] VAR53;
wire [8:0] VAR70;
always @ (posedge VAR107) VAR79 <= din[7:0];
always @ (posedge VAR107) VAR56 <= VAR79[7:0];
always @ (posedge VAR105 or posedge VAR107) if (VAR105) VAR80 <= 8'b0; else VAR80 <= VAR56[7:0]; assign VAR70[8:0]={1'b0,VAR80}+{1'b0,VAR79};
always @ (posedge VAR107) VAR53 <=VAR70[8:1]; reg [17:0] VAR72,VAR24,VAR114,VAR112,VAR74,VAR54,VAR15,VAR110;
reg [7:0] VAR8;
reg [7:0] VAR6;
reg [15:0] VAR4,VAR89,VAR3,VAR71,VAR30,VAR33,VAR93,VAR86;
reg [17:0] VAR1,VAR46,VAR85,VAR69,VAR64,VAR36,VAR7,VAR42;
reg [17:0] VAR9,VAR83,VAR94,VAR106,VAR14,VAR41,VAR61,VAR103;
wire [7:0] VAR52;
wire [7:0] VAR100;
wire [7:0] VAR99;
wire [7:0] VAR65;
assign VAR52={VAR110[17],VAR15[17],VAR54[17],VAR74[17],VAR112[17],VAR114[17],VAR24[17],VAR72[17]};
assign VAR100={VAR86[15],VAR93[15],VAR33[15],VAR30[15],VAR71[15],VAR3[15],VAR89[15],VAR4[15]};
assign VAR99={VAR42[17],VAR7[17],VAR36[17],VAR64[17],VAR69[17],VAR85[17],VAR46[17],VAR1[17]};
assign VAR65={VAR103[17],VAR61[17],VAR41[17],VAR14[17],VAR106[17],VAR94[17],VAR83[17],VAR9[17]};
always @ (posedge VAR107) VAR72 <= {VAR72[16:0],VAR80[0]};
always @ (posedge VAR107) VAR24 <= {VAR24[16:0],VAR80[1]};
always @ (posedge VAR107) VAR114 <= {VAR114[16:0],VAR80[2]};
always @ (posedge VAR107) VAR112 <= {VAR112[16:0],VAR80[3]};
always @ (posedge VAR107) VAR74 <= {VAR74[16:0],VAR80[4]};
always @ (posedge VAR107) VAR54 <= {VAR54[16:0],VAR80[5]};
always @ (posedge VAR107) VAR15 <= {VAR15[16:0],VAR80[6]};
always @ (posedge VAR107) VAR110 <= {VAR110[16:0],VAR80[7]};
always @ (posedge VAR107) VAR8[7:0] <= VAR52[7:0];
always @ (posedge VAR107) VAR6[7:0] <= VAR8[7:0];
always @ (posedge VAR107) VAR4 <= {VAR4[14:0],VAR6[0]};
always @ (posedge VAR107) VAR89 <= {VAR89[14:0],VAR6[1]};
always @ (posedge VAR107) VAR3 <= {VAR3[14:0],VAR6[2]};
always @ (posedge VAR107) VAR71 <= {VAR71[14:0],VAR6[3]};
always @ (posedge VAR107) VAR30 <= {VAR30[14:0],VAR6[4]};
always @ (posedge VAR107) VAR33 <= {VAR33[14:0],VAR6[5]};
always @ (posedge VAR107) VAR93 <= {VAR93[14:0],VAR6[6]};
always @ (posedge VAR107) VAR86 <= {VAR86[14:0],VAR6[7]};
always @ (posedge VAR107) VAR1 <= {VAR1[16:0],VAR53[0]};
always @ (posedge VAR107) VAR46 <= {VAR46[16:0],VAR53[1]};
always @ (posedge VAR107) VAR85 <= {VAR85[16:0],VAR53[2]};
always @ (posedge VAR107) VAR69 <= {VAR69[16:0],VAR53[3]};
always @ (posedge VAR107) VAR64 <= {VAR64[16:0],VAR53[4]};
always @ (posedge VAR107) VAR36 <= {VAR36[16:0],VAR53[5]};
always @ (posedge VAR107) VAR7 <= {VAR7[16:0],VAR53[6]};
always @ (posedge VAR107) VAR42 <= {VAR42[16:0],VAR53[7]};
always @ (posedge VAR107) VAR9 <= {VAR9[16:0],VAR1[17]};
always @ (posedge VAR107) VAR83 <= {VAR83[16:0],VAR46[17]};
always @ (posedge VAR107) VAR94 <= {VAR94[16:0],VAR85[17]};
always @ (posedge VAR107) VAR106 <= {VAR106[16:0],VAR69[17]};
always @ (posedge VAR107) VAR14 <= {VAR14[16:0],VAR64[17]};
always @ (posedge VAR107) VAR41 <= {VAR41[16:0],VAR36[17]};
always @ (posedge VAR107) VAR61 <= {VAR61[16:0],VAR7[17]};
always @ (posedge VAR107) VAR103 <= {VAR103[16:0],VAR42[17]};
wire [7:0] VAR82= VAR100[7:0];
wire [7:0] VAR116= VAR80[7:0];
wire [7:0] VAR5= VAR65[7:0];
wire [7:0] VAR91= VAR53[7:0];
reg [7:0] VAR40;
reg [7:0] VAR21;
reg [7:0] VAR32;
wire [8:0] VAR11= {1'b0,VAR82[7:0]}+{1'b0,VAR116[7:0]}; wire [8:0] VAR44={1'b0,VAR99[7:0]}+{1'b0,VAR11[8:1]}; wire [8:0] VAR111= {1'b0,VAR5[7:0]}+{1'b0,VAR91[7:0]}; always @ (posedge VAR107) VAR40 <= VAR52[7:0];
always @ (posedge VAR107) VAR21 <= VAR92? VAR99[7:0] : VAR44[8:1];
always @ (posedge VAR107) VAR32 <= VAR92? VAR11[8:1] : VAR111[8:1];
reg [7:0] VAR45;
reg [7:0] VAR73;
reg [7:0] VAR60;
always @ (posedge VAR107) case ({VAR102[1:0],VAR113,VAR63})
4'b0000: begin
VAR45<=8'h96;
VAR73<=8'h4d;
VAR60<=8'h1d;
end
4'b0001: begin
VAR45<=8'h4d;
VAR73<=8'h96;
VAR60<=8'h1d;
end
4'b0010: begin
VAR45<=8'h1d;
VAR73<=8'h96;
VAR60<=8'h4d;
end
4'b0011: begin
VAR45<=8'h96;
VAR73<=8'h1d;
VAR60<=8'h4d;
end
4'b0100: begin
VAR45<=8'h4d;
VAR73<=8'h96;
VAR60<=8'h1d;
end
4'b0101: begin
VAR45<=8'h96;
VAR73<=8'h4d;
VAR60<=8'h1d;
end
4'b0110: begin
VAR45<=8'h96;
VAR73<=8'h1d;
VAR60<=8'h4d;
end
4'b0111: begin
VAR45<=8'h1d;
VAR73<=8'h96;
VAR60<=8'h4d;
end
4'b1000: begin
VAR45<=8'h1d;
VAR73<=8'h96;
VAR60<=8'h4d;
end
4'b1001: begin
VAR45<=8'h96;
VAR73<=8'h1d;
VAR60<=8'h4d;
end
4'b1010: begin
VAR45<=8'h96;
VAR73<=8'h4d;
VAR60<=8'h1d;
end
4'b1011: begin
VAR45<=8'h4d;
VAR73<=8'h96;
VAR60<=8'h1d;
end
4'b1100: begin
VAR45<=8'h96;
VAR73<=8'h1d;
VAR60<=8'h4d;
end
4'b1101: begin
VAR45<=8'h1d;
VAR73<=8'h96;
VAR60<=8'h4d;
end
4'b1110: begin
VAR45<=8'h4d;
VAR73<=8'h96;
VAR60<=8'h1d;
end
4'b1111: begin
VAR45<=8'h96;
VAR73<=8'h4d;
VAR60<=8'h1d;
end
endcase
wire [15:0] VAR19=VAR40[7:0]*VAR45[7:0];
wire [15:0] VAR76=VAR21[7:0]*VAR73[7:0];
wire [15:0] VAR90=VAR32[7:0]*VAR60[7:0];
reg [7:0] VAR108;
reg [7:0] VAR109; reg [15:0] VAR68,VAR47,VAR98;
wire [15:0] VAR51 =VAR68+VAR47+VAR98;
always @ (posedge VAR107) VAR109 <= VAR40;
always @ (posedge VAR107) VAR68 <= VAR19;
always @ (posedge VAR107) VAR47 <= VAR76;
always @ (posedge VAR107) VAR98 <= VAR90;
wire [7:0] VAR97= VAR29 ? VAR109 : (VAR51[15:8]+VAR51[7]);
always @ (posedge VAR107) VAR108[7:0] <= VAR97[7:0];
always @ (posedge VAR107) VAR34[7:0] <= {~VAR97[7], VAR97[6:0]};
reg [7:0] VAR75;
wire [9:0] VAR96; wire [17:0] VAR87;
reg [10:0] VAR115; reg [10:0] VAR59; reg VAR27; reg VAR84; reg VAR2; wire VAR18; reg VAR16; reg VAR101; always @ (posedge VAR107) begin
if (~(VAR35 || VAR62 || VAR66)) VAR27 <= ~(VAR102[1] ^ VAR102[0] ^ VAR113);
end
else VAR27 <= ~VAR27;
VAR2 <= ~VAR27;
VAR75 <= VAR27?VAR108[7:0]:VAR6[7:0];
VAR75 <= VAR27?VAR108[7:0]:VAR6[7:0];
if (~VAR35) VAR84 <= ~(VAR102[1] ^ VAR113);
end
assign VAR96=VAR84?VAR28:VAR22; assign VAR87=VAR75*VAR96;
always @ (posedge VAR107) begin
VAR115[10:0] <= VAR87[17:7];
VAR59[10:0] <= VAR2? (VAR59[10:0]-VAR115[10:0]+ 1'b1):VAR115[10:0];
end
assign VAR55[8:0]= ((VAR59[10]==VAR59[9]) && (!VAR17 || (VAR59[10]==VAR59[8])))? VAR59[9:1]: {VAR59[10],VAR17?VAR59[10]:(~VAR59[10]),{7{~VAR59[10]}}};
VAR37 VAR26 (.VAR95(VAR35 ), .VAR10(VAR18), .VAR48(1'b1), .VAR38(1'b0), .VAR50(1'b0), .VAR81(1'b0), .VAR107(VAR107)); always @ (posedge VAR107) begin
VAR16 <= VAR62;
VAR101 <= VAR66;
VAR31 <= VAR18 && VAR2;
VAR12[2:0]<= VAR18?(VAR12[2:0]+VAR31):3'b0;
if (VAR16) VAR12[6] <= ~VAR102[1];
end
else if (VAR101) VAR12[6] <= ~VAR12[6];
if (VAR16) VAR12[5:3] <=3'b0;
else if (VAR101) VAR12[5:3] <=(VAR102[1]^VAR12[6])? VAR12[5:3]:(VAR12[5:3]+1);
end
always @ (posedge VAR107) begin
VAR13 <= (VAR109[7:0] == 8'h0);
VAR23 <= (VAR109[7:0] == 8'hff);
if (VAR20) VAR25[7:0] <= 8'h0;
end
else if ((VAR25[7:0]!=8'hff) && VAR13 && VAR35) VAR25[7:0] <= VAR25[7:0]+1;
if (VAR20) VAR57[7:0] <= 8'h0;
else if ((VAR57[7:0]!=8'hff) && VAR23 && VAR35) VAR57[7:0] <= VAR57[7:0]+1;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_io | cells/top_gpio_ovtv2/sky130_fd_io__top_gpio_ovtv2.behavioral.pp.v | 50,218 | module MODULE1 ( VAR58, VAR30, VAR83, VAR86, VAR78,
VAR72, VAR59, VAR27, VAR37, VAR74,
VAR29, VAR63,VAR53, VAR60, VAR46, VAR43, VAR14, VAR21, VAR71, VAR24,
VAR75, VAR42, VAR80, VAR61, VAR88, VAR33, VAR64, VAR10, VAR40, VAR32,
VAR6, VAR38, VAR44, VAR20, VAR82, VAR68, VAR73, VAR48, VAR56, VAR13 );
input VAR82;
input VAR20;
input VAR32;
input VAR88;
input VAR33;
input VAR64;
input VAR10;
input VAR40;
input VAR44;
input VAR48;
input VAR56;
input VAR68;
input [1:0] VAR73;
input VAR6;
input VAR75;
input VAR80;
input VAR42;
input [2:0] VAR61;
input [1:0] VAR38;
input VAR13;
inout VAR60;
inout VAR46;
inout VAR53;
inout VAR29;
inout VAR24;
inout VAR63;
inout VAR43;
inout VAR14;
inout VAR71;
inout VAR21;
inout VAR59;
inout VAR74,VAR27,VAR37;
inout VAR78;
inout VAR72;
output VAR58;
output VAR30;
output VAR83, VAR86;
wire VAR76;
wire VAR18;
reg [2:0] VAR35;
reg [1:0] VAR39;
reg VAR52, VAR51, VAR66, VAR45, VAR62, VAR28, VAR31, VAR54,VAR2, VAR34,VAR50;
reg [1:0] VAR36;
wire [2:0] VAR7;
wire [1:0] VAR15;
wire [1:0] VAR49;
wire VAR4, VAR81, VAR89, VAR11, VAR3, VAR5, VAR55;
wire [2:0] VAR25;
wire [1:0] VAR87;
wire [1:0] VAR57;
wire VAR84, VAR16, VAR12, VAR9, VAR69, VAR65, VAR79;
reg VAR19, VAR17, VAR23, VAR77, VAR67, VAR22, VAR8;
reg VAR85, VAR41, VAR70;
reg VAR26, VAR47, VAR1;
assign VAR18 = VAR76;
assign VAR65 = VAR5;
assign VAR25 = VAR7;
assign VAR12 = VAR89;
assign VAR16 = VAR81;
assign VAR84 = VAR4;
assign VAR69 = VAR3;
assign VAR9 = VAR11;
assign VAR57 = VAR49;
assign VAR87 = VAR15;
assign VAR79 = VAR55;
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin
begin
begin
begin
begin
end
begin | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a2111o/sky130_fd_sc_lp__a2111o_0.v | 2,448 | module MODULE2 (
VAR2 ,
VAR3 ,
VAR4 ,
VAR9 ,
VAR11 ,
VAR5 ,
VAR6,
VAR1,
VAR7 ,
VAR12
);
output VAR2 ;
input VAR3 ;
input VAR4 ;
input VAR9 ;
input VAR11 ;
input VAR5 ;
input VAR6;
input VAR1;
input VAR7 ;
input VAR12 ;
VAR8 VAR10 (
.VAR2(VAR2),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5),
.VAR6(VAR6),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR12(VAR12)
);
endmodule
module MODULE2 (
VAR2 ,
VAR3,
VAR4,
VAR9,
VAR11,
VAR5
);
output VAR2 ;
input VAR3;
input VAR4;
input VAR9;
input VAR11;
input VAR5;
supply1 VAR6;
supply0 VAR1;
supply1 VAR7 ;
supply0 VAR12 ;
VAR8 VAR10 (
.VAR2(VAR2),
.VAR3(VAR3),
.VAR4(VAR4),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
bigeagle/riffa | fpga/riffa_hdl/fifo.v | 7,418 | module MODULE1
parameter VAR28 = 32, parameter VAR4 = 1024, parameter VAR20 = 2
)
(
input VAR6, input VAR42,
input [VAR28-1:0] VAR2, input VAR7, output VAR31,
output [VAR28-1:0] VAR12, input VAR36, output VAR30 );
localparam VAR25 = 2**VAR26(VAR4);
localparam VAR16 = VAR19(VAR25);
wire [VAR20:0] VAR11;
wire VAR37;
wire VAR39;
wire VAR33;
wire VAR9;
wire VAR18;
wire VAR45;
wire VAR14;
wire VAR1;
wire VAR24;
wire VAR35;
wire VAR13;
reg VAR5;
reg [VAR16:0] VAR15,VAR15;
reg [VAR16:0] VAR22, VAR22;
reg [VAR16:0] VAR3,VAR3;
reg [VAR16:0] VAR44,VAR44;
reg VAR17,VAR17;
reg VAR8,VAR8;
assign VAR18 = ~VAR14 & ~VAR5; assign VAR45 = VAR36 & !VAR8; assign VAR33 = VAR18 | VAR45;
assign VAR9 = VAR36 & VAR5;
assign VAR39 = VAR7 & !VAR17;
assign VAR14 = (VAR3 == VAR15);
assign VAR1 = (VAR33 & ~VAR39 & (VAR15 == VAR44));
assign VAR35 = (VAR3[VAR16-1:0] == VAR15[VAR16-1:0]) &
(VAR15[VAR16] != VAR3[VAR16]);
assign VAR13 = VAR39 & ~VAR33 & (VAR22[VAR16-1:0] == VAR3[VAR16-1:0]) &
(VAR22[VAR16] != VAR3[VAR16]);
assign VAR30 = VAR5;
always @ (posedge VAR6) begin
VAR8 <= (VAR42 ? 1'd1 : VAR8);
end
always @ begin
if (VAR39) begin
VAR15 = VAR22;
VAR22 = VAR22 + 1'd1;
end else begin
VAR15 = VAR15;
VAR22 = VAR22;
end
end
always @ (posedge VAR6) begin
if (VAR42) begin
VAR3 <= 0;
VAR44 <= 1;
end else begin
VAR3 <= VAR3;
VAR44 <= VAR44;
end
end
always @ begin
VAR17 = VAR35 | VAR13;
end
VAR40
.VAR28(VAR28),
.VAR4(VAR25)
)
VAR27
(
.VAR32 (VAR39),
.VAR23 (VAR15[VAR16-1:0]),
.VAR29 (VAR2),
.VAR43 (VAR33),
.VAR10 (VAR3[VAR16-1:0]),
.VAR41 (VAR12),
.VAR6 (VAR6));
VAR38
.VAR4 (VAR20),
.VAR28 (1'b1)
)
VAR21
(
.VAR12 (VAR11),
.VAR34 (VAR42),
.VAR2 (VAR39),
.VAR6 (VAR6));
endmodule | bsd-3-clause |
parallella/oh | spi/hdl/axi_spi.v | 8,311 | module MODULE1(
VAR97, VAR38, VAR39, VAR19, VAR63,
VAR68, VAR32, VAR31, VAR60, VAR53,
VAR2, VAR83, VAR56, VAR1, VAR75,
VAR92,
VAR88, VAR66, VAR67, VAR26, VAR15,
VAR85, VAR65, VAR89, VAR76, VAR81,
VAR45, VAR42, VAR8, VAR25,
VAR100, VAR74, VAR54, VAR6, VAR10,
VAR27, VAR72, VAR91, VAR35,
VAR93, VAR58, VAR18, VAR13, VAR99,
VAR55, VAR48, VAR23, VAR70,
VAR46, VAR4
);
parameter VAR40 = 32; parameter VAR64 = 2*VAR40+40; parameter VAR69 = 12'h810; parameter VAR95 = 12;
input VAR46; input VAR4;
wire VAR57;
wire [VAR64-1:0] VAR51;
wire VAR62;
wire VAR21;
wire [VAR64-1:0] VAR33;
wire VAR17;
wire VAR79;
wire [VAR64-1:0] VAR28;
wire VAR82;
input [31:0] VAR70; input [1:0] VAR23; input [3:0] VAR48; input VAR55; input [VAR95-1:0] VAR99; input [7:0] VAR13; input VAR18; input [2:0] VAR58; input [3:0] VAR93; input [2:0] VAR35; input VAR91; input [31:0] VAR72; input [1:0] VAR27; input [3:0] VAR10; input [VAR95-1:0] VAR6; input [7:0] VAR54; input VAR74; input [2:0] VAR100; input [3:0] VAR25; input [2:0] VAR8; input VAR42; input VAR45; input VAR81; input [31:0] VAR76; input [VAR95-1:0] VAR89; input VAR65; input [3:0] VAR85; input VAR15; input VAR26; input VAR67; input VAR66; input VAR88;
output VAR92; output VAR75; output [VAR95-1:0] VAR1; output [1:0] VAR56; output VAR83; output [31:0] VAR2; output [VAR95-1:0] VAR53; output VAR60; output [1:0] VAR31; output VAR32; output VAR68; output VAR63; output VAR19; output VAR39; output VAR38; output VAR97;
wire VAR43;
wire VAR73;
wire [VAR64-1:0] VAR9;
wire VAR29;
wire [VAR64-1:0] VAR36;
wire VAR22;
VAR61 #(.VAR40(VAR40))
VAR61 (
.VAR52 (1'b1),
.VAR86 (VAR43),
.VAR49 (VAR73),
.VAR5 (VAR9[VAR64-1:0]),
.VAR47 (VAR46),
.clk (VAR4),
.VAR44 (VAR29),
.VAR14 (VAR36[VAR64-1:0]),
.VAR20 (VAR22),
.VAR63 (VAR63),
.VAR30 (VAR39), .VAR84 (VAR19), .VAR34 (VAR38), .VAR37 (VAR97), .VAR77 (VAR26), .VAR59 (VAR66), .VAR11 (VAR67), .VAR94 (VAR88));
VAR50 #(.VAR98(2),.VAR40(VAR40))
VAR16( .VAR86 ({VAR17, VAR62}),
.VAR49 (VAR29),
.VAR5 (VAR36[VAR64-1:0]),
.VAR44 ({VAR21, VAR57}),
.VAR14 ({VAR33[VAR64-1:0], VAR51[VAR64-1:0]}),
.VAR20 (VAR82)
);
VAR3 #(.VAR95(VAR95))
VAR3 (.VAR96 (VAR4),
.VAR90 (VAR57),
.VAR7 (VAR51[VAR64-1:0]),
.VAR80 (VAR82),
.VAR12 (VAR17),
.VAR87 (VAR73),
.VAR78 (VAR9[VAR64-1:0]),
.VAR41 (VAR62),
.VAR24 (VAR21),
.VAR71 (VAR33[VAR64-1:0]),
.VAR92 (VAR92),
.VAR75 (VAR75),
.VAR1 (VAR1[VAR95-1:0]),
.VAR56 (VAR56[1:0]),
.VAR83 (VAR83),
.VAR53 (VAR53[VAR95-1:0]),
.VAR2 (VAR2[31:0]),
.VAR60 (VAR60),
.VAR31 (VAR31[1:0]),
.VAR32 (VAR32),
.VAR68 (VAR68),
.VAR55 (VAR55),
.VAR99 (VAR99[VAR95-1:0]),
.VAR70 (VAR70[31:0]),
.VAR23 (VAR23[1:0]),
.VAR48 (VAR48[3:0]),
.VAR18 (VAR18),
.VAR13 (VAR13[7:0]),
.VAR58 (VAR58[2:0]),
.VAR93 (VAR93[3:0]),
.VAR35 (VAR35[2:0]),
.VAR91 (VAR91),
.VAR6 (VAR6[VAR95-1:0]),
.VAR72 (VAR72[31:0]),
.VAR27 (VAR27[1:0]),
.VAR10 (VAR10[3:0]),
.VAR74 (VAR74),
.VAR54 (VAR54[7:0]),
.VAR100 (VAR100[2:0]),
.VAR25 (VAR25[3:0]),
.VAR8 (VAR8[2:0]),
.VAR42 (VAR42),
.VAR45 (VAR45),
.VAR81 (VAR81),
.VAR89 (VAR89[VAR95-1:0]),
.VAR76 (VAR76[31:0]),
.VAR65 (VAR65),
.VAR85 (VAR85[3:0]),
.VAR15 (VAR15));
endmodule | mit |
DougFirErickson/parallella-hw | fpga/old/eio_tx/hdl/eio_tx.v | 9,985 | module MODULE1 (
VAR65, VAR41, VAR67, VAR18, VAR3, VAR52,
VAR91, VAR69,
reset, VAR1, VAR82, VAR43, VAR84,
VAR72, VAR102, VAR62, VAR19, VAR54, VAR101,
VAR94, VAR7, VAR100, VAR106
);
parameter VAR56 = "VAR93";
output VAR65, VAR41; input reset;
input VAR1;
output VAR67, VAR18; output [7:0] VAR3, VAR52;
input VAR82, VAR43;
input VAR84, VAR72;
input VAR102; input VAR62; input VAR19; input [7:0] VAR54;
input [63:0] VAR101;
output VAR91;
output VAR69;
input VAR94; input VAR7; input [3:0] VAR100; input [10:0] VAR106;
wire [7:0] VAR63; wire [7:0] VAR50; wire VAR73; wire VAR87;
reg [63:0] VAR14;
reg [7:0] VAR92;
reg [1:0] VAR68;
wire VAR95 = VAR68[0];
reg [1:0] VAR96;
wire VAR21 = VAR96[0];
integer VAR104;
always @ (posedge VAR102) begin
VAR68 <= {VAR94, VAR68[1]};
VAR96 <= {VAR7, VAR96[1]};
if(VAR21) begin
VAR92 <= {8{VAR106[8]}};
for(VAR104=0; VAR104<8; VAR104=VAR104+1)
VAR14[VAR104*8+7 -: 8] <= VAR106[7:0];
end else if(VAR95) begin
VAR92 <= VAR54;
VAR14 <= VAR101;
end else begin
VAR92 <= 8'd0;
VAR14 <= 64'd0;
end
end
genvar VAR48;
generate for(VAR48=0; VAR48<8; VAR48=VAR48+1)
begin : VAR5
VAR22
.VAR17("VAR46"), .VAR78("VAR58"), .VAR8(8), .VAR75(1'b0), .VAR59(1'b1), .VAR37("VAR112"), .VAR34(1'b0), .VAR20(1'b1), .VAR44("VAR97"), .VAR103("VAR97"), .VAR76(1) ) VAR49
(
.VAR90(), .VAR29(VAR63[VAR48]), .VAR35(),
.VAR74(),
.VAR66(), .VAR83(), .VAR2(VAR50[VAR48]), .VAR51(VAR62), .VAR4(VAR102), .VAR45(VAR14[VAR48+56]), .VAR27(VAR14[VAR48+48]),
.VAR98(VAR14[VAR48+40]),
.VAR88(VAR14[VAR48+32]),
.VAR47(VAR14[VAR48+24]),
.VAR105(VAR14[VAR48+16]),
.VAR39(VAR14[VAR48+8]),
.VAR80(VAR14[VAR48]), .VAR25(1'b1), .VAR71(VAR1), .VAR36(1'b0),
.VAR89(1'b0),
.VAR23(~VAR94),
.VAR15(1'b0),
.VAR55(1'b0),
.VAR60(1'b0),
.VAR12(1'b0), .VAR30(1'b1) );
end endgenerate
VAR22
.VAR17("VAR46"), .VAR78("VAR33"), .VAR8(8), .VAR75(1'b0), .VAR59(1'b0), .VAR37("VAR112"), .VAR34(1'b0), .VAR20(1'b0), .VAR44("VAR97"), .VAR103("VAR97"), .VAR76(1) ) VAR109
(
.VAR90(), .VAR29(VAR73), .VAR35(),
.VAR74(),
.VAR66(), .VAR83(), .VAR2(), .VAR51(VAR62), .VAR4(VAR102), .VAR45(VAR92[7]), .VAR27(VAR92[6]),
.VAR98(VAR92[5]),
.VAR88(VAR92[4]),
.VAR47(VAR92[3]),
.VAR105(VAR92[2]),
.VAR39(VAR92[1]),
.VAR80(VAR92[0]), .VAR25(1'b1), .VAR71(VAR1), .VAR36(1'b0),
.VAR89(1'b0),
.VAR23(1'b0),
.VAR15(1'b0),
.VAR55(1'b0),
.VAR60(1'b0),
.VAR12(1'b0), .VAR30(1'b0) );
reg [1:0] VAR99;
wire VAR111 = VAR99[0];
always @ (posedge VAR19)
VAR99 <= {VAR94, VAR99[1]};
VAR26
.VAR70 ("VAR77"),
.VAR31 (1'b0),
.VAR81 ("VAR28"))
VAR86
(
.VAR108 (VAR87),
.VAR107 (VAR19),
.VAR57 (1'b1),
.VAR45 (VAR111),
.VAR27 (1'b0),
.VAR79 (1'b0),
.VAR6 (1'b0));
VAR61
.VAR11(VAR56),
.VAR24("VAR40")
) VAR16 [7:0]
(
.VAR42 (VAR3),
.VAR13 (VAR52),
.VAR64 (VAR63),
.VAR110 (VAR50)
);
VAR10
.VAR11(VAR56),
.VAR24("VAR40")
) VAR85
(
.VAR42 (VAR67),
.VAR13 (VAR18),
.VAR64 (VAR73)
);
VAR10
.VAR11(VAR56),
.VAR24("VAR40")
) VAR38
(
.VAR42 (VAR65),
.VAR13 (VAR41),
.VAR64 (VAR87)
);
VAR32
VAR53
(.VAR64 (VAR82),
.VAR9 (VAR43),
.VAR42 (VAR91));
assign VAR69 = VAR84;
endmodule | gpl-3.0 |
kyzhai/NUNY | src/hardware/stage2_bb.v | 4,988 | module MODULE1 (
address,
VAR2,
VAR1);
input [11:0] address;
input VAR2;
output [11:0] VAR1;
tri1 VAR2;
endmodule | gpl-2.0 |
jotego/jt12 | hdl/jt12_pg_dt.v | 2,786 | module MODULE1(
input [ 2:0] VAR6,
input [10:0] VAR2,
input [ 2:0] VAR4,
output reg [ 4:0] VAR10,
output reg signed [5:0] VAR9
);
reg [5:0] VAR7;
reg [4:0] VAR5;
reg [5:0] VAR3;
reg [4:0] VAR8, VAR1;
always @(*) begin
VAR10 = { VAR6, VAR2[10], VAR2[10] ? (|VAR2[9:7]) : (&VAR2[9:7])};
case( VAR4[1:0] )
2'd1: VAR7 = { 1'b0, VAR10 } - 6'd4;
2'd2: VAR7 = { 1'b0, VAR10 } + 6'd4;
2'd3: VAR7 = { 1'b0, VAR10 } + 6'd8;
default:VAR7 = { 1'b0, VAR10 };
endcase
case( VAR7[2:0] )
3'd0: VAR5 = 5'd16;
3'd1: VAR5 = 5'd17;
3'd2: VAR5 = 5'd19;
3'd3: VAR5 = 5'd20;
3'd4: VAR5 = 5'd22;
3'd5: VAR5 = 5'd24;
3'd6: VAR5 = 5'd26;
3'd7: VAR5 = 5'd29;
endcase
case( VAR4[1:0] )
2'd0: VAR8 = 5'd0;
2'd1: VAR8 = 5'd8;
2'd2: VAR8 = 5'd16;
2'd3: VAR8 = 5'd22;
endcase
case( VAR7[5:3] )
3'd0: VAR3 = { 5'd0, VAR5[4] }; 3'd1: VAR3 = { 4'd0, VAR5[4:3] }; 3'd2: VAR3 = { 3'd0, VAR5[4:2] }; 3'd3: VAR3 = { 2'd0, VAR5[4:1] };
3'd4: VAR3 = { 1'd0, VAR5[4:0] };
3'd5: VAR3 = { VAR5[4:0], 1'd0 };
default:VAR3 = 6'd0;
endcase
VAR1 = VAR3 > {1'b0, VAR8} ?
VAR8 : VAR3[4:0];
VAR9 = !VAR4[2] ? {1'b0,VAR1} : (~{1'b0,VAR1}+6'd1);
end
endmodule | gpl-3.0 |
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC | Sobel/ip/Sobel/vfabric_ceil.v | 2,306 | module MODULE1(VAR13, VAR9,
VAR12, VAR5, VAR14,
VAR10, VAR1, VAR4);
parameter VAR16 = 32;
parameter VAR18 = 4;
parameter VAR6 = 64;
input VAR13, VAR9;
input [VAR16-1:0] VAR12;
input VAR5;
output VAR14;
output [VAR16-1:0] VAR10;
output VAR1;
input VAR4;
reg [VAR18-1:0] VAR19;
wire [VAR16-1:0] VAR24;
wire VAR8;
wire VAR23;
wire VAR11;
VAR21 VAR3 ( .VAR13(VAR13), .VAR9(VAR9),
.VAR24(VAR12), .VAR2(VAR24), .VAR22(VAR5),
.VAR17( VAR8 ), .VAR7(VAR11), .VAR28(VAR14) );
always @(posedge VAR13 or negedge VAR9)
begin
if (~VAR9)
begin
VAR19 <= {VAR18{1'b0}};
end
else
begin
if(~VAR23)
VAR19 <= { VAR8, VAR19[VAR18-1:1] };
end
end
assign VAR23 = (VAR19[0] & VAR4);
assign VAR11 = (VAR19[0] & VAR4) | !(VAR8);
VAR26 VAR27(.VAR9(VAR9),
.enable(~VAR23), .VAR13(VAR13), .VAR25(VAR24), .VAR20(VAR10));
assign VAR1 = VAR19[0];
endmodule | mit |
scalable-networks/ext | uhd/fpga/usrp2/control_lib/wb_1master.v | 17,513 | module MODULE1
parameter VAR204 = 32, parameter VAR152 = 32, parameter VAR13 = 4)
(input VAR67,
input VAR175,
input [VAR204-1:0] VAR44,
output [VAR204-1:0] VAR22,
input [VAR152-1:0] VAR170,
input [VAR13-1:0] VAR41,
input VAR99,
input VAR167,
input VAR189,
output VAR14,
output VAR25,
output VAR79,
input [VAR204-1:0] VAR50,
output [VAR204-1:0] VAR164,
output [VAR152-1:0] VAR161,
output [VAR13-1:0] VAR120,
output VAR194,
output VAR54,
output VAR165,
input VAR190,
input VAR141,
input VAR178,
input [VAR204-1:0] VAR123,
output [VAR204-1:0] VAR3,
output [VAR152-1:0] VAR27,
output [VAR13-1:0] VAR129,
output VAR98,
output VAR111,
output VAR40,
input VAR28,
input VAR77,
input VAR136,
input [VAR204-1:0] VAR6,
output [VAR204-1:0] VAR135,
output [VAR152-1:0] VAR115,
output [VAR13-1:0] VAR158,
output VAR102,
output VAR201,
output VAR34,
input VAR90,
input VAR104,
input VAR48,
input [VAR204-1:0] VAR149,
output [VAR204-1:0] VAR156,
output [VAR152-1:0] VAR58,
output [VAR13-1:0] VAR65,
output VAR138,
output VAR145,
output VAR4,
input VAR186,
input VAR125,
input VAR100,
input [VAR204-1:0] VAR107,
output [VAR204-1:0] VAR33,
output [VAR152-1:0] VAR60,
output [VAR13-1:0] VAR16,
output VAR130,
output VAR203,
output VAR64,
input VAR182,
input VAR21,
input VAR122,
input [VAR204-1:0] VAR10,
output [VAR204-1:0] VAR162,
output [VAR152-1:0] VAR26,
output [VAR13-1:0] VAR114,
output VAR188,
output VAR199,
output VAR206,
input VAR174,
input VAR36,
input VAR69,
input [VAR204-1:0] VAR180,
output [VAR204-1:0] VAR205,
output [VAR152-1:0] VAR137,
output [VAR13-1:0] VAR109,
output VAR2,
output VAR75,
output VAR153,
input VAR11,
input VAR146,
input VAR200,
input [VAR204-1:0] VAR202,
output [VAR204-1:0] VAR106,
output [VAR152-1:0] VAR49,
output [VAR13-1:0] VAR95,
output VAR166,
output VAR19,
output VAR37,
input VAR15,
input VAR55,
input VAR45,
input [VAR204-1:0] VAR5,
output [VAR204-1:0] VAR43,
output [VAR152-1:0] VAR76,
output [VAR13-1:0] VAR9,
output VAR84,
output VAR35,
output VAR193,
input VAR59,
input VAR184,
input VAR179,
input [VAR204-1:0] VAR57,
output [VAR204-1:0] VAR181,
output [VAR152-1:0] VAR108,
output [VAR13-1:0] VAR91,
output VAR128,
output VAR63,
output VAR195,
input VAR68,
input VAR208,
input VAR87,
input [VAR204-1:0] VAR86,
output [VAR204-1:0] VAR93,
output [VAR152-1:0] VAR133,
output [VAR13-1:0] VAR113,
output VAR12,
output VAR171,
output VAR62,
input VAR105,
input VAR110,
input VAR96,
input [VAR204-1:0] VAR142,
output [VAR204-1:0] VAR207,
output [VAR152-1:0] VAR17,
output [VAR13-1:0] VAR83,
output VAR24,
output VAR92,
output VAR53,
input VAR143,
input VAR8,
input VAR85,
input [VAR204-1:0] VAR116,
output [VAR204-1:0] VAR119,
output [VAR152-1:0] VAR151,
output [VAR13-1:0] VAR185,
output VAR192,
output VAR155,
output VAR74,
input VAR169,
input VAR20,
input VAR160,
input [VAR204-1:0] VAR154,
output [VAR204-1:0] VAR139,
output [VAR152-1:0] VAR81,
output [VAR13-1:0] VAR82,
output VAR121,
output VAR126,
output VAR187,
input VAR71,
input VAR148,
input VAR56,
input [VAR204-1:0] VAR47,
output [VAR204-1:0] VAR147,
output [VAR152-1:0] VAR112,
output [VAR13-1:0] VAR94,
output VAR177,
output VAR103,
output VAR78,
input VAR1,
input VAR140,
input VAR144,
input [VAR204-1:0] VAR210,
output [VAR204-1:0] VAR196,
output [VAR152-1:0] VAR61,
output [VAR13-1:0] VAR38,
output VAR7,
output VAR39,
output VAR30,
input VAR118,
input VAR157,
input VAR168
);
wire [15:0] VAR29;
reg [VAR204-1:0] VAR127;
assign VAR22 = VAR127;
always @*
case(VAR29)
1 : VAR127 <= VAR50;
2 : VAR127 <= VAR123;
4 : VAR127 <= VAR6;
8 : VAR127 <= VAR149;
16 : VAR127 <= VAR107;
32 : VAR127 <= VAR10;
64 : VAR127 <= VAR180;
128 : VAR127 <= VAR202;
256 : VAR127 <= VAR5;
512 : VAR127 <= VAR57;
1024 : VAR127 <= VAR86;
2048 : VAR127 <= VAR142;
4096 : VAR127 <= VAR116;
8192 : VAR127 <= VAR154;
16384 : VAR127 <= VAR47;
32768 : VAR127 <= VAR210;
default : VAR127 <= VAR50;
endcase
assign {VAR14, VAR25, VAR79}
= {VAR190 | VAR28 | VAR90 | VAR186 | VAR182 | VAR174 | VAR11 | VAR15 |
VAR59 | VAR68 | VAR105 | VAR143 | VAR169 | VAR71 | VAR1 | VAR118 ,
VAR141 | VAR77 | VAR104 | VAR125 | VAR21 | VAR36 | VAR146 | VAR55 |
VAR184 | VAR208 | VAR110 | VAR8 | VAR20 | VAR148 | VAR140 | VAR157 ,
VAR178 | VAR136 | VAR48 | VAR100 | VAR122 | VAR69 | VAR200 | VAR45 |
VAR179 | VAR87 | VAR96 | VAR85 | VAR160 | VAR56 | VAR144 | VAR168 };
assign VAR161 = VAR170;
assign VAR120 = VAR41;
assign VAR164 = VAR44;
assign VAR194 = VAR99;
assign VAR54 = VAR167;
assign VAR165 = VAR167 & VAR189 & VAR29[0];
assign VAR27 = VAR170;
assign VAR129 = VAR41;
assign VAR3 = VAR44;
assign VAR98 = VAR99;
assign VAR111 = VAR167;
assign VAR40 = VAR167 & VAR189 & VAR29[1];
assign VAR115 = VAR170;
assign VAR158 = VAR41;
assign VAR135 = VAR44;
assign VAR102 = VAR99;
assign VAR201 = VAR167;
assign VAR34 = VAR167 & VAR189 & VAR29[2];
assign VAR58 = VAR170;
assign VAR65 = VAR41;
assign VAR156 = VAR44;
assign VAR138 = VAR99;
assign VAR145 = VAR167;
assign VAR4 = VAR167 & VAR189 & VAR29[3];
assign VAR60 = VAR170;
assign VAR16 = VAR41;
assign VAR33 = VAR44;
assign VAR130 = VAR99;
assign VAR203 = VAR167;
assign VAR64 = VAR167 & VAR189 & VAR29[4];
assign VAR26 = VAR170;
assign VAR114 = VAR41;
assign VAR162 = VAR44;
assign VAR188 = VAR99;
assign VAR199 = VAR167;
assign VAR206 = VAR167 & VAR189 & VAR29[5];
assign VAR137 = VAR170;
assign VAR109 = VAR41;
assign VAR205 = VAR44;
assign VAR2 = VAR99;
assign VAR75 = VAR167;
assign VAR153 = VAR167 & VAR189 & VAR29[6];
assign VAR49 = VAR170;
assign VAR95 = VAR41;
assign VAR106 = VAR44;
assign VAR166 = VAR99;
assign VAR19 = VAR167;
assign VAR37 = VAR167 & VAR189 & VAR29[7];
assign VAR76 = VAR170;
assign VAR9 = VAR41;
assign VAR43 = VAR44;
assign VAR84 = VAR99;
assign VAR35 = VAR167;
assign VAR193 = VAR167 & VAR189 & VAR29[8];
assign VAR108 = VAR170;
assign VAR91 = VAR41;
assign VAR181 = VAR44;
assign VAR128 = VAR99;
assign VAR63 = VAR167;
assign VAR195 = VAR167 & VAR189 & VAR29[9];
assign VAR133 = VAR170;
assign VAR113 = VAR41;
assign VAR93 = VAR44;
assign VAR12 = VAR99;
assign VAR171 = VAR167;
assign VAR62 = VAR167 & VAR189 & VAR29[10];
assign VAR17 = VAR170;
assign VAR83 = VAR41;
assign VAR207 = VAR44;
assign VAR24 = VAR99;
assign VAR92 = VAR167;
assign VAR53 = VAR167 & VAR189 & VAR29[11];
assign VAR151 = VAR170;
assign VAR185 = VAR41;
assign VAR119 = VAR44;
assign VAR192 = VAR99;
assign VAR155 = VAR167;
assign VAR74 = VAR167 & VAR189 & VAR29[12];
assign VAR81 = VAR170;
assign VAR82 = VAR41;
assign VAR139 = VAR44;
assign VAR121 = VAR99;
assign VAR126 = VAR167;
assign VAR187 = VAR167 & VAR189 & VAR29[13];
assign VAR112 = VAR170;
assign VAR94 = VAR41;
assign VAR147 = VAR44;
assign VAR177 = VAR99;
assign VAR103 = VAR167;
assign VAR78 = VAR167 & VAR189 & VAR29[14];
assign VAR61 = VAR170;
assign VAR38 = VAR41;
assign VAR196 = VAR44;
assign VAR7 = VAR99;
assign VAR39 = VAR167;
assign VAR30 = VAR167 & VAR189 & VAR29[15];
assign VAR29[0] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR32) & VAR209);
assign VAR29[1] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR173) & VAR159);
assign VAR29[2] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR132) & VAR117);
assign VAR29[3] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR101) & VAR88);
assign VAR29[4] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR198) & VAR51);
assign VAR29[5] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR97) & VAR131);
assign VAR29[6] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR52) & VAR72);
assign VAR29[7] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR172) & VAR31);
assign VAR29[8] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR80) & VAR46);
assign VAR29[9] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR183) & VAR66);
assign VAR29[10] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR163) & VAR18);
assign VAR29[11] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR89) & VAR73);
assign VAR29[12] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR191) & VAR124);
assign VAR29[13] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR42) & VAR176);
assign VAR29[14] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR134) & VAR23);
assign VAR29[15] = ~|((VAR170[VAR152-1:VAR152-VAR70] ^ VAR197) & VAR150);
endmodule | gpl-2.0 |
rurume/openrisc_vision_hardware | ISE/gpio_top.v | 30,367 | module MODULE1(
VAR87, VAR12, VAR63, VAR91, VAR58, VAR5, VAR64, VAR80,
VAR88, VAR25, VAR6, VAR68,
VAR84,
VAR65, VAR45, VAR31
, VAR24
);
parameter VAR77 = 32;
parameter VAR55 = VAR17+1;
parameter VAR33 = VAR3;
input VAR87; input VAR12; input VAR63; input [VAR55-1:0] VAR91; input [VAR77-1:0] VAR58; input [3:0] VAR5; input VAR64; input VAR80; output [VAR77-1:0] VAR88; output VAR25; output VAR6; output VAR68;
input [VAR33-1:0] VAR84; VAR51
input [VAR33-1:0] VAR65; VAR29 VAR75
input VAR24; VAR51 output [VAR33-1:0] VAR45; output [VAR33-1:0] VAR31;
reg [VAR33-1:0] VAR72; else
wire [VAR33-1:0] VAR72; VAR51
reg [VAR33-1:0] VAR62; else
wire [VAR33-1:0] VAR62; VAR51
reg [VAR33-1:0] VAR32; else
wire [VAR33-1:0] VAR32; VAR51
reg [VAR33-1:0] VAR7; else
wire [VAR33-1:0] VAR7; VAR51
reg [VAR33-1:0] VAR78; else
wire [VAR33-1:0] VAR78; VAR51
reg [VAR33-1:0] VAR50; else
wire [VAR33-1:0] VAR50; VAR51
reg [1:0] VAR71; else
wire [1:0] VAR71; VAR51
reg [VAR33-1:0] VAR43; else
wire [VAR33-1:0] VAR43; VAR51
reg [VAR33-1:0] VAR61; else
wire [VAR33-1:0] VAR61; VAR51
reg [VAR33-1:0] VAR47; else
wire [VAR33-1:0] VAR47; VAR51
reg [VAR33-1:0] sync ,
VAR94 ;
wire [VAR33-1:0] VAR94 ;
wire VAR23; wire VAR49; wire VAR27; wire VAR96;wire VAR76; wire VAR54; wire VAR9; wire VAR1 ;
wire VAR38 ;
wire VAR90; wire [VAR33-1:0] VAR81; wire VAR83; wire VAR39; wire VAR82; reg [VAR77-1:0] VAR8; VAR29 VAR37
reg VAR25; reg VAR6; reg VAR68; reg [VAR77-1:0] VAR88; VAR51
wire [VAR33-1:0] VAR74; VAR29 VAR73
reg [VAR33-1:0] VAR45; VAR51
wire [VAR33-1:0] VAR97; wire [VAR33-1:0] VAR42; reg [VAR33-1:0] VAR67; VAR29 VAR20
reg [VAR33-1:0] VAR19; VAR51 else
reg [VAR33-1:0] VAR19; VAR51
assign VAR83 = VAR63 & VAR80 & !VAR6;
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR25 <= 1'b0;
else
VAR25 <= VAR83 & ~VAR25 & (!VAR39) ;
assign VAR25 = VAR83;
assign VAR39 = VAR63 & VAR80 & (!VAR90 | (VAR5 != 4'b1111));
assign VAR39 = VAR63 & VAR80 & !VAR90;
assign VAR39 = VAR63 & VAR80 & (VAR5 != 4'b1111);
assign VAR39 = 1'b0;
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR6 <= 1'b0;
else
VAR6 <= VAR39 & ~VAR6;
assign VAR6 = VAR39;
assign VAR90 = (VAR91[VAR17:VAR95] == {VAR17-VAR95+1{1'b0}}) &
(VAR91[VAR14:VAR60] == {VAR14-VAR60+1{1'b0}});
assign VAR90 = 1'b1;
assign VAR23 = VAR63 & VAR80 & (VAR91[VAR26] == VAR21) & VAR90;
assign VAR49 = VAR63 & VAR80 & (VAR91[VAR26] == VAR15) & VAR90;
assign VAR27 = VAR63 & VAR80 & (VAR91[VAR26] == VAR4) & VAR90;
assign VAR96 = VAR63 & VAR80 & (VAR91[VAR26] == VAR40) & VAR90;
assign VAR76 = VAR63 & VAR80 & (VAR91[VAR26] == VAR28) & VAR90;
assign VAR54 = VAR63 & VAR80 & (VAR91[VAR26] == VAR59) & VAR90;
assign VAR9 = VAR63 & VAR80 & (VAR91[VAR26] == VAR48) & VAR90;
assign VAR1 = VAR63 & VAR80 & (VAR91[VAR26] == VAR57) & VAR90;
assign VAR38 = VAR63 & VAR80 & (VAR91[VAR26] == VAR36) & VAR90;
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR71 <= 2'b0;
else if (VAR54 && VAR64)
VAR71 <= VAR58[1:0];
else if (VAR71[VAR11])
VAR71[VAR30] <= VAR71[VAR30] | VAR68;
assign VAR71 = 2'h01; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR62 <= {VAR33{1'b0}};
else if (VAR23 && VAR64)
begin
VAR62 <= VAR58[VAR33-1:0];
if ( VAR5 [3] == 1'b1 )
VAR62 [VAR33-1:24] <= VAR58 [VAR33-1:24] ;
if ( VAR5 [2] == 1'b1 )
VAR62 [23:16] <= VAR58 [23:16] ;
if ( VAR5 [1] == 1'b1 )
VAR62 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR62 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [2] == 1'b1 )
VAR62 [VAR33-1:16] <= VAR58 [VAR33-1:16] ;
if ( VAR5 [1] == 1'b1 )
VAR62 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR62 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [1] == 1'b1 )
VAR62 [VAR33-1:8] <= VAR58 [VAR33-1:8] ;
if ( VAR5 [0] == 1'b1 )
VAR62 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [0] == 1'b1 )
VAR62 [VAR33-1:0] <= VAR58 [VAR33-1:0] ;
end
assign VAR62 = VAR53; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR32 <= {VAR33{1'b0}};
else if (VAR49 && VAR64)
begin
VAR32 <= VAR58[VAR33-1:0];
if ( VAR5 [3] == 1'b1 )
VAR32 [VAR33-1:24] <= VAR58 [VAR33-1:24] ;
if ( VAR5 [2] == 1'b1 )
VAR32 [23:16] <= VAR58 [23:16] ;
if ( VAR5 [1] == 1'b1 )
VAR32 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR32 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [2] == 1'b1 )
VAR32 [VAR33-1:16] <= VAR58 [VAR33-1:16] ;
if ( VAR5 [1] == 1'b1 )
VAR32 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR32 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [1] == 1'b1 )
VAR32 [VAR33-1:8] <= VAR58 [VAR33-1:8] ;
if ( VAR5 [0] == 1'b1 )
VAR32 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [0] == 1'b1 )
VAR32 [VAR33-1:0] <= VAR58 [VAR33-1:0] ;
end
assign VAR32 = VAR13; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR7 <= {VAR33{1'b0}};
else if (VAR27 && VAR64)
begin
VAR7 <= VAR58[VAR33-1:0];
if ( VAR5 [3] == 1'b1 )
VAR7 [VAR33-1:24] <= VAR58 [VAR33-1:24] ;
if ( VAR5 [2] == 1'b1 )
VAR7 [23:16] <= VAR58 [23:16] ;
if ( VAR5 [1] == 1'b1 )
VAR7 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR7 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [2] == 1'b1 )
VAR7 [VAR33-1:16] <= VAR58 [VAR33-1:16] ;
if ( VAR5 [1] == 1'b1 )
VAR7 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR7 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [1] == 1'b1 )
VAR7 [VAR33-1:8] <= VAR58 [VAR33-1:8] ;
if ( VAR5 [0] == 1'b1 )
VAR7 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [0] == 1'b1 )
VAR7 [VAR33-1:0] <= VAR58 [VAR33-1:0] ;
end
assign VAR7 = VAR41; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR78 <= {VAR33{1'b0}};
else if (VAR96 && VAR64)
begin
VAR78 <= VAR58[VAR33-1:0];
if ( VAR5 [3] == 1'b1 )
VAR78 [VAR33-1:24] <= VAR58 [VAR33-1:24] ;
if ( VAR5 [2] == 1'b1 )
VAR78 [23:16] <= VAR58 [23:16] ;
if ( VAR5 [1] == 1'b1 )
VAR78 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR78 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [2] == 1'b1 )
VAR78 [VAR33-1:16] <= VAR58 [VAR33-1:16] ;
if ( VAR5 [1] == 1'b1 )
VAR78 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR78 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [1] == 1'b1 )
VAR78 [VAR33-1:8] <= VAR58 [VAR33-1:8] ;
if ( VAR5 [0] == 1'b1 )
VAR78 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [0] == 1'b1 )
VAR78 [VAR33-1:0] <= VAR58 [VAR33-1:0] ;
end
assign VAR78 = VAR79; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR50 <= {VAR33{1'b0}};
else if (VAR76 && VAR64)
begin
VAR50 <= VAR58[VAR33-1:0];
if ( VAR5 [3] == 1'b1 )
VAR50 [VAR33-1:24] <= VAR58 [VAR33-1:24] ;
if ( VAR5 [2] == 1'b1 )
VAR50 [23:16] <= VAR58 [23:16] ;
if ( VAR5 [1] == 1'b1 )
VAR50 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR50 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [2] == 1'b1 )
VAR50 [VAR33-1:16] <= VAR58 [VAR33-1:16] ;
if ( VAR5 [1] == 1'b1 )
VAR50 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR50 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [1] == 1'b1 )
VAR50 [VAR33-1:8] <= VAR58 [VAR33-1:8] ;
if ( VAR5 [0] == 1'b1 )
VAR50 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [0] == 1'b1 )
VAR50 [VAR33-1:0] <= VAR58 [VAR33-1:0] ;
end
assign VAR50 = VAR56; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR61 <= {VAR33{1'b0}};
else if (VAR1 && VAR64)
begin
VAR61 <= VAR58[VAR33-1:0];
if ( VAR5 [3] == 1'b1 )
VAR61 [VAR33-1:24] <= VAR58 [VAR33-1:24] ;
if ( VAR5 [2] == 1'b1 )
VAR61 [23:16] <= VAR58 [23:16] ;
if ( VAR5 [1] == 1'b1 )
VAR61 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR61 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [2] == 1'b1 )
VAR61 [VAR33-1:16] <= VAR58 [VAR33-1:16] ;
if ( VAR5 [1] == 1'b1 )
VAR61 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR61 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [1] == 1'b1 )
VAR61 [VAR33-1:8] <= VAR58 [VAR33-1:8] ;
if ( VAR5 [0] == 1'b1 )
VAR61 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [0] == 1'b1 )
VAR61 [VAR33-1:0] <= VAR58 [VAR33-1:0] ;
end
assign VAR61 = VAR46; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR47 <= {VAR33{1'b0}};
else if (VAR38 && VAR64)
begin
VAR47 <= VAR58[VAR33-1:0];
if ( VAR5 [3] == 1'b1 )
VAR47 [VAR33-1:24] <= VAR58 [VAR33-1:24] ;
if ( VAR5 [2] == 1'b1 )
VAR47 [23:16] <= VAR58 [23:16] ;
if ( VAR5 [1] == 1'b1 )
VAR47 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR47 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [2] == 1'b1 )
VAR47 [VAR33-1:16] <= VAR58 [VAR33-1:16] ;
if ( VAR5 [1] == 1'b1 )
VAR47 [15:8] <= VAR58 [15:8] ;
if ( VAR5 [0] == 1'b1 )
VAR47 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [1] == 1'b1 )
VAR47 [VAR33-1:8] <= VAR58 [VAR33-1:8] ;
if ( VAR5 [0] == 1'b1 )
VAR47 [7:0] <= VAR58 [7:0] ;
if ( VAR5 [0] == 1'b1 )
VAR47 [VAR33-1:0] <= VAR58 [VAR33-1:0] ;
end
assign VAR47 = VAR92; VAR51
always @(posedge VAR87 or posedge VAR12)
if (VAR12) begin
sync <= {VAR33{1'b0}} ;
VAR94 <= {VAR33{1'b0}} ;
end else begin
sync <= VAR65 ;
VAR94 <= sync ;
end
assign VAR94 = VAR65;
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR72 <= {VAR33{1'b0}};
end
else
VAR72 <= VAR81;
assign VAR72 = VAR81;
reg VAR10,
VAR35 ,
VAR44 ;
wire VAR16 ,
VAR34 ;
wire [VAR33-1:0] VAR86 ,
VAR2 ;
wire [VAR33-1:0] VAR69 ;
assign VAR16 = VAR35 & !VAR44 ;
assign VAR34 = !VAR35 & VAR44 ;
assign VAR86 = {VAR33{VAR16}} ;
assign VAR2 = {VAR33{VAR34}} ;
assign VAR69 = (~VAR47 & VAR86) | (VAR47 & VAR2) ;
assign VAR97 = (VAR69 & VAR94) | (~VAR69 & VAR67) ;
always @(posedge VAR87 or posedge VAR12)
if (VAR12) begin
VAR10 <= 1'b0 ;
VAR35 <= 1'b0 ;
VAR44 <= 1'b0 ;
end else begin
VAR10 <= VAR24 ;
VAR35 <= VAR10 ;
VAR44 <= VAR35 ;
end
always @(posedge VAR87 or posedge VAR12)
if (VAR12) begin
VAR67 <= {VAR33{1'b0}};
end else begin
VAR67 <= VAR97 ;
end
assign VAR81 = (VAR61 & VAR67) | (~VAR61 & VAR94) ;
reg [VAR33-1:0] VAR66 ,
VAR70 ;
always @(posedge VAR87 or posedge VAR12)
if (VAR12) begin
VAR66 <= {VAR33{1'b0}};
VAR70 <= {VAR33{1'b0}};
end else begin
VAR66 <= VAR97 ;
VAR70 <= VAR66;
end
wire [VAR33-1:0] VAR70 ;
assign VAR70 = VAR66 ;
reg [VAR33-1:0] VAR22 ,
VAR85 ;
always @(posedge VAR24 or posedge VAR12)
if (VAR12) begin
VAR22 <= {VAR33{1'b0}} ;
VAR85 <= {VAR33{1'b0}} ;
end else begin
VAR22 <= VAR65 ;
VAR85 <= VAR22 ;
end
wire [VAR33-1:0] VAR85 ;
assign VAR85 = VAR65 ;
always @(posedge VAR24 or posedge VAR12)
if (VAR12) begin
VAR67 <= {VAR33{1'b0}};
end else begin
VAR67 <= VAR85 ;
end
assign VAR97 = VAR67;
wire VAR93;
assign VAR93 = !VAR24;
reg [VAR33-1:0] VAR89 ,
VAR18 ;
always @(posedge VAR93 or posedge VAR12)
if (VAR12) begin
VAR89 <= {VAR33{1'b0}} ;
VAR18 <= {VAR33{1'b0}} ;
end else begin
VAR89 <= VAR65 ;
VAR18 <= VAR89 ;
end
wire [VAR33-1:0] VAR18 ;
assign VAR18 = VAR65 ;
always @(posedge VAR93 or posedge VAR12)
if (VAR12) begin
VAR19 <= {VAR33{1'b0}};
end else begin
VAR19 <= VAR18 ;
end
assign VAR97 = (~VAR47 & VAR67) | (VAR47 & VAR19) ;
reg [VAR33-1:0] VAR89 ,
VAR18 ;
always @(negedge VAR93 or posedge VAR12)
if (VAR12) begin
VAR89 <= {VAR33{1'b0}} ;
VAR18 <= {VAR33{1'b0}} ;
end else begin
VAR89 <= VAR65 ;
VAR18 <= VAR89 ;
end
wire [VAR33-1:0] VAR18 ;
assign VAR18 = VAR65 ;
always @(negedge VAR24 or posedge VAR12)
if (VAR12) begin
VAR19 <= {VAR33{1'b0}};
end else begin
VAR19 <= VAR18 ;
end
assign VAR97 = (~VAR47 & VAR67) | (VAR47 & VAR19) ;
assign VAR81 = (VAR61 & VAR70) | (~VAR61 & VAR94) ;
assign VAR81 = VAR94 ;
always @(VAR91 or VAR72 or VAR62 or VAR32 or VAR7 or
VAR78 or VAR50 or VAR71 or VAR43 or VAR61 or VAR47)
case (VAR91[VAR26]) VAR29 VAR52
VAR8[VAR77-1:0] = VAR62;
end
VAR8[VAR77-1:0] = VAR32;
end
VAR8[VAR77-1:0] = VAR7;
end
VAR8[VAR77-1:0] = VAR78;
end
VAR8[VAR77-1:0] = VAR47;
end
VAR8[VAR77-1:0] = VAR61;
end
VAR8[VAR77-1:0] = VAR50;
end
VAR8[1:0] = VAR71;
VAR8[VAR77-1:2] = {VAR77-2{1'b0}};
end
VAR8[VAR77-1:0] = VAR43;
end
default: begin
VAR8[VAR77-1:0] = VAR72;
end
endcase
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR88 <= {VAR77{1'b0}};
else
VAR88 <= VAR8;
assign VAR88 = VAR8;
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR43 <= {VAR33{1'b0}};
else if (VAR9 && VAR64)
VAR43 <= VAR58[VAR33-1:0];
else if (VAR71[VAR11])
VAR43 <= (VAR43 | ((VAR81 ^ VAR72) & ~(VAR81 ^ VAR78)) & VAR7);
assign VAR43 = (VAR43 | ((VAR81 ^ VAR72) & ~(VAR81 ^ VAR78)) & VAR7);
assign VAR82 = |VAR43 ? VAR71[VAR11] : 1'b0;
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR68 <= 1'b0;
else
VAR68 <= VAR82;
assign VAR68 = VAR82;
assign VAR31 = VAR32;
assign VAR74 = VAR62 & ~VAR50 | VAR84 & VAR50;
assign VAR74 = VAR62 ;
always @(posedge VAR87 or posedge VAR12)
if (VAR12)
VAR45 <= {VAR33{1'b0}};
else
VAR45 <= VAR74;
assign VAR45 = VAR74;
assign VAR68 = 1'b0;
assign VAR25 = 1'b0;
assign VAR6 = VAR63 & VAR80;
assign VAR31 = {VAR33{1'b1}};
assign VAR45 = {VAR33{1'b0}};
assign VAR88 = {VAR77{1'b0}};
endmodule | gpl-2.0 |
andrewandrepowell/axiplasma | hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_mig_7series_0_0/mig_wrap_mig_7series_0_0/user_design/rtl/axi/mig_7series_v4_0_axi_mc_w_channel.v | 11,336 | module MODULE1 #
(
parameter integer VAR37 = 32,
parameter integer VAR16 = 1,
parameter integer VAR4 = 32,
parameter VAR1 = "VAR13"
)
(
input wire clk ,
input wire reset ,
input wire [VAR37-1:0] VAR6,
input wire [VAR37/8-1:0] VAR34,
input wire VAR38,
output reg VAR20,
input wire VAR45,
input wire VAR40,
input wire VAR31,
input wire VAR42,
output wire VAR26,
output wire VAR18,
output wire [VAR37/8-1:0] VAR30,
output wire [VAR37-1:0] VAR9,
output wire VAR11,
input wire VAR27,
output wire VAR21
);
localparam VAR47 = 1'b0;
localparam VAR24 = 1'b1;
reg [VAR37/8-1:0] VAR32;
reg [VAR37-1:0] VAR25;
reg valid;
wire VAR35;
wire VAR28;
wire VAR46;
wire [VAR37/8-1:0] VAR22;
wire [VAR37-1:0] VAR23;
wire VAR29;
wire VAR41;
wire [VAR37-1:0] VAR5;
wire VAR8;
wire [VAR37/8-1:0] VAR12;
wire VAR48;
reg VAR7;
reg [VAR37/8-1:0] VAR39;
reg [VAR37-1:0] VAR36;
reg VAR43;
assign VAR41 = VAR20 ? VAR38 : valid;
always @(posedge clk) begin
if(reset) begin
valid <= 1'b0;
VAR20 <= 1'b0;
end else begin
valid <= VAR41;
VAR20 <= ~VAR41 | VAR29;
end
end
assign VAR29 = (VAR28 & ~VAR46);
assign VAR18 = VAR8;
assign VAR11 = VAR48;
assign VAR30 = VAR12;
assign VAR9 = VAR5;
assign VAR8 = VAR27 ? VAR28 : VAR7;
assign VAR48 = VAR27 ? VAR35 : VAR43;
assign VAR12 = VAR27 ? ((VAR46)? {VAR37/8{1'b1}} : VAR22) : VAR39;
assign VAR5 = VAR27 ? VAR23 : VAR36;
always @(posedge clk) begin
if(reset) begin
VAR7 <= 1'b0;
VAR43 <= 1'b0;
VAR39 <= {VAR37/8{1'b0}};
end else begin
VAR7 <= VAR8;
VAR43 <= VAR48;
VAR39 <= VAR12;
end
end
always @(posedge clk) begin
VAR36 <= VAR5;
end
assign VAR22 = VAR20 ? ~VAR34 : VAR32;
assign VAR23 = VAR20 ? VAR6 : VAR25;
always @(posedge clk) begin
VAR32 <= VAR22;
VAR25 <= VAR23;
end
generate
if(VAR16 == 1) begin : VAR17
assign VAR21 = VAR41 & VAR27;
assign VAR28 = VAR40;
assign VAR35 = VAR40;
assign VAR46 = 1'b0;
end else begin : VAR19
reg state;
reg VAR15;
reg VAR33;
always @(posedge clk) begin
if (reset)
state <= VAR47;
end
else
state <= VAR15;
end
always @(*)
begin
VAR15 = state;
case (state)
VAR47:
if(VAR45 & VAR41 & VAR27)
VAR15 = VAR24;
end
else
VAR15 = state;
VAR24:
if(VAR40)
VAR15 = VAR47;
end
else
VAR15 = state;
default:
VAR15 = VAR47;
endcase end
assign VAR21 = ((state == VAR24) & (VAR41 | VAR33) & VAR27);
assign VAR28 = ((state == VAR47) & (VAR15 == VAR24)) |
((state == VAR24) & (VAR15 == VAR47));
assign VAR35 = VAR40;
always @(posedge clk) begin
VAR33 <= VAR42;
end
assign VAR46 = (((state == VAR47) & VAR31) |
((state == VAR24) & VAR33));
end endgenerate
generate
if(VAR1 == "VAR14") begin : VAR3
if(VAR16 == 1) begin : VAR44
assign VAR26 = |VAR12;
end else begin : VAR49
wire VAR2;
reg VAR10;
assign VAR26 = (VAR10 | VAR2);
assign VAR2 = |VAR12;
always @(posedge clk)
if (VAR8 & VAR27)
VAR10 <= VAR2;
end end endgenerate
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dfrtp/sky130_fd_sc_hs__dfrtp.functional.v | 1,752 | module MODULE1 (
VAR6 ,
VAR7 ,
VAR9 ,
VAR12 ,
VAR13 ,
VAR11
);
input VAR6 ;
input VAR7 ;
output VAR9 ;
input VAR12 ;
input VAR13 ;
input VAR11;
wire VAR5;
wire VAR1;
not VAR3 (VAR1 , VAR11 );
VAR2 VAR8 VAR4 (VAR5 , VAR13, VAR12, VAR1, VAR6, VAR7);
buf VAR10 (VAR9 , VAR5 );
endmodule | apache-2.0 |
praveendath92/securePUF | source/sirc_files/ethernetController.v | 88,726 | module MODULE1 #(
parameter VAR38 = 1,
parameter VAR75 = 1,
parameter VAR72 = 17,
parameter VAR148 = 13,
parameter VAR94 = 1,
parameter VAR26 = 48'hAAAAAAAAAAAA
)(
input wire VAR57, input wire reset,
input wire [7:0] VAR33, input wire VAR18, input wire VAR142, input wire VAR143, output reg VAR65,
output wire [7:0] VAR152, output reg VAR10, output reg VAR14, output reg VAR43, input wire VAR64,
input wire VAR79, output reg [6:0] VAR70, inout wire [15:0] VAR61, output wire VAR92, output reg VAR42, output reg VAR82,
input wire VAR120, output reg VAR106, output wire VAR60, input wire VAR8,
input wire VAR29, output wire VAR41, input wire [31:0] VAR96, input wire [7:0] VAR68, input wire VAR40, output reg VAR28, output wire [31:0] VAR24,
input wire VAR4, output wire VAR149, input wire [(VAR72 - 1):0] VAR6, output wire VAR136, output wire [((VAR38 * 8) - 1):0] VAR105,
input wire VAR37, output wire VAR98, input wire [(VAR148 - 1):0] VAR107, input wire [((VAR75 * 8) - 1):0] VAR35, input wire [(VAR75 - 1):0] VAR30 );
function integer VAR154;
input integer VAR83;
begin
VAR83 = VAR83 >> 1;
for(VAR154 = 0; VAR83 > 0; VAR154 = VAR154+1) begin
VAR83 = VAR83 >> 1;
end
end
endfunction
localparam VAR50 = VAR154(VAR75);
localparam VAR59 = VAR72 + VAR154(VAR38);
localparam VAR44 = VAR148 + VAR154(VAR75);
localparam VAR137 = 0; localparam VAR128 = 1; localparam VAR138 = 2; localparam VAR141 = 3; localparam VAR153 = 4; localparam VAR48 = 5; localparam VAR100 = 6; localparam VAR53 = 7; localparam VAR151 = 8; localparam VAR88 = 9; localparam VAR81 = 10; localparam VAR103 = 11; localparam VAR77 = 12; localparam VAR7 = 13; localparam VAR34 = 14; localparam VAR67 = 15; localparam VAR56 = 16; localparam VAR123 = 17; localparam VAR144 = 18; localparam VAR15 = 19; localparam VAR130 = 20; localparam VAR114 = 21; localparam VAR20 = 22;
localparam VAR132 = 0; localparam VAR62 = 1; localparam VAR90 = 2; localparam VAR11 = 3; localparam VAR25 = 4; localparam VAR2 = 5; localparam VAR36 = 6; localparam VAR139 = 7; localparam VAR78 = 8; localparam VAR145 = 9; localparam VAR9 = 10; localparam VAR117 = 11; localparam VAR45 = 12; localparam VAR49 = 13; localparam VAR52 = 14; localparam VAR93 = 15; localparam VAR47 = 16; localparam VAR51 = 17; localparam VAR133 = 18; localparam VAR134 = 19; localparam VAR115 = 20; localparam VAR86 = 21;
localparam VAR87 = 1; localparam VAR32 = 2; localparam VAR63 = 3; localparam VAR118 = 4; localparam VAR74 = 5;
localparam VAR5 = 0;
localparam VAR89 = 2;
localparam VAR39 = 3;
localparam VAR46 = 1486;
reg [4:0] VAR140;
reg [3:0] VAR80;
reg [2:0] VAR17;
reg [6:0] VAR3;
reg [39:0] VAR109; reg [47:0] VAR12;
reg [15:0] VAR135;
reg [31:0] VAR99;
reg [31:0] VAR104;
reg [7:0] VAR131;
reg [31:0] VAR125;
reg [15:0] VAR111;
reg [31:0] VAR129;
reg [31:0] VAR150;
reg [2:0] VAR95;
reg [4:0] VAR116;
reg [31:0] VAR108; reg [31:0] VAR22; reg [15:0] VAR110;
reg [(VAR148 - 1):0] VAR54;
reg VAR58;
reg VAR101;
reg [47:0] VAR122;
reg [47:0] VAR19;
reg [15:0] VAR85;
reg [71:0] VAR102;
reg [47:0] VAR124;
reg [(VAR44 - 1):0] VAR112;
wire [(VAR44 - 1):0] VAR66;
wire [7:0] VAR73;
reg VAR113;
reg VAR76;
reg VAR23;
assign VAR60 = VAR23;
wire VAR21;
reg VAR71;
reg VAR13;
reg VAR91;
wire [((VAR38 * 8) - 1):0] VAR146;
wire [7:0] VAR27;
wire [31:0] VAR127;
reg VAR55;
wire [31:0] VAR84;
reg [1:0] VAR119;
reg [6:0] VAR31;
reg [15:0] VAR121;
reg VAR1;
wire VAR126;
wire [6:0] VAR147;
wire [15:0] VAR16;
reg VAR69;
wire VAR97; | gpl-2.0 |
superibk/orp | hardware/mselSoC/src/systems/geophyte/rtl/verilog/sdhc/rtl/verilog/nandc_ecc_dual_master.v | 8,722 | module MODULE1 #(
parameter VAR28 = VAR28,
parameter VAR20 = VAR20,
parameter VAR47 = VAR47,
parameter VAR87 = VAR87,
parameter VAR8 = VAR8,
parameter VAR22 = VAR22,
parameter VAR77 = VAR77,
parameter VAR45 = VAR45,
parameter VAR29 = VAR29,
parameter VAR26 = VAR26
) (
input wire VAR33, input wire VAR81,
input wire [2:0] VAR88, input wire [1:0] VAR7, input wire [31:0] VAR73, output wire [31:0] VAR48, input wire [31:0] VAR41, input wire [3:0] VAR36, input wire VAR10, input wire VAR9, input wire VAR38, output wire VAR37,
input wire [2:0] VAR67, input wire [1:0] VAR34, input wire [31:0] VAR58, output wire [31:0] VAR31, input wire [31:0] VAR40, input wire [3:0] VAR62, input wire VAR52, input wire VAR82, input wire VAR61, output wire VAR70,
input wire [7:0] VAR75, output wire [7:0] VAR15, output wire [7:0] VAR19, output wire VAR56, output wire VAR4, output wire VAR59, output wire VAR6, output wire VAR12, output wire VAR21, input wire VAR30 );
wire [2:0] VAR63;
wire [1:0] VAR42;
wire [31:0] VAR24, VAR68, VAR18;
wire [3:0] VAR86;
wire VAR44;
wire VAR53;
wire VAR11;
wire VAR3;
VAR32 #(
.VAR60 ( VAR28 ),
.VAR89 ( VAR20 ),
.VAR72 ( VAR47 ),
.VAR54 ( VAR87 )
) VAR32 (
.VAR33 ( VAR33 ),
.VAR81 ( VAR81 ),
.VAR66 ( VAR88 ),
.VAR50 ( VAR7 ),
.VAR23 ( VAR73 ),
.VAR16 ( VAR48 ),
.VAR64 ( VAR41 ),
.VAR69 ( VAR36 ),
.VAR43 ( VAR10 ),
.VAR13 ( VAR9 ),
.VAR55 ( VAR38 ),
.VAR74 ( VAR37 ),
.VAR46 ( VAR63 ),
.VAR49 ( VAR42 ),
.VAR39 ( VAR24 ),
.VAR51 ( VAR68 ),
.VAR2 ( VAR18 ),
.VAR17 ( VAR86 ),
.VAR76 ( VAR44 ),
.VAR84 ( VAR53 ),
.VAR79 ( VAR11 ),
.VAR90 ( VAR3 )
);
wire [2:0] VAR27;
wire [1:0] VAR1;
wire [31:0] VAR25, VAR85, VAR71;
wire [3:0] VAR78;
wire VAR83;
wire VAR14;
wire VAR65;
wire VAR80;
VAR35 #(
.VAR8 ( VAR8 ),
.VAR22 ( VAR22 ),
.VAR60 ( VAR77 ),
.VAR89 ( VAR45 ),
.VAR72 ( VAR29 ),
.VAR54 ( VAR26 )
) VAR35 (
.VAR33 ( VAR33 ),
.VAR81 ( VAR81 ),
.VAR66 ( VAR67 ),
.VAR50 ( VAR34 ),
.VAR23 ( VAR58 ),
.VAR16 ( VAR31 ),
.VAR64 ( VAR40 ),
.VAR69 ( VAR62 ),
.VAR43 ( VAR52 ),
.VAR13 ( VAR82 ),
.VAR55 ( VAR61 ),
.VAR74 ( VAR70 ),
.VAR46 ( VAR27 ),
.VAR49 ( VAR1 ),
.VAR39 ( VAR25 ),
.VAR51 ( VAR85 ),
.VAR2 ( VAR71 ),
.VAR17 ( VAR78 ),
.VAR76 ( VAR83 ),
.VAR84 ( VAR14 ),
.VAR79 ( VAR65 ),
.VAR90 ( VAR80 )
);
reg [1:0] VAR5;
always @(posedge VAR33) begin
if(VAR81) begin
VAR5 <= 'h0;
end else begin
case(VAR5)
'b00: begin
if(VAR83)
VAR5 <= 2'b10;
end
else if(VAR44)
VAR5 <= 2'b01;
end
'b01: if(!VAR44) VAR5 <= 2'b00;
'b10: if(!VAR83) VAR5 <= 2'b00;
endcase
end
end
wire [2:0] VAR46 = VAR5[1] ? VAR27 : VAR5[0] ? VAR63 : 'h0;
wire [1:0] VAR49 = VAR5[1] ? VAR1 : VAR5[0] ? VAR42 : 'h0;
wire [31:0] VAR39 = VAR5[1] ? VAR25 : VAR5[0] ? VAR24 : 'h0;
wire [31:0] VAR2 = VAR5[1] ? VAR71 : VAR5[0] ? VAR18 : 'h0;
wire [3:0] VAR17 = VAR5[1] ? VAR78 : VAR5[0] ? VAR86 : 'h0;
wire VAR76 = VAR5[1] ? VAR83 : VAR5[0] ? VAR44 : 0;
wire VAR84 = VAR5[1] ? VAR14 : VAR5[0] ? VAR53 : 0;
wire VAR79 = VAR5[1] ? VAR65 : VAR5[0] ? VAR11 : 0;
wire [31:0] VAR51;
wire VAR90;
assign VAR68 = VAR5[0] ? VAR51 : 'h0;
assign VAR3 = VAR5[0] & VAR90;
assign VAR85 = VAR5[1] ? VAR51 : 'h0;
assign VAR80 = VAR5[1] & VAR90;
VAR57 #(
.VAR60 ( VAR60 ),
.VAR89 ( VAR89 ),
.VAR72 ( VAR72 ),
.VAR54 ( VAR54 )
) VAR57 (
.VAR33 ( VAR33 ),
.VAR81 ( VAR81 ),
.VAR66 ( VAR46 ),
.VAR50 ( VAR49 ),
.VAR23 ( VAR39 ),
.VAR16 ( VAR51 ),
.VAR64 ( VAR2 ),
.VAR69 ( VAR17 ),
.VAR43 ( VAR76 ),
.VAR13 ( VAR84 ),
.VAR55 ( VAR79 ),
.VAR74 ( VAR90 ),
.VAR75 ( VAR75 ),
.VAR15 ( VAR15 ),
.VAR19 ( VAR19 ),
.VAR56 ( VAR56 ),
.VAR4 ( VAR4 ),
.VAR59 ( VAR59 ),
.VAR6 ( VAR6 ),
.VAR12 ( VAR12 ),
.VAR21 ( VAR21 ),
.VAR30 ( VAR30 )
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dfsbp/sky130_fd_sc_hd__dfsbp.pp.blackbox.v | 1,372 | module MODULE1 (
VAR5 ,
VAR1 ,
VAR6 ,
VAR4 ,
VAR7,
VAR2 ,
VAR8 ,
VAR9 ,
VAR3
);
output VAR5 ;
output VAR1 ;
input VAR6 ;
input VAR4 ;
input VAR7;
input VAR2 ;
input VAR8 ;
input VAR9 ;
input VAR3 ;
endmodule | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/buf/gf180mcu_fd_sc_mcu9t5v0__buf_8.behavioral.v | 1,093 | module MODULE1( VAR4, VAR1 );
input VAR4;
output VAR1;
VAR2 VAR3(.VAR4(VAR4),.VAR1(VAR1));
VAR2 VAR5(.VAR4(VAR4),.VAR1(VAR1)); | apache-2.0 |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0 | cells/oai221/gf180mcu_fd_sc_mcu9t5v0__oai221_4.functional.pp.v | 1,702 | module MODULE1( VAR21, VAR2, VAR4, VAR9, VAR15, VAR20, VAR19, VAR16 );
input VAR15, VAR20, VAR2, VAR4, VAR9;
inout VAR19, VAR16;
output VAR21;
wire VAR22;
not VAR23( VAR22, VAR15 );
wire VAR17;
not VAR13( VAR17, VAR20 );
wire VAR11;
and VAR3( VAR11, VAR22, VAR17 );
wire VAR7;
not VAR18( VAR7, VAR2 );
wire VAR10;
not VAR1( VAR10, VAR4 );
wire VAR12;
and VAR14( VAR12, VAR7, VAR10 );
wire VAR6;
not VAR5( VAR6, VAR9 );
or VAR8( VAR21, VAR11, VAR12, VAR6 );
endmodule | apache-2.0 |
asicguy/gplgpu | hdl/lucy_tc/de3d_tc_read_addr_in.v | 4,829 | module MODULE1
(
input VAR10, input [2:0] VAR7, input [14:0] VAR14, input [14:0] VAR17, input [14:0] VAR18, input [14:0] VAR13, output reg [4:0] VAR15,
output reg [4:0] VAR21,
output reg [4:0] VAR19,
output reg [4:0] VAR20
);
reg [6:0] VAR9;
reg [6:0] VAR2;
reg [6:0] VAR5;
reg [6:0] VAR3;
reg [14:0] VAR4;
reg [14:0] VAR11;
reg [14:0] VAR16;
reg [14:0] VAR8;
always @* begin
case(VAR7)
3'b011: begin
VAR9 = {VAR14[5:1],VAR14[11]};
VAR2 = {VAR17[5:1],VAR17[11]};
VAR5 = {VAR18[5:1],VAR18[11]};
VAR3 = {VAR13[5:1],VAR13[11]};
end
3'b100: begin
VAR9 = {VAR14[4:1],VAR14[11:10]};
VAR2 = {VAR17[4:1],VAR17[11:10]};
VAR5 = {VAR18[4:1],VAR18[11:10]};
VAR3 = {VAR13[4:1],VAR13[11:10]};
end
default: begin
VAR9 = {VAR14[3:1],VAR14[11:9]};
VAR2 = {VAR17[3:1],VAR17[11:9]};
VAR5 = {VAR18[3:1],VAR18[11:9]};
VAR3 = {VAR13[3:1],VAR13[11:9]};
end
endcase
end
always @(posedge VAR10) begin
casex ({VAR9[0],VAR4[0],VAR5[0]})
3'VAR12:
begin
VAR15 <= VAR2[5:1];
VAR19 <= VAR3[5:1];
VAR21 <= VAR9[5:1];
VAR20 <= VAR5[5:1];
end
3'VAR1:
begin
VAR15 <= VAR5[5:1];
VAR19 <= VAR9[5:1];
VAR21 <= VAR3[5:1];
VAR20 <= VAR2[5:1];
end
3'VAR6:
begin
VAR15 <= VAR3[5:1];
VAR19 <= VAR2[5:1];
VAR21 <= VAR5[5:1];
VAR20 <= VAR9[5:1];
end
default:
begin
VAR15 = VAR9[5:1];
VAR19 = VAR5[5:1];
VAR21 = VAR2[5:1];
VAR20 = VAR3[5:1];
end
endcase
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a311o/sky130_fd_sc_hs__a311o.behavioral.v | 1,958 | module MODULE1 (
VAR5 ,
VAR8 ,
VAR12 ,
VAR4 ,
VAR13 ,
VAR1 ,
VAR9,
VAR6
);
output VAR5 ;
input VAR8 ;
input VAR12 ;
input VAR4 ;
input VAR13 ;
input VAR1 ;
input VAR9;
input VAR6;
wire VAR13 VAR2 ;
wire VAR16 ;
wire VAR11;
and VAR3 (VAR2 , VAR4, VAR8, VAR12 );
or VAR7 (VAR16 , VAR2, VAR1, VAR13 );
VAR10 VAR15 (VAR11, VAR16, VAR9, VAR6);
buf VAR14 (VAR5 , VAR11 );
endmodule | apache-2.0 |
hoglet67/opc | opc2/opc2cpu.v | 2,365 | module MODULE1( inout[7:0] VAR8, output[9:0] address, output VAR19, input clk, input VAR26);
parameter VAR10=0, VAR1=1, VAR29=2, VAR22=3, VAR7=4 ;
parameter VAR14=4'b1100, VAR13=4'b1000, VAR18=4'b1001, VAR12=4'b1010;
parameter VAR24 =4'b0100, VAR23=4'b0101, VAR11 =4'b0110, VAR9=4'b0111;
parameter VAR27 =4'b0000, VAR5=4'b0001, VAR3 =4'b0010, VAR2=4'b0011;
reg [9:0] VAR21, VAR20;
reg [7:0] VAR17, VAR4;
reg [2:0] VAR16;
reg [3:0] VAR25;
reg VAR15;
wire VAR28 = ((VAR16 == VAR7) && (VAR25==VAR11 || VAR25==VAR12)) & VAR26 ;
assign VAR19 = ~VAR28 ;
assign VAR8 = (VAR28)?VAR17:8'VAR6 ;
assign address = ( VAR28 || VAR16 == VAR29 || VAR16==VAR22)? VAR20:VAR21;
always @ (posedge clk or negedge VAR26 )
if (!VAR26)
VAR16 <= VAR10;
else
case(VAR16)
VAR10 : VAR16 <= (VAR8[7] || VAR8[6])?VAR1:VAR7; VAR1 : VAR16 <= (VAR25[3] && VAR25 != VAR13 )?VAR29:VAR7 ;
VAR29 : VAR16 <= (VAR25[2])?VAR22:VAR7;
VAR22 : VAR16 <= VAR7;
VAR7 : VAR16 <= VAR10;
endcase
always @ (posedge clk)
begin
VAR25 <= (VAR16 == VAR10)? VAR8[7:4] : VAR25;
VAR20[9:8] <= (VAR16 == VAR10)? VAR8[1:0] : (VAR16 == VAR29)? 2'b0: VAR20[9:8];
VAR20[7:0] <= VAR8; if ( VAR16 == VAR7 )
case(VAR25)
VAR2 : {VAR4,VAR17} <= {VAR17,VAR4};
VAR3 : {VAR15, VAR17} <= {1'b0, VAR17 & VAR4};
VAR5 : VAR17 <= ~VAR17;
VAR27 : {VAR15,VAR17} <= VAR17 + VAR15 + VAR4;
VAR9 : {VAR4,VAR17} <= {6'b0,VAR21} ;
VAR18 : VAR4 <= VAR20[7:0];
VAR14: VAR4 <= VAR20[7:0];
VAR13: VAR4 <= VAR20[7:0];
endcase end
always @ (posedge clk or negedge VAR26 )
if (!VAR26) VAR21 <= 10'h100;
else
if ( VAR16 == VAR10 || VAR16 == VAR1 )
VAR21 <= VAR21 + 1;
else if ( VAR16 == VAR7 )
case (VAR25)
VAR9 : VAR21 <= {VAR4[1:0],VAR17};
VAR24 : VAR21 <= (VAR15)?VAR20:VAR21;
endcase
endmodule | gpl-3.0 |
deepakcu/maestro | fpga/DE4_Ethernet_0/src/output_queues.v | 15,377 | module MODULE1
parameter VAR100 = 64,
parameter VAR94=VAR100/8,
parameter VAR38 = 2,
parameter VAR40 = 4,
parameter VAR24 = 8,
parameter VAR118 = 6,
parameter VAR16 = 13
)
( VAR88,
VAR51,
VAR109,
VAR77,
VAR61,
VAR113,
VAR6,
VAR31,
VAR68,
VAR66,
VAR97,
VAR19,
VAR112,
VAR50,
VAR28,
VAR92,
VAR121,
VAR27,
VAR117,
VAR46,
VAR2,
VAR123,
VAR107,
VAR63,
VAR48,
VAR34,
VAR33,
VAR57,
VAR104,
VAR58,
VAR76,
VAR93,
VAR52,
VAR43,
VAR49,
VAR78,
VAR95,
VAR60,
VAR82,
VAR98,
VAR56,
VAR25,
VAR8,
VAR72,
VAR96,
VAR116,
VAR29,
VAR85,
VAR20,
VAR99,
VAR101,
VAR55,
VAR106,
VAR105,
VAR90,
VAR13,
VAR44,
clk,
reset
);
output [VAR100-1:0] VAR88;
output [VAR94-1:0] VAR51;
input VAR109;
output VAR77;
output [VAR100-1:0] VAR61;
output [VAR94-1:0] VAR113;
input VAR6;
output VAR31;
output [VAR100-1:0] VAR68;
output [VAR94-1:0] VAR66;
input VAR97;
output VAR19;
output [VAR100-1:0] VAR112;
output [VAR94-1:0] VAR50;
input VAR28;
output VAR92;
output [VAR100-1:0] VAR121;
output [VAR94-1:0] VAR27;
input VAR117;
output VAR46;
output [VAR100-1:0] VAR2;
output [VAR94-1:0] VAR123;
output VAR107;
input VAR63;
output [VAR100-1:0] VAR48;
output [VAR94-1:0] VAR34;
output VAR33;
input VAR57;
output [VAR100-1:0] VAR104;
output [VAR94-1:0] VAR58;
output VAR76;
input VAR93;
input [VAR100-1:0] VAR52;
input [VAR94-1:0] VAR43;
output VAR49;
input VAR78;
input VAR95;
input VAR60;
input VAR82;
input [VAR64-1:0] VAR98;
input [VAR53-1:0] VAR56;
input [VAR38-1:0] VAR25;
output VAR8;
output VAR72;
output VAR96;
output [VAR64-1:0] VAR116;
output [VAR53-1:0] VAR29;
output [VAR38-1:0] VAR85;
output [VAR16-1:0] VAR20;
output VAR99;
input VAR101;
output [VAR100+VAR94-1:0] VAR55;
input VAR106;
input [VAR100+VAR94-1:0] VAR105;
input VAR90;
output [VAR16-1:0] VAR13;
output VAR44;
input clk;
input reset;
function integer VAR124;
input integer VAR65;
begin
VAR124=0;
while(2**VAR124<VAR65) begin
VAR124=VAR124+1;
end
end
endfunction
parameter VAR37 = VAR124(VAR24);
parameter VAR75 = 11;
parameter VAR80 = VAR75-VAR124(VAR94);
parameter VAR62 = 2048; parameter VAR9 = VAR124(VAR62);
parameter VAR7 = VAR124(VAR62/VAR94);
wire [VAR16-1:0] VAR5;
wire [VAR16-1:0] VAR74;
wire [VAR16-1:0] VAR115;
wire [VAR24-1:0]VAR71;
wire [VAR16-1:0] VAR108;
wire VAR122;
wire [VAR75-1:0] VAR81;
wire [VAR94-1:0] VAR83;
wire [VAR80-1:0] VAR86;
wire [VAR37-1:0] VAR35;
wire [VAR37-1:0] VAR120;
wire VAR39;
wire [VAR24-1:0] VAR84;
wire VAR89;
wire [VAR37-1:0] VAR18;
wire [VAR9-1:0] VAR91;
wire [VAR7-1:0] VAR1;
wire VAR102;
wire [VAR16-1:0] VAR30;
wire VAR119;
wire VAR54;
wire [VAR75-1:0] VAR10;
wire [VAR94-1:0] VAR42;
wire [VAR80-1:0] VAR21;
wire [VAR37-1:0] VAR73;
wire VAR70;
wire [VAR16-1:0] VAR23;
wire [VAR16-1:0] VAR79;
wire [VAR16-1:0] VAR67;
wire [VAR24-1:0]VAR36;
wire VAR14;
wire VAR4;
wire [VAR100-1:0] VAR69;
wire [VAR94-1:0] VAR15;
wire VAR87;
VAR45
.VAR94(VAR94),
.VAR40(VAR40),
.VAR24(VAR24))
VAR45
(
.VAR18 (VAR18),
.VAR91 (VAR91),
.VAR1 (VAR1),
.VAR3 (VAR3),
.VAR89 (VAR89),
.VAR102 (VAR102),
.VAR78 (VAR78),
.VAR43 (VAR43),
.VAR52 (VAR52),
.clk (clk),
.reset (reset));
VAR17
.VAR103(3))
VAR32
(.dout({VAR15, VAR69}),
.VAR47(),
.VAR26 (),
.VAR59(VAR87),
.VAR114(VAR4),
.din({VAR43, VAR52}),
.VAR22(VAR78),
.VAR41(VAR14),
.reset(reset),
.clk(clk));
VAR11
.VAR94(VAR94),
.VAR24(VAR24),
.VAR16(VAR16),
.VAR111 (VAR118),
.VAR75(VAR75))
VAR11
( .VAR89 (VAR89),
.VAR18 (VAR18),
.VAR91 (VAR91),
.VAR1 (VAR1),
.VAR102 (VAR102),
.VAR30 (VAR30),
.VAR119 (VAR119),
.VAR54 (VAR54),
.VAR10 (VAR10),
.VAR42 (VAR42),
.VAR21 (VAR21),
.VAR73 (VAR73),
.VAR70 (VAR70),
.VAR23 (VAR23),
.VAR79 (VAR79),
.VAR67 (VAR67),
.VAR36 (VAR36),
.VAR20 (VAR20),
.VAR99 (VAR99),
.VAR101 (VAR101),
.VAR55 (VAR55),
.VAR14 (VAR14),
.VAR4 (VAR4),
.VAR69 (VAR69),
.VAR15 (VAR15),
.clk (clk),
.reset (reset));
VAR110
.VAR94(VAR94),
.VAR24(VAR24),
.VAR16(VAR16),
.VAR111 (VAR118),
.VAR40(VAR40),
.VAR75(VAR75))
VAR110
( .VAR106 (VAR106),
.VAR105 (VAR105),
.VAR90 (VAR90),
.VAR13 (VAR13),
.VAR44 (VAR44),
.VAR5 (VAR5),
.VAR74 (VAR74),
.VAR115 (VAR115),
.VAR71 (VAR71),
.VAR108 (VAR108),
.VAR122 (VAR122),
.VAR81 (VAR81),
.VAR83 (VAR83),
.VAR86 (VAR86),
.VAR35 (VAR35),
.VAR120 (VAR120),
.VAR39 (VAR39),
.VAR84 (VAR84),
.VAR88 (VAR88),
.VAR51 (VAR51),
.VAR77 (VAR77),
.VAR109 (VAR109),
.VAR61 (VAR61),
.VAR113 (VAR113),
.VAR31 (VAR31),
.VAR6 (VAR6),
.VAR68 (VAR68),
.VAR66 (VAR66),
.VAR19 (VAR19),
.VAR97 (VAR97),
.VAR112 (VAR112),
.VAR50 (VAR50),
.VAR92 (VAR92),
.VAR28 (VAR28),
.VAR121 (VAR121),
.VAR27 (VAR27),
.VAR46 (VAR46),
.VAR117 (VAR117),
.VAR2 (VAR2),
.VAR123 (VAR123),
.VAR107 (VAR107),
.VAR63 (VAR63),
.VAR48 (VAR48),
.VAR34 (VAR34),
.VAR33 (VAR33),
.VAR57 (VAR57),
.VAR104 (VAR104),
.VAR58 (VAR58),
.VAR76 (VAR76),
.VAR93 (VAR93),
.clk (clk),
.reset (reset));
VAR12
.VAR16(VAR16),
.VAR94(VAR94),
.VAR38 (VAR38),
.VAR24(VAR24),
.VAR75(VAR75))
VAR12
( .VAR95 (VAR95),
.VAR60 (VAR60),
.VAR82 (VAR82),
.VAR98 (VAR98),
.VAR56 (VAR56),
.VAR25 (VAR25),
.VAR8 (VAR8),
.VAR72 (VAR72),
.VAR96 (VAR96),
.VAR116 (VAR116),
.VAR29 (VAR29),
.VAR85 (VAR85),
.VAR5 (VAR5),
.VAR74 (VAR74),
.VAR115 (VAR115),
.VAR71 (VAR71),
.VAR108 (VAR108),
.VAR122 (VAR122),
.VAR81 (VAR81),
.VAR83 (VAR83),
.VAR86 (VAR86),
.VAR35 (VAR35),
.VAR120 (VAR120),
.VAR39 (VAR39),
.VAR84 (VAR84),
.VAR30 (VAR30),
.VAR119 (VAR119),
.VAR10 (VAR10),
.VAR42 (VAR42),
.VAR21 (VAR21),
.VAR54 (VAR54),
.VAR73 (VAR73),
.VAR70 (VAR70),
.VAR23 (VAR23),
.VAR79 (VAR79),
.VAR67 (VAR67),
.VAR36 (VAR36),
.clk (clk),
.reset (reset));
assign VAR49 = VAR3 && !VAR87;
endmodule | apache-2.0 |
dachdecker2/icoboard_ws2812b_display | memory.v | 5,146 | module MODULE1 (
input [0:0] clk, input [0:0] VAR9, input [16:0] VAR20, input [7:0] VAR12, input [0:0] VAR13, output reg [0:0] VAR17, output reg [0:0] VAR8, input [16:0] VAR1, output reg [7:0] VAR18, input [0:0] VAR19, output reg [0:0] VAR15, output reg [0:0] VAR6,
output reg [15:0] VAR10, output reg [0:0] VAR11, output reg [0:0] VAR14, output reg [0:0] VAR21, output reg [0:0] VAR3, output reg [0:0] VAR5, input [15:0] VAR7, output reg [15:0] VAR22, output reg [0:0] VAR2 );
reg [0:0] counter = 0;
reg [0:0] VAR16 = 0;
reg [0:0] VAR4 = 0;
always @ (posedge clk) begin
if (!VAR9) begin
VAR6 <= 0;
VAR8 <= 0;
VAR14 <= 1;
VAR21 <= 1;
VAR11 <= 1;
counter <= 0;
end else begin
if (counter) begin
counter <= counter-1;
end else begin
if (VAR6 || VAR8) begin
VAR6 <= 0;
VAR8 <= 0;
VAR16 <= 0;
VAR4 <= 0;
VAR11 <= 1;
VAR2 <= 1;
VAR2 <= 1;
end else if (VAR19 && VAR11) begin
VAR16 <= 1;
VAR15 <= 1;
VAR14 <= 1; VAR21 <= 0;
VAR11 <= 0;
VAR3 <= VAR1[16];
VAR5 <= !VAR1[16];
VAR10 <= VAR1[15:0];
counter <= 1;
end else if (VAR16 && !VAR11) begin
VAR15 <= 0;
VAR21 <= 1;
VAR11 <= 1;
VAR18 <= VAR3 ? VAR7[15:8] : VAR7[7:0];
VAR6 <= 1;
end else if (VAR13 && VAR11 && !VAR2) begin
VAR2 <= 1;
end else if (VAR13 && VAR11 && VAR2) begin
VAR4 <= 1;
VAR17 <= 1;
VAR14 <= 0; VAR21 <= 1;
VAR11 <= 0;
VAR2 <= 0;
VAR3 <= VAR1[16];
VAR5 <= !VAR1[16];
VAR10 <= VAR1[15:0];
VAR22 <= {VAR12, VAR12};
counter <= 1;
end else if (VAR4 && !VAR11) begin
VAR17 <= 0;
VAR21 <= 1;
VAR11 <= 1;
VAR8 <= 1;
end else begin
end
end
end
end
endmodule | gpl-3.0 |
scalable-networks/ext | uhd/fpga/usrp1/sdr_lib/io_pins.v | 2,220 | module MODULE1
( inout wire [15:0] VAR14, inout wire [15:0] VAR13, inout wire [15:0] VAR19, inout wire [15:0] VAR5,
input wire [15:0] VAR18, input wire [15:0] VAR1, input wire [15:0] VAR16, input wire [15:0] VAR6,
input VAR8, input VAR4, input VAR2,
input [6:0] VAR20, input [31:0] VAR15, input VAR17);
reg [15:0] VAR24,VAR25,VAR9,VAR26;
VAR23 VAR22 (.VAR11(VAR14),.VAR21(VAR24),.VAR10(VAR18));
VAR23 VAR3 (.VAR11(VAR13),.VAR21(VAR25),.VAR10(VAR1));
VAR23 VAR7 (.VAR11(VAR19),.VAR21(VAR9),.VAR10(VAR16));
VAR23 VAR12 (.VAR11(VAR5),.VAR21(VAR26),.VAR10(VAR6));
always @(posedge VAR8)
if(VAR17)
case(VAR20)
<= (VAR24 & ~VAR15[31:16]) | (VAR15[15:0] & VAR15[31:16] );
<= (VAR25 & ~VAR15[31:16]) | (VAR15[15:0] & VAR15[31:16] );
<= (VAR9 & ~VAR15[31:16]) | (VAR15[15:0] & VAR15[31:16] );
<= (VAR26 & ~VAR15[31:16]) | (VAR15[15:0] & VAR15[31:16] );
endcase
endmodule | gpl-2.0 |
alexforencich/xfcp | lib/eth/rtl/eth_mac_1g_fifo.v | 9,606 | module MODULE1 #
(
parameter VAR106 = 8,
parameter VAR47 = (VAR106>8),
parameter VAR27 = (VAR106/8),
parameter VAR77 = 1,
parameter VAR30 = 64,
parameter VAR111 = 4096,
parameter VAR65 = 1,
parameter VAR117 = VAR65,
parameter VAR2 = 0,
parameter VAR59 = 4096,
parameter VAR63 = 1,
parameter VAR55 = VAR63,
parameter VAR79 = VAR63
)
(
input wire VAR69,
input wire VAR101,
input wire VAR18,
input wire VAR4,
input wire VAR70,
input wire VAR53,
input wire [VAR106-1:0] VAR67,
input wire [VAR27-1:0] VAR39,
input wire VAR99,
output wire VAR43,
input wire VAR8,
input wire VAR12,
output wire [VAR106-1:0] VAR16,
output wire [VAR27-1:0] VAR87,
output wire VAR40,
input wire VAR72,
output wire VAR54,
output wire VAR114,
input wire [7:0] VAR109,
input wire VAR5,
input wire VAR105,
output wire [7:0] VAR113,
output wire VAR102,
output wire VAR75,
input wire VAR73,
input wire VAR66,
input wire VAR57,
input wire VAR21,
output wire VAR42,
output wire VAR81,
output wire VAR49,
output wire VAR100,
output wire VAR89,
output wire VAR85,
output wire VAR71,
output wire VAR116,
output wire VAR26,
input wire [7:0] VAR29
);
wire [7:0] VAR22;
wire VAR20;
wire VAR19;
wire VAR110;
wire VAR28;
wire [7:0] VAR17;
wire VAR58;
wire VAR34;
wire VAR24;
wire VAR107;
reg [0:0] VAR86 = 1'b0;
reg [0:0] VAR23 = 1'b0;
reg [0:0] VAR48 = 1'b0;
reg [0:0] VAR92 = 1'b0;
assign VAR42 = VAR48[0] ^ VAR92[0];
always @(posedge VAR18 or posedge VAR4) begin
if (VAR4) begin
VAR86 <= 1'b0;
end else begin
VAR86 <= VAR86 ^ {VAR107};
end
end
always @(posedge VAR70 or posedge VAR53) begin
if (VAR53) begin
VAR23 <= 1'b0;
VAR48 <= 1'b0;
VAR92 <= 1'b0;
end else begin
VAR23 <= VAR86;
VAR48 <= VAR23;
VAR92 <= VAR48;
end
end
wire VAR68;
wire VAR90;
reg [1:0] VAR10 = 2'd0;
reg [1:0] VAR36 = 2'd0;
reg [1:0] VAR14 = 2'd0;
reg [1:0] VAR9 = 2'd0;
assign VAR89 = VAR14[0] ^ VAR9[0];
assign VAR85 = VAR14[1] ^ VAR9[1];
always @(posedge VAR69 or posedge VAR101) begin
if (VAR101) begin
VAR10 <= 2'd0;
end else begin
VAR10 <= VAR10 ^ {VAR90, VAR68};
end
end
always @(posedge VAR70 or posedge VAR53) begin
if (VAR53) begin
VAR36 <= 2'd0;
VAR14 <= 2'd0;
VAR9 <= 2'd0;
end else begin
VAR36 <= VAR10;
VAR14 <= VAR36;
VAR9 <= VAR14;
end
end
VAR45 #(
.VAR77(VAR77),
.VAR30(VAR30)
)
VAR118 (
.VAR18(VAR18),
.VAR4(VAR4),
.VAR69(VAR69),
.VAR101(VAR101),
.VAR67(VAR22),
.VAR99(VAR20),
.VAR43(VAR19),
.VAR8(VAR110),
.VAR12(VAR28),
.VAR16(VAR17),
.VAR40(VAR58),
.VAR54(VAR34),
.VAR114(VAR24),
.VAR109(VAR109),
.VAR5(VAR5),
.VAR105(VAR105),
.VAR113(VAR113),
.VAR102(VAR102),
.VAR75(VAR75),
.VAR73(VAR73),
.VAR66(VAR66),
.VAR57(VAR57),
.VAR21(VAR21),
.VAR42(VAR107),
.VAR89(VAR68),
.VAR85(VAR90),
.VAR29(VAR29)
);
VAR41 #(
.VAR50(VAR111),
.VAR108(VAR106),
.VAR82(VAR47),
.VAR44(VAR27),
.VAR46(8),
.VAR98(0),
.VAR80(0),
.VAR74(0),
.VAR60(1),
.VAR95(1),
.VAR61(VAR65),
.VAR103(1'b1),
.VAR84(1'b1),
.VAR31(VAR117),
.VAR93(VAR2)
)
VAR64 (
.VAR76(VAR70),
.VAR52(VAR53),
.VAR32(VAR67),
.VAR91(VAR39),
.VAR96(VAR99),
.VAR1(VAR43),
.VAR94(VAR8),
.VAR37(0),
.VAR33(0),
.VAR6(VAR12),
.VAR51(VAR18),
.VAR7(VAR4),
.VAR97(VAR22),
.VAR56(),
.VAR38(VAR20),
.VAR62(VAR19),
.VAR35(VAR110),
.VAR25(),
.VAR11(),
.VAR115(VAR28),
.VAR3(VAR81),
.VAR88(VAR49),
.VAR78(VAR100),
.VAR15(),
.VAR104(),
.VAR83()
);
VAR41 #(
.VAR50(VAR59),
.VAR108(8),
.VAR82(0),
.VAR46(VAR106),
.VAR98(VAR47),
.VAR13(VAR27),
.VAR80(0),
.VAR74(0),
.VAR60(1),
.VAR95(1),
.VAR61(VAR63),
.VAR103(1'b1),
.VAR84(1'b1),
.VAR31(VAR55),
.VAR93(VAR79)
)
VAR112 (
.VAR76(VAR69),
.VAR52(VAR101),
.VAR32(VAR17),
.VAR91(0),
.VAR96(VAR58),
.VAR1(),
.VAR94(VAR34),
.VAR37(0),
.VAR33(0),
.VAR6(VAR24),
.VAR51(VAR70),
.VAR7(VAR53),
.VAR97(VAR16),
.VAR56(VAR87),
.VAR38(VAR40),
.VAR62(VAR72),
.VAR35(VAR54),
.VAR25(),
.VAR11(),
.VAR115(VAR114),
.VAR3(),
.VAR88(),
.VAR78(),
.VAR15(VAR71),
.VAR104(VAR116),
.VAR83(VAR26)
);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/einvn/sky130_fd_sc_hdll__einvn.behavioral.pp.v | 1,890 | module MODULE1 (
VAR2 ,
VAR9 ,
VAR4,
VAR10,
VAR1,
VAR11 ,
VAR6
);
output VAR2 ;
input VAR9 ;
input VAR4;
input VAR10;
input VAR1;
input VAR11 ;
input VAR6 ;
wire VAR3 ;
wire VAR13;
VAR12 VAR7 (VAR3 , VAR9, VAR10, VAR1 );
VAR12 VAR8 (VAR13, VAR4, VAR10, VAR1 );
notif0 VAR5 (VAR2 , VAR3, VAR13);
endmodule | apache-2.0 |
eecsninja/duinocube-core | altera/sprite_ram_4Kx16.v | 11,037 | module MODULE1 (
VAR44,
VAR36,
VAR25,
VAR43,
VAR41,
VAR20,
VAR21,
VAR35,
VAR61,
VAR4,
VAR62);
input [11:0] VAR44;
input [8:0] VAR36;
input [1:0] VAR25;
input VAR43;
input VAR41;
input [15:0] VAR20;
input [127:0] VAR21;
input VAR35;
input VAR61;
output [15:0] VAR4;
output [127:0] VAR62;
tri1 [1:0] VAR25;
tri1 VAR43;
tri0 VAR35;
tri0 VAR61;
wire [15:0] VAR3;
wire [127:0] VAR18;
wire [15:0] VAR4 = VAR3[15:0];
wire [127:0] VAR62 = VAR18[127:0];
VAR19 VAR16 (
.VAR25 (VAR25),
.VAR38 (VAR43),
.VAR35 (VAR35),
.VAR36 (VAR36),
.VAR51 (VAR41),
.VAR21 (VAR21),
.VAR61 (VAR61),
.VAR44 (VAR44),
.VAR20 (VAR20),
.VAR4 (VAR3),
.VAR62 (VAR18),
.VAR33 (1'b0),
.VAR5 (1'b0),
.VAR56 (1'b0),
.VAR50 (1'b0),
.VAR46 (1'b1),
.VAR23 (1'b1),
.VAR31 (1'b1),
.VAR9 (1'b1),
.VAR37 (1'b1),
.VAR24 (),
.VAR60 (1'b1),
.VAR8 (1'b1));
VAR16.VAR40 = "VAR45",
VAR16.VAR65 = 8,
VAR16.VAR12 = "VAR53",
VAR16.VAR58 = "VAR53",
VAR16.VAR57 = "VAR53",
VAR16.VAR54 = "VAR53",
VAR16.VAR29 = "VAR45",
VAR16.VAR10 = "VAR17 VAR63 VAR52",
VAR16.VAR28 = "VAR19",
VAR16.VAR59 = 4096,
VAR16.VAR15 = 512,
VAR16.VAR49 = "VAR2",
VAR16.VAR13 = "VAR55",
VAR16.VAR39 = "VAR55",
VAR16.VAR7 = "VAR32",
VAR16.VAR47 = "VAR32",
VAR16.VAR11 = "VAR27",
VAR16.VAR22 = "VAR64",
VAR16.VAR26 = "VAR64",
VAR16.VAR42 = 12,
VAR16.VAR30 = 9,
VAR16.VAR34 = 16,
VAR16.VAR48 = 128,
VAR16.VAR14 = 2,
VAR16.VAR1 = 1,
VAR16.VAR6 = "VAR45";
endmodule | gpl-3.0 |
impedimentToProgress/ProbableCause | ddr2/cores/ddr2/ddr2_phy_write.v | 15,959 | module MODULE1 #
(
parameter VAR31 = 72,
parameter VAR22 = 1,
parameter VAR34 = 0,
parameter VAR4 = 5,
parameter VAR17 = 0,
parameter VAR50 = 1,
parameter VAR51 = 1,
parameter VAR11 = 1
)
(
input VAR67,
input VAR36,
input VAR62,
input [(2*VAR31)-1:0] VAR25,
input [(2*VAR31/8)-1:0] VAR38,
input VAR35,
input VAR27,
input VAR60,
output reg VAR71,
output reg [1:0] VAR2,
output reg VAR19 ,
output reg VAR33 ,
output VAR63,
output reg [VAR22-1:0] VAR6 ,
output [VAR31-1:0] VAR48,
output [VAR31-1:0] VAR39,
output [(VAR31/8)-1:0] VAR28,
output [(VAR31/8)-1:0] VAR54
);
localparam VAR15 = VAR31/8;
localparam VAR23 = 0;
localparam VAR43 = 1;
localparam VAR58 = 2;
localparam VAR41 = (VAR11 == VAR58) ?
(VAR34 + (VAR4) + VAR51 ) :
(VAR11 == VAR43) ?
(VAR34 + (VAR4-1) + VAR51 ) :
(1 + VAR51 );
localparam VAR45 = VAR41 - VAR51;
wire VAR40;
reg VAR5;
wire [1:0] VAR37;
reg [1:0] VAR69;
reg [1:0] VAR7;
wire VAR44;
reg VAR20;
reg VAR59;
wire VAR24;
reg VAR16;
reg VAR64;
reg VAR61;
reg VAR8;
reg [(VAR31-1):0] VAR53;
reg [(VAR31-1):0] VAR1;
reg [3:0] VAR9;
wire VAR55;
reg VAR18 ;
reg [10:0] VAR68 ;
reg [(2*VAR31)-1:0] VAR3;
reg [(2*VAR31/8)-1:0] VAR46;
wire [(2*VAR31/8)-1:0] VAR32;
reg [(2*VAR31/8)-1:0] VAR29;
wire VAR13;
reg VAR65;
reg VAR57;
reg VAR52;
reg VAR47;
always @(posedge VAR36)
VAR18 <= VAR62;
assign VAR44 = VAR68[VAR41-1] | VAR68[VAR41-2];
generate
if ((VAR11 != VAR23) && (VAR50 > 0))begin: VAR42
if(VAR45 > 2)
assign VAR55 =
VAR68[VAR45-1] |
VAR68[VAR45-2] |
VAR68[VAR45-3] ;
end
else
assign VAR55 =
VAR68[VAR45] |
VAR68[VAR45-1] |
VAR68[VAR45-2] ;
end else
assign VAR55 = 1'b0;
endgenerate
assign VAR37[0] = VAR68[VAR41-1] | VAR68[VAR41];
assign VAR37[1] = VAR68[VAR41-1] | VAR68[VAR41-2];
assign VAR24 = ~VAR68[VAR41-2];
assign VAR40 = VAR68[VAR41] | VAR68[VAR41-1]
| VAR68[VAR41-2];
generate
if (VAR11 != VAR23) begin: VAR10
if (VAR41 > 2)
assign VAR13 = VAR68[VAR41-3];
end
else
assign VAR13 = VAR68[VAR41-2];
end else begin: VAR70
assign VAR13 = VAR68[VAR41-2];
end
endgenerate
always @ begin
VAR52 = VAR57
& VAR60;
VAR65 = VAR57;
end
end endgenerate
always @(negedge VAR36)begin
VAR5 <= VAR40;
VAR71 <= VAR5;
end
genvar VAR30;
generate
if(VAR17) begin
for (VAR30 = 0; VAR30 < (2*VAR31)/72;
VAR30 = VAR30+1) begin: VAR49
assign VAR32[((VAR30*9)+9)-1:(VAR30*9)] =
{&VAR38[(VAR30*8)+(7+VAR30):VAR30*9],
VAR38[(VAR30*8)+(7+VAR30):VAR30*9]};
end
end
endgenerate
generate
if (VAR17) begin:VAR66
always @(posedge VAR36)begin
if(VAR60)
VAR46 <= VAR32;
end
else
VAR46 <= {(2*VAR31/8){1'b0}};
end
end else begin
always@(posedge VAR36) begin
if (VAR60)
VAR46 <= VAR38;
end
else
VAR46 <= {(2*VAR31/8){1'b0}};
end
end
endgenerate
always @(posedge VAR36) begin
if(VAR60)
VAR3 <= VAR25;
end
else
VAR3 <={VAR53,VAR1};
end
generate
if (VAR17) begin: VAR14
always @(posedge VAR36) begin
VAR29 <= VAR46;
if(VAR31 > 72)
VAR61
<= (
(~VAR29[35] && (|VAR29[34:27])) ||
(~VAR29[26] && (|VAR29[25:18])) ||
(~VAR29[17] && (|VAR29[16:9])) ||
(~VAR29[8] && (|VAR29[7:0]))) && VAR60;
end
else
VAR61
<= ((~VAR29[17] && (|VAR29[16:9])) ||
(~VAR29[8] && (|VAR29[7:0]))) && VAR60;
VAR8 <= VAR61 ;
if (VAR61 && ~VAR8)
end
end
endgenerate
always @(posedge VAR36) begin
if (VAR18) begin
VAR9 <= 4'd0;
VAR1 <= {64{1'VAR26}};
VAR53 <= {64{1'VAR26}};
end else begin
VAR9 <= VAR9 + VAR65;
casex (VAR9)
4'VAR12: begin
VAR1 <= {VAR31{1'b1}};
VAR53 <= {VAR31{1'b0}};
end
4'VAR56: begin
VAR1 <= {VAR31{1'b1}};
VAR53 <= {VAR31{1'b1}};
end
4'VAR21: begin
VAR1 <= {VAR31{1'b0}};
VAR53 <= {VAR31{1'b0}};
end
4'b1000: begin
VAR1 <= {VAR31/4{4'h1}};
VAR53 <= {VAR31/4{4'hE}};
end
4'b1001: begin
VAR1 <= {VAR31/4{4'hE}};
VAR53 <= {VAR31/4{4'h1}};
end
4'b1010: begin
VAR1 <= {(VAR31/4){4'h1}};
VAR53 <= {(VAR31/4){4'hE}};
end
4'b1011: begin
VAR1 <= {(VAR31/4){4'hE}};
VAR53 <= {(VAR31/4){4'h1}};
end
default: begin
VAR53 <= {(2*VAR31){1'VAR26}};
VAR1 <= {(2*VAR31){1'VAR26}};
end
endcase
end
end
always @(posedge VAR36)
VAR2 <= VAR69;
always @(negedge VAR67)
VAR19 <= VAR59;
always @(negedge VAR67)
VAR33 <= VAR16;
generate
if (VAR45 > 2) begin
always @(posedge VAR67) begin
VAR6 <= 'b0;
VAR6[0] <= VAR55;
end
end else begin
always @ (*) begin
VAR6 = 'b0;
VAR6[0] = VAR55;
end
end
endgenerate
assign VAR63 = VAR52;
assign VAR48 = VAR3[VAR31-1:0];
assign VAR39 = VAR3[(2*VAR31)-1:VAR31];
assign VAR28 = VAR46[VAR15-1:0];
assign VAR54 = VAR46[(2*VAR15)-1:VAR15];
endmodule | mit |
GLADICOS/SPACEWIRESYSTEMC | altera_work/spw_babasu/spw_babasu/synthesis/submodules/spw_babasu_TX_CLK_DIV.v | 2,217 | module MODULE1 (
address,
VAR8,
clk,
VAR7,
VAR2,
VAR9,
VAR5,
VAR1
)
;
output [ 6: 0] VAR5;
output [ 31: 0] VAR1;
input [ 1: 0] address;
input VAR8;
input clk;
input VAR7;
input VAR2;
input [ 31: 0] VAR9;
wire VAR3;
reg [ 6: 0] VAR4;
wire [ 6: 0] VAR5;
wire [ 6: 0] VAR6;
wire [ 31: 0] VAR1;
assign VAR3 = 1;
assign VAR6 = {7 {(address == 0)}} & VAR4;
always @(posedge clk or negedge VAR7)
begin
if (VAR7 == 0)
VAR4 <= 3;
end
else if (VAR8 && ~VAR2 && (address == 0))
VAR4 <= VAR9[6 : 0];
end
assign VAR1 = {32'b0 | VAR6};
assign VAR5 = VAR4;
endmodule | gpl-3.0 |
Cosmos-OpenSSD/Cosmos-plus-OpenSSD | project/Predefined/2Ch8Way-1.0.3/OpenSSD2_2Ch8Way-1.0.3/OpenSSD2.srcs/sources_1/ipshared/ENCLab/Tiger4NSC_v1_2_5/ab882192/src/d_SC_deviders_s_lfs_XOR.v | 13,219 | module MODULE3(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1001100100001;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE5(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1111010111001;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE10(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1000010100011;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE6(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1000010100101;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE9(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1101110001111;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE2(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1011100010101;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE8(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1010101001011;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE13(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1000011001111;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE4(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1011111000001;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE14(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1110010111011;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE11(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1000000110101;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE12(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1100111001001;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE1(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1100100101101;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule
module MODULE7(VAR7, VAR4, VAR3);
parameter [0:12] VAR8 = 13'b1011101001111;
input wire VAR7;
input wire [VAR5-1:0] VAR4;
output wire [VAR5-1:0] VAR3;
wire VAR1;
assign VAR1 = VAR4[VAR5-1];
assign VAR3[0] = VAR7 ^ VAR1;
genvar VAR2;
generate
for (VAR2=1; VAR2<VAR5; VAR2=VAR2+1)
begin: VAR6
if (VAR8[VAR2] == 1)
begin
assign VAR3[VAR2] = VAR4[VAR2-1] ^ VAR1;
end
else
begin
assign VAR3[VAR2] = VAR4[VAR2-1];
end
end
endgenerate
endmodule | gpl-3.0 |
ptracton/wb_soc_template | rtl/uart16550/rtl/verilog/uart_wb.v | 12,117 | module MODULE1 (clk, VAR18,
VAR5, VAR16, VAR26, VAR25, VAR11,
VAR13, VAR6, VAR24, VAR10, VAR14, VAR7, VAR17,
VAR21, VAR4 );
input clk;
input VAR18;
input VAR5;
input VAR16;
input VAR26;
input [3:0] VAR17;
input [VAR9-1:0] VAR11;
input [7:0] VAR6; output [7:0] VAR24;
reg [7:0] VAR24;
wire [7:0] VAR6;
reg [7:0] VAR15;
reg [31:0] VAR24;
wire [31:0] VAR6;
reg [31:0] VAR15;
output [VAR9-1:0] VAR13; input [7:0] VAR14; output [7:0] VAR10;
input [31:0] VAR7; output VAR25;
output VAR21;
output VAR4;
wire VAR21;
reg VAR25;
reg [7:0] VAR10;
wire [7:0] VAR14;
wire [VAR9-1:0] VAR13; reg [VAR9-1:0] VAR2;
reg VAR19;
reg VAR22;
reg VAR23;
reg [3:0] VAR1;
wire [3:0] VAR17;
reg VAR12 ;
reg [1:0] VAR3;
always @(posedge clk or posedge VAR18)
if (VAR18) begin
VAR25 <= 1'b0;
VAR3 <= 0;
VAR12 <= 1'b1;
end else
case (VAR3)
0: begin
if (VAR23 & VAR22) begin
VAR12 <= 0;
VAR3 <= 1;
VAR25 <= 1;
end else begin
VAR12 <= 1;
VAR25 <= 0;
end
end
1: begin
VAR25 <= 0;
VAR3 <= 2;
VAR12 <= 0;
end
2: begin
VAR25 <= 0;
VAR3 <= 3;
VAR12 <= 0;
end
3: begin
VAR25 <= 0;
VAR3 <= 0;
VAR12 <= 1;
end
endcase
assign VAR21 = VAR19 & VAR23 & VAR22 & VAR12 ; assign VAR4 = ~VAR19 & VAR23 & VAR22 & VAR12 ;
always @(posedge clk or posedge VAR18)
if (VAR18) begin
VAR2 <= 0;
VAR19 <= 0;
VAR22 <= 0;
VAR23 <= 0;
VAR15 <= 0;
VAR1 <= 0;
end else begin
VAR2 <= VAR11;
VAR19 <= VAR5;
VAR22 <= VAR26;
VAR23 <= VAR16;
VAR15 <= VAR6;
VAR1 <= VAR17;
end
if (VAR18)
VAR24 <= 0;
end
else
VAR24 <= VAR14;
always @(VAR15)
VAR10 = VAR15;
assign VAR13 = VAR2;
if (VAR18)
VAR24 <= 0;
end
else if (VAR4)
case (VAR1)
4'b0001: VAR24 <= {24'b0, VAR14};
4'b0010: VAR24 <= {16'b0, VAR14, 8'b0};
4'b0100: VAR24 <= {8'b0, VAR14, 16'b0};
4'b1000: VAR24 <= {VAR14, 24'b0};
4'b1111: VAR24 <= VAR7; default: VAR24 <= 0;
endcase
reg [1:0] VAR20;
always @(VAR1 or VAR15)
begin
case (VAR1)
4'b0001 : VAR10 = VAR15[7:0];
4'b0010 : VAR10 = VAR15[15:8];
4'b0100 : VAR10 = VAR15[23:16];
4'b1000 : VAR10 = VAR15[31:24];
default : VAR10 = VAR15[7:0];
endcase
case (VAR1)
4'b0001 : VAR20 = 2'h0;
4'b0010 : VAR20 = 2'h1;
4'b0100 : VAR20 = 2'h2;
4'b1000 : VAR20 = 2'h3;
default : VAR20 = 2'h0;
end
endcase else
case (VAR1)
4'b0001 : VAR20 = 2'h3;
4'b0010 : VAR20 = 2'h2;
4'b0100 : VAR20 = 2'h1;
4'b1000 : VAR20 = 2'h0;
default : VAR20 = 2'h0;
endcase VAR8
end
assign VAR13 = {2'b00,VAR2[VAR9-1:2]};
assign VAR13 = {VAR2[VAR9-1:2], VAR20};
endmodule | mit |
BilkentCompGen/GateKeeper | FPGA Application/VC709_Gen3x4If128/GateKeeper.srcs/riffa2.2/fifo_packer_64.v | 5,060 | module MODULE1 (
input VAR11,
input VAR23,
input [63:0] VAR10, input [1:0] VAR4, input VAR20, input VAR3, input VAR2, output [63:0] VAR22, output VAR16, output VAR24, output VAR19, output VAR17 );
reg [1:0] VAR6=0, VAR6=0;
reg VAR13=0, VAR13=0;
reg VAR7=0, VAR7=0;
reg VAR21=0, VAR21=0;
reg VAR14=0, VAR14=0;
reg [95:0] VAR15=96'd0, VAR15=96'd0;
reg [63:0] VAR8=64'd0, VAR8=64'd0;
reg [1:0] VAR18=0, VAR18=0;
reg [63:0] VAR12=64'd0, VAR12=64'd0;
reg [1:0] VAR5=0, VAR5=0;
assign VAR22 = VAR15[63:0];
assign VAR16 = VAR6[1];
assign VAR24 = VAR13;
assign VAR19 = VAR7;
assign VAR17 = VAR14;
wire [63:0] VAR9 = {64{1'b1}}<<(32*VAR18);
wire [63:0] VAR1 = ~VAR9 & VAR8;
always @ (posedge VAR11) begin
VAR6 <= (VAR23 ? 2'd0 : VAR6);
VAR13 <= (VAR23 ? 1'd0 : VAR13);
VAR7 <= (VAR23 ? 1'd0 : VAR7);
VAR21 <= (VAR23 ? 1'd0 : VAR21);
VAR14 <= (VAR23 ? 1'd0 : VAR14);
VAR15 <= (VAR23 ? 96'd0 : VAR15);
VAR8 <= VAR8;
VAR18 <= (VAR23 ? 2'd0 : VAR18);
VAR12 <= VAR12;
VAR5 <= (VAR23 ? 2'd0 : VAR5);
end
always @ (*) begin
VAR8 = VAR10;
VAR18 = VAR4;
VAR12 = VAR1;
VAR5 = VAR18;
if (VAR21 && VAR6[0])
VAR6 = 2;
end
else
VAR6 = VAR6 + VAR5 - {VAR6[1], 1'd0};
if (VAR5 != 2'd0)
VAR15 = ((VAR15>>(32*{VAR6[1], 1'd0})) | (VAR12<<(32*VAR6[0])));
else
VAR15 = (VAR15>>(32*{VAR6[1], 1'd0}));
VAR13 = VAR20;
VAR7 = VAR3;
VAR21 = VAR2;
VAR14 = VAR21;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/clkinvlp/sky130_fd_sc_lp__clkinvlp.functional.v | 1,273 | module MODULE1 (
VAR3,
VAR4
);
output VAR3;
input VAR4;
wire VAR2;
not VAR5 (VAR2, VAR4 );
buf VAR1 (VAR3 , VAR2 );
endmodule | apache-2.0 |
MarkBlanco/FPGA_Sandbox | RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_axi_gpio_0_0/zynq_design_1_axi_gpio_0_0_stub.v | 2,357 | module MODULE1(VAR18, VAR5, VAR4,
VAR17, VAR19, VAR3, VAR6, VAR20, VAR11,
VAR12, VAR16, VAR14, VAR7, VAR15, VAR10,
VAR8, VAR13, VAR2, VAR9, VAR1)
;
input VAR18;
input VAR5;
input [8:0]VAR4;
input VAR17;
output VAR19;
input [31:0]VAR3;
input [3:0]VAR6;
input VAR20;
output VAR11;
output [1:0]VAR12;
output VAR16;
input VAR14;
input [8:0]VAR7;
input VAR15;
output VAR10;
output [31:0]VAR8;
output [1:0]VAR13;
output VAR2;
input VAR9;
output [7:0]VAR1;
endmodule | mit |
ShepardSiegel/ocpi | coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/controller/bank_cntrl.v | 25,516 | module MODULE1 #
(
parameter VAR110 = 100,
parameter VAR10 = "VAR52",
parameter VAR49 = 3,
parameter VAR136 = 2,
parameter VAR61 = "8",
parameter VAR65 = 12,
parameter VAR23 = 5,
parameter VAR125 = 8,
parameter VAR106 = "VAR42",
parameter VAR24 = "VAR104",
parameter VAR17 = 4,
parameter VAR4 = 4,
parameter VAR29 = 2,
parameter VAR124 = 2,
parameter VAR68 = 2,
parameter VAR98 = 0,
parameter VAR43 = 10,
parameter VAR132 = 5,
parameter VAR138 = 4,
parameter VAR108 = 10,
parameter VAR101 = 5,
parameter VAR30 = "VAR75",
parameter VAR113 = 2,
parameter VAR126 = 4,
parameter VAR66 = 5,
parameter VAR51 = 16,
parameter VAR111 = 2
)
(
VAR81, VAR102, VAR56, VAR85, VAR99, VAR50,
VAR16, VAR35, VAR63, VAR140, VAR122,
VAR31, VAR6, VAR129, VAR3,
VAR55, VAR133, VAR48, VAR89,
VAR79, VAR121, VAR77, VAR62,
VAR70, VAR92, VAR40, VAR96, VAR76,
VAR134, VAR94, VAR97, VAR5, VAR114, VAR67,
VAR74, VAR2, VAR78, VAR130,
VAR109, VAR82, VAR21, VAR20, VAR72,
VAR69, VAR127, VAR120, VAR15, VAR18, rst, VAR32,
VAR12, VAR33, VAR135, VAR39,
VAR26, VAR60, VAR86, VAR100,
VAR137, VAR36, VAR117,
VAR47, VAR57, VAR131, VAR27, VAR9,
VAR14, VAR1, VAR142, VAR118,
VAR46, VAR115, VAR123, VAR91,
VAR22, VAR8, VAR105, VAR112,
VAR95, VAR59, VAR44,
VAR13, VAR83, VAR107, clk, VAR28, VAR84, VAR128,
VAR11, VAR41
);
input VAR41; input VAR11; input VAR128; input [VAR49-1:0] VAR84; input [(VAR4*2)-1:0] VAR28; input clk; input [2:0] VAR107; input [VAR65-1:0] VAR83; input [VAR125-1:0] VAR13; input [(VAR4*2)-1:0] VAR44; input [(VAR4*2)-1:0] VAR59; input VAR95; input VAR112; input VAR105; input [VAR136-1:0] VAR8; input [VAR126-1:0] VAR22; input VAR91; input [VAR126-1:0] VAR123; input VAR115; input [VAR113:0] VAR46; input VAR118; input VAR142; input VAR1; input VAR14; input [VAR113-1:0] VAR9; input VAR27; input VAR131; input VAR57; input [VAR136-1:0] VAR47; input [(VAR4*2)-1:0] VAR117; input VAR36; input VAR137; input [VAR113-1:0] VAR100; input [VAR113-1:0] VAR86; input [(2*(VAR66*VAR4))-1:0] VAR60; input [VAR136-1:0] VAR26; input [(VAR4*2)-1:0] VAR39; input [VAR125-1:0] VAR135; input VAR33; input [(VAR113*VAR4*2)-1:0] VAR12; input [VAR51-1:0] VAR32; input rst; input VAR18; input VAR15; input VAR120; input VAR127; input VAR69; input [(VAR4*2)-1:0] VAR72; input VAR20; input VAR21; input VAR82; input [VAR126-1:0] VAR109;
output [VAR126-1:0] VAR96; output [VAR51-1:0] VAR40; output VAR92; output VAR70; output VAR62; output VAR77; output VAR121; output VAR79; output VAR89; output [VAR126-1:0] VAR48; output [VAR66-1:0] VAR133; output VAR55; output [VAR126-1:0] VAR3; output [VAR49-1:0] VAR129; output VAR6; output VAR31; output VAR122; output [VAR51-1:0] VAR140; output VAR63; output [VAR51-1:0] VAR35; output VAR16; output VAR50; output VAR99; output VAR85; output VAR56; output VAR102; output [VAR126-1:0] VAR81;
wire VAR19; wire VAR90; wire VAR53; wire VAR54; wire VAR116; wire VAR25; wire VAR80; wire VAR139; wire VAR103; wire VAR37; wire VAR88; wire [VAR4*2-1:0] VAR38; wire VAR7; wire VAR87; wire VAR141; wire VAR119; wire VAR93; wire VAR73;
output VAR76;
output VAR134;
output VAR94;
output VAR97;
output VAR5;
output VAR114;
output [VAR113-1:0] VAR67;
output VAR74;
output VAR2;
output VAR78;
output [VAR125-1:0] VAR130;
VAR34 #
(
.VAR49 (VAR49),
.VAR110 (VAR110),
.VAR61 (VAR61),
.VAR65 (VAR65),
.VAR125 (VAR125),
.VAR24 (VAR24),
.VAR113 (VAR113),
.VAR126 (VAR126),
.VAR51 (VAR51))
VAR45
(
.VAR130 (VAR130[VAR125-1:0]),
.VAR31 (VAR31),
.VAR63 (VAR63),
.VAR94 (VAR94),
.VAR67 (VAR67[VAR113-1:0]),
.VAR129 (VAR129[VAR49-1:0]),
.VAR140 (VAR140[VAR51-1:0]),
.VAR134 (VAR134),
.VAR141 (VAR141),
.VAR74 (VAR74),
.VAR55 (VAR55),
.VAR119 (VAR119),
.VAR78 (VAR78),
.VAR40 (VAR40[VAR51-1:0]),
.VAR122 (VAR122),
.VAR6 (VAR6),
.VAR16 (VAR16),
.VAR35 (VAR35[VAR51-1:0]),
.VAR48 (VAR48[VAR126-1:0]),
.clk (clk),
.VAR76 (VAR76),
.VAR5 (VAR5),
.VAR13 (VAR13[VAR125-1:0]),
.VAR137 (VAR137),
.VAR69 (VAR69),
.VAR107 (VAR107[2:0]),
.VAR18 (VAR18),
.VAR86 (VAR86[VAR113-1:0]),
.VAR100 (VAR100[VAR113-1:0]),
.VAR84 (VAR84[VAR49-1:0]),
.VAR32 (VAR32[VAR51-1:0]),
.VAR83 (VAR83[VAR65-1:0]),
.VAR105 (VAR105),
.VAR9 (VAR9[VAR113-1:0]),
.VAR131 (VAR131),
.VAR53 (VAR53),
.VAR87 (VAR87),
.VAR19 (VAR19));
VAR71 #
(
.VAR110 (VAR110),
.VAR10 (VAR10),
.VAR136 (VAR136),
.VAR61 (VAR61),
.VAR23 (VAR23),
.VAR125 (VAR125),
.VAR106 (VAR106),
.VAR24 (VAR24),
.VAR17 (VAR17),
.VAR4 (VAR4),
.VAR29 (VAR29),
.VAR124 (VAR124),
.VAR68 (VAR68),
.VAR98 (VAR98),
.VAR43 (VAR43),
.VAR108 (VAR108),
.VAR138 (VAR138),
.VAR132 (VAR132),
.VAR101 (VAR101),
.VAR30 (VAR30),
.VAR126 (VAR126),
.VAR113 (VAR113),
.VAR66 (VAR66),
.VAR111 (VAR111))
VAR143
(
.VAR102 (VAR102),
.VAR19 (VAR19),
.VAR87 (VAR87),
.VAR133 (VAR133[VAR66-1:0]),
.VAR77 (VAR77),
.VAR54 (VAR54),
.VAR56 (VAR56),
.VAR121 (VAR121),
.VAR139 (VAR139),
.VAR90 (VAR90),
.VAR103 (VAR103),
.VAR70 (VAR70),
.VAR85 (VAR85),
.VAR96 (VAR96[VAR126-1:0]),
.VAR62 (VAR62),
.VAR50 (VAR50),
.VAR92 (VAR92),
.VAR99 (VAR99),
.VAR81 (VAR81[VAR126-1:0]),
.VAR3 (VAR3[VAR126-1:0]),
.clk (clk),
.rst (rst),
.VAR97 (VAR97),
.VAR80 (VAR80),
.VAR15 (VAR15),
.VAR7 (VAR7),
.VAR18 (VAR18),
.VAR94 (VAR94),
.VAR134 (VAR134),
.VAR135 (VAR135[VAR125-1:0]),
.VAR130 (VAR130[VAR125-1:0]),
.VAR95 (VAR95),
.VAR33 (VAR33),
.VAR60 (VAR60[(2*(VAR66*VAR4))-1:0]),
.VAR38 (VAR38[(VAR4*2)-1:0]),
.VAR5 (VAR5),
.VAR2 (VAR2),
.VAR1 (VAR1),
.VAR57 (VAR57),
.VAR93 (VAR93),
.VAR53 (VAR53),
.VAR25 (VAR25),
.VAR67 (VAR67[VAR113-1:0]),
.VAR12 (VAR12[(VAR113*VAR4*2)-1:0]),
.VAR72 (VAR72[(VAR4*2)-1:0]),
.VAR22 (VAR22[VAR126-1:0]),
.VAR73 (VAR73),
.VAR114 (VAR114),
.VAR127 (VAR127),
.VAR44 (VAR44[(VAR4*2)-1:0]),
.VAR116 (VAR116),
.VAR120 (VAR120),
.VAR88 (VAR88),
.VAR37 (VAR37),
.VAR141 (VAR141),
.VAR76 (VAR76),
.VAR59 (VAR59[(VAR4*2)-1:0]),
.VAR118 (VAR118),
.VAR142 (VAR142),
.VAR46 (VAR46[VAR113:0]),
.VAR109 (VAR109[VAR126-1:0]),
.VAR91 (VAR91),
.VAR115 (VAR115),
.VAR123 (VAR123[VAR126-1:0]),
.VAR112 (VAR112));
VAR64 #
(
.VAR110 (VAR110),
.VAR136 (VAR136),
.VAR4 (VAR4),
.VAR30 (VAR30),
.VAR17 (VAR17))
VAR58
(
.VAR114 (VAR114),
.VAR93 (VAR93),
.VAR76 (VAR76),
.VAR5 (VAR5),
.VAR25 (VAR25),
.VAR80 (VAR80),
.VAR53 (VAR53),
.VAR97 (VAR97),
.VAR2 (VAR2),
.VAR79 (VAR79),
.VAR89 (VAR89),
.VAR116 (VAR116),
.VAR7 (VAR7),
.VAR38 (VAR38[VAR4*2-1:0]),
.VAR88 (VAR88),
.VAR37 (VAR37),
.VAR73 (VAR73),
.clk (clk),
.rst (rst),
.VAR41 (VAR41),
.VAR20 (VAR20),
.VAR36 (VAR36),
.VAR28 (VAR28[(VAR4*2)-1:0]),
.VAR8 (VAR8[VAR136-1:0]),
.VAR26 (VAR26[VAR136-1:0]),
.VAR11 (VAR11),
.VAR74 (VAR74),
.VAR14 (VAR14),
.VAR78 (VAR78),
.VAR119 (VAR119),
.VAR139 (VAR139),
.VAR90 (VAR90),
.VAR18 (VAR18),
.VAR134 (VAR134),
.VAR94 (VAR94),
.VAR54 (VAR54),
.VAR103 (VAR103),
.VAR128 (VAR128),
.VAR47 (VAR47[VAR136-1:0]),
.VAR39 (VAR39[(VAR4*2)-1:0]),
.VAR117 (VAR117[(VAR4*2)-1:0]),
.VAR82 (VAR82),
.VAR27 (VAR27),
.VAR21 (VAR21));
endmodule | lgpl-3.0 |
FAST-Switch/fast | projects/SDTS/example/hw-src/ddr2/ddr2_phy_alt_mem_phy_pll_bb.v | 18,017 | module MODULE1 (
VAR5,
VAR11,
VAR2,
VAR12,
VAR8,
VAR3,
VAR4,
VAR6,
VAR1,
VAR10,
VAR13,
VAR7,
VAR9,
VAR14);
input VAR5;
input VAR11;
input [3:0] VAR2;
input VAR12;
input VAR8;
input VAR3;
output VAR4;
output VAR6;
output VAR1;
output VAR10;
output VAR13;
output VAR7;
output VAR9;
output VAR14;
tri0 VAR5;
tri0 [3:0] VAR2;
tri0 VAR12;
tri0 VAR8;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/and4b/sky130_fd_sc_ms__and4b_4.v | 2,300 | module MODULE2 (
VAR3 ,
VAR10 ,
VAR2 ,
VAR6 ,
VAR4 ,
VAR7,
VAR11,
VAR1 ,
VAR5
);
output VAR3 ;
input VAR10 ;
input VAR2 ;
input VAR6 ;
input VAR4 ;
input VAR7;
input VAR11;
input VAR1 ;
input VAR5 ;
VAR8 VAR9 (
.VAR3(VAR3),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR4(VAR4),
.VAR7(VAR7),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR5(VAR5)
);
endmodule
module MODULE2 (
VAR3 ,
VAR10,
VAR2 ,
VAR6 ,
VAR4
);
output VAR3 ;
input VAR10;
input VAR2 ;
input VAR6 ;
input VAR4 ;
supply1 VAR7;
supply0 VAR11;
supply1 VAR1 ;
supply0 VAR5 ;
VAR8 VAR9 (
.VAR3(VAR3),
.VAR10(VAR10),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
iafnan/es2-hardwaresecurity | or1200/rtl/verilog/or1200/or1200_top.v | 27,246 | module MODULE1(
VAR362, VAR79, VAR162, VAR397,
VAR310,
VAR155,
VAR247,
VAR53, VAR329, VAR57, VAR342, VAR281, VAR63,
VAR311, VAR209, VAR52, VAR56, VAR327, VAR330,
VAR387,
VAR388, VAR364,
VAR288, VAR274, VAR54, VAR231, VAR160, VAR36,
VAR308, VAR359, VAR180, VAR226, VAR83, VAR31,
VAR67,
VAR273, VAR275,
VAR250, VAR173, VAR47, VAR265, VAR261, VAR398,
VAR99, VAR90, VAR320, VAR43, VAR403, VAR137,
VAR208, VAR93, VAR375,
VAR236,
VAR176, VAR28, VAR188, VAR69,
VAR158, VAR184, VAR3, VAR323, VAR377
);
parameter VAR249 = VAR232;
parameter VAR206 = VAR232;
parameter VAR371 = VAR78;
input VAR362;
input VAR79;
input [1:0] VAR397; input [VAR371-1:0] VAR162;
input [31:0] VAR310;
output [31:0] VAR155;
output VAR247;
input VAR53; input VAR329; input VAR57; input VAR342; input VAR281; input [VAR249-1:0] VAR63; output VAR311; output [VAR206-1:0] VAR209; output VAR52; output VAR56; output [3:0] VAR327; output [VAR249-1:0] VAR330; VAR144 VAR123
output VAR387; VAR197
output [2:0] VAR388; output [1:0] VAR364; VAR197
input VAR288; input VAR274; input VAR54; input VAR231; input VAR160; input [VAR249-1:0] VAR36; output VAR308; output [VAR206-1:0] VAR359; output VAR180; output VAR226; output [3:0] VAR83; output [VAR249-1:0] VAR31; VAR144 VAR123
output VAR67; VAR197
output [2:0] VAR273; output [1:0] VAR275; VAR197
input VAR250; input VAR173; output [3:0] VAR47; output [1:0] VAR265; output [10:0] VAR261; output VAR398; input VAR99; input VAR90; input [VAR206-1:0] VAR320; input [VAR249-1:0] VAR43; output [VAR249-1:0] VAR403; output VAR137;
input VAR208;
input [VAR81 - 1:0] VAR375;
output VAR93;
input VAR236;
output [3:0] VAR176;
output VAR28;
output VAR188;
output VAR69;
output VAR158;
output VAR184;
output VAR3;
output VAR323;
output VAR377;
wire [VAR249-1:0] VAR350;
wire [VAR206-1:0] VAR156;
wire VAR349;
wire VAR135;
wire VAR334;
wire [3:0] VAR399;
wire VAR50;
wire [VAR249-1:0] VAR219;
wire VAR315;
wire VAR391;
wire [VAR249-1:0] VAR106;
wire [VAR206-1:0] VAR229;
wire VAR309;
wire VAR37;
wire VAR305;
wire [3:0] VAR344;
wire VAR335;
wire [VAR249-1:0] VAR18;
wire VAR401;
wire VAR294;
wire [VAR249-1:0] VAR105;
wire [VAR206-1:0] VAR121;
wire VAR210;
wire VAR361;
wire VAR116;
wire [3:0] VAR172;
wire [3:0] VAR360;
wire VAR60;
wire [VAR249-1:0] VAR181;
wire VAR253;
wire VAR33;
wire [3:0] VAR62;
wire VAR345;
wire [VAR206-1:0] VAR222;
wire [VAR249-1:0] VAR367;
wire [31:0] VAR131;
wire VAR114;
wire VAR299;
wire [31:0] VAR390;
wire VAR89;
wire [3:0] VAR199;
wire [VAR206-1:0] VAR272;
wire VAR251;
wire VAR200;
wire VAR153;
wire [31:0] VAR128;
wire VAR70;
wire VAR140;
wire [3:0] VAR287;
wire [3:0] VAR9;
wire [31:0] VAR301;
wire [31:0] VAR88;
wire VAR259;
wire VAR368;
wire VAR269;
wire [3:0] VAR170;
wire VAR254;
wire [31:0] VAR283;
wire VAR279;
wire [31:0] VAR192;
wire VAR64;
wire [3:0] VAR332;
wire [3:0] VAR260;
wire [31:0] VAR365;
wire VAR404;
wire [31:0] VAR312;
wire VAR379;
wire [3:0] VAR6;
wire VAR190;
wire [VAR206-1:0] VAR80;
wire VAR98;
wire VAR161;
wire [3:0] VAR237;
wire VAR22;
wire VAR109;
wire [VAR206-1:0] VAR5;
wire VAR258;
wire VAR270;
wire [3:0] VAR353;
wire VAR405;
wire VAR40;
wire [31:0] VAR262;
wire VAR25;
wire [VAR206-1:0] VAR217;
wire VAR183;
wire VAR319;
wire [3:0] VAR30;
wire VAR389;
wire VAR35;
wire [31:0] VAR21;
wire [31:0] VAR41;
wire VAR101;
wire [3:0] VAR255;
wire VAR91;
wire [VAR249-1:0] VAR326;
wire VAR339;
wire VAR333;
wire [VAR249-1:0] VAR239;
wire [VAR249-1:0] VAR351;
wire VAR296;
wire [VAR249-1:0] VAR82;
wire VAR297;
wire [VAR249-1:0] VAR45;
wire [VAR249-1:0] VAR264;
wire VAR166;
wire VAR238;
wire [12:0] VAR243;
wire [VAR337-1:0] VAR15;
wire [VAR249-1:0] VAR286;
wire VAR246;
wire VAR395;
wire [31:0] VAR42;
wire [31:0] VAR225;
wire [VAR241-1:0] VAR242;
wire [31:0] VAR27;
wire [31:0] VAR240;
wire VAR369;
wire VAR167;
wire VAR171;
wire VAR363;
wire VAR61;
wire VAR256 = VAR208;
wire VAR141 = VAR369;
wire VAR71 = VAR167;
wire VAR322 = VAR61;
wire VAR300 = VAR171;
assign VAR93 = VAR363;
wire [3:0] VAR271;
wire [3:0] VAR174;
wire [3:0] VAR68;
wire [31:0] VAR310;
wire [31:0] VAR155;
wire VAR247;
VAR185 VAR392(
.clk(VAR362),
.VAR44(VAR53),
.VAR164(VAR288),
.VAR148(VAR311),
.VAR354(VAR308),
.VAR14(VAR56),
.VAR10(VAR226),
.VAR263(VAR342),
.VAR29(VAR231),
.VAR396(VAR329),
.VAR321(VAR274),
.VAR310(VAR310),
.VAR65(VAR155),
.VAR36(VAR36),
.VAR63(VAR63),
.reset(VAR79)
);
VAR39 VAR211(
.clk(VAR362),
.rst(VAR79),
.VAR216(VAR397),
.VAR1(VAR53),
.VAR182(VAR329),
.VAR38(VAR57),
.VAR117(VAR342),
.VAR59(VAR281),
.VAR179(VAR63),
.VAR293(VAR311),
.VAR95(VAR209),
.VAR12(VAR52),
.VAR2(VAR56),
.VAR129(VAR327),
.VAR230(VAR330),
.VAR307(VAR387),
.VAR356(VAR388),
.VAR189(VAR364),
.VAR186(VAR105),
.VAR267(VAR121),
.VAR127(VAR210),
.VAR352(VAR361),
.VAR177(VAR116),
.VAR85(VAR172),
.VAR201(VAR60),
.VAR348(VAR181),
.VAR147(VAR253),
.VAR97(VAR33)
);
VAR215 VAR304(
.clk(VAR362),
.rst(VAR79),
.VAR216(VAR397),
.VAR1(VAR288),
.VAR182(VAR274),
.VAR38(VAR54),
.VAR117(VAR231),
.VAR59(VAR160),
.VAR179(VAR36),
.VAR293(VAR308),
.VAR95(VAR359),
.VAR12(VAR180),
.VAR2(VAR226),
.VAR129(VAR83),
.VAR230(VAR31),
.VAR307(VAR67),
.VAR356(VAR273),
.VAR189(VAR275),
.VAR186(VAR106),
.VAR267(VAR229),
.VAR127(VAR309),
.VAR352(VAR37),
.VAR177(VAR305),
.VAR85(VAR344),
.VAR201(VAR335),
.VAR348(VAR18),
.VAR147(VAR401),
.VAR97(VAR294)
);
VAR96 VAR96(
.clk(VAR362),
.rst(VAR79),
.VAR208(VAR256),
.VAR93(VAR369),
.VAR375(VAR375),
.VAR279(VAR279),
.VAR254(VAR254),
.VAR345(VAR345),
.VAR266(VAR192),
.VAR13(VAR64),
.VAR115(VAR312),
.VAR87(VAR6),
.VAR378(VAR190),
.VAR380(VAR379),
.VAR131(VAR131[VAR76]),
.VAR124(VAR114),
.VAR222(VAR222),
.VAR193(VAR367),
.VAR118(VAR283),
.VAR385(VAR98),
.VAR73(VAR161),
.VAR132(VAR237),
.VAR152(VAR80),
.VAR207(VAR22),
.VAR328(VAR109)
);
VAR285 VAR285(
.clk(VAR362),
.rst(VAR79),
.VAR208(VAR141),
.VAR93(VAR167),
.VAR375(VAR375),
.VAR279(VAR279),
.VAR252(VAR5),
.VAR142(VAR405),
.VAR92(VAR40),
.VAR295(VAR271),
.VAR291(VAR174),
.VAR149(VAR262),
.VAR220(VAR25),
.VAR221(VAR258),
.VAR393(VAR270),
.VAR248(VAR353),
.VAR131(VAR131[VAR318]),
.VAR124(VAR114),
.VAR193(VAR367),
.VAR316(VAR105),
.VAR313(VAR121),
.VAR228(VAR210),
.VAR234(VAR361),
.VAR346(VAR116),
.VAR338(VAR172),
.VAR112(VAR60),
.VAR218(VAR181),
.VAR66(VAR253),
.VAR257(VAR33)
);
VAR120 VAR120(
.clk(VAR362),
.rst(VAR79),
.VAR247(VAR247),
.VAR279(VAR279),
.VAR115(VAR192),
.VAR11(VAR64),
.VAR325(VAR332),
.VAR87(VAR260),
.VAR394(VAR365),
.VAR317(VAR404),
.VAR245(VAR190),
.VAR266(VAR312),
.VAR212(VAR379),
.VAR23(VAR6),
.VAR395(VAR395),
.VAR42(VAR42),
.VAR225(VAR225),
.VAR242(VAR242),
.VAR297(VAR297),
.VAR45(VAR45),
.VAR264(VAR264),
.VAR166(VAR166),
.VAR238(VAR238),
.VAR15(VAR15),
.VAR243(VAR243),
.VAR286(VAR286),
.VAR246(VAR246),
.VAR240(VAR240),
.VAR254(VAR254),
.VAR153(VAR153),
.VAR165(VAR128),
.VAR102(VAR70),
.VAR74(VAR140),
.VAR194(VAR287),
.VAR103(VAR9),
.VAR168(VAR301),
.VAR94(VAR88),
.VAR244(VAR259),
.VAR402(VAR368),
.VAR290(VAR269),
.VAR358(VAR170),
.VAR299(VAR299),
.VAR333(VAR333),
.VAR296(VAR296),
.VAR345(VAR345),
.VAR222(VAR222),
.VAR367(VAR367),
.VAR326(VAR326),
.VAR351(VAR351),
.VAR239(VAR239),
.VAR390(VAR390),
.VAR283(VAR283),
.VAR82(VAR82),
.VAR27(VAR27),
.VAR131(VAR131),
.VAR114(VAR114)
);
VAR282 VAR282(
.clk(VAR362),
.rst(VAR79),
.VAR208(VAR322),
.VAR93(VAR171),
.VAR375(VAR375),
.VAR153(VAR153),
.VAR299(VAR299),
.VAR345(VAR345),
.VAR32(VAR128),
.VAR268(VAR70),
.VAR406(VAR140),
.VAR103(VAR170),
.VAR51(VAR269),
.VAR131(VAR131[VAR133]),
.VAR124(VAR114),
.VAR222(VAR222),
.VAR193(VAR367),
.VAR118(VAR390),
.VAR19(VAR89),
.VAR169(VAR199),
.VAR7(VAR272),
.VAR150(VAR251),
.VAR8(VAR200)
);
VAR235 VAR235(
.clk(VAR362),
.rst(VAR79),
.VAR208(VAR300),
.VAR93(VAR363),
.VAR375(VAR375),
.VAR153(VAR153),
.VAR357(VAR217),
.VAR382(VAR389),
.VAR49(VAR35),
.VAR4(VAR101),
.VAR303(VAR255),
.VAR191(VAR68),
.VAR72(VAR41),
.VAR306(VAR21),
.VAR119(VAR91),
.VAR370(VAR183),
.VAR16(VAR319),
.VAR383(VAR30),
.VAR131(VAR131[VAR347]),
.VAR124(VAR114),
.VAR193(VAR367),
.VAR58(VAR350),
.VAR157(VAR156),
.VAR213(VAR349),
.VAR17(VAR135),
.VAR146(VAR334),
.VAR136(VAR399),
.VAR187(VAR50),
.VAR84(VAR219),
.VAR277(VAR315),
.VAR113(VAR391)
);
VAR100 VAR100(
.clk(VAR362),
.rst(VAR79),
.VAR208(VAR71),
.VAR93(VAR61),
.VAR375(VAR375),
.VAR86(VAR80),
.VAR26(VAR22),
.VAR302(VAR109),
.VAR24(VAR332),
.VAR224(VAR260),
.VAR202(VAR365),
.VAR134(VAR404),
.VAR289(VAR98),
.VAR331(VAR161),
.VAR376(VAR237),
.VAR178(VAR5),
.VAR233(VAR405),
.VAR145(VAR40),
.VAR107(VAR271),
.VAR248(VAR174),
.VAR122(VAR262),
.VAR130(VAR25),
.VAR77(VAR258),
.VAR276(VAR270),
.VAR291(VAR353),
.VAR214(VAR272),
.VAR343(VAR251),
.VAR205(VAR200),
.VAR138(VAR140),
.VAR314(VAR287),
.VAR20(VAR9),
.VAR126(VAR301),
.VAR355(VAR88),
.VAR159(VAR259),
.VAR372(VAR368),
.VAR55(VAR89),
.VAR196(VAR199),
.VAR110(VAR217),
.VAR154(VAR389),
.VAR278(VAR35),
.VAR366(VAR101),
.VAR34(VAR255),
.VAR383(VAR68),
.VAR306(VAR41),
.VAR72(VAR21),
.VAR104(VAR91),
.VAR48(VAR183),
.VAR374(VAR319),
.VAR191(VAR30)
);
VAR341 VAR341(
.clk(VAR362),
.rst(VAR79),
.VAR84(VAR350),
.VAR386(VAR156),
.VAR340(VAR349),
.VAR108(VAR135),
.VAR163(VAR334),
.VAR151(VAR399),
.VAR143(VAR50),
.VAR58(VAR219),
.VAR46(VAR315),
.VAR292(VAR391),
.VAR227(VAR106),
.VAR75(VAR229),
.VAR373(VAR309),
.VAR125(VAR37),
.VAR203(VAR305),
.VAR284(VAR344),
.VAR111(VAR335),
.VAR384(VAR18),
.VAR381(VAR401),
.VAR324(VAR294)
);
VAR204 VAR204(
.clk(VAR362),
.rst(VAR79),
.VAR268(VAR70),
.VAR406(VAR140),
.VAR32(VAR128),
.VAR336(VAR301),
.VAR175(VAR88),
.VAR13(VAR64),
.VAR395(VAR395),
.VAR242(VAR242),
.VAR42(VAR42),
.VAR225(VAR225),
.VAR15(VAR15),
.VAR27(VAR27),
.VAR240(VAR240),
.VAR297(VAR297),
.VAR45(VAR45),
.VAR400(VAR286),
.VAR195(VAR264),
.VAR166(VAR166),
.VAR238(VAR238),
.VAR243(VAR243),
.VAR246(VAR246),
.VAR131(VAR131[VAR298]),
.VAR124(VAR114),
.VAR222(VAR222),
.VAR193(VAR367),
.VAR118(VAR82),
.VAR250(VAR250),
.VAR173(VAR173),
.VAR47(VAR47),
.VAR265(VAR265),
.VAR261(VAR261),
.VAR398(VAR398),
.VAR99(VAR99),
.VAR90(VAR90),
.VAR320(VAR320),
.VAR43(VAR43),
.VAR403(VAR403),
.VAR137(VAR137)
);
VAR280 VAR280(
.clk(VAR362),
.rst(VAR79),
.VAR131(VAR131[VAR223]),
.VAR124(VAR114),
.VAR222(VAR222),
.VAR193(VAR367),
.VAR118(VAR326),
.VAR339(VAR339),
.VAR139(VAR333),
.VAR198(VAR162)
);
always@(posedge VAR247)
endmodule | gpl-3.0 |
spacemonkeydelivers/mor1kx | rtl/verilog/mor1kx_cfgrs.v | 10,880 | module MODULE1
parameter VAR7 = "VAR57",
parameter VAR27 = "VAR57",
parameter VAR90 = "VAR57",
parameter VAR18 = "VAR38",
parameter VAR17 = 5,
parameter VAR6 = 9,
parameter VAR1 = 2,
parameter VAR2 = "VAR38",
parameter VAR14 = 6,
parameter VAR101 = 1,
parameter VAR87 = "VAR38",
parameter VAR98 = 5,
parameter VAR54 = 9,
parameter VAR36 = 2,
parameter VAR84 = "VAR38",
parameter VAR66 = 6,
parameter VAR91 = 1,
parameter VAR43 = "VAR57",
parameter VAR40 = "VAR57",
parameter VAR29 = "VAR38",
parameter VAR61 = "VAR38",
parameter VAR56 = 0,
parameter VAR71 = "VAR38",
parameter VAR113 = "VAR38",
parameter VAR85 = "VAR38",
parameter VAR11 = "VAR103",
parameter VAR34 = "VAR38",
parameter VAR25 = "VAR38",
parameter VAR16 = 0,
parameter VAR80 = "VAR38",
parameter VAR96 = "VAR38",
parameter VAR3 = "VAR38",
parameter VAR114 = "VAR38"
)
(
output [31:0] VAR20,
output [31:0] VAR72,
output [31:0] VAR117,
output [31:0] VAR4,
output [31:0] VAR59,
output [31:0] VAR52,
output [31:0] VAR67,
output [31:0] VAR112,
output [31:0] VAR89,
output [31:0] VAR24,
output [31:0] VAR69
);
assign VAR20[VAR115] = 0;
assign VAR20[VAR105] = 1;
assign VAR20[VAR22] = 0;
assign VAR20[VAR13] = 0;
assign VAR20[VAR109] = 8'h10;
assign VAR117[VAR50] = 1;
assign VAR117[VAR48] = (VAR18!="VAR38");
assign VAR117[VAR32] = (VAR87!="VAR38");
assign VAR117[VAR46] = (VAR2!="VAR38");
assign VAR117[VAR60] = (VAR84!="VAR38");
assign VAR117[VAR33] = (VAR113!="VAR38");
assign VAR117[VAR10] = (VAR29!="VAR38");
assign VAR117[VAR42] = (VAR61!="VAR38");
assign VAR117[VAR94] = (VAR43!="VAR38");
assign VAR117[VAR93] = (VAR71!="VAR38");
assign VAR117[VAR108] = (VAR40!="VAR38");
assign VAR117[VAR82] = 0;
assign VAR117[VAR47] = 0;
assign VAR4[VAR19] = VAR16;
assign VAR4[VAR64] = 0;
assign VAR4[VAR76] = 1;
assign VAR4[VAR31] = 0;
assign VAR4[VAR8] = (VAR85!="VAR38");
assign VAR4[VAR106] = 0;
assign VAR4[VAR15] = 0;
assign VAR4[VAR62] = (VAR96=="VAR38");
assign VAR4[VAR79] = 1;
assign VAR4[VAR44] = (VAR3!="VAR38");
assign VAR4[VAR51] = 1;
assign VAR4[VAR28] = (VAR114!="VAR38");
assign VAR4[VAR88] = 0;
assign VAR72[VAR41] = VAR58;
assign VAR72[VAR95] = {VAR81,
8'd0};
assign VAR69[VAR73] = 8'd1;
assign VAR69[VAR75] = 8'd1;
assign VAR69[VAR100] = 8'd0;
assign VAR69[VAR35] = 0;
assign VAR59[31:15] = 0;
assign VAR59[VAR39] = 0;
assign VAR59[VAR65] = 0;
assign VAR59[VAR30] = 0;
assign VAR59[VAR83] = 0;
assign VAR59[VAR92] = 0;
assign VAR59[VAR77] = (VAR2!="VAR38") ?
VAR14 : 0;
assign VAR59[VAR107] = (VAR2!="VAR38") ?
VAR101-1 : 0;
assign VAR52[31:15] = 0;
assign VAR52[VAR63] = 0;
assign VAR52[VAR70] = 0;
assign VAR52[VAR21] = 0;
assign VAR52[VAR116] = 0;
assign VAR52[VAR104] = 0;
assign VAR52[VAR97] = (VAR84!="VAR38") ?
VAR66 : 0;
assign VAR52[VAR78] = (VAR84!="VAR38") ?
VAR91-1 : 0;
assign VAR67[31:15] = 0;
assign VAR67[VAR99] = 0;
assign VAR67[VAR68] = (VAR18!="VAR38");
assign VAR67[VAR37] = 0;
assign VAR67[VAR23] = 0;
assign VAR67[VAR110] = (VAR18!="VAR38");
assign VAR67[VAR26] = 0;
assign VAR67[VAR53] = 0;
assign VAR67[VAR45] = (VAR18!="VAR38") ?
(VAR17 == 5 ?
1 : 0) : 0;
assign VAR67[VAR86] = (VAR18!="VAR38") ?
VAR6 : 0;
assign VAR67[VAR9] = (VAR18!="VAR38") ?
(VAR1 == 1) ? 3'd0 :
(VAR1 == 2) ? 3'd1 :
(VAR1 == 4) ? 3'd2 :
(VAR1 == 8) ? 3'd3 :
(VAR1 == 16) ? 3'd4 :
(VAR1 == 32) ? 3'd5 :
3'd0 : 3'd0;
assign VAR112[31:13] = 0;
assign VAR112[8] = 0;
assign VAR112[VAR49] = 0;
assign VAR112[VAR74] = 0;
assign VAR112[VAR12] = (VAR87!="VAR38");
assign VAR112[VAR55] = 0;
assign VAR112[VAR102] = (VAR87!="VAR38") ?
(VAR98 == 5 ?
1 : 0) : 0;
assign VAR112[VAR111] = (VAR87!="VAR38") ?
VAR54 : 0;
assign VAR112[VAR5] = (VAR87!="VAR38") ?
(VAR36 == 1) ? 3'd0 :
(VAR36 == 2) ? 3'd1 :
(VAR36 == 4) ? 3'd2 :
(VAR36 == 8) ? 3'd3 :
(VAR36 == 16) ? 3'd4 :
(VAR36 == 32) ? 3'd5 :
3'd0 : 3'd0;
assign VAR89 = 0;
assign VAR24 = (VAR61!="VAR38") ? VAR56 : 0;
endmodule | mpl-2.0 |
takeshineshiro/fpga_linear_128 | IMG_BUFFER_bb.v | 7,895 | module MODULE1 (
VAR4,
VAR1,
VAR6,
VAR3,
VAR2,
VAR5,
VAR7);
input [7:0] VAR4;
input [10:0] VAR1;
input VAR6;
input [10:0] VAR3;
input VAR2;
input VAR5;
output [7:0] VAR7;
tri1 VAR5;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/a31oi/sky130_fd_sc_hs__a31oi.functional.v | 1,930 | module MODULE1 (
VAR10,
VAR7,
VAR1 ,
VAR14 ,
VAR11 ,
VAR4 ,
VAR12
);
input VAR10;
input VAR7;
output VAR1 ;
input VAR14 ;
input VAR11 ;
input VAR4 ;
input VAR12 ;
wire VAR12 VAR8 ;
wire VAR5 ;
wire VAR2;
and VAR13 (VAR8 , VAR4, VAR14, VAR11 );
nor VAR3 (VAR5 , VAR12, VAR8 );
VAR6 VAR9 (VAR2, VAR5, VAR10, VAR7);
buf VAR15 (VAR1 , VAR2 );
endmodule | apache-2.0 |
dwaipayanBiswas/ECG-feature-extraction-using-DWT | level2arch.v | 1,481 | module MODULE1 (VAR4,clk,VAR9);
reg signed [15:0] VAR3,VAR5;
input [15:0] VAR4;
input clk, VAR9;
wire clk, VAR9;
reg [15:0] VAR1, VAR2;
reg [2:0] VAR7;
reg [8:0] VAR11;
reg [8:0] VAR12;
reg [15:0] VAR10 [0:VAR8-2];
integer VAR6;
always @(posedge clk or negedge VAR9)
if (!VAR9)
begin
VAR1 <= 0;
VAR2 <= 0;
VAR3 <= 0;
VAR5 <= 0;
VAR7 <= 0;
VAR11 <= VAR8;
VAR12 <= 0;
for (VAR6=0; VAR6<=VAR8-2; VAR6=VAR6+1)
VAR10[VAR6] <= 0;
end
else
begin
if (VAR7 < 5 && VAR11 > 0)
begin
case (VAR7)
0 : begin
VAR1 <= 0;
VAR2 <= 0;
VAR7 <= VAR7 + 1;
end
1 : begin
if (VAR11 > 0)
begin
VAR7 <= VAR7 + 1;
VAR1 <= VAR4;
if (VAR12 != 0 && VAR12 < VAR8)
VAR10[VAR12-1] <= VAR3;
end
else
VAR10[VAR12-1] <= 0;
end
end
2 : begin
if(VAR11 > 1)
begin
VAR1 <= VAR1 + VAR4;
VAR7 <= VAR7 + 1;
end
end
3 : begin
VAR2 <= VAR4;
VAR7 <= VAR7 + 1;
end
4 : begin
VAR5 <= VAR1 + (VAR2 + VAR4);
VAR3 <= VAR1 - (VAR2 + VAR4);
VAR12 <= VAR12 + 1;
VAR7 <= 1;
VAR11 <= VAR11 - 1;
end
default : begin
VAR1 <= 0;
VAR2 <= 0;
end
endcase
end
else
VAR7 <= 1;
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/xor2/sky130_fd_sc_hdll__xor2.pp.blackbox.v | 1,299 | module MODULE1 (
VAR6 ,
VAR7 ,
VAR4 ,
VAR3,
VAR5,
VAR2 ,
VAR1
);
output VAR6 ;
input VAR7 ;
input VAR4 ;
input VAR3;
input VAR5;
input VAR2 ;
input VAR1 ;
endmodule | apache-2.0 |
wsoltys/AtomFpga | mist/user_io.v | 11,338 | module MODULE1 #(parameter VAR32=0) (
input [(8*VAR32)-1:0] VAR5,
input VAR20,
input VAR6,
output reg VAR61,
input VAR27,
output reg [7:0] VAR59,
output reg [7:0] VAR51,
output reg [15:0] VAR53,
output reg [15:0] VAR39,
output [1:0] VAR49,
output [1:0] VAR50,
output VAR42,
output reg [7:0] VAR33,
input [31:0] VAR63,
input VAR45,
input VAR26,
output reg VAR60,
input VAR62,
input VAR16,
output [7:0] VAR30, output reg VAR10,
input [7:0] VAR17,
output reg VAR18,
output reg VAR21,
input VAR41, output VAR24,
output reg VAR15,
output VAR56,
output reg VAR48,
input [7:0] VAR47,
input VAR38
);
reg [6:0] VAR55;
reg [7:0] VAR12;
reg [2:0] VAR9; reg [7:0] VAR57; reg [5:0] VAR59;
reg [5:0] VAR51;
reg [7:0] VAR44;
reg [2:0] VAR46;
assign VAR49 = VAR44[1:0];
assign VAR50 = VAR44[3:2];
assign VAR42 = VAR44[4];
assign VAR30 = { VAR55, VAR27};
wire [7:0] VAR40 = 8'ha4;
wire [7:0] VAR29 = { 4'h5, VAR62, VAR16, VAR26, VAR45 };
wire [7:0] VAR35 = { VAR35[6:0], VAR20 } ;
wire VAR23 = (VAR23 && VAR35 != 8'h00) || (!VAR23 && VAR35 == 8'hff);
always@(negedge VAR23 or posedge VAR6) begin
if(VAR6 == 1) begin
VAR61 <= 1'VAR11;
end else begin
if(VAR57 == 0) begin
VAR61 <= VAR40[~VAR9];
end else begin
if(VAR12 == 8'h1b) begin
if(VAR57[0]) VAR61 <= VAR4[~VAR9];
end
else VAR61 <= VAR13[~VAR9];
end
else if(VAR12 == 8'h14) begin
if(VAR57 < VAR32 + 1)
VAR61 <= VAR5[{VAR32 - VAR57,~VAR9}];
end
else
VAR61 <= 1'b0;
end
else if(VAR12 == 8'h16) begin
if(VAR57 == 1)
VAR61 <= VAR29[~VAR9];
end
else if((VAR57 >= 2) && (VAR57 < 6))
VAR61 <= VAR63[{5-VAR57, ~VAR9}];
end
else
VAR61 <= 1'b0;
end
else if(VAR12 == 8'h18)
VAR61 <= VAR17[~VAR9];
end
else
VAR61 <= 1'b0;
end
end
end
localparam VAR65 = 3;
reg [7:0] VAR22 [(2**VAR65)-1:0];
reg [VAR65-1:0] VAR36;
reg [VAR65-1:0] VAR37;
reg [3:0] VAR64;
reg [7:0] VAR43;
reg VAR14;
assign VAR24 = VAR41 || (VAR64 == 0);
reg VAR3;
always@(posedge VAR41) begin
VAR3 <= 1'b0;
if(VAR3)
VAR37 <= VAR37 + 1;
if(VAR64 == 0) begin
if(VAR36 != VAR37) begin
VAR43 <= VAR22[VAR37];
VAR3 <= 1'b1;
VAR14 <= 1'b1;
VAR64 <= 4'd1;
VAR15 <= 1'b0; end
end else begin
if((VAR64 >= 1)&&(VAR64 < 9)) begin
VAR15 <= VAR43[0]; VAR43[6:0] <= VAR43[7:1]; if(VAR43[0])
VAR14 <= !VAR14;
end
if(VAR64 == 9)
VAR15 <= VAR14;
if(VAR64 == 10)
VAR15 <= 1'b1;
if(VAR64 < 11)
VAR64 <= VAR64 + 4'd1;
end
else
VAR64 <= 4'd0;
end
end
reg [7:0] VAR58 [(2**VAR65)-1:0];
reg [VAR65-1:0] VAR34;
reg [VAR65-1:0] VAR7;
reg [3:0] VAR31;
reg [7:0] VAR1;
reg VAR19;
assign VAR56 = VAR41 || (VAR31 == 0);
reg VAR52;
always@(posedge VAR41) begin
VAR52 <= 1'b0;
if(VAR52)
VAR7 <= VAR7 + 1;
if(VAR31 == 0) begin
if(VAR34 != VAR7) begin
VAR1 <= VAR58[VAR7];
VAR52 <= 1'b1;
VAR19 <= 1'b1;
VAR31 <= 4'd1;
VAR48 <= 1'b0; end
end else begin
if((VAR31 >= 1)&&(VAR31 < 9)) begin
VAR48 <= VAR1[0]; VAR1[6:0] <= VAR1[7:1]; if(VAR1[0])
VAR19 <= !VAR19;
end
if(VAR31 == 9)
VAR48 <= VAR19;
if(VAR31 == 10)
VAR48 <= 1'b1;
if(VAR31 < 11)
VAR31 <= VAR31 + 4'd1;
end
else
VAR31 <= 4'd0;
end
end
localparam VAR2 = 6;
reg [7:0] VAR8 [(2**VAR2)-1:0];
reg [VAR2-1:0] VAR54;
reg [VAR2-1:0] VAR25;
wire VAR28 = VAR54 != VAR25;
wire [7:0] VAR13 = VAR8[VAR25] ;
wire [7:0] VAR4 = { 7'b1000000, VAR28};
always @(posedge VAR38 or posedge VAR33[0]) begin
if(VAR33[0] == 1) begin
VAR54 <= 0;
end else begin
VAR8[VAR54] <= VAR47;
VAR54 <= VAR54 + 1;
end
end
always@(negedge VAR23 or posedge VAR33[0]) begin
if(VAR33[0] == 1) begin
VAR25 <= 0;
end else begin
if((VAR57 != 0) && (VAR12 == 8'h1b)) begin
if((VAR9 == 7) && !VAR57[0] && VAR28)
VAR25 <= VAR25 + 1;
end
end
end
always@(posedge VAR23 or posedge VAR6) begin
if(VAR6 == 1) begin
VAR9 <= 3'd0;
VAR57 <= 8'd0;
VAR60 <= 1'b0;
VAR10 <= 1'b0;
VAR18 <= 1'b0;
VAR21 <= 1'b0;
end else begin
VAR10 <= 1'b0;
VAR18 <= 1'b0;
if(VAR9 != 7)
VAR55[6:0] <= { VAR55[5:0], VAR27 };
VAR9 <= VAR9 + 3'd1;
if((VAR9 == 7)&&(VAR57 != 8'd255))
VAR57 <= VAR57 + 8'd1;
if(VAR9 == 7) begin
if(VAR57 == 0) begin
VAR12 <= { VAR55, VAR27};
if({ VAR55, VAR27} == 8'h18)
VAR18 <= 1'b1;
if(({ VAR55, VAR27} == 8'h17) || ({ VAR55, VAR27} == 8'h18))
VAR60 <= 1'b1;
end else begin
if(VAR12 == 8'h01)
VAR44 <= { VAR55, VAR27 };
if(VAR12 == 8'h02)
VAR59 <= { VAR55, VAR27 };
if(VAR12 == 8'h03)
VAR51 <= { VAR55, VAR27 };
if(VAR12 == 8'h04) begin
VAR58[VAR34] <= { VAR55, VAR27 };
VAR34 <= VAR34 + 1;
end
if(VAR12 == 8'h05) begin
VAR22[VAR36] <= { VAR55, VAR27 };
VAR36 <= VAR36 + 1;
end
if(VAR12 == 8'h15)
VAR33 <= { VAR55[6:0], VAR27 };
if(VAR12 == 8'h17) begin
VAR10 <= 1'b1;
end
if(VAR12 == 8'h18)
VAR18 <= 1'b1;
if(VAR12 == 8'h19) begin
VAR10 <= 1'b1;
end
if(VAR12 == 8'h1a) begin
if(VAR57 == 1)
VAR46 <= { VAR55[1:0], VAR27 };
end
else if(VAR57 == 2) begin
if(VAR46 == 0)
VAR53[15:8] <= { VAR55, VAR27 };
end
else if(VAR46 == 1)
VAR39[15:8] <= { VAR55, VAR27 };
end else if(VAR57 == 3) begin
if(VAR46 == 0)
VAR53[7:0] <= { VAR55, VAR27 };
end
else if(VAR46 == 1)
VAR39[7:0] <= { VAR55, VAR27 };
end
end
if(VAR12 == 8'h1c)
VAR21 <= 1'b1;
end
end
end
end
endmodule | apache-2.0 |
vipinkmenon/scas | hw/fpga/source/memory_if/mig_7series_v1_8_ddr_phy_top.v | 66,885 | module MODULE1 #
(
parameter VAR8 = 100, parameter VAR101 = "0", parameter VAR300 = 3, parameter VAR62 = "8", parameter VAR111 = "VAR342", parameter VAR161 = "VAR177", parameter VAR246 = 1, parameter VAR390 = 5,
parameter VAR96 = 12, parameter VAR26 = 1, parameter VAR171 = 1, parameter VAR350 = 5,
parameter VAR250 = 8, parameter VAR223 = 64, parameter VAR311 = 3, parameter VAR37 = 8, parameter VAR156 = "VAR9",
parameter VAR28 = 8, parameter VAR308 = 0, parameter VAR122 = 2,
parameter VAR381 = "VAR355",
parameter VAR378 = 4'hc,
parameter VAR85 = 4'hf,
parameter VAR12 = 4'hf,
parameter VAR395 = 4'hf,
parameter VAR36 = 4'hf,
parameter VAR283 = 4'b1111,
parameter VAR188 = 4'b0000,
parameter VAR191 = 4'b0000,
parameter VAR337 = 4'b0000,
parameter VAR65 = 4'b0000,
parameter VAR230 = 48'h000000000000,
parameter VAR38 = 48'h000000000000,
parameter VAR189 = 48'h000000000000,
parameter VAR10
= 144'h000000000000000000000000000000000000,
parameter VAR170
= 192'h000000000000000000000000000000000000000000000000,
parameter VAR185 = 36'h000000000,
parameter VAR225 = 12'h000,
parameter VAR208 = 8'h00,
parameter VAR72 = 96'h000000000000000000000000,
parameter VAR33 = 96'h000000000000000000000000,
parameter VAR240 = "VAR355",
parameter VAR345 = 120'h000000000000000000000000000000,
parameter VAR45 = 12'h000,
parameter VAR291 = 12'h000,
parameter VAR116 = 12'h000,
parameter VAR106
= 144'h000000000000000000000000000000000000,
parameter VAR86 = 96'h000000000000000000000000,
parameter VAR120 = 96'h000000000000000000000000,
parameter VAR129 = 96'h000000000000000000000000,
parameter VAR174 = 96'h000000000000000000000000,
parameter VAR347 = 96'h000000000000000000000000,
parameter VAR143 = 96'h000000000000000000000000,
parameter VAR159 = 96'h000000000000000000000000,
parameter VAR297 = 96'h000000000000000000000000,
parameter VAR304 = 96'h000000000000000000000000,
parameter VAR298 = 96'h000000000000000000000000,
parameter VAR166 = 96'h000000000000000000000000,
parameter VAR239 = 96'h000000000000000000000000,
parameter VAR384 = 96'h000000000000000000000000,
parameter VAR151 = 96'h000000000000000000000000,
parameter VAR382 = 96'h000000000000000000000000,
parameter VAR357 = 96'h000000000000000000000000,
parameter VAR134 = 96'h000000000000000000000000,
parameter VAR388 = 96'h000000000000000000000000,
parameter VAR201 = 108'h000000000000000000000000000,
parameter VAR316 = 108'h000000000000000000000000000,
parameter VAR286 = "VAR177", parameter VAR175 = 2, parameter VAR399 = 1, parameter VAR277 = "1T", parameter VAR195 = "VAR305",
parameter VAR113 = "VAR52", parameter VAR389 = "VAR51", parameter VAR197 = "VAR305", parameter VAR336 = "VAR302",
parameter VAR394 = "VAR177", parameter VAR102 = "VAR176", parameter VAR105 = "VAR177", parameter VAR133 = "60", parameter VAR247 = "120", parameter VAR53 = 2500, parameter VAR125 = 110000, parameter VAR248 = "VAR7", parameter VAR241 = "VAR177", parameter VAR2 = "VAR177", parameter VAR144 = 4,
parameter VAR200 = 1,
parameter VAR238 = 16, parameter [7:0] VAR360 = 8'b00000000,
parameter VAR98 = 16'h0000, parameter VAR150 = 12'h000, parameter VAR254 = 3'h0, parameter VAR108 = "VAR177",
parameter VAR118 = 200.0, parameter VAR385 = 1, parameter VAR60 = 1, parameter VAR93 = 1, parameter VAR99 = 0 )
(
input clk, input VAR220, input VAR49, input VAR66, input VAR242, input VAR335, input VAR365, output VAR115,
input [11:0] VAR152,
input VAR255,
input VAR370,
input VAR268,
input [VAR311:0] VAR271,
input VAR314,
input VAR229,
input VAR315,
input VAR154,
input VAR374,
input VAR71,
input VAR348,
input VAR280,
input VAR18,
input VAR48,
input [VAR311-1:0] VAR376,
input rst,
input [7:0] VAR212,
input [7:0] VAR235,
input [VAR175-1:0] VAR313,
input [VAR175-1:0] VAR132,
input [VAR175-1:0] VAR63,
input [VAR175*VAR238-1:0] VAR338,
input [VAR175*VAR300-1:0] VAR245,
input [VAR26*VAR399*VAR175-1:0] VAR218,
input VAR393,
input [1:0] VAR325,
input [VAR175-1:0] VAR352,
input [3:0] VAR23,
input [3:0] VAR215,
input VAR204,
input VAR251,
input [2:0] VAR126,
input [1:0] VAR293,
input [5:0] VAR358,
input [5:0] VAR140,
input [5:0] VAR180,
input [1:0] VAR64,
input VAR222,
input [2*VAR175*VAR223-1:0] VAR155,
input [2*VAR175*(VAR223/8)-1:0] VAR121,
input VAR135,
output [VAR238-1:0] VAR301,
output [VAR300-1:0] VAR323,
output VAR344,
output [VAR246-1:0] VAR274,
output [VAR246-1:0] VAR198,
output [VAR171-1:0] VAR137,
output [VAR26*VAR399-1:0] VAR165,
output [VAR250-1:0] VAR329,
output [VAR200-1:0] VAR296,
output VAR310,
output VAR386,
output VAR54,
output VAR70,
inout [VAR223-1:0] VAR285,
inout [VAR37-1:0] VAR124,
inout [VAR37-1:0] VAR269,
output [255:0] VAR4,
output [6*VAR37*VAR144-1:0] VAR74,
output [6*VAR37*VAR144-1:0] VAR184,
output [6*VAR37*VAR144-1:0] VAR138,
output [5*VAR37*VAR144-1:0] VAR383,
output [255:0] VAR367,
output [99:0] VAR127,
output [6*VAR37-1:0] VAR226,
output [3*VAR37-1:0] VAR148,
output [VAR37-1:0] VAR333,
output [2*VAR175*VAR223-1:0] VAR401,
output VAR287,
output [1:0] VAR281,
output [1:0] VAR147,
output [1:0] VAR153,
output [5:0] VAR346,
output VAR273,
output VAR82,
output VAR232,
output VAR354,
output [6*VAR37-1:0] VAR1,
output [3*VAR37-1:0] VAR270,
output [255:0] VAR83,
output VAR219,
output VAR207,
output VAR214,
output [11:0] VAR76,
output VAR267,
output VAR288,
output VAR233,
output [11:0] VAR228,
output VAR131,
output VAR17,
output VAR128,
output VAR13,
output VAR351,
output VAR139,
output VAR81,
output VAR261,
output [6*VAR144-1:0] VAR193,
output [6*VAR144-1:0] VAR94,
output [6*VAR144-1:0] VAR87,
output VAR92,
output [2*VAR175*VAR223-1:0] VAR263,
output VAR227,
input VAR32,
output [6*VAR144-1:0] VAR262,
output [255:0] VAR199,
output [255:0] VAR69,
output [255:0] VAR282,
output [5:0] VAR249,
output [8:0] VAR25,
output VAR31,
output VAR163,
output [255:0] VAR203,
output [VAR28*16 -1:0] VAR16
);
localparam VAR231 = 1 + (|VAR360 ? 1 : 0);
localparam VAR44 = VAR53 * VAR175;
localparam VAR303
= ((VAR108 == "VAR21") ? "VAR330" :
((VAR108 == "VAR97") ||
(VAR108 == "VAR328")) ? "VAR43" :
"VAR256");
localparam VAR79
= ((VAR108 == "VAR21") ? "VAR264" :
(VAR108 == "VAR97") ? "VAR104" :
((VAR108 == "VAR328") ||
(VAR108 == "VAR243")) ? "VAR289" :
"VAR256");
localparam VAR107
= (VAR108 == "VAR21") ? "VAR177" : VAR241;
localparam VAR236 = (VAR65 != 0 ? 5 : (VAR337 != 0 ? 4 :
(VAR191 != 0 ? 3 :
(VAR188 != 0 ? 2 : 1))));
localparam VAR61 = VAR283[3] ? 4 : VAR283[2] ? 3 :
VAR283[1] ? 2 : VAR283[0] ? 1 :
0;
localparam VAR340 = VAR188[3] ? 4 : VAR188[2] ? 3 :
VAR188[1] ? 2 : VAR188[0] ? 1 :
0;
localparam VAR40 = VAR191[3] ? 4 : VAR191[2] ? 3 :
VAR191[1] ? 2 : VAR191[0] ? 1 :
0;
localparam VAR178 = VAR337[3] ? 4 : VAR337[2] ? 3 :
VAR337[1] ? 2 : VAR337[0] ? 1 :
0;
localparam VAR398 = VAR65[3] ? 4 : VAR65[2] ? 3 :
VAR65[1] ? 2 : VAR65[0] ? 1 :
0;
localparam VAR295 =
(VAR398 != 0) ? (VAR398+16) :
((VAR178 != 0) ? (VAR178 + 12) :
((VAR40 != 0) ? (VAR40 + 8) :
((VAR340 != 0) ? (VAR340 + 4) :
VAR61)));
localparam VAR75 = ((0+(!VAR378[0]) & VAR283[0]) +
(0+(!VAR378[1]) & VAR283[1]) +
(0+(!VAR378[2]) & VAR283[2]) +
(0+(!VAR378[3]) & VAR283[3])) +
((0+(!VAR85[0]) & VAR188[0]) +
(0+(!VAR85[1]) & VAR188[1]) +
(0+(!VAR85[2]) & VAR188[2]) +
(0+(!VAR85[3]) & VAR188[3])) +
((0+(!VAR12[0]) & VAR191[0]) +
(0+(!VAR12[1]) & VAR191[1]) +
(0+(!VAR12[2]) & VAR191[2]) +
(0+(!VAR12[3]) & VAR191[3])) +
((0+(!VAR395[0]) & VAR337[0]) +
(0+(!VAR395[1]) & VAR337[1]) +
(0+(!VAR395[2]) & VAR337[2]) +
(0+(!VAR395[3]) & VAR337[3])) +
((0+(!VAR36[0]) & VAR65[0]) +
(0+(!VAR36[1]) & VAR65[1]) +
(0+(!VAR36[2]) & VAR65[2]) +
(0+(!VAR36[3]) & VAR65[3]));
localparam [2:0] VAR173 = (((!VAR378[0]) & VAR283[0]) |
((!VAR378[1]) & VAR283[1]) |
((!VAR378[2]) & VAR283[2]) |
((!VAR378[3]) & VAR283[3])) ?
3'b000 :
(((!VAR85[0]) & VAR188[0]) |
((!VAR85[1]) & VAR188[1]) |
((!VAR85[2]) & VAR188[2]) |
((!VAR85[3]) & VAR188[3])) ?
3'b001 :
(((!VAR12[0]) & VAR191[0]) |
((!VAR12[1]) & VAR191[1]) |
((!VAR12[2]) & VAR191[2]) |
((!VAR12[3]) & VAR191[3])) ?
3'b010 :
(((!VAR395[0]) & VAR337[0]) |
((!VAR395[1]) & VAR337[1]) |
((!VAR395[2]) & VAR337[2]) |
((!VAR395[3]) & VAR337[3])) ?
3'b011 :
(((!VAR36[0]) & VAR65[0]) |
((!VAR36[1]) & VAR65[1]) |
((!VAR36[2]) & VAR65[2]) |
((!VAR36[3]) & VAR65[3])) ?
3'b100 : 3'b000;
localparam [7:0] VAR149 = (VAR75 == 4) ? 8'b11100100 :
((VAR75 == 3) &
(((!VAR378[0]) & VAR283[0] &
(!VAR378[1]) & VAR283[1] &
(!VAR378[2]) & VAR283[2]) |
((!VAR85[0]) & VAR188[0] &
(!VAR85[1]) & VAR188[1] &
(!VAR85[2]) & VAR188[2]) |
((!VAR12[0]) & VAR191[0] &
(!VAR12[1]) & VAR191[1] &
(!VAR12[2]) & VAR191[2]) |
((!VAR395[0]) & VAR337[0] &
(!VAR395[1]) & VAR337[1] &
(!VAR395[2]) & VAR337[2]) |
((!VAR36[0]) & VAR65[0] &
(!VAR36[1]) & VAR65[1] &
(!VAR36[2]) & VAR65[2]))) ?
8'b00100100 :
((VAR75 == 3) &
(((!VAR378[0]) & VAR283[0] &
(!VAR378[1]) & VAR283[1] &
(!VAR378[3]) & VAR283[3]) |
((!VAR85[0]) & VAR188[0] &
(!VAR85[1]) & VAR188[1] &
(!VAR85[3]) & VAR188[3]) |
((!VAR12[0]) & VAR191[0] &
(!VAR12[1]) & VAR191[1] &
(!VAR12[3]) & VAR191[3]) |
((!VAR395[0]) & VAR337[0] &
(!VAR395[1]) & VAR337[1] &
(!VAR395[3]) & VAR337[3]) |
((!VAR36[0]) & VAR65[0] &
(!VAR36[1]) & VAR65[1] &
(!VAR36[3]) & VAR65[3]))) ?
8'b00110100 :
((VAR75 == 3) &
(((!VAR378[0]) & VAR283[0] &
(!VAR378[2]) & VAR283[2] &
(!VAR378[3]) & VAR283[3]) |
((!VAR85[0]) & VAR188[0] &
(!VAR85[2]) & VAR188[2] &
(!VAR85[3]) & VAR188[3]) |
((!VAR12[0]) & VAR191[0] &
(!VAR12[2]) & VAR191[2] &
(!VAR12[3]) & VAR191[3]) |
((!VAR395[0]) & VAR337[0] &
(!VAR395[2]) & VAR337[2] &
(!VAR395[3]) & VAR337[3]) |
((!VAR36[0]) & VAR65[0] &
(!VAR36[2]) & VAR65[2] &
(!VAR36[3]) & VAR65[3]))) ?
8'b00111000 :
((VAR75 == 3) &
(((!VAR378[1]) & VAR283[1] &
(!VAR378[2]) & VAR283[2] &
(!VAR378[3]) & VAR283[3]) |
((!VAR85[1]) & VAR188[1] &
(!VAR85[2]) & VAR188[2] &
(!VAR85[3]) & VAR188[3]) |
((!VAR12[1]) & VAR191[1] &
(!VAR12[2]) & VAR191[2] &
(!VAR12[3]) & VAR191[3]) |
((!VAR395[1]) & VAR337[1] &
(!VAR395[2]) & VAR337[2] &
(!VAR395[3]) & VAR337[3]) |
((!VAR36[1]) & VAR65[1] &
(!VAR36[2]) & VAR65[2] &
(!VAR36[3]) & VAR65[3]))) ?
8'b00111001 :
((VAR75 == 2) &
(((!VAR378[0]) & VAR283[0] &
(!VAR378[1]) & VAR283[1]) |
((!VAR85[0]) & VAR188[0] &
(!VAR85[1]) & VAR188[1]) |
((!VAR12[0]) & VAR191[0] &
(!VAR12[1]) & VAR191[1]) |
((!VAR395[0]) & VAR337[0] &
(!VAR395[1]) & VAR337[1]) |
((!VAR36[0]) & VAR65[0] &
(!VAR36[1]) & VAR65[1]))) ?
8'b00000100 :
((VAR75 == 2) &
(((!VAR378[0]) & VAR283[0] &
(!VAR378[3]) & VAR283[3]) |
((!VAR85[0]) & VAR188[0] &
(!VAR85[3]) & VAR188[3]) |
((!VAR12[0]) & VAR191[0] &
(!VAR12[3]) & VAR191[3]) |
((!VAR395[0]) & VAR337[0] &
(!VAR395[3]) & VAR337[3]) |
((!VAR36[0]) & VAR65[0] &
(!VAR36[3]) & VAR65[3]))) ?
8'b00001100 :
((VAR75 == 2) &
(((!VAR378[2]) & VAR283[2] &
(!VAR378[3]) & VAR283[3]) |
((!VAR85[2]) & VAR188[2] &
(!VAR85[3]) & VAR188[3]) |
((!VAR12[2]) & VAR191[2] &
(!VAR12[3]) & VAR191[3]) |
((!VAR395[2]) & VAR337[2] &
(!VAR395[3]) & VAR337[3]) |
((!VAR36[2]) & VAR65[2] &
(!VAR36[3]) & VAR65[3]))) ?
8'b00001110 :
((VAR75 == 2) &
(((!VAR378[1]) & VAR283[1] &
(!VAR378[2]) & VAR283[2]) |
((!VAR85[1]) & VAR188[1] &
(!VAR85[2]) & VAR188[2]) |
((!VAR12[1]) & VAR191[1] &
(!VAR12[2]) & VAR191[2]) |
((!VAR395[1]) & VAR337[1] &
(!VAR395[2]) & VAR337[2]) |
((!VAR36[1]) & VAR65[1] &
(!VAR36[2]) & VAR65[2]))) ?
8'b00001001 :
((VAR75 == 2) &
(((!VAR378[1]) & VAR283[1] &
(!VAR378[3]) & VAR283[3]) |
((!VAR85[1]) & VAR188[1] &
(!VAR85[3]) & VAR188[3]) |
((!VAR12[1]) & VAR191[1] &
(!VAR12[3]) & VAR191[3]) |
((!VAR395[1]) & VAR337[1] &
(!VAR395[3]) & VAR337[3]) |
((!VAR36[1]) & VAR65[1] &
(!VAR36[3]) & VAR65[3]))) ?
8'b00001101 :
((VAR75 == 2) &
(((!VAR378[0]) & VAR283[0] &
(!VAR378[2]) & VAR283[2]) |
((!VAR85[0]) & VAR188[0] &
(!VAR85[2]) & VAR188[2]) |
((!VAR12[0]) & VAR191[0] &
(!VAR12[2]) & VAR191[2]) |
((!VAR395[0]) & VAR337[0] &
(!VAR395[2]) & VAR337[2]) |
((!VAR36[0]) & VAR65[0] &
(!VAR36[2]) & VAR65[2]))) ?
8'b00001000 : 8'b11100100;
wire [VAR295*80-1:0] VAR210;
wire [VAR295*80-1:0] VAR119;
wire [(VAR295*12)-1:0] VAR169;
wire [(((VAR295+3)/4)*4)-1:0] VAR397;
wire [(VAR246 * VAR122)-1:0] VAR353;
wire VAR258;
wire VAR78;
wire VAR181;
wire VAR123;
wire VAR130;
wire VAR373;
wire VAR112;
wire VAR320;
wire [VAR236-1:0] VAR145;
wire [5:0] VAR327;
wire VAR3;
wire [VAR236-1:0] VAR141;
wire [VAR236-1:0] VAR279;
wire VAR73;
wire VAR50;
wire VAR375;
wire VAR380;
wire VAR167;
wire VAR379;
wire VAR90;
wire VAR265;
wire [5:0] VAR217;
wire VAR234;
wire VAR160;
wire VAR349;
wire [2:0] VAR182;
wire [2:0] VAR157;
wire [2:0] VAR100;
wire [2:0] VAR368;
wire [2:0] VAR27;
wire [8:0] VAR34;
wire [5:0] VAR317;
wire [2*VAR175*VAR223-1:0] VAR369;
reg [VAR175-1:0] VAR266;
wire [VAR175*VAR238-1:0] VAR362;
wire [VAR175*VAR300-1:0] VAR206;
wire [VAR26*VAR399*VAR175-1:0] VAR146;
wire [VAR175-1:0] VAR260;
wire [VAR175-1:0] VAR306;
wire [VAR175-1:0] VAR276;
wire VAR186;
wire [3:0] VAR377;
wire [VAR175-1:0] VAR213;
wire [1:0] VAR359;
wire VAR15;
wire VAR396;
wire VAR221;
wire [2:0] VAR309;
wire [1:0] VAR372;
wire [5:0] VAR168;
wire [5:0] VAR117;
wire [5:0] VAR322;
wire [1:0] VAR42;
wire [1:0] VAR331;
wire [VAR175*VAR238-1:0] VAR318;
wire [3:0] VAR46;
wire [3:0] VAR332;
wire [VAR175*VAR300-1:0] VAR88;
wire [2:0] VAR209;
wire VAR216;
wire [VAR26*VAR399*VAR175-1:0] VAR55;
wire VAR275;
wire [1:0] VAR237;
wire [5:0] VAR284;
wire [5:0] VAR56;
wire [5:0] VAR294;
wire [VAR175-1:0] VAR91;
wire [VAR175-1:0] VAR387;
wire [1:0] VAR341;
wire VAR103;
wire [VAR175-1:0] VAR58;
wire [2*VAR175*VAR223-1:0] VAR339;
wire [2*VAR175*(VAR223/8)-1:0] VAR179;
wire VAR364;
wire [VAR175-1:0] VAR39 ;
wire [1:0] VAR307 ;
wire VAR343;
wire VAR29;
wire VAR89;
wire [2*VAR175*VAR223-1:0] VAR334;
wire VAR77 ;
reg VAR391;
reg [2*VAR175*VAR223-1:0] VAR312;
wire [4:0] VAR35;
wire [5:0] VAR57;
assign VAR287 = VAR391;
assign VAR401 = VAR312;
assign VAR262 = VAR193;
assign VAR207 = VAR50;
assign VAR25 = VAR34;
assign VAR249 = VAR317;
genvar VAR192;
generate
for (VAR192 = 0; VAR192 < VAR246; VAR192 = VAR192+1) begin: VAR194
assign VAR198[VAR192] = VAR353[VAR122 * VAR192];
assign VAR274[VAR192] = VAR353[(VAR122 * VAR192) + 1];
end
endgenerate
wire [VAR26*VAR399*VAR175-1:0] VAR11 ;
genvar VAR95 ;
generate
if((VAR105 == "VAR305") && (VAR156 == "VAR9") && (VAR144 == 1) && (VAR399 ==2)) begin : VAR196
for(VAR95 = 0 ; VAR95 < VAR26*VAR399*VAR175 ; VAR95 = VAR95+1 ) begin
if((VAR95%(VAR26*VAR399)) == 0) begin
assign VAR11[VAR95] = VAR218[VAR95] ;
end else begin
assign VAR11[VAR95] = 'b1 ;
end
end
end else begin
assign VAR11 = VAR218 ;
end
endgenerate
assign VAR339 = (VAR89 | VAR261) ? VAR155 : VAR369;
assign VAR179 = (VAR89 | VAR261) ? VAR121 : 'b0;
assign VAR318 = (VAR89 | VAR261) ? VAR338 : VAR362;
assign VAR88 = (VAR89 | VAR261) ? VAR245 : VAR206;
assign VAR55 = (VAR89 | VAR261) ? VAR11 : VAR146;
assign VAR91 = (VAR89 | VAR261) ? VAR313 : VAR260;
assign VAR387 = (VAR89 | VAR261) ? VAR132 : VAR306;
assign VAR58 = (VAR89 | VAR261) ? VAR63 : VAR276;
assign VAR103 = (VAR89 | VAR261) ? VAR393 : VAR186;
assign VAR46 = (VAR89 | VAR261) ? VAR23 : VAR377;
assign VAR307 = (VAR89 | VAR261) ? VAR325 : VAR359 ;
assign VAR39 = (VAR89 | VAR261) ? VAR352 : VAR213 ;
assign VAR216 = (VAR89 | VAR261) ? VAR204 :
VAR396;
assign VAR275 = (VAR89 | VAR261) ? VAR251 :
VAR15;
assign VAR364 = (VAR89 | VAR261) ? VAR222 :
VAR221;
assign VAR209 = (VAR89 | VAR261) ? VAR126 : VAR309;
assign VAR237 = (VAR89 | VAR261) ? VAR293 : VAR331;
assign VAR284 = (VAR89 | VAR261) ? VAR358 :
VAR168;
assign VAR56 = (VAR89 | VAR261) ? VAR140 :
VAR117;
assign VAR294 = (VAR89 | VAR261) ? VAR180 :
VAR322;
assign VAR341 = 2'b00;
generate
if(VAR240 == "VAR224") begin
assign VAR332 = ((VAR156 == "VAR114") && (VAR144 == 1)) ?
{VAR46[1],VAR46[1],VAR46[1],VAR46[0]} :
VAR46;
end else begin
assign VAR332 = 4'b0000 ;
end
endgenerate
assign VAR81 = VAR89;
assign VAR13 = VAR78;
assign VAR351 = VAR181;
assign VAR139 = VAR130;
generate
if ((VAR156 == "VAR9") && (VAR105 == "VAR305")) begin: VAR109
if (VAR175 == 4) begin
always @(posedge clk) begin
VAR88[(VAR300*4)-1:VAR300*3],
VAR387[3], VAR91[3], VAR58[3]});
end
always @ begin
VAR266[1] = (^{VAR318[VAR238-1:0],
VAR88[VAR300-1:0],
VAR387[0], VAR91[0], VAR58[0]});
end
end
end else begin: VAR257
if (VAR175 == 4) begin
always @(posedge clk) begin
end
end else begin
always @(posedge clk) begin
end
end
end
endgenerate
generate
if(VAR99 == 1)begin:VAR172
always @(posedge clk)begin
end end else begin : VAR321 always @(VAR77 or VAR334)begin
VAR391 = VAR77;
VAR312 = VAR334;
end
end
endgenerate
assign VAR92 = VAR391;
assign VAR263 = VAR312;
VAR272 #
(
.VAR8 (VAR8),
.VAR53 (VAR53),
.VAR113 (VAR113),
.VAR389 (VAR389),
.VAR197(VAR197),
.VAR336 (VAR336),
.VAR175 (VAR175),
.VAR399 (VAR399),
.VAR300 (VAR300),
.VAR171 (VAR171),
.VAR26 (VAR26),
.VAR246 (VAR246),
.VAR122 (VAR122),
.VAR248 (VAR248),
.VAR350 (VAR350),
.VAR250 (VAR250),
.VAR223 (VAR223),
.VAR311 (VAR311),
.VAR37 (VAR37),
.VAR156 (VAR156),
.VAR144 (VAR144),
.VAR200 (VAR200),
.VAR105 (VAR105),
.VAR238 (VAR238),
.VAR385 (VAR385),
.VAR60 (VAR60),
.VAR93 (VAR93),
.VAR394 (VAR394),
.VAR381 (VAR381),
.VAR378 (VAR378),
.VAR85 (VAR85),
.VAR12 (VAR12),
.VAR395 (VAR395),
.VAR36 (VAR36),
.VAR283 (VAR283),
.VAR188 (VAR188),
.VAR191 (VAR191),
.VAR337 (VAR337),
.VAR65 (VAR65),
.VAR230 (VAR230),
.VAR38 (VAR38),
.VAR189 (VAR189),
.VAR236 (VAR236),
.VAR295 (VAR295),
.VAR10 (VAR10),
.VAR170 (VAR170),
.VAR185 (VAR185),
.VAR225 (VAR225),
.VAR208 (VAR208),
.VAR72 (VAR72),
.VAR33 (VAR33),
.VAR240 (VAR240),
.VAR345 (VAR345),
.VAR45 (VAR45),
.VAR291 (VAR291),
.VAR116 (VAR116),
.VAR106 (VAR106),
.VAR86 (VAR86),
.VAR120 (VAR120),
.VAR129 (VAR129),
.VAR174 (VAR174),
.VAR347 (VAR347),
.VAR143 (VAR143),
.VAR159 (VAR159),
.VAR297 (VAR297),
.VAR304 (VAR304),
.VAR298 (VAR298),
.VAR166 (VAR166),
.VAR239 (VAR239),
.VAR384 (VAR384),
.VAR151 (VAR151),
.VAR382 (VAR382),
.VAR357 (VAR357),
.VAR134 (VAR134),
.VAR388 (VAR388),
.VAR201 (VAR201),
.VAR316 (VAR316),
.VAR79 (VAR79),
.VAR308 (VAR308)
)
VAR20
(
.rst (rst),
.clk (clk),
.VAR49 (VAR49),
.VAR66 (VAR66),
.VAR242 (VAR242),
.VAR335 (VAR335),
.VAR205 (VAR220),
.VAR22 (VAR216),
.VAR299 (VAR364),
.VAR363 ({5'd0, VAR237, VAR372, VAR284,
VAR341, 3'd0, VAR332,
5'd0, VAR209}),
.VAR361 (VAR275),
.VAR343 (VAR343),
.VAR29 (VAR29),
.VAR47 (VAR56),
.VAR67 (VAR294),
.VAR371 (VAR332),
.VAR24 (VAR332),
.VAR35 (VAR35),
.VAR57 (VAR57),
.VAR373 (VAR373),
.VAR78 (VAR78),
.VAR181 (VAR181),
.VAR123 (VAR123),
.VAR130 (VAR130),
.VAR353 (VAR353),
.VAR258 (VAR258),
.VAR112 (VAR112),
.VAR320 (VAR320),
.VAR162 (VAR27),
.VAR19 (VAR100),
.VAR278 (VAR368),
.VAR400 (VAR157),
.VAR5 (VAR5),
.VAR366 (1'b1),
.VAR253 (VAR182),
.VAR259 (),
.VAR34 (VAR34),
.VAR68 (VAR145),
.VAR319 (VAR379),
.VAR183 (VAR90),
.VAR252 (VAR265),
.VAR187 (VAR217),
.VAR317 (VAR317),
.VAR234 (VAR234),
.VAR160 (VAR160),
.VAR349 (VAR349),
.VAR73 (VAR73),
.VAR50 (VAR50),
.VAR292 (VAR375),
.VAR380 (VAR380),
.VAR167 (VAR167),
.VAR89 (VAR89),
.VAR327 (VAR327),
.VAR3 (VAR3),
.VAR141 (VAR141),
.VAR279 (VAR279),
.VAR318 (VAR318),
.VAR88 (VAR88),
.VAR55 (VAR55),
.VAR91 (VAR91),
.VAR387 (VAR387),
.VAR58 (VAR58),
.VAR103 (VAR103),
.VAR392 (VAR266),
.VAR339 (VAR339),
.VAR179 (VAR179),
.VAR307 (VAR307),
.VAR39 (VAR39),
.VAR135 (VAR135),
.VAR324 (VAR334),
.VAR301 (VAR301),
.VAR323 (VAR323),
.VAR344 (VAR344),
.VAR137 (VAR137),
.VAR165 (VAR165),
.VAR329 (VAR329),
.VAR296 (VAR296),
.VAR54 (VAR54),
.VAR310 (VAR310),
.VAR70 (VAR70),
.VAR285 (VAR285),
.VAR269 (VAR269),
.VAR124 (VAR124),
.VAR386 (VAR386),
.VAR110 (1'b1),
.VAR227 (VAR227),
.VAR32 (VAR32),
.VAR76 (VAR76),
.VAR228 (VAR228)
);
VAR80 #
(
.VAR8 (VAR8),
.VAR175 (VAR175),
.VAR286 (VAR286),
.VAR53 (VAR53),
.VAR44 (VAR44),
.VAR75 (VAR75),
.VAR149 (VAR149),
.VAR173 (VAR173),
.VAR156 (VAR156),
.VAR202 (8),
.VAR106 (VAR106),
.VAR236 (VAR236),
.VAR113 (VAR113),
.VAR295 (VAR295),
.VAR283 (VAR283),
.VAR188 (VAR188),
.VAR191 (VAR191),
.VAR337 (VAR337),
.VAR65 (VAR65),
.VAR378 (VAR378),
.VAR85 (VAR85),
.VAR12 (VAR12),
.VAR395 (VAR395),
.VAR36 (VAR36),
.VAR360 (VAR360),
.VAR300 (VAR300),
.VAR161 (VAR161),
.VAR96 (VAR96),
.VAR240 (VAR240),
.VAR399 (VAR399),
.VAR223 (VAR223),
.VAR311 (VAR311),
.VAR37 (VAR37),
.VAR28 (VAR28),
.VAR238 (VAR238),
.VAR144 (VAR144),
.VAR26 (VAR26),
.VAR171 (VAR171),
.VAR248 (VAR248),
.VAR211 ("VAR177"),
.VAR98 (VAR98),
.VAR150 (VAR150),
.VAR254 (VAR254),
.VAR101 (VAR101),
.VAR62 (VAR62),
.VAR111 (VAR111),
.VAR244 (VAR390),
.VAR158 (VAR350),
.VAR125 (VAR125),
.VAR102 (VAR102),
.VAR105 (VAR105),
.VAR277 (VAR277),
.VAR133 (VAR133),
.VAR247 (VAR247),
.VAR241 (VAR107),
.VAR93 (VAR93),
.VAR303 (VAR303),
.VAR79 (VAR79),
.VAR2 (VAR2)
)
VAR59
(
.clk (clk),
.rst (rst),
.VAR6 (VAR365),
.VAR115 (VAR115),
.VAR212 (VAR212),
.VAR235 (VAR235),
.VAR30 (VAR258),
.VAR78 (1'b0),
.VAR181 (1'b0),
.VAR123 (1'b0),
.VAR164 (VAR373),
.VAR35 (VAR35),
.VAR57 (VAR57),
.VAR356 (),
.VAR142 (VAR112),
.VAR14 (VAR320),
.VAR327 (VAR327),
.VAR3 (VAR3),
.VAR141 (VAR141),
.VAR279 (VAR279),
.VAR343 (VAR343),
.VAR29 (VAR29),
.VAR15 (VAR15),
.VAR396 (VAR396),
.VAR372 (VAR372),
.VAR377 (VAR377),
.VAR359 (VAR359),
.VAR213 (VAR213),
.VAR309 (VAR309),
.VAR221 (VAR221),
.VAR42 (VAR42),
.VAR331 (VAR331),
.VAR168 (VAR168),
.VAR117 (VAR117),
.VAR322 (VAR322),
.VAR186 (VAR186),
.VAR362 (VAR362),
.VAR206 (VAR206),
.VAR146 (VAR146),
.VAR260 (VAR260),
.VAR306 (VAR306),
.VAR276 (VAR276),
.VAR369 (VAR369),
.VAR73 (VAR73),
.VAR50 (VAR50),
.VAR375 (VAR375),
.VAR380 (VAR380),
.VAR290 (VAR228),
.VAR136 (VAR145),
.VAR379 (VAR379),
.VAR90 (VAR90),
.VAR265 (VAR265),
.VAR217 (VAR217),
.VAR317 (VAR317),
.VAR152 (VAR152),
.VAR255 (VAR255),
.VAR234 (VAR234),
.VAR160 (VAR160),
.VAR349 (VAR349),
.VAR182 (VAR182),
.VAR157 (VAR157),
.VAR100 (VAR100),
.VAR368 (VAR368),
.VAR27 (VAR27),
.VAR5 (VAR5),
.VAR34 (VAR34),
.VAR263 (VAR334),
.VAR92 (VAR77),
.VAR193 (VAR193),
.VAR94 (VAR94),
.VAR87 (VAR87),
.VAR190 (),
.VAR81 (VAR89),
.VAR261 (VAR261),
.VAR41 (VAR214),
.VAR326 (VAR233),
.VAR84 (VAR128),
.VAR219 (VAR219),
.VAR267 (VAR267),
.VAR288 (VAR288),
.VAR354 (VAR354),
.VAR82 (VAR82),
.VAR232 (VAR232),
.VAR1 (VAR1),
.VAR270 (VAR270),
.VAR83 (VAR83),
.VAR346 (VAR346),
.VAR273 (VAR273),
.VAR333 (VAR333),
.VAR131 (VAR131),
.VAR17 (VAR17),
.VAR127 (VAR127),
.VAR226 (VAR226),
.VAR148 (VAR148),
.VAR153 (VAR153),
.VAR281 (VAR281),
.VAR147 (VAR147),
.VAR74 (VAR74),
.VAR184 (VAR184),
.VAR138 (VAR138),
.VAR383 (VAR383),
.VAR370 (VAR370),
.VAR268 (VAR268),
.VAR271 (VAR271),
.VAR314 (VAR314),
.VAR229 (VAR229),
.VAR315 (VAR315),
.VAR154 (VAR154),
.VAR374 (VAR374),
.VAR280 (VAR280),
.VAR71 (VAR71),
.VAR18 (VAR18),
.VAR348 (VAR348),
.VAR376 (VAR376),
.VAR48 (VAR48),
.VAR367 (VAR367),
.VAR4 (VAR4),
.VAR199 (VAR199),
.VAR69 (VAR69),
.VAR282 (VAR282),
.VAR203 (VAR203),
.VAR16 (VAR16),
.VAR31 (VAR31),
.VAR163 (VAR163)
);
endmodule | mit |
HFoxtail/Mu80 | trunk/rom.v | 2,745 | module MODULE1 (VAR29, VAR67, VAR59, VAR41, VAR39, VAR12, VAR4);
input VAR59;
input [13:0] VAR67;
input [7:0] VAR41;
input VAR39;
input [13:0] VAR29;
output [7:0] VAR12;
output [7:0] VAR4;
tri1 VAR59;
tri0 VAR10;
tri0 VAR47;
wire [7:0] VAR33;
wire [7:0] VAR14;
wire [7:0] VAR12 = VAR33[7:0];
wire [7:0] VAR4 = VAR14[7:0];
VAR25 VAR45 (
.VAR13 (VAR59),
.VAR10 (1'b0),
.VAR47 (VAR39),
.VAR57 (VAR29),
.VAR48 (VAR67),
.VAR6 (16'h0),
.VAR51 (VAR41),
.VAR46 (VAR33),
.VAR36 (VAR14),
.VAR30 (1'b0),
.VAR5 (1'b0),
.VAR38 (1'b0),
.VAR8 (1'b0),
.VAR9 (1'b1),
.VAR7 (1'b1),
.VAR11 (1'b1),
.VAR68 (1'b1),
.VAR15 (1'b1),
.VAR65 (1'b1),
.VAR42 (1'b1),
.VAR62 (),
.VAR40 (1'b1),
.VAR50 (1'b1));
VAR45.VAR19 = "VAR72",
VAR45.VAR49 = "VAR60",
VAR45.VAR23 = "VAR60",
VAR45.VAR55 = "VAR60",
VAR45.VAR70 = "VAR60",
VAR45.VAR34 = "VAR72",
VAR45.VAR52 = "MODULE1.VAR24",
VAR45.VAR27 = "VAR63 VAR16",
VAR45.VAR44 = "VAR25",
VAR45.VAR71 = 16384,
VAR45.VAR69 = 16384,
VAR45.VAR53 = "VAR64",
VAR45.VAR22 = "VAR20",
VAR45.VAR17 = "VAR20",
VAR45.VAR18 = "VAR72",
VAR45.VAR28 = "VAR72",
VAR45.VAR66 = "VAR43",
VAR45.VAR32 = "VAR61",
VAR45.VAR1 = "VAR31",
VAR45.VAR35 = "VAR2",
VAR45.VAR56 = "VAR2",
VAR45.VAR54 = 14,
VAR45.VAR37 = 14,
VAR45.VAR3 = 8,
VAR45.VAR73 = 8,
VAR45.VAR26 = 1,
VAR45.VAR58 = 1,
VAR45.VAR21 = "VAR72";
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/bufbuf/sky130_fd_sc_ms__bufbuf.pp.blackbox.v | 1,245 | module MODULE1 (
VAR2 ,
VAR4 ,
VAR3,
VAR5,
VAR1 ,
VAR6
);
output VAR2 ;
input VAR4 ;
input VAR3;
input VAR5;
input VAR1 ;
input VAR6 ;
endmodule | apache-2.0 |
htuNCSU/MmcCommunicationVerilog | DE2_115_SLAVE/source_code/phyIniCommand0_and.v | 1,183 | module MODULE1
(
input [(VAR1-1):0] VAR7,
input [(VAR5-1):0] addr,
input VAR2, clk,
output [(VAR1-1):0] VAR3
);
reg [VAR1-1:0] VAR6[2**VAR5-1:0];
reg [VAR5-1:0] VAR4;
begin | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/or3/sky130_fd_sc_hdll__or3.functional.pp.v | 1,819 | module MODULE1 (
VAR2 ,
VAR1 ,
VAR6 ,
VAR4 ,
VAR14,
VAR13,
VAR12 ,
VAR8
);
output VAR2 ;
input VAR1 ;
input VAR6 ;
input VAR4 ;
input VAR14;
input VAR13;
input VAR12 ;
input VAR8 ;
wire VAR3 ;
wire VAR11;
or VAR10 (VAR3 , VAR6, VAR1, VAR4 );
VAR9 VAR7 (VAR11, VAR3, VAR14, VAR13);
buf VAR5 (VAR2 , VAR11 );
endmodule | apache-2.0 |
victor1994y/BipedRobot_byFPGA | Project_BipedRobot.srcs/sources_1/ip/vio_0/vio_0_stub.v | 1,365 | module MODULE1(clk, VAR1, VAR4, VAR2, VAR3)
;
input clk;
output [0:0]VAR1;
output [0:0]VAR4;
output [0:0]VAR2;
output [0:0]VAR3;
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o221ai/sky130_fd_sc_ls__o221ai.pp.symbol.v | 1,409 | module MODULE1 (
input VAR1 ,
input VAR7 ,
input VAR4 ,
input VAR5 ,
input VAR3 ,
output VAR8 ,
input VAR2 ,
input VAR10,
input VAR6,
input VAR9
);
endmodule | apache-2.0 |
P3Stor/P3Stor | ftl/Dynamic_Controller/ipcore_dir/pcie_data_rec_fifo.v | 13,697 | module MODULE1(
rst,
VAR165,
VAR103,
din,
VAR246,
VAR233,
dout,
VAR390,
VAR130,
VAR15,
VAR420,
VAR340,
VAR366,
VAR44
);
input rst;
input VAR165;
input VAR103;
input [127 : 0] din;
input VAR246;
input VAR233;
output [255 : 0] dout;
output VAR390;
output VAR130;
output VAR15;
output VAR420;
output [10 : 0] VAR340;
output [11 : 0] VAR366;
output VAR44;
VAR351 #(
.VAR379(0),
.VAR135(0),
.VAR338(0),
.VAR36(0),
.VAR308(0),
.VAR214(0),
.VAR347(0),
.VAR336(32),
.VAR55(1),
.VAR394(1),
.VAR124(1),
.VAR33(64),
.VAR217(4),
.VAR28(1),
.VAR143(0),
.VAR112(1),
.VAR73(64),
.VAR368(4),
.VAR326(8),
.VAR53(4),
.VAR259(4),
.VAR141(4),
.VAR94(0),
.VAR166(0),
.VAR381(0),
.VAR57(12),
.VAR134("VAR209"),
.VAR199(128),
.VAR296(1),
.VAR314(32),
.VAR186(64),
.VAR398(32),
.VAR171(64),
.VAR285(2),
.VAR302("0"),
.VAR240(256),
.VAR269(0),
.VAR298(1),
.VAR360(0),
.VAR86(0),
.VAR52(0),
.VAR304(0),
.VAR77(0),
.VAR237(0),
.VAR303(0),
.VAR149("VAR208"),
.VAR224(1),
.VAR8(1),
.VAR72(1),
.VAR330(0),
.VAR287(0),
.VAR243(0),
.VAR349(0),
.VAR71(0),
.VAR415(0),
.VAR405(0),
.VAR89(0),
.VAR118(0),
.VAR41(0),
.VAR153(0),
.VAR98(0),
.VAR133(1),
.VAR104(0),
.VAR184(0),
.VAR223(0),
.VAR320(0),
.VAR164(0),
.VAR358(0),
.VAR380(0),
.VAR157(0),
.VAR322(0),
.VAR252(0),
.VAR19(0),
.VAR90(0),
.VAR154(0),
.VAR310(0),
.VAR372(0),
.VAR306(0),
.VAR318(0),
.VAR91(0),
.VAR234(0),
.VAR393(0),
.VAR400(1),
.VAR179(0),
.VAR205(1),
.VAR396(0),
.VAR27(0),
.VAR10(0),
.VAR363(0),
.VAR280(0),
.VAR168(1),
.VAR305(0),
.VAR96(2),
.VAR92(1),
.VAR5(1),
.VAR359(1),
.VAR31(1),
.VAR210(1),
.VAR267(1),
.VAR76(0),
.VAR331(0),
.VAR193(1),
.VAR88("VAR209"),
.VAR69(1),
.VAR109(0),
.VAR66(0),
.VAR407(0),
.VAR409(1),
.VAR139("4kx9"),
.VAR284(4),
.VAR167(1022),
.VAR58(1022),
.VAR342(1022),
.VAR335(1022),
.VAR106(1022),
.VAR356(1022),
.VAR272(5),
.VAR116(0),
.VAR20(5),
.VAR196(5),
.VAR132(5),
.VAR401(5),
.VAR361(5),
.VAR352(5),
.VAR81(3073),
.VAR222(1023),
.VAR175(1023),
.VAR78(1023),
.VAR102(1023),
.VAR16(1023),
.VAR191(1023),
.VAR67(3072),
.VAR309(1),
.VAR300(5),
.VAR279(5),
.VAR11(5),
.VAR225(5),
.VAR185(5),
.VAR343(5),
.VAR315(0),
.VAR242(11),
.VAR137(2048),
.VAR115(1),
.VAR68(11),
.VAR286(0),
.VAR160(0),
.VAR152(0),
.VAR350(0),
.VAR2(0),
.VAR357(0),
.VAR289(0),
.VAR192(2),
.VAR54(0),
.VAR416(0),
.VAR397(0),
.VAR111(0),
.VAR221(1),
.VAR386(0),
.VAR126(0),
.VAR75(0),
.VAR95(0),
.VAR100(0),
.VAR121(0),
.VAR329(0),
.VAR277(0),
.VAR148(0),
.VAR293(0),
.VAR268(0),
.VAR181(0),
.VAR332(0),
.VAR127(0),
.VAR255(12),
.VAR158(4096),
.VAR62(1024),
.VAR215(16),
.VAR348(1024),
.VAR128(16),
.VAR79(1024),
.VAR218(16),
.VAR23(1),
.VAR17(12),
.VAR281(10),
.VAR202(4),
.VAR227(10),
.VAR376(4),
.VAR291(10),
.VAR176(4),
.VAR412(1),
.VAR180(0)
)
VAR63 (
.VAR173(rst),
.VAR389(VAR165),
.VAR30(VAR103),
.VAR119(din),
.VAR228(VAR246),
.VAR353(VAR233),
.VAR194(dout),
.VAR297(VAR390),
.VAR48(VAR130),
.VAR14(VAR15),
.VAR271(VAR420),
.VAR290(VAR340),
.VAR12(VAR366),
.VAR307(VAR44),
.VAR56(),
.VAR334(),
.VAR216(),
.VAR258(),
.VAR18(),
.VAR35(),
.VAR411(),
.VAR200(),
.VAR231(),
.VAR151(),
.VAR292(),
.VAR378(),
.VAR236(),
.VAR249(),
.VAR265(),
.VAR219(),
.VAR114(),
.VAR402(),
.VAR38(),
.VAR29(),
.VAR220(),
.VAR161(),
.VAR362(),
.VAR13(),
.VAR312(),
.VAR419(),
.VAR391(),
.VAR311(),
.VAR9(),
.VAR319(),
.VAR278(),
.VAR122(),
.VAR232(),
.VAR1(),
.VAR257(),
.VAR256(),
.VAR339(),
.VAR99(),
.VAR253(),
.VAR373(),
.VAR93(),
.VAR3(),
.VAR414(),
.VAR245(),
.VAR327(),
.VAR187(),
.VAR150(),
.VAR354(),
.VAR410(),
.VAR273(),
.VAR170(),
.VAR60(),
.VAR403(),
.VAR70(),
.VAR182(),
.VAR382(),
.VAR408(),
.VAR74(),
.VAR301(),
.VAR108(),
.VAR395(),
.VAR328(),
.VAR392(),
.VAR65(),
.VAR195(),
.VAR138(),
.VAR144(),
.VAR388(),
.VAR80(),
.VAR377(),
.VAR162(),
.VAR49(),
.VAR183(),
.VAR24(),
.VAR266(),
.VAR64(),
.VAR83(),
.VAR203(),
.VAR399(),
.VAR341(),
.VAR189(),
.VAR59(),
.VAR321(),
.VAR105(),
.VAR276(),
.VAR113(),
.VAR275(),
.VAR226(),
.VAR324(),
.VAR212(),
.VAR201(),
.VAR25(),
.VAR177(),
.VAR385(),
.VAR32(),
.VAR261(),
.VAR50(),
.VAR6(),
.VAR345(),
.VAR174(),
.VAR188(),
.VAR355(),
.VAR142(),
.VAR7(),
.VAR371(),
.VAR323(),
.VAR34(),
.VAR346(),
.VAR145(),
.VAR325(),
.VAR123(),
.VAR238(),
.VAR43(),
.VAR241(),
.VAR270(),
.VAR369(),
.VAR85(),
.VAR129(),
.VAR262(),
.VAR211(),
.VAR146(),
.VAR4(),
.VAR45(),
.VAR229(),
.VAR251(),
.VAR163(),
.VAR367(),
.VAR283(),
.VAR288(),
.VAR107(),
.VAR97(),
.VAR131(),
.VAR110(),
.VAR155(),
.VAR190(),
.VAR337(),
.VAR172(),
.VAR206(),
.VAR26(),
.VAR260(),
.VAR418(),
.VAR374(),
.VAR117(),
.VAR294(),
.VAR198(),
.VAR333(),
.VAR295(),
.VAR147(),
.VAR197(),
.VAR413(),
.VAR274(),
.VAR235(),
.VAR140(),
.VAR40(),
.VAR375(),
.VAR87(),
.VAR263(),
.VAR299(),
.VAR22(),
.VAR317(),
.VAR213(),
.VAR42(),
.VAR136(),
.VAR207(),
.VAR239(),
.VAR282(),
.VAR37(),
.VAR417(),
.VAR384(),
.VAR51(),
.VAR250(),
.VAR248(),
.VAR47(),
.VAR264(),
.VAR387(),
.VAR125(),
.VAR370(),
.VAR82(),
.VAR254(),
.VAR316(),
.VAR84(),
.VAR101(),
.VAR169(),
.VAR61(),
.VAR344(),
.VAR156(),
.VAR313(),
.VAR365(),
.VAR244(),
.VAR159(),
.VAR204(),
.VAR230(),
.VAR404(),
.VAR383(),
.VAR406(),
.VAR46(),
.VAR364(),
.VAR39(),
.VAR120(),
.VAR21(),
.VAR247(),
.VAR178()
);
endmodule | gpl-2.0 |
progranism/Open-Source-FPGA-Bitcoin-Miner | projects/LX150_comm_tweaks/hdl/sha256_pipes2.v | 5,372 | module MODULE2 ( clk, VAR4, VAR5, out );
parameter VAR10 = 64;
input clk;
input [255:0] VAR4;
input [511:0] VAR5;
output [255:0] out;
localparam VAR7 = {
32'h428a2f98, 32'h71374491, 32'hb5c0fbcf, 32'he9b5dba5,
32'h3956c25b, 32'h59f111f1, 32'h923f82a4, 32'hab1c5ed5,
32'hd807aa98, 32'h12835b01, 32'h243185be, 32'h550c7dc3,
32'h72be5d74, 32'h80deb1fe, 32'h9bdc06a7, 32'hc19bf174,
32'he49b69c1, 32'hefbe4786, 32'h0fc19dc6, 32'h240ca1cc,
32'h2de92c6f, 32'h4a7484aa, 32'h5cb0a9dc, 32'h76f988da,
32'h983e5152, 32'ha831c66d, 32'hb00327c8, 32'hbf597fc7,
32'hc6e00bf3, 32'hd5a79147, 32'h06ca6351, 32'h14292967,
32'h27b70a85, 32'h2e1b2138, 32'h4d2c6dfc, 32'h53380d13,
32'h650a7354, 32'h766a0abb, 32'h81c2c92e, 32'h92722c85,
32'ha2bfe8a1, 32'ha81a664b, 32'hc24b8b70, 32'hc76c51a3,
32'hd192e819, 32'hd6990624, 32'hf40e3585, 32'h106aa070,
32'h19a4c116, 32'h1e376c08, 32'h2748774c, 32'h34b0bcb5,
32'h391c0cb3, 32'h4ed8aa4a, 32'h5b9cca4f, 32'h682e6ff3,
32'h748f82ee, 32'h78a5636f, 32'h84c87814, 32'h8cc70208,
32'h90befffa, 32'ha4506ceb, 32'hbef9a3f7, 32'hc67178f2
};
genvar VAR6;
generate
for (VAR6 = 0; VAR6 <= VAR10; VAR6 = VAR6 + 1) begin : VAR15
reg [511:0] VAR17;
reg [223:0] state;
reg [31:0] VAR8;
if(VAR6 == 0)
begin
always @ (posedge clk)
begin
VAR17 <= VAR5;
state <= VAR4[223:0];
VAR8 <= VAR4[VAR21(7)] + VAR5[VAR21(0)] + VAR7[VAR21(63)];
end
end else
begin
reg [511:0] VAR24;
reg [223:0] VAR2;
reg [31:0] VAR16, VAR26, VAR1, VAR20;
always @ (posedge clk)
begin
VAR24 <= VAR15[VAR6-1].VAR17;
VAR17[479:0] <= VAR24[511:32];
VAR16 <= VAR18( VAR15[VAR6-1].VAR17[VAR21(15)] ); VAR26 <= VAR16; VAR1 <= ( ( VAR6 == 1 ) ? VAR18( VAR15[VAR6-1].VAR17[VAR21(14)] ) : VAR15[VAR6-1].VAR26 ) + VAR15[VAR6-1].VAR17[VAR21(9)] + VAR15[VAR6-1].VAR17[VAR21(0)]; VAR17[VAR21(15)] <= VAR11( VAR24[VAR21(1)] ) + VAR1;
VAR2 <= VAR15[VAR6-1].state;
VAR20 <= VAR12( VAR15[VAR6-1].state[VAR21(4)], VAR15[VAR6-1].state[VAR21(5)], VAR15[VAR6-1].state[VAR21(6)] ) + VAR13( VAR15[VAR6-1].state[VAR21(4)] ) + VAR15[VAR6-1].VAR8;
state[VAR21(0)] <= VAR3( VAR2[VAR21(0)], VAR2[VAR21(1)], VAR2[VAR21(2)] ) + VAR22( VAR2[VAR21(0)] ) + VAR20; state[VAR21(1)] <= VAR2[VAR21(0)]; state[VAR21(2)] <= VAR2[VAR21(1)]; state[VAR21(3)] <= VAR2[VAR21(2)]; state[VAR21(4)] <= VAR2[VAR21(3)] + VAR20; state[VAR21(5)] <= VAR2[VAR21(4)]; state[VAR21(6)] <= VAR2[VAR21(5)];
VAR8 <= VAR2[VAR21(6)] + VAR24[VAR21(1)] + VAR7[VAR21((127-VAR6) & 63)]; end
end
end
endgenerate
reg [31:0] VAR23, VAR9;
always @ (posedge clk)
begin
VAR9 <= VAR15[VAR10-1].state[VAR21(6)];
VAR23 <= VAR9;
end
assign out[223:0] = VAR15[VAR10].state;
assign out[255:224] = VAR23;
endmodule
module MODULE1 ( clk, state, VAR25, VAR17, VAR14 );
input clk;
input [255:0] state, VAR25;
input [511:0] VAR17;
output reg [255:0] VAR14;
wire [255:0] out;
MODULE2 #( .VAR10(64) ) VAR19 (
.clk(clk),
.VAR4(state),
.VAR5(VAR17),
.out(out)
);
always @ (posedge clk)
begin
VAR14[VAR21(0)] <= VAR25[VAR21(0)] + out[VAR21(0)];
VAR14[VAR21(1)] <= VAR25[VAR21(1)] + out[VAR21(1)];
VAR14[VAR21(2)] <= VAR25[VAR21(2)] + out[VAR21(2)];
VAR14[VAR21(3)] <= VAR25[VAR21(3)] + out[VAR21(3)];
VAR14[VAR21(4)] <= VAR25[VAR21(4)] + out[VAR21(4)];
VAR14[VAR21(5)] <= VAR25[VAR21(5)] + out[VAR21(5)];
VAR14[VAR21(6)] <= VAR25[VAR21(6)] + out[VAR21(6)];
VAR14[VAR21(7)] <= VAR25[VAR21(7)] + out[VAR21(7)];
end
endmodule
module MODULE3 ( clk, VAR17, VAR14 );
parameter state = 256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667;
input clk;
input [511:0] VAR17;
output [31:0] VAR14;
wire [255:0] out;
MODULE2 #( .VAR10(61) ) VAR19 (
.clk(clk),
.VAR4(state),
.VAR5(VAR17),
.out(out)
);
assign VAR14 = out[VAR21(4)];
endmodule | gpl-3.0 |
GLADICOS/SPACEWIRESYSTEMC | altera_work/spw_fifo_ulight/ulight_fifo/synthesis/submodules/ulight_fifo_timecode_tx_data.v | 2,301 | module MODULE1 (
address,
VAR3,
clk,
VAR6,
VAR9,
VAR7,
VAR5,
VAR8
)
;
output [ 7: 0] VAR5;
output [ 31: 0] VAR8;
input [ 1: 0] address;
input VAR3;
input clk;
input VAR6;
input VAR9;
input [ 31: 0] VAR7;
wire VAR2;
reg [ 7: 0] VAR1;
wire [ 7: 0] VAR5;
wire [ 7: 0] VAR4;
wire [ 31: 0] VAR8;
assign VAR2 = 1;
assign VAR4 = {8 {(address == 0)}} & VAR1;
always @(posedge clk or negedge VAR6)
begin
if (VAR6 == 0)
VAR1 <= 0;
end
else if (VAR3 && ~VAR9 && (address == 0))
VAR1 <= VAR7[7 : 0];
end
assign VAR8 = {32'b0 | VAR4};
assign VAR5 = VAR1;
endmodule | gpl-3.0 |
lkesteloot/alice | alice4/fpga/Alice4-DE0-Nano-SoC/soc_system/soc_system_bb.v | 3,358 | module MODULE1 (
VAR37,
VAR32,
VAR13,
VAR26,
VAR38,
VAR40,
VAR29,
VAR23,
VAR19,
VAR51,
VAR47,
VAR33,
VAR43,
VAR9,
VAR46,
VAR1,
VAR28,
VAR24,
VAR36,
VAR7,
VAR27,
VAR48,
VAR11,
VAR34,
VAR39,
VAR3,
VAR5,
VAR41,
VAR6,
VAR20,
VAR44,
VAR17,
VAR12,
VAR25,
VAR4,
VAR50,
VAR49,
VAR52,
VAR16,
VAR22,
VAR42,
VAR2,
VAR10,
VAR35,
VAR18,
VAR31,
VAR21,
VAR15,
VAR30,
VAR14,
VAR8,
VAR45);
input VAR37;
input [28:0] VAR32;
input [7:0] VAR13;
output VAR26;
output [63:0] VAR38;
output VAR40;
input VAR29;
input [28:0] VAR23;
input [7:0] VAR19;
output VAR51;
output [63:0] VAR47;
output VAR33;
input VAR43;
input [28:0] VAR9;
input [7:0] VAR46;
output VAR1;
output [63:0] VAR28;
output VAR24;
input VAR36;
input [28:0] VAR7;
input [7:0] VAR27;
output VAR48;
input [63:0] VAR11;
input [7:0] VAR34;
input VAR39;
input [28:0] VAR3;
input [7:0] VAR5;
output VAR41;
input [63:0] VAR6;
input [7:0] VAR20;
input VAR44;
output [14:0] VAR17;
output [2:0] VAR12;
output VAR25;
output VAR4;
output VAR50;
output VAR49;
output VAR52;
output VAR16;
output VAR22;
output VAR42;
inout [31:0] VAR2;
inout [3:0] VAR10;
inout [3:0] VAR35;
output VAR18;
output [3:0] VAR31;
input VAR21;
input VAR15;
input VAR30;
output VAR14;
output VAR8;
input VAR45;
endmodule | apache-2.0 |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/DE2_115_makomk_mod/fpgaminer_top.v | 5,033 | module MODULE1 (VAR21);
parameter VAR29 = VAR27;
parameter VAR29 = 0;
localparam [5:0] VAR30 = (6'd1 << VAR29);
localparam [31:0] VAR19 = (32'd1 << (7 - VAR29)) + 32'd1;
input VAR21;
reg [255:0] state = 0;
reg [511:0] VAR23 = 0;
reg [31:0] VAR8 = 32'h00000000;
wire VAR5;
VAR17 VAR40 (VAR21, VAR5);
assign VAR5 = VAR21;
wire [255:0] VAR4, VAR7;
reg [5:0] VAR16 = 6'd0;
reg VAR18 = 1'b0;
VAR28 #(.VAR30(VAR30)) VAR26 (
.clk(VAR5),
.VAR18(VAR18),
.VAR16(VAR16),
.VAR38(state),
.VAR42(VAR23),
.VAR41(VAR4)
);
VAR28 #(.VAR30(VAR30)) VAR13 (
.clk(VAR5),
.VAR18(VAR18),
.VAR16(VAR16),
.VAR38(256'h5be0cd191f83d9ab9b05688c510e527fa54ff53a3c6ef372bb67ae856a09e667),
.VAR42({256'h0000010000000000000000000000000000000000000000000000000080000000, VAR4}),
.VAR41(VAR7)
);
reg [255:0] VAR3 = 0, VAR15 = 0;
wire [255:0] VAR12, VAR32;
VAR25 # (.VAR31(0), .VAR1(256), .VAR33("VAR34")) VAR9(.VAR14(), .VAR36(VAR12));
VAR25 # (.VAR31(0), .VAR1(256), .VAR33("VAR24")) VAR22(.VAR14(), .VAR36(VAR32));
reg [31:0] VAR10 = 0;
VAR25 # (.VAR31(32), .VAR1(0), .VAR33("VAR11")) VAR39 (.VAR14(VAR10), .VAR36());
VAR25 # (.VAR31(32), .VAR1(0), .VAR33("VAR44")) VAR6 (.VAR14(VAR8), .VAR36());
reg VAR45 = 1'b0;
reg VAR20 = 1'b1;
wire [5:0] VAR35;
wire [31:0] VAR37;
wire VAR2;
wire reset;
assign reset = 1'b0;
reg reset = 1'b0; VAR43
assign VAR35 = reset ? 6'd0 : (VAR30 == 1) ? 6'd0 : (VAR16 + 6'd1) & (VAR30-1);
assign VAR2 = (VAR30 == 1) ? 1'b0 : (VAR35 != {(VAR29){1'b0}});
assign VAR37 =
reset ? 32'd0 :
VAR2 ? VAR8 : (VAR8 + 32'd1);
always @ (posedge VAR5)
begin
VAR3 <= VAR12;
VAR15 <= VAR32;
VAR16 <= VAR35;
VAR18 <= VAR2;
VAR20 <= VAR18;
state <= VAR3;
VAR23 <= {384'h000002800000000000000000000000000000000000000000000000000000000000000000000000000000000080000000, VAR37, VAR15[95:0]};
VAR8 <= VAR37;
VAR45 <= (VAR7[255:224] == 32'h00000000) && !VAR20;
if(VAR45)
begin
if (VAR30 == 1)
end
VAR10 <= VAR8 - 32'd133; else if (VAR30 == 2)
VAR10 <= VAR8 - 32'd66;
end
else
VAR10 <= VAR8 - VAR19;
end
if (!VAR20)
end
endmodule | gpl-3.0 |
sheiksadique/USB-Uart | UART_TX.v | 2,206 | module MODULE1(
input [7:0] VAR2, input VAR4,
input VAR3, input VAR6,
input VAR7,
output reg VAR5
);
reg [3:0] state=0;
reg [7:0] VAR1=0;
always @(posedge VAR6)
begin
if (VAR4 & state<2) begin
VAR1 <= VAR2; end else if (state[3] & VAR7) begin
VAR1 <= (VAR1 >> 1); end
case(state)
4'b0000: if(VAR4 & VAR3) state <= 4'b0010; 4'b0010: if(VAR7) state <= 4'b0011; 4'b0011: if(VAR7) state <= 4'b1000; 4'b1000: if(VAR7) state <= 4'b1001; 4'b1001: if(VAR7) state <= 4'b1010; 4'b1010: if(VAR7) state <= 4'b1011; 4'b1011: if(VAR7) state <= 4'b1100; 4'b1100: if(VAR7) state <= 4'b1101; 4'b1101: if(VAR7) state <= 4'b1110; 4'b1110: if(VAR7) state <= 4'b1111; 4'b1111: if(VAR7) state <= 4'b0001; 4'b0001: if(VAR7) begin if(VAR4 & VAR3) begin
state <= 4'b0011; end else begin
state <= 4'b0000; end
end
default: if(VAR7) state <= 4'b0000;
endcase
end
always @(posedge VAR6)
begin
VAR5 <= (state < 3) | (state[3] & VAR1[0]); end
endmodule | gpl-2.0 |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/axi_ad9250/axi_ad9250_pnmon.v | 6,678 | module MODULE1 (
VAR10,
VAR13,
VAR15,
VAR12,
VAR4);
input VAR10;
input [27:0] VAR13;
output VAR15;
output VAR12;
input [ 3:0] VAR4;
reg [27:0] VAR7 = 'd0;
reg [27:0] VAR6 = 'd0;
wire [27:0] VAR9;
function [27:0] VAR11;
input [27:0] din;
reg [27:0] dout;
begin
dout[27] = din[22] ^ din[17];
dout[26] = din[21] ^ din[16];
dout[25] = din[20] ^ din[15];
dout[24] = din[19] ^ din[14];
dout[23] = din[18] ^ din[13];
dout[22] = din[17] ^ din[12];
dout[21] = din[16] ^ din[11];
dout[20] = din[15] ^ din[10];
dout[19] = din[14] ^ din[ 9];
dout[18] = din[13] ^ din[ 8];
dout[17] = din[12] ^ din[ 7];
dout[16] = din[11] ^ din[ 6];
dout[15] = din[10] ^ din[ 5];
dout[14] = din[ 9] ^ din[ 4];
dout[13] = din[ 8] ^ din[ 3];
dout[12] = din[ 7] ^ din[ 2];
dout[11] = din[ 6] ^ din[ 1];
dout[10] = din[ 5] ^ din[ 0];
dout[ 9] = din[ 4] ^ din[22] ^ din[17];
dout[ 8] = din[ 3] ^ din[21] ^ din[16];
dout[ 7] = din[ 2] ^ din[20] ^ din[15];
dout[ 6] = din[ 1] ^ din[19] ^ din[14];
dout[ 5] = din[ 0] ^ din[18] ^ din[13];
dout[ 4] = din[22] ^ din[12];
dout[ 3] = din[21] ^ din[11];
dout[ 2] = din[20] ^ din[10];
dout[ 1] = din[19] ^ din[ 9];
dout[ 0] = din[18] ^ din[ 8];
VAR11 = dout;
end
endfunction
function [27:0] VAR8;
input [27:0] din;
reg [27:0] dout;
begin
dout[27] = din[ 8] ^ din[ 4];
dout[26] = din[ 7] ^ din[ 3];
dout[25] = din[ 6] ^ din[ 2];
dout[24] = din[ 5] ^ din[ 1];
dout[23] = din[ 4] ^ din[ 0];
dout[22] = din[ 3] ^ din[ 8] ^ din[ 4];
dout[21] = din[ 2] ^ din[ 7] ^ din[ 3];
dout[20] = din[ 1] ^ din[ 6] ^ din[ 2];
dout[19] = din[ 0] ^ din[ 5] ^ din[ 1];
dout[18] = din[ 8] ^ din[ 0];
dout[17] = din[ 7] ^ din[ 8] ^ din[ 4];
dout[16] = din[ 6] ^ din[ 7] ^ din[ 3];
dout[15] = din[ 5] ^ din[ 6] ^ din[ 2];
dout[14] = din[ 4] ^ din[ 5] ^ din[ 1];
dout[13] = din[ 3] ^ din[ 4] ^ din[ 0];
dout[12] = din[ 2] ^ din[ 3] ^ din[ 8] ^ din[ 4];
dout[11] = din[ 1] ^ din[ 2] ^ din[ 7] ^ din[ 3];
dout[10] = din[ 0] ^ din[ 1] ^ din[ 6] ^ din[ 2];
dout[ 9] = din[ 8] ^ din[ 0] ^ din[ 4] ^ din[ 5] ^ din[ 1];
dout[ 8] = din[ 7] ^ din[ 8] ^ din[ 3] ^ din[ 0];
dout[ 7] = din[ 6] ^ din[ 7] ^ din[ 2] ^ din[ 8] ^ din[ 4];
dout[ 6] = din[ 5] ^ din[ 6] ^ din[ 1] ^ din[ 7] ^ din[ 3];
dout[ 5] = din[ 4] ^ din[ 5] ^ din[ 0] ^ din[ 6] ^ din[ 2];
dout[ 4] = din[ 3] ^ din[ 8] ^ din[ 5] ^ din[ 1];
dout[ 3] = din[ 2] ^ din[ 4] ^ din[ 7] ^ din[ 0];
dout[ 2] = din[ 1] ^ din[ 3] ^ din[ 6] ^ din[ 8] ^ din[ 4];
dout[ 1] = din[ 0] ^ din[ 2] ^ din[ 5] ^ din[ 7] ^ din[ 3];
dout[ 0] = din[ 8] ^ din[ 1] ^ din[ 6] ^ din[ 2];
VAR8 = dout;
end
endfunction
assign VAR9 = (VAR15 == 1'b1) ? VAR7 : VAR6;
always @(posedge VAR10) begin
VAR7 <= {~VAR13[13], VAR13[12:0], ~VAR13[27], VAR13[26:14]};
if (VAR4 == 4'd0) begin
VAR6 <= VAR8(VAR9);
end else begin
VAR6 <= VAR11(VAR9);
end
end
VAR16 #(.VAR2(28)) VAR3 (
.VAR10 (VAR10),
.VAR14 (1'b1),
.VAR5 (VAR7),
.VAR1 (VAR6),
.VAR15 (VAR15),
.VAR12 (VAR12));
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/mux4/sky130_fd_sc_lp__mux4.pp.symbol.v | 1,376 | module MODULE1 (
input VAR10 ,
input VAR7 ,
input VAR1 ,
input VAR6 ,
output VAR4 ,
input VAR5 ,
input VAR11 ,
input VAR9 ,
input VAR3,
input VAR8,
input VAR2
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/einvn/sky130_fd_sc_lp__einvn_4.v | 2,150 | module MODULE1 (
VAR6 ,
VAR7 ,
VAR2,
VAR3,
VAR1,
VAR8 ,
VAR4
);
output VAR6 ;
input VAR7 ;
input VAR2;
input VAR3;
input VAR1;
input VAR8 ;
input VAR4 ;
VAR9 VAR5 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2),
.VAR3(VAR3),
.VAR1(VAR1),
.VAR8(VAR8),
.VAR4(VAR4)
);
endmodule
module MODULE1 (
VAR6 ,
VAR7 ,
VAR2
);
output VAR6 ;
input VAR7 ;
input VAR2;
supply1 VAR3;
supply0 VAR1;
supply1 VAR8 ;
supply0 VAR4 ;
VAR9 VAR5 (
.VAR6(VAR6),
.VAR7(VAR7),
.VAR2(VAR2)
);
endmodule | apache-2.0 |
glennchid/font5-firmware | src/verilog/synthesis/ShiftReg16.v | 1,138 | module MODULE1(
input clk,
input VAR8,
input signed [15:0] din,
input [4:0] VAR10,
output reg signed [15:0] dout = 16'd0
);
parameter VAR6 = 32;
reg [15:0] VAR5 [0:VAR6-3];
reg [4:0] VAR2 = 5'd0;
reg VAR3 = 1'b1;
integer VAR1;
integer VAR4;
VAR9 for (VAR4=0; VAR4 < (VAR6-2); VAR4=VAR4+1) VAR5[VAR4]=16'd0; VAR7
always @(posedge clk) begin
VAR3 <= VAR8;
VAR2 <= (VAR10 < 5'd2) ? 5'd0 : (VAR10 - 5'd2);
VAR5[0] <= din;
for (VAR1=VAR6-3; VAR1 > 0; VAR1=VAR1-1) VAR5[VAR1] <= VAR5[VAR1-1];
dout <= (VAR3) ? din : VAR5[VAR2];
end
endmodule | gpl-3.0 |
spesialstyrker/boula | src/rx_fsm.v | 15,287 | module MODULE1(
input wire VAR16,
input wire VAR12, input wire VAR2, input wire [VAR17 - 1:0] VAR11,
input wire VAR7,
output reg VAR21,
input wire VAR14,
output reg VAR10,
input wire [1:0] VAR4, output reg [2:0] VAR9,
input wire VAR20, output reg VAR5, output reg VAR19, output reg VAR6
);
parameter VAR17 = 8;
localparam VAR18 = 3'b000;
localparam VAR13 = 3'b001;
localparam VAR15 = 3'b010;
localparam VAR1 = 3'b011;
localparam VAR3 = 3'b100;
reg [3:0] state;
reg [3:0] VAR8;
always @(posedge clk) begin
if (reset) begin
state <= 4'b0; state[VAR18] <= 1'b1; end else begin
state <= VAR8; end end
always @(state) begin
VAR8 <= 4'b0;
case (1'b1)
state[VAR18]: begin
if (VAR2 && VAR14) begin VAR8[VAR13] = 1'b1; end
else begin VAR8[VAR18] = 1'b1; end
end
state[VAR13]: begin
if (VAR2 && VAR14) begin VAR8[VAR15] = 1'b1; end
else begin VAR8[VAR13] = 1'b1; end
end
state[VAR15]: begin
if (VAR2 && VAR14 && VAR4[1]) begin
if (VAR4[0] == 0) begin VAR8[VAR1] = 1'b1; end
else begin VAR8[VAR3] = 1'b1; end
end
else if (VAR2 && VAR14 && VAR7) begin VAR8[VAR18] = 1'b1; end
else begin VAR8[VAR15] = 1'b1; end
end
state[VAR1]: begin
if (VAR2 && VAR20 && VAR7) begin VAR8[VAR18] = 1'b1; end
else begin VAR8[VAR1] = 1'b1; end
state[VAR3]: begin
if (VAR2 && VAR20 && VAR7) begin VAR8[VAR18] = 1'b1; end
else begin VAR8[VAR3] = 1'b1; end
default: begin
VAR8[VAR18] = 1'b1; end endcase
end
always @(posedge VAR12) begin
case (1'b1)
state[VAR18]: begin VAR21 <= 1'b0; VAR10 <= 1'b0; VAR9 <= VAR18; VAR5 <= 1'b0; VAR19 <= 1'b0; VAR6 <= 1'b0; end
state[VAR13]: begin
if (VAR2 && VAR14) begin VAR21 <= 1'b1; VAR10 <= 1'b1; VAR9 <= VAR13; VAR5 <= 1'b0; VAR19 <= 1'b0; VAR6 <= 1'b0; end
else if (VAR2 && ~VAR14) begin VAR21 <= 1'b0; VAR10 <= 1'b1; VAR9 <= VAR13; VAR5 <= 1'b0; VAR19 <= 1'b0; VAR6 <= 1'b0; end
else begin VAR21 <= 1'b0; VAR10 <= 1'b0; VAR9 <= VAR13; VAR5 <= 1'b0; VAR19 <= 1'b0; VAR6 <= 1'b0; end
state[VAR15]: begin
if (VAR2 && VAR14) begin VAR21 <= 1'b1; VAR10 <= 1'b1; VAR9 <= VAR15; VAR5 <= 1'b0; VAR19 <= 1'b0; VAR6 <= 1'b0; end
else if (VAR2 && ~VAR14) begin VAR21 <= 1'b0; VAR10 <= 1'b1; VAR9 <= VAR15; VAR5 <= 1'b0; VAR19 <= 1'b0; VAR6 <= 1'b0; end
else begin VAR21 <= 1'b0; VAR10 <= 1'b0; VAR9 <= VAR15; VAR5 <= 1'b0; VAR19 <= 1'b0; VAR6 <= 1'b0; end
state[VAR1]: begin
if (VAR2 && VAR20) begin VAR21 <= 1'b1; VAR10 <= 1'b0; VAR9 <= VAR1; VAR5 <= 1'b1; if (VAR4[1]) == 1'b0) begin
VAR19 <= 1'b1; VAR6 <= 1'b0;
end
else begin
VAR19 <= 1'b0;
VAR6 <= 1'b1; end
end
else begin VAR21 <= 1'b0; VAR10 <= 1'b0; VAR9 <= VAR1; VAR5 <= VAR2; if (VAR4[1] == 1'b0) begin
VAR19 <= 1'b1; VAR6 <= 1'b0;
end
else begin
VAR19 <= 1'b0;
VAR6 <= 1'b1; end
end
end
state[VAR3]: begin
if (VAR2 && VAR20) begin VAR21 <= 1'b1; VAR10 <= 1'b0; VAR9 <= VAR3; VAR5 <= 1'b1; if (VAR4[1] == 1'b0) begin
VAR19 <= 1'b1; VAR6 <= 1'b0;
end
else begin
VAR19 <= 1'b0;
VAR6 <= 1'b1; end
end
else begin VAR21 <= 1'b0; VAR10 <= 1'b0; VAR9 <= VAR3; VAR5 <= VAR2; if (VAR4[1] == 1'b0) begin
VAR19 <= 1'b1; VAR6 <= 1'b0;
end
else begin
VAR19 <= 1'b0;
VAR6 <= 1'b1; end
end
end
default: begin
VAR8[VAR18] = 1'b1; end endcase
end/*}}}*
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/clkdlybuf4s25/sky130_fd_sc_lp__clkdlybuf4s25_2.v | 2,163 | module MODULE2 (
VAR4 ,
VAR1 ,
VAR3,
VAR5,
VAR8 ,
VAR2
);
output VAR4 ;
input VAR1 ;
input VAR3;
input VAR5;
input VAR8 ;
input VAR2 ;
VAR6 VAR7 (
.VAR4(VAR4),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR8(VAR8),
.VAR2(VAR2)
);
endmodule
module MODULE2 (
VAR4,
VAR1
);
output VAR4;
input VAR1;
supply1 VAR3;
supply0 VAR5;
supply1 VAR8 ;
supply0 VAR2 ;
VAR6 VAR7 (
.VAR4(VAR4),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
cr88192/bgbtech_bjx1core | bwjx1c64a/RegWGPR.v | 46,748 | module MODULE1(
VAR19, VAR91,
VAR208, VAR102, VAR213, VAR43,
VAR35, VAR280, VAR148, VAR110,
VAR104, VAR164, VAR53, VAR209,
VAR262, VAR268, VAR287, VAR48,
VAR216, VAR153, VAR299, VAR191,
VAR304, VAR270, VAR88, VAR319, VAR62,
VAR225, VAR40, VAR38, VAR12, VAR247,
VAR300, VAR11, VAR229, VAR126, VAR24,
VAR261, VAR303, VAR267, VAR254, VAR15,
VAR242, VAR138, VAR134, VAR217, VAR190,
VAR215, VAR145,
VAR101, VAR123,
VAR103, VAR317,
VAR56, VAR185,
VAR141, VAR63,
VAR80, VAR281,
VAR77, VAR127,
VAR146, VAR117,
VAR58, VAR166,
VAR144, VAR259,
VAR188, VAR137,
VAR72, VAR219,
VAR81, VAR42
);
parameter VAR122 = 0;
parameter VAR47 = 0;
input VAR19;
input[63:0] VAR91;
input[6:0] VAR208;
input[6:0] VAR102;
input[6:0] VAR213;
input[6:0] VAR35;
input[6:0] VAR280;
input[6:0] VAR148;
input[6:0] VAR104;
input[6:0] VAR164;
input[6:0] VAR53;
input[6:0] VAR262;
input[6:0] VAR268;
input[6:0] VAR287;
input[6:0] VAR216;
input[6:0] VAR153;
input[6:0] VAR299;
input[6:0] VAR43;
input[6:0] VAR110;
input[6:0] VAR209;
input[6:0] VAR48;
input[6:0] VAR191;
output[63:0] VAR304;
output[63:0] VAR270;
output[63:0] VAR88;
output[63:0] VAR225;
output[63:0] VAR40;
output[63:0] VAR38;
output[63:0] VAR300;
output[63:0] VAR11;
output[63:0] VAR229;
output[63:0] VAR261;
output[63:0] VAR303;
output[63:0] VAR267;
output[63:0] VAR242;
output[63:0] VAR138;
output[63:0] VAR134;
input[63:0] VAR319;
input[63:0] VAR12;
input[63:0] VAR126;
input[63:0] VAR254;
input[63:0] VAR217;
input[63:0] VAR62;
input[63:0] VAR247;
input[63:0] VAR24;
input[63:0] VAR15;
input[63:0] VAR190;
input[63:0] VAR145; input[63:0] VAR123; input[63:0] VAR317; input[63:0] VAR185; input[63:0] VAR63; input[63:0] VAR127; input[63:0] VAR117; input[63:0] VAR166; input[63:0] VAR259; input[63:0] VAR137; input[63:0] VAR219; input[63:0] VAR42; input[63:0] VAR281;
output[63:0] VAR56; output[63:0] VAR141; output[63:0] VAR101; output[63:0] VAR188; output[63:0] VAR72; output[63:0] VAR81; output[63:0] VAR215; output[63:0] VAR77; output[63:0] VAR146; output[63:0] VAR58; output[63:0] VAR144; output[63:0] VAR103; output[63:0] VAR80;
reg[63:0] VAR246; reg[63:0] VAR90; reg[63:0] VAR260; reg[63:0] VAR248; reg[63:0] VAR226; reg[63:0] VAR233; reg[63:0] VAR318; reg[63:0] VAR115; reg[63:0] VAR59; reg[63:0] VAR96; reg[63:0] VAR168; reg[63:0] VAR14; reg[63:0] VAR282;
assign VAR56 = VAR246;
assign VAR141 = VAR90;
assign VAR101 = VAR260;
assign VAR188 = VAR248;
assign VAR72 = VAR226;
assign VAR81 = VAR233;
assign VAR215 = VAR318;
assign VAR77 = VAR115;
assign VAR146 = VAR59;
assign VAR58 = VAR96;
assign VAR144 = VAR168;
assign VAR103 = VAR14;
assign VAR80 = VAR282;
reg[63:0] VAR9;
reg[63:0] VAR161;
reg[63:0] VAR100;
reg[63:0] VAR277;
reg[63:0] VAR250;
reg[63:0] VAR278;
reg[63:0] VAR98;
reg[63:0] VAR237;
reg[63:0] VAR292;
reg[63:0] VAR235;
reg[63:0] VAR183;
reg[63:0] VAR293;
reg[63:0] VAR97;
reg[63:0] VAR172;
reg[63:0] VAR21;
assign VAR304 = VAR9;
assign VAR270 = VAR161;
assign VAR88 = VAR100;
assign VAR225 = VAR277;
assign VAR40 = VAR250;
assign VAR38 = VAR278;
assign VAR300 = VAR98;
assign VAR11 = VAR237;
assign VAR229 = VAR292;
assign VAR261 = VAR235;
assign VAR303 = VAR183;
assign VAR267 = VAR293;
assign VAR242 = VAR97;
assign VAR138 = VAR172;
assign VAR134 = VAR21;
reg VAR174;
reg VAR108;
reg VAR155;
reg VAR241;
reg VAR118;
reg VAR204;
reg[63:0] VAR314;
reg[63:0] VAR120;
reg[63:0] VAR178;
reg[63:0] VAR37;
reg[63:0] VAR121;
reg[63:0] VAR52;
reg[63:0] VAR152;
reg[63:0] VAR245;
reg[63:0] VAR238;
reg[63:0] VAR184;
reg[63:0] VAR297;
reg[63:0] VAR167;
reg[63:0] VAR70;
reg[63:0] VAR223;
reg[63:0] VAR64;
reg[63:0] VAR232;
reg[63:0] VAR283;
reg[63:0] VAR212;
reg[63:0] VAR29;
reg[63:0] VAR41;
reg[63:0] VAR306;
reg[63:0] VAR273;
reg[63:0] VAR10;
reg[63:0] VAR173;
reg[63:0] VAR195;
reg[63:0] VAR263;
reg[63:0] VAR253;
reg[63:0] VAR93;
reg[63:0] VAR39;
reg[63:0] VAR147;
reg[63:0] VAR17;
reg[63:0] VAR154;
reg[63:0] VAR236;
reg[63:0] VAR165;
reg[63:0] VAR301;
reg[63:0] VAR27;
reg[63:0] VAR79;
reg[63:0] VAR312;
reg[63:0] VAR116;
reg[63:0] VAR285;
reg[63:0] VAR302;
reg[63:0] VAR129;
reg[63:0] VAR114;
reg[63:0] VAR294;
reg[63:0] VAR211;
reg[63:0] VAR163;
reg[63:0] VAR92;
reg[63:0] VAR291;
reg[31:0] VAR45;
reg[31:0] VAR18;
reg[31:0] VAR131;
reg[31:0] VAR68;
reg[31:0] VAR2;
reg[31:0] VAR315;
reg[31:0] VAR156;
reg[31:0] VAR288;
reg[31:0] VAR74;
reg[31:0] VAR222;
reg[31:0] VAR189;
reg[31:0] VAR275;
reg[31:0] VAR187;
reg[31:0] VAR170;
reg[31:0] VAR113;
reg[31:0] VAR136;
reg[31:0] VAR265;
reg[31:0] VAR22;
reg[31:0] VAR181;
reg[31:0] VAR177;
reg[31:0] VAR240;
reg[31:0] VAR135;
reg[31:0] VAR176;
reg[31:0] VAR111;
reg[31:0] VAR89;
reg[31:0] VAR83;
reg[31:0] VAR107;
reg[31:0] VAR221;
reg[31:0] VAR313;
reg[31:0] VAR87;
reg[31:0] VAR49;
reg[31:0] VAR227;
reg[31:0] VAR255;
reg[31:0] VAR239;
reg[31:0] VAR244;
reg[31:0] VAR60;
reg[31:0] VAR85;
reg[31:0] VAR289;
reg[31:0] VAR218;
reg[31:0] VAR76;
reg[31:0] VAR158;
reg[31:0] VAR54;
reg[31:0] VAR78;
reg[31:0] VAR32;
reg[31:0] VAR132;
reg[31:0] VAR130;
reg[31:0] VAR159;
reg[31:0] VAR3;
reg[31:0] VAR298;
reg[31:0] VAR194;
reg[31:0] VAR309;
reg[31:0] VAR44;
reg[31:0] VAR109;
reg[31:0] VAR243;
reg[31:0] VAR199;
reg[31:0] VAR258;
reg[31:0] VAR28;
reg[31:0] VAR206;
reg[31:0] VAR86;
reg[31:0] VAR50;
reg[31:0] VAR57;
reg[31:0] VAR310;
reg[31:0] VAR5;
reg[31:0] VAR311;
always @*
begin
VAR118 = 0;
VAR204 = 0;
VAR108 = 0;
VAR241 = 0;
VAR277 = VAR207;
VAR98 = VAR207;
VAR235 = VAR207;
VAR97 = VAR207;
VAR183 = VAR207;
VAR172 = VAR207;
VAR293 = VAR207;
VAR21 = VAR207;
case(VAR208)
VAR228: VAR9 = VAR314; VAR1: VAR9 = VAR120;
VAR16: VAR9 = VAR178; VAR162: VAR9 = VAR37;
VAR284: VAR9 = VAR121; VAR8: VAR9 = VAR52;
VAR94: VAR9 = VAR152; VAR210: VAR9 = VAR245;
VAR13: VAR9 = VAR238; VAR198: VAR9 = VAR184;
VAR61: VAR9 = VAR297; VAR99: VAR9 = VAR167;
VAR192: VAR9 = VAR70; VAR295: VAR9 = VAR223;
VAR73: VAR9 = VAR64; VAR276: VAR9 = VAR232;
VAR179: VAR9 = VAR283; VAR196: VAR9 = VAR212;
VAR25: VAR9 = VAR29; VAR150: VAR9 = VAR41;
VAR251: VAR9 = VAR306; VAR4: VAR9 = VAR273;
VAR197: VAR9 = VAR10; VAR202: VAR9 = VAR173;
VAR316: VAR9 = VAR195; VAR193: VAR9 = VAR263;
VAR65: VAR9 = VAR253; VAR200: VAR9 = VAR93;
VAR20: VAR9 = VAR39; VAR266: VAR9 = VAR147;
VAR151: VAR9 = VAR17; VAR140: VAR9 = VAR154;
default: VAR9 = VAR207;
endcase
case(VAR102)
VAR228: VAR161 = VAR314; VAR1: VAR161 = VAR120;
VAR16: VAR161 = VAR178; VAR162: VAR161 = VAR37;
VAR284: VAR161 = VAR121; VAR8: VAR161 = VAR52;
VAR94: VAR161 = VAR152; VAR210: VAR161 = VAR245;
VAR13: VAR161 = VAR238; VAR198: VAR161 = VAR184;
VAR61: VAR161 = VAR297; VAR99: VAR161 = VAR167;
VAR192: VAR161 = VAR70; VAR295: VAR161 = VAR223;
VAR73: VAR161 = VAR64; VAR276: VAR161 = VAR232;
VAR179: VAR161 = VAR283; VAR196: VAR161 = VAR212;
VAR25: VAR161 = VAR29; VAR150: VAR161 = VAR41;
VAR251: VAR161 = VAR306; VAR4: VAR161 = VAR273;
VAR197: VAR161 = VAR10; VAR202: VAR161 = VAR173;
VAR316: VAR161 = VAR195; VAR193: VAR161 = VAR263;
VAR65: VAR161 = VAR253; VAR200: VAR161 = VAR93;
VAR20: VAR161 = VAR39; VAR266: VAR161 = VAR147;
VAR151: VAR161 = VAR17; VAR140: VAR161 = VAR154;
VAR296: VAR161 = VAR236; VAR31: VAR161 = VAR165;
VAR143: VAR161 = VAR301; VAR36: VAR161 = VAR27;
VAR30: VAR161 = VAR79; VAR274: VAR161 = VAR312;
VAR286: VAR161 = VAR116; VAR214: VAR161 = VAR285;
VAR203: VAR161 = VAR302; VAR124: VAR161 = VAR129;
VAR46: VAR161 = VAR114; VAR75: VAR161 = VAR294;
VAR26: VAR161 = VAR211; VAR308: VAR161 = VAR163;
VAR51: VAR161 = VAR92; VAR7: VAR161 = VAR291;
VAR231: VAR161 = VAR118 ?
{VAR45, VAR18} : {VAR256, VAR45};
VAR169: VAR161 = VAR118 ?
{VAR265, VAR22} : {VAR256, VAR18};
VAR112: VAR161 = VAR118 ?
{VAR131, VAR68} : {VAR256, VAR131};
VAR157: VAR161 = VAR118 ?
{VAR181, VAR177} : {VAR256, VAR68};
VAR33: VAR161 = VAR118 ?
{VAR2, VAR315} : {VAR256, VAR2};
VAR160: VAR161 = VAR118 ?
{VAR240, VAR135} : {VAR256, VAR315};
VAR224: VAR161 = VAR118 ?
{VAR156, VAR288} : {VAR256, VAR156};
VAR186: VAR161 = VAR118 ?
{VAR176, VAR111} : {VAR256, VAR288};
VAR252: VAR161 = VAR118 ?
{VAR74, VAR222} : {VAR256, VAR74};
VAR71: VAR161 = VAR118 ?
{VAR89, VAR83} : {VAR256, VAR222};
VAR142: VAR161 = VAR118 ?
{VAR189, VAR275} : {VAR256, VAR189};
VAR133: VAR161 = VAR118 ?
{VAR107, VAR221} : {VAR256, VAR275};
VAR264: VAR161 = VAR118 ?
{VAR187, VAR170} : {VAR256, VAR187};
VAR175: VAR161 = VAR118 ?
{VAR313, VAR87} : {VAR256, VAR170};
VAR69: VAR161 = VAR118 ?
{VAR113, VAR136} : {VAR256, VAR113};
VAR269: VAR161 = VAR118 ?
{VAR49, VAR227} : {VAR256, VAR136};
VAR182: VAR161 = VAR318; VAR171: VAR161 = VAR115;
VAR106: VAR161 = VAR59; VAR34: VAR161 = VAR96;
VAR205: VAR161 = VAR168;
VAR105: VAR161 = VAR246; VAR234: VAR161 = VAR90;
VAR55: VAR161 = VAR260; VAR279: VAR161 = VAR248;
VAR139: VAR161 = VAR226; VAR82: VAR161 = VAR233;
VAR305: VAR161 = VAR91; VAR220: VAR161 = VAR91;
VAR149: VAR161 = VAR62; VAR6: VAR161 = 0;
default: VAR161 = VAR207;
endcase
case(VAR213)
VAR228: VAR100 = VAR314; VAR1: VAR100 = VAR120;
VAR16: VAR100 = VAR178; VAR162: VAR100 = VAR37;
VAR284: VAR100 = VAR121; VAR8: VAR100 = VAR52;
VAR94: VAR100 = VAR152; VAR210: VAR100 = VAR245;
VAR13: VAR100 = VAR238; VAR198: VAR100 = VAR184;
VAR61: VAR100 = VAR297; VAR99: VAR100 = VAR167;
VAR192: VAR100 = VAR70; VAR295: VAR100 = VAR223;
VAR73: VAR100 = VAR64; VAR276: VAR100 = VAR232;
VAR179: VAR100 = VAR283; VAR196: VAR100 = VAR212;
VAR25: VAR100 = VAR29; VAR150: VAR100 = VAR41;
VAR251: VAR100 = VAR306; VAR4: VAR100 = VAR273;
VAR197: VAR100 = VAR10; VAR202: VAR100 = VAR173;
VAR316: VAR100 = VAR195; VAR193: VAR100 = VAR263;
VAR65: VAR100 = VAR253; VAR200: VAR100 = VAR93;
VAR20: VAR100 = VAR39; VAR266: VAR100 = VAR147;
VAR151: VAR100 = VAR17; VAR140: VAR100 = VAR154;
VAR296: VAR100 = VAR236; VAR31: VAR100 = VAR165;
VAR143: VAR100 = VAR301; VAR36: VAR100 = VAR27;
VAR30: VAR100 = VAR79; VAR274: VAR100 = VAR312;
VAR286: VAR100 = VAR116; VAR214: VAR100 = VAR285;
VAR203: VAR100 = VAR302; VAR124: VAR100 = VAR129;
VAR46: VAR100 = VAR114; VAR75: VAR100 = VAR294;
VAR26: VAR100 = VAR211; VAR308: VAR100 = VAR163;
VAR51: VAR100 = VAR92; VAR7: VAR100 = VAR291;
VAR231: VAR100 = VAR118 ?
{VAR45, VAR18} : {VAR256, VAR45};
VAR169: VAR100 = VAR118 ?
{VAR265, VAR22} : {VAR256, VAR18};
VAR112: VAR100 = VAR118 ?
{VAR131, VAR68} : {VAR256, VAR131};
VAR157: VAR100 = VAR118 ?
{VAR181, VAR177} : {VAR256, VAR68};
VAR33: VAR100 = VAR118 ?
{VAR2, VAR315} : {VAR256, VAR2};
VAR160: VAR100 = VAR118 ?
{VAR240, VAR135} : {VAR256, VAR315};
VAR224: VAR100 = VAR118 ?
{VAR156, VAR288} : {VAR256, VAR156};
VAR186: VAR100 = VAR118 ?
{VAR176, VAR111} : {VAR256, VAR288};
VAR252: VAR100 = VAR118 ?
{VAR74, VAR222} : {VAR256, VAR74};
VAR71: VAR100 = VAR118 ?
{VAR89, VAR83} : {VAR256, VAR222};
VAR142: VAR100 = VAR118 ?
{VAR189, VAR275} : {VAR256, VAR189};
VAR133: VAR100 = VAR118 ?
{VAR107, VAR221} : {VAR256, VAR275};
VAR264: VAR100 = VAR118 ?
{VAR187, VAR170} : {VAR256, VAR187};
VAR175: VAR100 = VAR118 ?
{VAR313, VAR87} : {VAR256, VAR170};
VAR69: VAR100 = VAR118 ?
{VAR113, VAR136} : {VAR256, VAR113};
VAR269: VAR100 = VAR118 ?
{VAR49, VAR227} : {VAR256, VAR136};
VAR182: VAR100 = VAR318; VAR171: VAR100 = VAR115;
VAR106: VAR100 = VAR59; VAR34: VAR100 = VAR96;
VAR205: VAR100 = VAR168;
VAR105: VAR100 = VAR246; VAR234: VAR100 = VAR90;
VAR55: VAR100 = VAR260; VAR279: VAR100 = VAR248;
VAR139: VAR100 = VAR226; VAR82: VAR100 = VAR233;
VAR305: VAR100 = VAR91; VAR220: VAR100 = VAR91;
VAR149: VAR100 = VAR62; VAR6: VAR100 = 0;
default: VAR100 = VAR207;
endcase
case(VAR280)
VAR228: VAR250 = VAR314; VAR1: VAR250 = VAR120;
VAR16: VAR250 = VAR178; VAR162: VAR250 = VAR37;
VAR284: VAR250 = VAR121; VAR8: VAR250 = VAR52;
VAR94: VAR250 = VAR152; VAR210: VAR250 = VAR245;
VAR13: VAR250 = VAR238; VAR198: VAR250 = VAR184;
VAR61: VAR250 = VAR297; VAR99: VAR250 = VAR167;
VAR192: VAR250 = VAR70; VAR295: VAR250 = VAR223;
VAR73: VAR250 = VAR64; VAR276: VAR250 = VAR232;
VAR179: VAR250 = VAR283; VAR196: VAR250 = VAR212;
VAR25: VAR250 = VAR29; VAR150: VAR250 = VAR41;
VAR251: VAR250 = VAR306; VAR4: VAR250 = VAR273;
VAR197: VAR250 = VAR10; VAR202: VAR250 = VAR173;
VAR316: VAR250 = VAR195; VAR193: VAR250 = VAR263;
VAR65: VAR250 = VAR253; VAR200: VAR250 = VAR93;
VAR20: VAR250 = VAR39; VAR266: VAR250 = VAR147;
VAR151: VAR250 = VAR17; VAR140: VAR250 = VAR154;
VAR305: VAR250 = VAR91; VAR220: VAR250 = VAR91;
VAR149: VAR250 = VAR247; VAR6: VAR250 = 0;
default: VAR250 = VAR207;
endcase
case(VAR148)
VAR228: VAR278 = VAR314; VAR1: VAR278 = VAR120;
VAR16: VAR278 = VAR178; VAR162: VAR278 = VAR37;
VAR284: VAR278 = VAR121; VAR8: VAR278 = VAR52;
VAR94: VAR278 = VAR152; VAR210: VAR278 = VAR245;
VAR13: VAR278 = VAR238; VAR198: VAR278 = VAR184;
VAR61: VAR278 = VAR297; VAR99: VAR278 = VAR167;
VAR192: VAR278 = VAR70; VAR295: VAR278 = VAR223;
VAR73: VAR278 = VAR64; VAR276: VAR278 = VAR232;
VAR179: VAR278 = VAR283; VAR196: VAR278 = VAR212;
VAR25: VAR278 = VAR29; VAR150: VAR278 = VAR41;
VAR251: VAR278 = VAR306; VAR4: VAR278 = VAR273;
VAR197: VAR278 = VAR10; VAR202: VAR278 = VAR173;
VAR316: VAR278 = VAR195; VAR193: VAR278 = VAR263;
VAR65: VAR278 = VAR253; VAR200: VAR278 = VAR93;
VAR20: VAR278 = VAR39; VAR266: VAR278 = VAR147;
VAR151: VAR278 = VAR17; VAR140: VAR278 = VAR154;
VAR305: VAR278 = VAR91; VAR220: VAR278 = VAR91;
VAR149: VAR278 = VAR247; VAR6: VAR278 = 0;
default: VAR278 = VAR207;
endcase
case(VAR164)
VAR228: VAR237 = VAR314; VAR1: VAR237 = VAR120;
VAR16: VAR237 = VAR178; VAR162: VAR237 = VAR37;
VAR284: VAR237 = VAR121; VAR8: VAR237 = VAR52;
VAR94: VAR237 = VAR152; VAR210: VAR237 = VAR245;
VAR13: VAR237 = VAR238; VAR198: VAR237 = VAR184;
VAR61: VAR237 = VAR297; VAR99: VAR237 = VAR167;
VAR192: VAR237 = VAR70; VAR295: VAR237 = VAR223;
VAR73: VAR237 = VAR64; VAR276: VAR237 = VAR232;
VAR179: VAR237 = VAR283; VAR196: VAR237 = VAR212;
VAR25: VAR237 = VAR29; VAR150: VAR237 = VAR41;
VAR251: VAR237 = VAR306; VAR4: VAR237 = VAR273;
VAR197: VAR237 = VAR10; VAR202: VAR237 = VAR173;
VAR316: VAR237 = VAR195; VAR193: VAR237 = VAR263;
VAR65: VAR237 = VAR253; VAR200: VAR237 = VAR93;
VAR20: VAR237 = VAR39; VAR266: VAR237 = VAR147;
VAR151: VAR237 = VAR17; VAR140: VAR237 = VAR154;
VAR305: VAR237 = VAR91; VAR220: VAR237 = VAR91;
VAR149: VAR237 = VAR24; VAR6: VAR237 = 0;
default: VAR237 = VAR207;
endcase
case(VAR53)
VAR228: VAR292 = VAR314; VAR1: VAR292 = VAR120;
VAR16: VAR292 = VAR178; VAR162: VAR292 = VAR37;
VAR284: VAR292 = VAR121; VAR8: VAR292 = VAR52;
VAR94: VAR292 = VAR152; VAR210: VAR292 = VAR245;
VAR13: VAR292 = VAR238; VAR198: VAR292 = VAR184;
VAR61: VAR292 = VAR297; VAR99: VAR292 = VAR167;
VAR192: VAR292 = VAR70; VAR295: VAR292 = VAR223;
VAR73: VAR292 = VAR64; VAR276: VAR292 = VAR232;
VAR179: VAR292 = VAR283; VAR196: VAR292 = VAR212;
VAR25: VAR292 = VAR29; VAR150: VAR292 = VAR41;
VAR251: VAR292 = VAR306; VAR4: VAR292 = VAR273;
VAR197: VAR292 = VAR10; VAR202: VAR292 = VAR173;
VAR316: VAR292 = VAR195; VAR193: VAR292 = VAR263;
VAR65: VAR292 = VAR253; VAR200: VAR292 = VAR93;
VAR20: VAR292 = VAR39; VAR266: VAR292 = VAR147;
VAR151: VAR292 = VAR17; VAR140: VAR292 = VAR154;
VAR305: VAR292 = VAR91; VAR220: VAR292 = VAR91;
VAR149: VAR292 = VAR24; VAR6: VAR292 = 0;
default: VAR292 = VAR207;
endcase
if(VAR122)
begin
case(VAR268)
VAR228: VAR183 = VAR314; VAR1: VAR183 = VAR120;
VAR16: VAR183 = VAR178; VAR162: VAR183 = VAR37;
VAR284: VAR183 = VAR121; VAR8: VAR183 = VAR52;
VAR94: VAR183 = VAR152; VAR210: VAR183 = VAR245;
VAR13: VAR183 = VAR238; VAR198: VAR183 = VAR184;
VAR61: VAR183 = VAR297; VAR99: VAR183 = VAR167;
VAR192: VAR183 = VAR70; VAR295: VAR183 = VAR223;
VAR73: VAR183 = VAR64; VAR276: VAR183 = VAR232;
VAR179: VAR183 = VAR283; VAR196: VAR183 = VAR212;
VAR25: VAR183 = VAR29; VAR150: VAR183 = VAR41;
VAR251: VAR183 = VAR306; VAR4: VAR183 = VAR273;
VAR197: VAR183 = VAR10; VAR202: VAR183 = VAR173;
VAR316: VAR183 = VAR195; VAR193: VAR183 = VAR263;
VAR65: VAR183 = VAR253; VAR200: VAR183 = VAR93;
VAR20: VAR183 = VAR39; VAR266: VAR183 = VAR147;
VAR151: VAR183 = VAR17; VAR140: VAR183 = VAR154;
VAR305: VAR183 = VAR91; VAR220: VAR183 = VAR91;
VAR149: VAR183 = VAR15; VAR6: VAR183 = 0;
default: VAR183 = VAR207;
endcase
case(VAR287)
VAR228: VAR293 = VAR314; VAR1: VAR293 = VAR120;
VAR16: VAR293 = VAR178; VAR162: VAR293 = VAR37;
VAR284: VAR293 = VAR121; VAR8: VAR293 = VAR52;
VAR94: VAR293 = VAR152; VAR210: VAR293 = VAR245;
VAR13: VAR293 = VAR238; VAR198: VAR293 = VAR184;
VAR61: VAR293 = VAR297; VAR99: VAR293 = VAR167;
VAR192: VAR293 = VAR70; VAR295: VAR293 = VAR223;
VAR73: VAR293 = VAR64; VAR276: VAR293 = VAR232;
VAR179: VAR293 = VAR283; VAR196: VAR293 = VAR212;
VAR25: VAR293 = VAR29; VAR150: VAR293 = VAR41;
VAR251: VAR293 = VAR306; VAR4: VAR293 = VAR273;
VAR197: VAR293 = VAR10; VAR202: VAR293 = VAR173;
VAR316: VAR293 = VAR195; VAR193: VAR293 = VAR263;
VAR65: VAR293 = VAR253; VAR200: VAR293 = VAR93;
VAR20: VAR293 = VAR39; VAR266: VAR293 = VAR147;
VAR151: VAR293 = VAR17; VAR140: VAR293 = VAR154;
VAR305: VAR293 = VAR91; VAR220: VAR293 = VAR91;
VAR149: VAR293 = VAR15; VAR6: VAR293 = 0;
default: VAR293 = VAR207;
endcase
end
if(VAR47)
begin
case(VAR153)
VAR228: VAR172 = VAR314; VAR1: VAR172 = VAR120;
VAR16: VAR172 = VAR178; VAR162: VAR172 = VAR37;
VAR284: VAR172 = VAR121; VAR8: VAR172 = VAR52;
VAR94: VAR172 = VAR152; VAR210: VAR172 = VAR245;
VAR13: VAR172 = VAR238; VAR198: VAR172 = VAR184;
VAR61: VAR172 = VAR297; VAR99: VAR172 = VAR167;
VAR192: VAR172 = VAR70; VAR295: VAR172 = VAR223;
VAR73: VAR172 = VAR64; VAR276: VAR172 = VAR232;
VAR179: VAR172 = VAR283; VAR196: VAR172 = VAR212;
VAR25: VAR172 = VAR29; VAR150: VAR172 = VAR41;
VAR251: VAR172 = VAR306; VAR4: VAR172 = VAR273;
VAR197: VAR172 = VAR10; VAR202: VAR172 = VAR173;
VAR316: VAR172 = VAR195; VAR193: VAR172 = VAR263;
VAR65: VAR172 = VAR253; VAR200: VAR172 = VAR93;
VAR20: VAR172 = VAR39; VAR266: VAR172 = VAR147;
VAR151: VAR172 = VAR17; VAR140: VAR172 = VAR154;
VAR305: VAR172 = VAR91; VAR220: VAR172 = VAR91;
VAR149: VAR172 = VAR190; VAR6: VAR172 = 0;
default: VAR172 = VAR207;
endcase
case(VAR299)
VAR228: VAR21 = VAR314; VAR1: VAR21 = VAR120;
VAR16: VAR21 = VAR178; VAR162: VAR21 = VAR37;
VAR284: VAR21 = VAR121; VAR8: VAR21 = VAR52;
VAR94: VAR21 = VAR152; VAR210: VAR21 = VAR245;
VAR13: VAR21 = VAR238; VAR198: VAR21 = VAR184;
VAR61: VAR21 = VAR297; VAR99: VAR21 = VAR167;
VAR192: VAR21 = VAR70; VAR295: VAR21 = VAR223;
VAR73: VAR21 = VAR64; VAR276: VAR21 = VAR232;
VAR179: VAR21 = VAR283; VAR196: VAR21 = VAR212;
VAR25: VAR21 = VAR29; VAR150: VAR21 = VAR41;
VAR251: VAR21 = VAR306; VAR4: VAR21 = VAR273;
VAR197: VAR21 = VAR10; VAR202: VAR21 = VAR173;
VAR316: VAR21 = VAR195; VAR193: VAR21 = VAR263;
VAR65: VAR21 = VAR253; VAR200: VAR21 = VAR93;
VAR20: VAR21 = VAR39; VAR266: VAR21 = VAR147;
VAR151: VAR21 = VAR17; VAR140: VAR21 = VAR154;
VAR305: VAR21 = VAR91; VAR220: VAR21 = VAR91;
VAR149: VAR21 = VAR62; VAR6: VAR21 = 0;
default: VAR21 = VAR207;
endcase
end
VAR255 = VAR45; VAR239 = VAR18;
VAR244 = VAR131; VAR60 = VAR68;
VAR85 = VAR2; VAR289 = VAR315;
VAR218 = VAR156; VAR76 = VAR288;
VAR158 = VAR74; VAR54 = VAR222;
VAR78 = VAR189; VAR32 = VAR275;
VAR132 = VAR187; VAR130 = VAR170;
VAR159 = VAR113; VAR3 = VAR136;
VAR298 = VAR265; VAR194 = VAR22;
VAR309 = VAR181; VAR44 = VAR177;
VAR109 = VAR240; VAR243 = VAR135;
VAR199 = VAR176; VAR258 = VAR111;
VAR28 = VAR89; VAR206 = VAR83;
VAR86 = VAR107; VAR50 = VAR221;
VAR57 = VAR313; VAR310 = VAR87;
VAR5 = VAR49; VAR311 = VAR227;
if(VAR204)
begin
case(VAR43)
VAR231: {VAR255, VAR239} = VAR319;
VAR169: {VAR298, VAR194} = VAR319;
VAR112: {VAR244, VAR60} = VAR319;
VAR157: {VAR309, VAR44} = VAR319;
VAR33: {VAR85, VAR289} = VAR319;
VAR160: {VAR109, VAR243} = VAR319;
VAR224: {VAR218, VAR76} = VAR319;
VAR186: {VAR199, VAR258} = VAR319;
VAR252: {VAR158, VAR54} = VAR319;
VAR71: {VAR28, VAR206} = VAR319;
VAR142: {VAR78, VAR32} = VAR319;
VAR133: {VAR86, VAR50} = VAR319;
VAR264: {VAR132, VAR130} = VAR319;
VAR175: {VAR57, VAR310} = VAR319;
VAR69: {VAR159, VAR3} = VAR319;
VAR269: {VAR5, VAR311} = VAR319;
default: begin end
endcase
end
else
begin
case(VAR43)
VAR231: VAR255 = VAR319[31:0];
VAR169: VAR239 = VAR319[31:0];
VAR112: VAR244 = VAR319[31:0];
VAR157: VAR60 = VAR319[31:0];
VAR33: VAR85 = VAR319[31:0];
VAR160: VAR289 = VAR319[31:0];
VAR224: VAR218 = VAR319[31:0];
VAR186: VAR76 = VAR319[31:0];
VAR252: VAR158 = VAR319[31:0];
VAR71: VAR54 = VAR319[31:0];
VAR142: VAR78 = VAR319[31:0];
VAR133: VAR32 = VAR319[31:0];
VAR264: VAR132 = VAR319[31:0];
VAR175: VAR130 = VAR319[31:0];
VAR69: VAR159 = VAR319[31:0];
VAR269: VAR3 = VAR319[31:0];
VAR272: VAR298 = VAR319[31:0];
VAR307: VAR194 = VAR319[31:0];
VAR201: VAR309 = VAR319[31:0];
VAR271: VAR44 = VAR319[31:0];
VAR23: VAR109 = VAR319[31:0];
VAR180: VAR243 = VAR319[31:0];
VAR230: VAR199 = VAR319[31:0];
VAR257: VAR258 = VAR319[31:0];
VAR84: VAR28 = VAR319[31:0];
VAR249: VAR206 = VAR319[31:0];
VAR66: VAR86 = VAR319[31:0];
VAR128: VAR50 = VAR319[31:0];
VAR95: VAR57 = VAR319[31:0];
VAR125: VAR310 = VAR319[31:0];
VAR67: VAR5 = VAR319[31:0];
VAR290: VAR311 = VAR319[31:0];
default: begin end
endcase
end
end
always @(posedge VAR19)
begin
VAR174 <= VAR108;
VAR155 <= VAR241;
if(VAR174 ^ VAR108)
begin
VAR314 <= VAR236; VAR120 <= VAR165;
VAR178 <= VAR301; VAR37 <= VAR27;
VAR121 <= VAR79; VAR52 <= VAR312;
VAR152 <= VAR116; VAR245 <= VAR285;
VAR283 <= VAR302; VAR212 <= VAR129;
VAR29 <= VAR114; VAR41 <= VAR294;
VAR306 <= VAR211; VAR273 <= VAR163;
VAR10 <= VAR92; VAR173 <= VAR291;
VAR236 <= VAR314; VAR165 <= VAR120;
VAR301 <= VAR178; VAR27 <= VAR37;
VAR79 <= VAR121; VAR312 <= VAR52;
VAR116 <= VAR152; VAR285 <= VAR245;
VAR302 <= VAR283; VAR129 <= VAR212;
VAR114 <= VAR29; VAR294 <= VAR41;
VAR211 <= VAR306; VAR163 <= VAR273;
VAR92 <= VAR10; VAR291 <= VAR173;
end
else
begin
if(VAR47)
begin
VAR314 <=
(VAR43==VAR228) ? VAR319: (VAR110==VAR228) ? VAR12:
(VAR209==VAR228) ? VAR126: (VAR48==VAR228) ? VAR254:
(VAR191==VAR228) ? VAR217: VAR314;
VAR120 <=
(VAR43==VAR1) ? VAR319: (VAR110==VAR1) ? VAR12:
(VAR209==VAR1) ? VAR126: (VAR48==VAR1) ? VAR254:
(VAR191==VAR1) ? VAR217: VAR120;
VAR178 <=
(VAR43==VAR16) ? VAR319: (VAR110==VAR16) ? VAR12:
(VAR209==VAR16) ? VAR126: (VAR48==VAR16) ? VAR254:
(VAR191==VAR16) ? VAR217: VAR178;
VAR37 <=
(VAR43==VAR162) ? VAR319: (VAR110==VAR162) ? VAR12:
(VAR209==VAR162) ? VAR126: (VAR48==VAR162) ? VAR254:
(VAR191==VAR162) ? VAR217: VAR37;
VAR121 <=
(VAR43==VAR284) ? VAR319: (VAR110==VAR284) ? VAR12:
(VAR209==VAR284) ? VAR126: (VAR48==VAR284) ? VAR254:
(VAR191==VAR284) ? VAR217: VAR121;
VAR52 <=
(VAR43==VAR8) ? VAR319: (VAR110==VAR8) ? VAR12:
(VAR209==VAR8) ? VAR126: (VAR48==VAR8) ? VAR254:
(VAR191==VAR8) ? VAR217: VAR52;
VAR152 <=
(VAR43==VAR94) ? VAR319: (VAR110==VAR94) ? VAR12:
(VAR209==VAR94) ? VAR126: (VAR48==VAR94) ? VAR254:
(VAR191==VAR94) ? VAR217: VAR152;
VAR245 <=
(VAR43==VAR210) ? VAR319: (VAR110==VAR210) ? VAR12:
(VAR209==VAR210) ? VAR126: (VAR48==VAR210) ? VAR254:
(VAR191==VAR210) ? VAR217: VAR245;
VAR238 <=
(VAR43==VAR13) ? VAR319: (VAR110==VAR13) ? VAR12:
(VAR209==VAR13) ? VAR126: (VAR48==VAR13) ? VAR254:
(VAR191==VAR13) ? VAR217: VAR238;
VAR184 <=
(VAR43==VAR198) ? VAR319: (VAR110==VAR198) ? VAR12:
(VAR209==VAR198) ? VAR126: (VAR48==VAR198) ? VAR254:
(VAR191==VAR198) ? VAR217: VAR184;
VAR297 <=
(VAR43==VAR61) ? VAR319: (VAR110==VAR61) ? VAR12:
(VAR209==VAR61) ? VAR126: (VAR48==VAR61) ? VAR254:
(VAR191==VAR61) ? VAR217: VAR297;
VAR167 <=
(VAR43==VAR99) ? VAR319: (VAR110==VAR99) ? VAR12:
(VAR209==VAR99) ? VAR126: (VAR48==VAR99) ? VAR254:
(VAR191==VAR99) ? VAR217: VAR167;
VAR70 <=
(VAR43==VAR192) ? VAR319: (VAR110==VAR192) ? VAR12:
(VAR209==VAR192) ? VAR126: (VAR48==VAR192) ? VAR254:
(VAR191==VAR192) ? VAR217: VAR70;
VAR223 <=
(VAR43==VAR295) ? VAR319: (VAR110==VAR295) ? VAR12:
(VAR209==VAR295) ? VAR126: (VAR48==VAR295) ? VAR254:
(VAR191==VAR295) ? VAR217: VAR223;
VAR64 <=
(VAR43==VAR73) ? VAR319: (VAR110==VAR73) ? VAR12:
(VAR209==VAR73) ? VAR126: (VAR48==VAR73) ? VAR254:
(VAR191==VAR73) ? VAR217: VAR64;
VAR232 <=
(VAR43==VAR276) ? VAR319: (VAR110==VAR276) ? VAR12:
(VAR209==VAR276) ? VAR126: (VAR48==VAR276) ? VAR254:
(VAR191==VAR276) ? VAR217: VAR232;
VAR283 <=
(VAR43==VAR179) ? VAR319: (VAR110==VAR179) ? VAR12:
(VAR209==VAR179) ? VAR126: (VAR48==VAR179) ? VAR254:
(VAR191==VAR179) ? VAR217: VAR283;
VAR212 <=
(VAR43==VAR196) ? VAR319: (VAR110==VAR196) ? VAR12:
(VAR209==VAR196) ? VAR126: (VAR48==VAR196) ? VAR254:
(VAR191==VAR196) ? VAR217: VAR212;
VAR29 <=
(VAR43==VAR25) ? VAR319: (VAR110==VAR25) ? VAR12:
(VAR209==VAR25) ? VAR126: (VAR48==VAR25) ? VAR254:
(VAR191==VAR25) ? VAR217: VAR29;
VAR41 <=
(VAR43==VAR150) ? VAR319: (VAR110==VAR150) ? VAR12:
(VAR209==VAR150) ? VAR126: (VAR48==VAR150) ? VAR254:
(VAR191==VAR150) ? VAR217: VAR41;
VAR306 <=
(VAR43==VAR251) ? VAR319: (VAR110==VAR251) ? VAR12:
(VAR209==VAR251) ? VAR126: (VAR48==VAR251) ? VAR254:
(VAR191==VAR251) ? VAR217: VAR306;
VAR273 <=
(VAR43==VAR4) ? VAR319: (VAR110==VAR4) ? VAR12:
(VAR209==VAR4) ? VAR126: (VAR48==VAR4) ? VAR254:
(VAR191==VAR4) ? VAR217: VAR273;
VAR10 <=
(VAR43==VAR197) ? VAR319: (VAR110==VAR197) ? VAR12:
(VAR209==VAR197) ? VAR126: (VAR48==VAR197) ? VAR254:
(VAR191==VAR197) ? VAR217: VAR10;
VAR173 <=
(VAR43==VAR202) ? VAR319: (VAR110==VAR202) ? VAR12:
(VAR209==VAR202) ? VAR126: (VAR48==VAR202) ? VAR254:
(VAR191==VAR202) ? VAR217: VAR173;
VAR195 <=
(VAR43==VAR316) ? VAR319: (VAR110==VAR316) ? VAR12:
(VAR209==VAR316) ? VAR126: (VAR48==VAR316) ? VAR254:
(VAR191==VAR316) ? VAR217: VAR195;
VAR263 <=
(VAR43==VAR193) ? VAR319: (VAR110==VAR193) ? VAR12:
(VAR209==VAR193) ? VAR126: (VAR48==VAR193) ? VAR254:
(VAR191==VAR193) ? VAR217: VAR263;
VAR253 <=
(VAR43==VAR65) ? VAR319: (VAR110==VAR65) ? VAR12:
(VAR209==VAR65) ? VAR126: (VAR48==VAR65) ? VAR254:
(VAR191==VAR65) ? VAR217: VAR253;
VAR93 <=
(VAR43==VAR200) ? VAR319: (VAR110==VAR200) ? VAR12:
(VAR209==VAR200) ? VAR126: (VAR48==VAR200) ? VAR254:
(VAR191==VAR200) ? VAR217: VAR93;
VAR39 <=
(VAR43==VAR20) ? VAR319: (VAR110==VAR20) ? VAR12:
(VAR209==VAR20) ? VAR126: (VAR48==VAR20) ? VAR254:
(VAR191==VAR20) ? VAR217: VAR39;
VAR147 <=
(VAR43==VAR266) ? VAR319: (VAR110==VAR266) ? VAR12:
(VAR209==VAR266) ? VAR126: (VAR48==VAR266) ? VAR254:
(VAR191==VAR266) ? VAR217: VAR147;
VAR17 <=
(VAR43==VAR151) ? VAR319: (VAR110==VAR151) ? VAR12:
(VAR209==VAR151) ? VAR126: (VAR48==VAR151) ? VAR254:
(VAR191==VAR151) ? VAR217: VAR17;
VAR154 <=
(VAR43==VAR140) ? VAR319: (VAR110==VAR140) ? VAR12:
(VAR209==VAR140) ? VAR126: (VAR48==VAR140) ? VAR254:
(VAR191==VAR140) ? VAR217: VAR154;
end
else
begin
VAR314 <=
(VAR43==VAR228) ? VAR319: (VAR110==VAR228) ? VAR12:
(VAR209==VAR228) ? VAR126: VAR314;
VAR120 <=
(VAR43==VAR1) ? VAR319: (VAR110==VAR1) ? VAR12:
(VAR209==VAR1) ? VAR126: VAR120;
VAR178 <=
(VAR43==VAR16) ? VAR319: (VAR110==VAR16) ? VAR12:
(VAR209==VAR16) ? VAR126: VAR178;
VAR37 <=
(VAR43==VAR162) ? VAR319: (VAR110==VAR162) ? VAR12:
(VAR209==VAR162) ? VAR126: VAR37;
VAR121 <=
(VAR43==VAR284) ? VAR319: (VAR110==VAR284) ? VAR12:
(VAR209==VAR284) ? VAR126: VAR121;
VAR52 <=
(VAR43==VAR8) ? VAR319: (VAR110==VAR8) ? VAR12:
(VAR209==VAR8) ? VAR126: VAR52;
VAR152 <=
(VAR43==VAR94) ? VAR319: (VAR110==VAR94) ? VAR12:
(VAR209==VAR94) ? VAR126: VAR152;
VAR245 <=
(VAR43==VAR210) ? VAR319: (VAR110==VAR210) ? VAR12:
(VAR209==VAR210) ? VAR126: VAR245;
VAR238 <=
(VAR43==VAR13) ? VAR319: (VAR110==VAR13) ? VAR12:
(VAR209==VAR13) ? VAR126: VAR238;
VAR184 <=
(VAR43==VAR198) ? VAR319: (VAR110==VAR198) ? VAR12:
(VAR209==VAR198) ? VAR126: VAR184;
VAR297 <=
(VAR43==VAR61) ? VAR319: (VAR110==VAR61) ? VAR12:
(VAR209==VAR61) ? VAR126: VAR297;
VAR167 <=
(VAR43==VAR99) ? VAR319: (VAR110==VAR99) ? VAR12:
(VAR209==VAR99) ? VAR126: VAR167;
VAR70 <=
(VAR43==VAR192) ? VAR319: (VAR110==VAR192) ? VAR12:
(VAR209==VAR192) ? VAR126: VAR70;
VAR223 <=
(VAR43==VAR295) ? VAR319: (VAR110==VAR295) ? VAR12:
(VAR209==VAR295) ? VAR126: VAR223;
VAR64 <=
(VAR43==VAR73) ? VAR319: (VAR110==VAR73) ? VAR12:
(VAR209==VAR73) ? VAR126: VAR64;
VAR232 <=
(VAR43==VAR276) ? VAR319: (VAR110==VAR276) ? VAR12:
(VAR209==VAR276) ? VAR126: VAR232;
VAR283 <=
(VAR43==VAR179) ? VAR319: (VAR110==VAR179) ? VAR12:
(VAR209==VAR179) ? VAR126: VAR283;
VAR212 <=
(VAR43==VAR196) ? VAR319: (VAR110==VAR196) ? VAR12:
(VAR209==VAR196) ? VAR126: VAR212;
VAR29 <=
(VAR43==VAR25) ? VAR319: (VAR110==VAR25) ? VAR12:
(VAR209==VAR25) ? VAR126: VAR29;
VAR41 <=
(VAR43==VAR150) ? VAR319: (VAR110==VAR150) ? VAR12:
(VAR209==VAR150) ? VAR126: VAR41;
VAR306 <=
(VAR43==VAR251) ? VAR319: (VAR110==VAR251) ? VAR12:
(VAR209==VAR251) ? VAR126: VAR306;
VAR273 <=
(VAR43==VAR4) ? VAR319: (VAR110==VAR4) ? VAR12:
(VAR209==VAR4) ? VAR126: VAR273;
VAR10 <=
(VAR43==VAR197) ? VAR319: (VAR110==VAR197) ? VAR12:
(VAR209==VAR197) ? VAR126: VAR10;
VAR173 <=
(VAR43==VAR202) ? VAR319: (VAR110==VAR202) ? VAR12:
(VAR209==VAR202) ? VAR126: VAR173;
VAR195 <=
(VAR43==VAR316) ? VAR319: (VAR110==VAR316) ? VAR12:
(VAR209==VAR316) ? VAR126: VAR195;
VAR263 <=
(VAR43==VAR193) ? VAR319: (VAR110==VAR193) ? VAR12:
(VAR209==VAR193) ? VAR126: VAR263;
VAR253 <=
(VAR43==VAR65) ? VAR319: (VAR110==VAR65) ? VAR12:
(VAR209==VAR65) ? VAR126: VAR253;
VAR93 <=
(VAR43==VAR200) ? VAR319: (VAR110==VAR200) ? VAR12:
(VAR209==VAR200) ? VAR126: VAR93;
VAR39 <=
(VAR43==VAR20) ? VAR319: (VAR110==VAR20) ? VAR12:
(VAR209==VAR20) ? VAR126: VAR39;
VAR147 <=
(VAR43==VAR266) ? VAR319: (VAR110==VAR266) ? VAR12:
(VAR209==VAR266) ? VAR126: VAR147;
VAR17 <=
(VAR43==VAR151) ? VAR319: (VAR110==VAR151) ? VAR12:
(VAR209==VAR151) ? VAR126: VAR17;
VAR154 <=
(VAR43==VAR140) ? VAR319: (VAR110==VAR140) ? VAR12:
(VAR209==VAR140) ? VAR126: VAR154;
end
VAR236 <= (VAR43==VAR296) ? VAR319: VAR236;
VAR165 <= (VAR43==VAR31) ? VAR319: VAR165;
VAR301 <= (VAR43==VAR143) ? VAR319: VAR301;
VAR27 <= (VAR43==VAR36) ? VAR319: VAR27;
VAR79 <= (VAR43==VAR30) ? VAR319: VAR79;
VAR312 <= (VAR43==VAR274) ? VAR319: VAR312;
VAR116 <= (VAR43==VAR286) ? VAR319: VAR116;
VAR285 <= (VAR43==VAR214) ? VAR319: VAR285;
VAR302 <= (VAR43==VAR203) ? VAR319: VAR302;
VAR129 <= (VAR43==VAR124) ? VAR319: VAR129;
VAR114 <= (VAR43==VAR46) ? VAR319: VAR114;
VAR294 <= (VAR43==VAR75) ? VAR319: VAR294;
VAR211 <= (VAR43==VAR26) ? VAR319: VAR211;
VAR163 <= (VAR43==VAR308) ? VAR319: VAR163;
VAR92 <= (VAR43==VAR51) ? VAR319: VAR92;
VAR291 <= (VAR43==VAR7) ? VAR319: VAR291;
end
if(VAR155^VAR241)
begin
VAR45 <= VAR298; VAR18 <= VAR194;
VAR131 <= VAR309; VAR68 <= VAR44;
VAR2 <= VAR109; VAR315 <= VAR243;
VAR156 <= VAR199; VAR288 <= VAR258;
VAR74 <= VAR28; VAR222 <= VAR206;
VAR189 <= VAR86; VAR275 <= VAR50;
VAR187 <= VAR57; VAR170 <= VAR310;
VAR113 <= VAR5; VAR136 <= VAR311;
VAR265 <= VAR255; VAR22 <= VAR239;
VAR181 <= VAR244; VAR177 <= VAR60;
VAR240 <= VAR85; VAR135 <= VAR289;
VAR176 <= VAR218; VAR111 <= VAR76;
VAR89 <= VAR158; VAR83 <= VAR54;
VAR107 <= VAR78; VAR221 <= VAR32;
VAR313 <= VAR132; VAR87 <= VAR130;
VAR49 <= VAR159; VAR227 <= VAR3;
end
else
begin
VAR45 <= VAR255; VAR18 <= VAR239;
VAR131 <= VAR244; VAR68 <= VAR60;
VAR2 <= VAR85; VAR315 <= VAR289;
VAR156 <= VAR218; VAR288 <= VAR76;
VAR74 <= VAR158; VAR222 <= VAR54;
VAR189 <= VAR78; VAR275 <= VAR32;
VAR187 <= VAR132; VAR170 <= VAR130;
VAR113 <= VAR159; VAR136 <= VAR3;
VAR265 <= VAR298; VAR22 <= VAR194;
VAR181 <= VAR309; VAR177 <= VAR44;
VAR240 <= VAR109; VAR135 <= VAR243;
VAR176 <= VAR199; VAR111 <= VAR258;
VAR89 <= VAR28; VAR83 <= VAR206;
VAR107 <= VAR86; VAR221 <= VAR50;
VAR313 <= VAR57; VAR87 <= VAR310;
VAR49 <= VAR5; VAR227 <= VAR311;
end
VAR318 <= (VAR43==VAR182 ) ? VAR319 : VAR145;
VAR260 <= (VAR43==VAR55 ) ? VAR319 : VAR123;
VAR14 <= (VAR43==VAR119 ) ? VAR319 : VAR317;
VAR246 <= (VAR43==VAR234 ) ? VAR319 : VAR185;
VAR90 <= (VAR43==VAR105 ) ? VAR319 : VAR63;
VAR282 <= (VAR43==VAR276 ) ? VAR319 : VAR281;
VAR115 <= (VAR43==VAR171 ) ? VAR319 : VAR127;
VAR59 <= (VAR43==VAR106 ) ? VAR319 : VAR117;
VAR96 <= (VAR43==VAR34 ) ? VAR319 : VAR166;
VAR168 <= (VAR43==VAR205 ) ? VAR319 : VAR259;
VAR248 <= (VAR43==VAR279 ) ? VAR319 : VAR137;
VAR226 <= (VAR43==VAR139 ) ? VAR319 : VAR219;
VAR233 <= (VAR43==VAR82 ) ? VAR319 : VAR42;
end
endmodule | mit |
intelligenttoasters/CPC2.0 | FPGA/Quartus/custom/usb/serialInterfaceEngine/writeUSBWireData.v | 8,898 | module MODULE1 (
VAR2,
VAR16,
VAR23,
VAR15,
VAR7,
VAR28,
VAR17,
VAR12,
VAR24,
clk,
rst
);
input [1:0] VAR2;
input VAR15;
input VAR17;
input clk;
input VAR24;
input rst;
output [1:0] VAR16;
output VAR23;
output VAR7;
output VAR28;
output VAR12;
wire [1:0] VAR2;
reg [1:0] VAR16;
reg VAR23;
wire VAR15;
reg VAR7;
reg VAR28;
wire VAR17;
wire clk;
wire VAR24;
wire rst;
reg VAR12;
reg [3:0]VAR25;
reg [3:0]VAR30;
reg [3:0]VAR13;
reg [3:0]VAR3;
reg [2:0]VAR31;
reg [1:0]VAR29;
reg [1:0]VAR10;
reg VAR19;
reg [4:0]VAR1;
reg VAR22;
reg VAR27;
reg VAR14;
reg VAR4;
reg [1:0] VAR11;
reg [1:0] VAR32;
always @(posedge clk)
begin
if (rst == 1'b1)
begin
VAR31 <= 3'b000;
end
else
begin
if (VAR22 == 1'b1 && VAR19 == 1'b0)
VAR31 <= VAR31 + 1'b1;
end
else if (VAR22 == 1'b0 && VAR19 == 1'b1)
VAR31 <= VAR31 - 1'b1;
end
end
always @(posedge clk) begin
if (rst == 1'b1) begin
VAR22 <= 1'b0;
VAR29 <= 2'b00;
VAR25 <= 4'b0000;
VAR30 <= 4'b0000;
VAR13 <= 4'b0000;
VAR3 <= 4'b0000;
VAR28 <= 1'b0;
VAR11 <= VAR18;
end
else begin
case (VAR11)
begin
if (VAR31 != VAR26)
begin
VAR11 <= VAR6;
VAR28 <= 1'b1;
end
end
begin
if (VAR17 == 1'b1)
begin
VAR22 <= 1'b1;
VAR28 <= 1'b0;
VAR29 <= VAR29 + 1'b1;
case (VAR29)
2'b00 : VAR25 <= {VAR24, VAR2, VAR15};
2'b01 : VAR30 <= {VAR24, VAR2, VAR15};
2'b10 : VAR13 <= {VAR24, VAR2, VAR15};
2'b11 : VAR3 <= {VAR24, VAR2, VAR15};
endcase
VAR11 <= VAR5;
end
end
begin
VAR22 <= 1'b0;
if (VAR31 != (VAR26 - 1'b1) )
begin
VAR11 <= VAR6;
VAR28 <= 1'b1;
end
else begin
VAR11 <= VAR18;
end
end
endcase
end
end
always @(posedge clk) begin
if (rst == 1'b1)
begin
VAR1 <= 5'b00000;
VAR27 <= 1'b0;
VAR14 <= 1'b0;
end
else
begin
VAR1 <= VAR1 + 1'b1;
if (VAR1[1:0] == 2'b00)
VAR27 <= 1'b1;
end
else
VAR27 <= 1'b0;
if (VAR1 == 5'b00000)
VAR14 <= 1'b1;
end
else
VAR14 <= 1'b0;
end
end
always @(posedge clk) begin
if (rst == 1'b1)
begin
VAR10 <= 2'b00;
VAR19 <= 1'b0;
VAR16 <= 2'b00;
VAR7 <= VAR21;
VAR23 <= 1'b0;
VAR32 <= VAR20;
VAR4 <= 1'b0;
end
else
begin
case (VAR10)
2'b00: VAR4 <= VAR25[3];
2'b01: VAR4 <= VAR30[3];
2'b10: VAR4 <= VAR13[3];
2'b11: VAR4 <= VAR3[3];
endcase
case (VAR32)
begin
if ((VAR4 == 1'b1 && VAR27 == 1'b1) || (VAR4 == 1'b0 && VAR14 == 1'b1) )
begin
VAR23 <= !VAR23;
if (VAR31 == 0) begin
VAR16 <= 2'b00;
VAR7 <= VAR21;
end
else begin
VAR32 <= VAR8;
VAR19 <= 1'b1;
VAR10 <= VAR10 + 1'b1;
case (VAR10)
2'b00 :
begin
VAR16 <= VAR25[2:1];
VAR7 <= VAR25[0];
end
2'b01 :
begin
VAR16 <= VAR30[2:1];
VAR7 <= VAR30[0];
end
2'b10 :
begin
VAR16 <= VAR13[2:1];
VAR7 <= VAR13[0];
end
2'b11 :
begin
VAR16 <= VAR3[2:1];
VAR7 <= VAR3[0];
end
endcase
end
end
end
begin
VAR19 <= 1'b0;
VAR32 <= VAR20;
end
endcase
end
end
always @(VAR7)
begin
if (VAR7 == VAR9)
VAR12 <= 1'b1;
end
else
VAR12 <= 1'b0;
end
endmodule | gpl-3.0 |
anderson1008/NOCulator | hring/hw/bless_mc/merge.v | 4,316 | module MODULE1(
VAR34,
VAR27,
VAR24, VAR22,
VAR35,
VAR36,
VAR6,
VAR20, VAR1,
VAR32,
VAR33,
VAR17,
VAR31, VAR4,
VAR28,
VAR19,
VAR13,
VAR21, VAR8,
VAR9,
VAR30,
VAR12,
VAR16, VAR25,
VAR29,
VAR2,
VAR15,
VAR11,
VAR7,
VAR5,
VAR18
);
input VAR34, VAR36, VAR33, VAR19, VAR30;
input [VAR10-1:0] VAR27, VAR6, VAR17, VAR13, VAR12;
input [VAR14-1:0] VAR24, VAR20, VAR31, VAR21, VAR16;
input [VAR3-1:0] VAR22, VAR1, VAR4, VAR8, VAR25;
input [VAR26-1:0] VAR35, VAR32, VAR28, VAR9, VAR29;
output [VAR23-1:0] VAR2;
output [VAR10-1:0] VAR15, VAR11, VAR7, VAR5, VAR18;
wire [VAR23-1:0] MODULE1 [0:VAR23-2];
assign MODULE1[0][0] = 1'b0;
assign MODULE1[0][1] = VAR34 && VAR36 && (VAR24 == VAR20) && (VAR22 == VAR1) && (VAR35 == VAR32);
assign MODULE1[0][2] = VAR34 && VAR33 && (VAR24 == VAR31) && (VAR22 == VAR4) && (VAR35 == VAR28);
assign MODULE1[0][3] = VAR34 && VAR19 && (VAR24 == VAR21) && (VAR22 == VAR8) && (VAR35 == VAR9);
assign MODULE1[0][4] = VAR34 && VAR30 && (VAR24 == VAR16) && (VAR22 == VAR25) && (VAR35 == VAR29);
assign MODULE1[1][0] = 1'b0;
assign MODULE1[1][1] = 1'b0;
assign MODULE1[1][2] = VAR36 && VAR33 && (VAR20 == VAR31) && (VAR1 == VAR4) && (VAR32 == VAR28);
assign MODULE1[1][3] = VAR36 && VAR19 && (VAR20 == VAR21) && (VAR1 == VAR8) && (VAR32 == VAR9);
assign MODULE1[1][4] = VAR36 && VAR30 && (VAR20 == VAR16) && (VAR1 == VAR25) && (VAR32 == VAR29);
assign MODULE1[2][0] = 1'b0;
assign MODULE1[2][1] = 1'b0;
assign MODULE1[2][2] = 1'b0;
assign MODULE1[2][3] = VAR33 && VAR19 && (VAR31 == VAR21) && (VAR4 == VAR8) && (VAR28 == VAR9);
assign MODULE1[2][4] = VAR33 && VAR30 && (VAR31 == VAR16) && (VAR4 == VAR25) && (VAR28 == VAR29);
assign MODULE1[3][0] = 1'b0;
assign MODULE1[3][1] = 1'b0;
assign MODULE1[3][2] = 1'b0;
assign MODULE1[3][3] = 1'b0;
assign MODULE1[3][4] = VAR19 && VAR30 && (VAR21 == VAR16) && (VAR8 == VAR25) && (VAR9 == VAR29);
assign VAR2 [0] = 1'b0;
assign VAR2 [1] = MODULE1[0][1];
assign VAR2 [2] = MODULE1[0][2] | MODULE1[1][2];
assign VAR2 [3] = MODULE1[0][3] | MODULE1[1][3] | MODULE1[2][3];
assign VAR2 [4] = MODULE1[0][4] | MODULE1[1][4] | MODULE1[2][4] | MODULE1[3][4];
assign VAR15 = MODULE1[0][1] ? (VAR27 | VAR6) :
MODULE1[0][2] ? (VAR27 | VAR17) :
MODULE1[0][3] ? (VAR27 | VAR13) :
MODULE1[0][4] ? (VAR27 | VAR12) : VAR27;
assign VAR11 = MODULE1[1][2] ? (VAR6 | VAR17) :
MODULE1[1][3] ? (VAR6 | VAR13) :
MODULE1[1][4] ? (VAR6 | VAR12) : VAR6;
assign VAR7 = MODULE1[2][3] ? (VAR17 | VAR13) :
MODULE1[2][4] ? (VAR17 | VAR12) : VAR17;
assign VAR5 = MODULE1[3][4] ? (VAR13 | VAR12) : VAR13;
assign VAR18 = VAR12;
endmodule | mit |
CospanDesign/nysa-verilog | verilog/wishbone/slave/wb_fpga_nes/rtl/cmn/block_ram/single_port_ram_sync.v | 2,372 | module MODULE1
parameter VAR4 = 6,
parameter VAR3 = 8
)
(
input wire clk,
input wire VAR2,
input wire [VAR4-1:0] VAR7,
input wire [VAR3-1:0] VAR8,
output wire [VAR3-1:0] VAR5
);
reg [VAR3-1:0] VAR1 [2**VAR4-1:0];
reg [VAR4-1:0] VAR6;
always @(posedge clk)
begin
if (VAR2)
VAR1[VAR7] <= VAR8;
VAR6 <= VAR7;
end
assign VAR5 = VAR1[VAR6];
endmodule | mit |
vad-rulezz/megabot | fusesoc/orpsoc-cores/cores/wb_altera_ddr_wrapper/rtl/verilog/wb_port.v | 9,869 | module MODULE1 #(
parameter VAR39 = 3
)
(
input VAR25,
input VAR44,
input [31:0] VAR95,
input VAR75,
input VAR31,
input [2:0] VAR78,
input [1:0] VAR59,
input VAR19,
input [3:0] VAR93,
input [31:0] VAR64,
output [31:0] VAR107,
output VAR37,
input VAR53,
input VAR34,
input [31:0] VAR45,
output [31:0] VAR66,
input [31:0] VAR76,
output [31:0] VAR16,
output [3:0] VAR20,
output reg VAR4,
input VAR69,
output reg VAR48,
output [3:0] VAR106,
input [31:0] VAR13,
input [31:0] VAR6,
input [3:0] VAR11,
input VAR43
);
reg [31:0] VAR68;
reg [31:0] VAR1;
reg [3:0] VAR110;
reg VAR54;
reg VAR35;
wire [31:0] VAR18;
reg VAR89;
reg VAR61;
wire [3:0] VAR55;
wire [VAR39-1:0] VAR5;
wire [31:0] VAR96;
wire [3:0] VAR50;
reg [31:VAR39+2] VAR33;
reg [(1<<VAR39)-1:0] VAR109;
reg [(1<<VAR39)-1:0] VAR63;
reg [(1<<VAR39)-1:0] VAR86;
wire VAR65;
wire VAR108;
wire VAR22;
reg VAR105;
reg VAR28;
reg VAR90;
reg VAR12;
reg VAR10;
reg [31:0] VAR77;
reg [31:0] VAR87;
reg [31:0] VAR67;
wire VAR42;
reg [31:0] VAR111;
reg [31:0] VAR2;
reg VAR92;
reg [2:0] VAR8;
reg [2:0] VAR30;
wire VAR57;
wire VAR60;
wire VAR51;
wire VAR79;
wire [71:0] VAR3;
wire [3:0] VAR88;
wire [31:0] VAR72;
wire [31:0] VAR100;
reg [3:0] VAR98;
assign VAR106 = VAR39;
localparam [2:0]
VAR99 = 3'd0,
VAR46 = 3'd1,
VAR103 = 3'd2,
VAR62 = 3'd3,
VAR9 = 3'd4,
VAR47 = 3'd5;
localparam [2:0]
VAR27 = 3'b000,
VAR41 = 3'b001,
VAR7 = 3'b010,
VAR97 = 3'b111;
localparam [1:0]
VAR70 = 2'b00,
VAR81 = 2'b01,
VAR15 = 2'b10,
VAR84 = 2'b11;
assign VAR79 = VAR35 & !VAR57;
assign VAR37 = VAR54 | VAR79;
assign VAR18 = (VAR59 == VAR70) ?
(VAR95[31:0] + 32'd4) :
(VAR59 == VAR81 ) ?
{VAR95[31:4], VAR95[3:0] + 4'd4} :
(VAR59 == VAR15 ) ?
{VAR95[31:5], VAR95[4:0] + 5'd4} :
{VAR95[31:6], VAR95[5:0] + 6'd4};
assign VAR65 = (VAR33 == VAR95[31:VAR39+2]) &
VAR86[VAR95[VAR39+1:2]];
assign VAR108 = (VAR33 == VAR18[31:VAR39+2]) &
VAR86[VAR18[VAR39+1:2]];
assign VAR22 = (VAR13[31:VAR39+2] ==
VAR33[31:VAR39+2]);
assign VAR42 = (VAR45[1] == 1'b0);
assign VAR66 = (VAR8 == VAR103) ? VAR100 : VAR87;
assign VAR16 = VAR72;
assign VAR20 = VAR88;
assign VAR51 = (VAR8 == VAR99) & !VAR60;
assign VAR55 = VAR43 & VAR22 ? VAR11 :
VAR89 ? VAR110 : 4'b0;
assign VAR5 = VAR43 & VAR22 ?
VAR13[VAR39+1:2] :
VAR89 ?
VAR68[VAR39+1:2] :
(VAR78 == VAR7) & VAR37 ?
VAR18[VAR39+1:2] :
VAR95[VAR39+1:2];
assign VAR96 = VAR43 & VAR22 ? VAR6 : VAR1;
assign VAR50 = {4{VAR61}};
assign VAR88 = VAR3[3:0];
assign VAR72 = VAR3[35:4];
assign VAR100 = {VAR3[65:36], 2'b00};
VAR56 #(
.VAR94(VAR39)
) VAR56 (
.VAR80 (VAR25),
.VAR17 (VAR5),
.VAR32 (VAR55),
.VAR14 (VAR96),
.VAR74 (VAR107),
.VAR23 (VAR34),
.VAR83 (VAR67[VAR39+1:2]),
.VAR91 (VAR50),
.VAR24 (VAR77),
.VAR52 ()
);
VAR85 #(
.VAR94(3),
.VAR26(72)
) VAR29 (
.VAR58 (VAR44),
.VAR101 (VAR25),
.VAR104 (VAR79),
.VAR49 ({6'b0, VAR95[31:2], VAR64, VAR93}),
.VAR82 (VAR53),
.VAR73 (VAR34),
.VAR38 (VAR51),
.VAR40 (VAR3),
.VAR36 (VAR57),
.VAR71 (VAR60)
);
reg VAR102;
reg VAR21;
always @(posedge VAR25)
if (VAR44) begin
VAR21 <= 1'b0;
VAR102 <= 1'b0;
end else begin
VAR21 <= VAR12;
VAR102 <= VAR21;
end
always @(posedge VAR25)
if (VAR44) begin
VAR54 <= 1'b0;
VAR35 <= 1'b0;
VAR89 <= 1'b0;
VAR105 <= 1'b0;
VAR92 <= 1'b1;
VAR68 <= 0;
VAR1 <= 0;
VAR110 <= 0;
VAR30 <= VAR99;
VAR33 <= 0;
end else begin
VAR54 <= 1'b0;
VAR35 <= 1'b0;
VAR89 <= 1'b0;
case (VAR30)
VAR99: begin
VAR110 <= VAR93;
VAR1 <= VAR64;
VAR68 <= VAR95;
if (VAR31 & VAR75 & !VAR19) begin
if (VAR65 & !VAR92) begin
VAR54 <= 1'b1;
if ((VAR78 == VAR27) | VAR102)
VAR30 <= VAR47;
end
else
VAR30 <= VAR46;
end else if ((VAR86[VAR95[VAR39+1:2]] |
VAR92) & !VAR102) begin
VAR92 <= 1'b0;
VAR105 <= 1'b1;
VAR30 <= VAR62;
end
end else if (VAR31 & VAR75 & VAR19 &
(VAR65 & (&VAR86) | VAR92 |
VAR33 != VAR95[31:VAR39+2])) begin
if (!VAR57)
VAR35 <= 1'b1;
if (VAR65)
VAR89 <= 1'b1;
if (!VAR102)
VAR30 <= VAR103;
end
end
VAR46: begin
if (VAR31 & VAR75 & !VAR19 &
(VAR78 == VAR7) & VAR108) begin
VAR54 <= 1'b1;
end else begin
VAR30 <= VAR99;
end
end
VAR62: begin
VAR33 <= VAR68[31:VAR39+2];
if (VAR102) begin
VAR105 <= 1'b0;
VAR30 <= VAR99;
end
end
VAR103: begin
if (VAR31 & VAR75 & VAR19) begin
if (!VAR57)
VAR35 <= 1'b1;
if (VAR65) begin
VAR110 <= VAR93;
VAR1 <= VAR64;
VAR68 <= VAR95;
VAR89 <= 1'b1;
end
end
if ((VAR78 != VAR7) & VAR37) begin
VAR30 <= VAR99;
VAR35 <= 0;
end
end
VAR47: begin
VAR54 <= 1'b0;
VAR30 <= VAR99;
end
endcase
end
always @(posedge VAR25) begin
VAR63 <= VAR109;
VAR86 <= VAR63;
end
always @(posedge VAR34) begin
VAR28 <= VAR105;
VAR90 <= VAR28;
end
always @(posedge VAR34) begin
if (VAR53) begin
VAR8 <= VAR99;
VAR4 <= 1'b0;
VAR48 <= 1'b0;
VAR111 <= 0;
VAR2 <= 0;
VAR12 <= 1'b0;
VAR109 <= {(1<<VAR39){1'b0}};
VAR61 <= 1'b0;
end else begin
VAR61 <= 1'b0;
VAR77 <= VAR76;
VAR67 <= VAR45;
if (VAR69)
VAR2 <= VAR2 + 1;
case (VAR8)
VAR99: begin
VAR48 <= 1'b0;
VAR2 <= 0;
if (!VAR60) begin
VAR8 <= VAR103;
VAR4 <= 1'b1;
VAR48 <= 1'b1;
end else if (VAR90) begin
VAR109 <= {(1<<VAR39){1'b0}};
VAR8 <= VAR46;
VAR87 <= {VAR68[31:2], 2'b00};
VAR4 <= 1'b1;
VAR98 <= 0;
end
end
VAR46: begin
if (VAR69) begin
VAR61 <= 1'b1;
VAR109[VAR45[VAR39+1:2]] <= 1'b1;
end
if (VAR2 == ((1<<VAR39)-1) & VAR69) begin
VAR4 <= 1'b0;
VAR12 <= 1'b1;
VAR8 <= VAR9;
end
end
VAR103: begin
if (VAR69) begin
VAR4 <= 1'b0;
VAR8 <= VAR99;
end
end
VAR9: begin
if (!VAR90) begin
VAR12 <= 1'b0;
VAR8 <= VAR99;
end
end
default: begin
VAR8 <= VAR99;
end
endcase
end
end
endmodule | gpl-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig39_2/mig_39_2/user_design/rtl/phy/phy_top.v | 54,043 | module MODULE1 #
(
parameter VAR171 = 100,
parameter VAR174 = 2, parameter VAR62 = 3333, parameter VAR34 = 300.0, parameter VAR268 = "VAR236", parameter [7:0] VAR238 = 8'b00000001,
parameter [7:0] VAR66 = 8'b00000000,
parameter VAR279 = 2, parameter VAR255 = 1, parameter VAR9 = 10, parameter VAR166 = 1, parameter VAR242 = 6, parameter VAR99 = 64, parameter VAR85 = 8, parameter VAR326 = 3, parameter VAR21 = 8, parameter VAR306 = 8, parameter VAR207 = 14, parameter VAR155 = 1, parameter VAR320 = 1, parameter VAR106 = 1, parameter VAR180 = "VAR261", parameter VAR111 = 16'h0000, parameter VAR244 = 12'h000, parameter VAR92 = 3'h0, parameter VAR123 = "0", parameter VAR97 = "8", parameter VAR31 = "VAR265", parameter VAR206 = 0, parameter VAR47 = 5, parameter VAR151 = 5, parameter VAR68 = 110000, parameter VAR288 = "VAR319", parameter VAR276 = "VAR41", parameter VAR217 = "60", parameter VAR29 = "60", parameter VAR163 = "VAR219", parameter VAR138 = "VAR219", parameter VAR81 = 0, parameter VAR104 = 8, parameter VAR84 = "VAR41", parameter VAR339 = 16, parameter VAR304 = "VAR70", parameter VAR264 = "VAR219", parameter VAR289 = "VAR41", parameter VAR331 = "VAR266", parameter VAR229 = VAR21, parameter VAR277 = 0, parameter VAR61 = 0, parameter VAR324 = 0, parameter VAR72 = 144'h11100F0E0D0C0B0A09080706050403020100,
parameter VAR308 = 0, parameter VAR169 = 0, parameter VAR159 = 0, parameter VAR83 = 1, parameter VAR330 = "VAR219",
parameter VAR303 = "VAR290", parameter VAR71 = "VAR290", parameter VAR342 = "VAR219" )
(
input VAR269, input clk, input VAR142, input rst, input [7:0] VAR40,
input [7:0] VAR76,
input [VAR207-1:0] VAR332,
input [VAR207-1:0] VAR337,
input [VAR279-1:0] VAR77,
input [VAR279-1:0] VAR239,
input VAR95,
input VAR69,
input [VAR106-1:0] VAR105,
input [VAR106-1:0] VAR23,
input [VAR320*VAR166-1:0] VAR214,
input [VAR320*VAR166-1:0] VAR44,
input [VAR320*VAR166-1:0] VAR196,
input [VAR320*VAR166-1:0] VAR110,
input VAR184,
input VAR194,
input VAR235,
input VAR296,
input VAR136,
input VAR149,
input [4*VAR99-1:0] VAR231,
input [4*(VAR99/8)-1:0] VAR322,
input VAR176,
output [4*VAR99-1:0] VAR293,
output VAR126,
input VAR223,
output VAR185,
input VAR183,
input [VAR155:0] VAR262,
output [VAR255-1:0] VAR118,
output [VAR255-1:0] VAR17,
output [VAR207-1:0] VAR140,
output [VAR279-1:0] VAR160,
output VAR78,
output VAR152,
output VAR158,
output [VAR320*VAR166-1:0] VAR147,
output [VAR106-1:0] VAR211,
output [VAR320*VAR166-1:0] VAR192,
output VAR234,
output VAR253,
output [VAR85-1:0] VAR246,
inout [VAR21-1:0] VAR215,
inout [VAR21-1:0] VAR82,
inout [VAR99-1:0] VAR32,
input VAR130,
output VAR270,
output VAR116,
input [5*VAR21-1:0] VAR103,
input [5*VAR21-1:0] VAR307,
input VAR98,
output VAR256,
output VAR224,
output VAR198,
output [VAR21-1:0] VAR249,
output [2*VAR21-1:0] VAR205,
output [5*VAR21-1:0] VAR46,
output [5*VAR21-1:0] VAR143,
output [4:0] VAR187,
output VAR341,
output [VAR21-1:0] VAR314,
output [1:0] VAR189,
output [1:0] VAR228,
output [1:0] VAR48,
output [5*VAR21-1:0] VAR59,
output [5*VAR21-1:0] VAR190,
output [3*VAR21-1:0] VAR259,
output [2*VAR21-1:0] VAR53,
output [4:0] VAR313,
output [4*VAR99-1:0] VAR125,
input VAR222,
input VAR334,
input VAR94,
input VAR139,
input VAR14,
input VAR87,
input [VAR326-1:0] VAR135,
input VAR55,
input [VAR326-1:0] VAR1,
input VAR218,
output [5*VAR21-1:0] VAR7,
output [19:0] VAR156,
output [5*VAR21-1:0] VAR188,
output [5*VAR21-1:0] VAR129,
input VAR177,
input VAR27,
input VAR12,
input VAR3,
input VAR280,
input VAR86,
input VAR251,
input VAR121,
input VAR227,
input [3:0] VAR241,
input [VAR326-1:0] VAR75,
input VAR114,
input VAR102,
output [255:0] VAR145, output [255:0] VAR191, output [255:0] VAR24, output [255:0] VAR329 );
localparam VAR28
= ((VAR330 == "VAR263") ||
(VAR330 == "VAR310")) ?
"VAR172" : VAR303;
localparam VAR213
= (VAR330 == "VAR263") ? "VAR257" :
((VAR330 == "VAR310") ? "VAR250" : VAR71);
localparam VAR13
= (VAR330 == "VAR263") ? "VAR219" : VAR163;
localparam VAR131
= (VAR330 == "VAR263") ? "VAR219" : VAR138;
localparam integer VAR321
= (VAR62 + (VAR174*(1000000/(VAR34*64))*2)-1) /
(VAR174*(1000000/(VAR34*64))*4);
localparam VAR162 = (VAR276 == "VAR41") ? VAR151 + 1 : VAR151;
localparam VAR258 = 1 + (|VAR66 ? 1 : 0);
localparam VAR112 = (VAR62 > 8000) ? "VAR219" : VAR131;
localparam VAR272 = ((VAR62 < 10000) & ( VAR99 >= 64) &
(VAR255 < 5) & (VAR268 == "VAR197") &
(VAR276 == "VAR219"));
reg [2:0] VAR91;
wire [1:0] VAR20;
reg [1:0] VAR240;
reg [1:0] VAR148;
wire [VAR21-1:0] VAR39;
wire [3:0] VAR283;
wire [4*VAR21-1:0] VAR325;
wire [VAR21-1:0] VAR25;
wire [VAR21-1:0] VAR233;
wire [VAR21-1:0] VAR113;
wire [3:0] VAR212;
wire [3:0] VAR73;
wire [VAR21-1:0] VAR36;
wire [VAR21-1:0] VAR312;
wire VAR271;
wire VAR248;
wire VAR42;
wire [3:0] VAR89;
wire VAR210;
wire VAR167;
wire [5*VAR21-1:0] VAR318;
wire [5*VAR21-1:0] VAR16;
wire [5*VAR21-1:0] VAR300;
wire [5*VAR21-1:0] VAR254;
wire [5*VAR21-1:0] VAR175;
wire [5*VAR21-1:0] VAR45;
wire [5*VAR21-1:0] VAR26;
wire [5*VAR21-1:0] VAR252;
wire [5*VAR21-1:0] VAR119;
wire [VAR21-1:0] VAR154;
wire [4*VAR21-1:0] VAR298;
wire [VAR21-1:0] VAR33;
reg VAR164;
wire [4*VAR21-1:0] VAR88;
wire [(VAR21*4)-1:0] VAR127;
wire [VAR21-1:0] VAR182;
wire [(VAR99/8)-1:0] VAR245;
wire [(VAR99/8)-1:0] VAR122;
wire [(VAR99/8)-1:0] VAR216;
wire [(VAR99/8)-1:0] VAR157;
wire VAR200;
wire VAR232;
wire VAR117;
wire [VAR207-1:0] VAR260;
wire [VAR207-1:0] VAR193;
wire [VAR279-1:0] VAR49;
wire [VAR279-1:0] VAR15;
wire VAR327;
wire VAR243;
wire [VAR106-1:0] VAR137;
wire [VAR106-1:0] VAR202;
wire [VAR320*VAR166-1:0] VAR316;
wire [VAR320*VAR166-1:0] VAR209;
wire VAR18;
wire [0:0] VAR150;
wire VAR165;
wire [VAR320*VAR166-1:0] VAR146;
wire [VAR320*VAR166-1:0] VAR4;
wire VAR297;
wire VAR5;
wire VAR134;
wire VAR237;
wire VAR225;
wire VAR2;
wire [4*VAR99-1:0] VAR275;
wire VAR247;
wire [4*(VAR99/8)-1:0] VAR100;
wire VAR343;
wire [1:0] VAR230;
wire [4:0] VAR291;
wire [2*VAR21-1:0] VAR124;
wire [VAR21-1:0] VAR141;
wire [2*VAR21-1:0] VAR179;
wire [VAR99-1:0] VAR335;
wire [VAR99-1:0] VAR301;
wire [VAR99-1:0] VAR120;
wire [VAR99-1:0] VAR56;
wire [VAR21-1:0] VAR93;
wire [VAR21-1:0] VAR22;
wire [VAR21-1:0] VAR274;
wire [VAR21-1:0] VAR199;
wire VAR221;
wire VAR19;
wire [1:0] VAR315;
wire [1:0] VAR30;
wire VAR50;
wire VAR286;
wire VAR220;
wire [VAR326-1:0] VAR344;
wire VAR345;
wire [1:0] VAR302;
wire [3:0] VAR79;
wire VAR8;
wire [2*VAR21-1:0] VAR178;
wire [VAR99-1:0] VAR144;
wire [VAR99-1:0] VAR115;
wire [VAR99-1:0] VAR80;
wire [VAR99-1:0] VAR278;
wire [2*VAR21-1:0] VAR340;
wire VAR295;
wire VAR201;
wire VAR101;
wire VAR153;
wire VAR133;
assign VAR125 = VAR293;
assign VAR329 = 256'd0;
assign VAR256 = VAR133;
assign VAR224 = VAR101;
assign VAR198 = VAR153;
assign VAR249 = VAR33;
assign VAR46 = VAR252;
assign VAR143 = VAR45;
assign VAR205 = VAR178;
assign VAR189 = VAR302;
assign VAR228 = VAR315;
assign VAR48 = VAR30;
assign VAR286 = (VAR13 == "VAR41") ? VAR50 : 1'b0;
assign VAR33 = (VAR13 == "VAR41") ? VAR182 : {VAR21{1'b0}};
assign VAR340 = (VAR13 == "VAR41") ? VAR178 : {2*VAR21{1'b0}};
assign VAR230 = (rst || (VAR155 == 0)) ? 2'b00 :
(~VAR185) ? VAR148 :
(VAR155 == 2) ? VAR262[1:0] :
{1'b0, VAR262[0]};
always @(posedge clk) begin
end
generate
genvar VAR168;
for (VAR168 = 0; VAR168 < VAR21;
VAR168 = VAR168 + 1) begin: VAR60
if (VAR342 == "VAR41") begin: VAR181
assign VAR45[5*VAR168+4:5*VAR168]
= (VAR13 == "VAR41") ?
((VAR101 && VAR98) ?
VAR307[5*VAR168+4:5*VAR168] :
VAR26[5*VAR168+4:5*VAR168]) :
((VAR98) ?
VAR307[5*VAR168+4:5*VAR168] :
VAR321);
assign VAR252[5*VAR168+4:5*VAR168]
= (VAR13 == "VAR41") ?
((VAR101 && VAR98) ?
VAR103[5*VAR168+4:5*VAR168] :
VAR119[5*VAR168+4:5*VAR168]) :
((VAR98) ?
VAR103[5*VAR168+4:5*VAR168] :
5'b0);
end else begin: VAR338
assign VAR45[5*VAR168+4:5*VAR168]
= (VAR13 == "VAR41") ?
VAR26[5*VAR168+4:5*VAR168] :
VAR321;
assign VAR252[5*VAR168+4:5*VAR168]
= (VAR13 == "VAR41") ?
VAR119[5*VAR168+4:5*VAR168] :
5'b0;
end
end
endgenerate
generate
if (VAR258 == 1) begin: VAR128
always @ (posedge clk) begin
case ({VAR40[0],VAR40[1],
VAR40[2],VAR40[3]})
4'b1111:
if (VAR180 == "VAR57")
end
else
4'b1100:
if (VAR180 == "VAR57")
end
else
default:
endcase
end
end else if (VAR258 == 2) begin: VAR74
always @ (posedge clk) begin
case ({VAR40[0],VAR40[1],
VAR76[0],VAR76[1]})
4'b1010:
4'b1000:
4'b0010:
4'b1111:
if (VAR180 == "VAR57")
end
else
4'b1110:
if (VAR180 == "VAR57")
end
else
4'b1100:
if (VAR180 == "VAR57")
else
4'b1011:
if (VAR180 == "VAR57")
else
4'b0011:
if (VAR180 == "VAR57")
else
default:
endcase
end
end
endgenerate
VAR328 #
(
.VAR171 (VAR171),
.VAR174 (VAR174),
.VAR62 (VAR62),
.VAR268 (VAR268),
.VAR279 (VAR279),
.VAR9 (VAR9),
.VAR166 (VAR166),
.VAR99 (VAR99),
.VAR207 (VAR207),
.VAR320 (VAR320),
.VAR106 (VAR106),
.VAR111 (VAR111),
.VAR244 (VAR244),
.VAR92 (VAR92),
.VAR123 (VAR123),
.VAR97 (VAR97),
.VAR31 (VAR31),
.VAR206 (VAR206),
.VAR47 (VAR47),
.VAR151 (VAR151),
.VAR68 (VAR68),
.VAR288 (VAR288),
.VAR276 (VAR276),
.VAR217 (VAR217),
.VAR29 (VAR29),
.VAR163 (VAR13),
.VAR138 (VAR112),
.VAR258 (VAR258),
.VAR303 (VAR28),
.VAR71 (VAR213)
)
VAR208
(
.clk (clk),
.rst (rst),
.VAR91 (VAR91),
.VAR54 (VAR54),
.VAR101 (VAR101),
.VAR333 (VAR333),
.VAR201 (VAR201),
.VAR40 (VAR40),
.VAR76 (VAR76),
.VAR315 (VAR315),
.VAR302 (VAR302),
.VAR221 (VAR221),
.VAR19(VAR19),
.VAR345 (VAR345),
.VAR170 (VAR286),
.VAR20 (VAR20),
.VAR232 (VAR232),
.VAR200 (VAR200),
.VAR117 (VAR117),
.VAR343 (VAR343),
.VAR185 (VAR185),
.VAR260 (VAR260),
.VAR193 (VAR193),
.VAR49 (VAR49),
.VAR15 (VAR15),
.VAR327 (VAR327),
.VAR243 (VAR243),
.VAR137 (VAR137),
.VAR202 (VAR202),
.VAR316 (VAR316),
.VAR209 (VAR209),
.VAR18 (VAR18),
.VAR146 (VAR146),
.VAR4 (VAR4),
.VAR297 (VAR297),
.VAR5 (VAR5),
.VAR237 (VAR237),
.VAR225 (VAR225),
.VAR2 (VAR2),
.VAR247 (VAR247),
.VAR275 (VAR275),
.VAR134 (VAR134),
.VAR150 (VAR150),
.VAR6 (VAR165)
);
VAR203 #
(
.VAR171 (VAR171),
.VAR279 (VAR279),
.VAR155 (VAR155),
.VAR166 (VAR166),
.VAR320 (VAR320),
.VAR106 (VAR106),
.VAR207 (VAR207),
.VAR163 (VAR13),
.VAR151 (VAR162),
.VAR268 (VAR268),
.VAR276 (VAR276),
.VAR34 (VAR34),
.VAR289 (VAR289),
.VAR331 (VAR331),
.VAR272 (VAR272)
)
VAR285
(
.VAR269 (VAR269),
.clk (clk),
.rst (rst),
.VAR281 (VAR18),
.VAR332 (VAR332),
.VAR337 (VAR337),
.VAR77 (VAR77),
.VAR239 (VAR239),
.VAR95 (VAR95),
.VAR69 (VAR69),
.VAR105 (VAR105),
.VAR23 (VAR23),
.VAR214 (VAR214),
.VAR44 (VAR44),
.VAR196 (VAR196),
.VAR110 (VAR110),
.VAR184 (VAR184),
.VAR194 (VAR194),
.VAR235 (VAR235),
.VAR296 (VAR296),
.VAR136 (VAR136),
.VAR260 (VAR260),
.VAR193 (VAR193),
.VAR49 (VAR49),
.VAR15 (VAR15),
.VAR327 (VAR327),
.VAR243 (VAR243),
.VAR137 (VAR137),
.VAR202 (VAR202),
.VAR316 (VAR316),
.VAR209 (VAR209),
.VAR146 (VAR146),
.VAR4 (VAR4),
.VAR297 (VAR297),
.VAR5 (VAR5),
.VAR237 (VAR237),
.VAR225 (VAR225),
.VAR2 (VAR2),
.VAR140 (VAR140),
.VAR160 (VAR160),
.VAR78 (VAR78),
.VAR152 (VAR152),
.VAR158 (VAR158),
.VAR211 (VAR211),
.VAR147 (VAR147),
.VAR192 (VAR192),
.VAR253 (VAR253),
.VAR234 (VAR234)
);
VAR273 #
(
.VAR171 (VAR171),
.VAR255 (VAR255),
.VAR163 (VAR13),
.VAR268 (VAR268),
.VAR34 (VAR34),
.VAR331 (VAR331)
)
VAR336
(
.VAR269 (VAR269),
.clk (clk),
.rst (rst),
.VAR118 (VAR118),
.VAR17 (VAR17)
);
VAR51 #
(
.VAR171 (VAR171),
.VAR174 (VAR174),
.VAR62 (VAR62),
.VAR268 (VAR268),
.VAR306 (VAR306),
.VAR85 (VAR85),
.VAR99 (VAR99),
.VAR21 (VAR21),
.VAR151 (VAR162),
.VAR163 (VAR13),
.VAR34 (VAR34),
.VAR264 (VAR264),
.VAR289 (VAR289),
.VAR331 (VAR331),
.VAR229 (VAR229),
.VAR277 (VAR277),
.VAR61 (VAR61),
.VAR324 (VAR324),
.VAR72 (VAR72),
.VAR308 (VAR308),
.VAR169 (VAR169),
.VAR159 (VAR159),
.VAR83 (VAR83)
)
VAR186
(
.VAR269 (VAR269),
.clk (clk),
.VAR39 (VAR39),
.VAR283 (VAR283),
.rst (rst),
.VAR79 (VAR79),
.VAR318 (VAR318),
.VAR16 (VAR16),
.VAR182 (VAR33),
.VAR178 (VAR340),
.VAR88 (VAR88),
.VAR298 (VAR298),
.VAR127 (VAR127),
.VAR154 (VAR154),
.VAR216 (VAR216),
.VAR245 (VAR245),
.VAR157 (VAR157),
.VAR122 (VAR122),
.VAR144 (VAR144),
.VAR115 (VAR115),
.VAR80 (VAR80),
.VAR278 (VAR278),
.VAR124 (VAR124),
.VAR179 (VAR179),
.VAR141 (VAR141),
.VAR120 (VAR120),
.VAR335 (VAR335),
.VAR56 (VAR56),
.VAR301 (VAR301),
.VAR274 (VAR274),
.VAR93 (VAR93),
.VAR199 (VAR199),
.VAR22 (VAR22),
.VAR246 (VAR246),
.VAR215 (VAR215),
.VAR82 (VAR82),
.VAR32 (VAR32),
.VAR188 (VAR188),
.VAR129 (VAR129)
);
VAR294 #
(
.VAR171 (VAR171),
.VAR99 (VAR99),
.VAR326 (VAR326),
.VAR21 (VAR21),
.VAR155 (VAR155),
.VAR151 (VAR162),
.VAR276 (VAR276),
.VAR163 (VAR13),
.VAR138 (VAR112),
.VAR268 (VAR268),
.VAR229 (VAR229),
.VAR277 (VAR277),
.VAR61 (VAR61),
.VAR324 (VAR324),
.VAR72 (VAR72),
.VAR308 (VAR308),
.VAR169 (VAR169),
.VAR159 (VAR159),
.VAR342 (VAR342)
)
VAR10
(
.clk (clk),
.rst (rst),
.VAR283 (VAR283),
.VAR79 (VAR79),
.VAR101 (VAR101),
.VAR315 (VAR315),
.VAR200 (VAR200),
.VAR281 (VAR18),
.VAR282 (VAR262),
.VAR287 (VAR183),
.VAR150 (VAR150),
.VAR6 (VAR165),
.VAR164 (VAR164),
.VAR252 (VAR252),
.VAR45 (VAR45),
.VAR113 (VAR113),
.VAR248 (VAR248),
.VAR212 (VAR212),
.VAR42 (VAR42),
.VAR254 (VAR254),
.VAR175 (VAR175),
.VAR233 (VAR233),
.VAR312 (VAR312),
.VAR300 (VAR300),
.VAR16 (VAR16),
.VAR318 (VAR318),
.VAR210 (VAR210),
.VAR25 (VAR25),
.VAR36 (VAR36),
.VAR167 (VAR167),
.VAR73 (VAR73),
.VAR89 (VAR89),
.VAR177 (VAR177)
);
VAR109 #
(
.VAR171 (VAR171),
.VAR163 (VAR13),
.VAR99 (VAR99),
.VAR21 (VAR21),
.VAR268 (VAR268),
.VAR155 (VAR155),
.VAR151 (VAR162),
.VAR276 (VAR276)
)
VAR37
(
.clk (clk),
.rst (rst),
.VAR281 (VAR18),
.VAR201 (VAR201),
.VAR101 (VAR101),
.VAR182 (VAR33),
.VAR178 (VAR340),
.VAR231 (VAR231),
.VAR322 (VAR322),
.VAR149 (VAR149),
.VAR287 (VAR183),
.VAR282 (VAR262),
.VAR275 (VAR275),
.VAR247 (VAR247),
.VAR6 (VAR165),
.VAR150 (VAR150),
.VAR154 (VAR154),
.VAR298 (VAR298),
.VAR88 (VAR88),
.VAR127 (VAR127),
.VAR43 (VAR43),
.VAR204 (),
.VAR311 (),
.VAR8 (VAR8),
.VAR133 (VAR133),
.VAR144 (VAR144),
.VAR115 (VAR115),
.VAR80 (VAR80),
.VAR278 (VAR278),
.VAR216 (VAR216),
.VAR245 (VAR245),
.VAR157 (VAR157),
.VAR122 (VAR122)
);
always @(posedge clk)
generate
if (VAR13 == "VAR41") begin: VAR11
VAR35 #
(
.VAR171 (VAR171),
.VAR326 (VAR326),
.VAR99 (VAR99),
.VAR21 (VAR21),
.VAR306 (VAR306),
.VAR320 (VAR320),
.VAR180 (VAR180),
.VAR132 (5*VAR21-1),
.VAR321 (VAR321),
.VAR71 (VAR213)
)
VAR226
(
.clk (clk),
.rst (rst),
.VAR91 (VAR91),
.VAR230 (VAR230),
.VAR107 (VAR133),
.VAR8 (VAR8),
.VAR120 (VAR293[VAR99-1:0]),
.VAR315 (VAR315),
.VAR309 (VAR101),
.VAR333 (VAR333),
.VAR317 (VAR119),
.VAR108 (VAR26),
.VAR182 (VAR182),
.VAR67 (VAR220),
.VAR305 (VAR344),
.VAR170 (VAR50),
.VAR178 (VAR178),
.VAR295 (VAR295),
.VAR153 (VAR153),
.VAR90 (VAR187),
.VAR341 (VAR341),
.VAR314 (VAR314),
.VAR284 (),
.VAR161 (),
.VAR64 ()
);
end
endgenerate
VAR292 #
(
.VAR171 (VAR171),
.VAR174 (VAR174),
.VAR62 (VAR62),
.VAR34 (VAR34),
.VAR21 (VAR21),
.VAR99 (VAR99),
.VAR306 (VAR306),
.VAR331 (VAR331),
.VAR229 (VAR229),
.VAR277 (VAR277),
.VAR61 (VAR61),
.VAR324 (VAR324),
.VAR72 (VAR72),
.VAR308 (VAR308),
.VAR169 (VAR169),
.VAR159 (VAR159)
)
VAR58
(
.VAR269 (VAR269),
.clk (clk),
.VAR142 (VAR142),
.rst (rst),
.VAR210 (VAR210),
.VAR25 (VAR25),
.VAR36 (VAR36),
.VAR167 (VAR167),
.VAR73 (VAR73),
.VAR89 (VAR89),
.VAR39 (VAR39),
.VAR283 (VAR283),
.VAR79 (VAR79),
.VAR54 (VAR54),
.VAR281 (VAR18),
.VAR291 (VAR291),
.VAR120 (VAR120),
.VAR335 (VAR335),
.VAR56 (VAR56),
.VAR301 (VAR301),
.VAR274 (VAR274),
.VAR93 (VAR93),
.VAR199 (VAR199),
.VAR22 (VAR22),
.VAR176 (VAR176),
.VAR134 (VAR134),
.VAR126 (VAR126),
.VAR299 (VAR299),
.VAR293 (VAR293),
.VAR325 (VAR325),
.VAR7 (VAR7),
.VAR156 (VAR156),
.VAR191 (VAR191)
);
VAR38 #
(
.VAR171 (VAR171),
.VAR174 (VAR174),
.VAR62 (VAR62),
.VAR34 (VAR34),
.VAR99 (VAR99),
.VAR326 (VAR326),
.VAR21 (VAR21),
.VAR306 (VAR306),
.VAR268 (VAR268),
.VAR47 (VAR47),
.VAR81 (VAR81),
.VAR71 (VAR213),
.VAR276 (VAR276),
.VAR342 (VAR342)
)
VAR195
(
.clk (clk),
.rst (rst),
.VAR302 (VAR302),
.VAR19 (VAR19),
.VAR63 (VAR299),
.VAR315 (VAR315),
.VAR221 (VAR221),
.VAR30 (VAR30),
.VAR345 (VAR345),
.VAR343 (VAR343),
.VAR120 (VAR293[VAR99-1:0]),
.VAR335 (VAR293[2*VAR99-1:VAR99]),
.VAR56 (VAR293[3*VAR99-1:2*VAR99]),
.VAR301 (VAR293[4*VAR99-1:3*VAR99]),
.VAR25 (VAR113),
.VAR36 (VAR248),
.VAR73 (VAR212),
.VAR89 (VAR42),
.VAR318 (VAR254),
.VAR16 (VAR175),
.VAR124 (VAR124),
.VAR179 (VAR179),
.VAR291 (VAR291),
.VAR50 (VAR286),
.VAR220 (VAR220),
.VAR344 (VAR344),
.VAR141 (VAR141),
.VAR59 (VAR59),
.VAR190 (VAR190),
.VAR259 (VAR259),
.VAR96 (), .VAR53 (VAR53),
.VAR313 (VAR313),
.VAR222 (VAR222),
.VAR334 (VAR334),
.VAR94 (VAR94),
.VAR139 (VAR139),
.VAR14 (VAR14),
.VAR87 (VAR87),
.VAR135 (VAR135),
.VAR55 (VAR55),
.VAR1 (VAR1),
.VAR218 (VAR218),
.VAR24 (VAR24)
);
generate
if (VAR112 == "VAR41") begin: VAR267
VAR173 #
(
.VAR171 (VAR171),
.VAR326 (VAR326),
.VAR21 (VAR21),
.VAR339 (VAR339),
.VAR304 (VAR304),
.VAR104 (VAR104),
.VAR84 (VAR84),
.VAR71 (VAR213),
.VAR342 (VAR342)
)
VAR52
(
.clk (clk),
.rst (rst),
.VAR232 (VAR232),
.VAR200 (VAR200),
.VAR185 (VAR18),
.VAR323 (VAR299),
.VAR270 (VAR270),
.VAR116 (VAR116),
.VAR175 (VAR175),
.VAR233 (VAR233),
.VAR312 (VAR312),
.VAR300 (VAR300),
.VAR274 (VAR325[VAR21-1-:VAR21]),
.VAR93 (VAR325[2*VAR21-1-:VAR21]),
.VAR199 (VAR325[3*VAR21-1-:VAR21]),
.VAR22 (VAR325[4*VAR21-1-:VAR21]),
.VAR117 (VAR117),
.VAR343 (VAR343),
.VAR177 (VAR177),
.VAR27 (VAR27),
.VAR12 (VAR12),
.VAR3 (VAR3),
.VAR280 (VAR280),
.VAR86 (VAR86),
.VAR251 (VAR251),
.VAR121 (VAR121),
.VAR227 (VAR227),
.VAR241 (VAR241),
.VAR75 (VAR75),
.VAR114 (VAR114),
.VAR102 (VAR102),
.VAR145 (VAR145)
);
end else begin: VAR65
assign VAR200 = 1'b0;
assign VAR117 = 1'b0;
assign VAR233 = 'b0;
assign VAR312 = 'b0;
assign VAR300 = 'b0;
end
endgenerate
endmodule | lgpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/dlxtn/sky130_fd_sc_ms__dlxtn.blackbox.v | 1,300 | module MODULE1 (
VAR3 ,
VAR4 ,
VAR1
);
output VAR3 ;
input VAR4 ;
input VAR1;
supply1 VAR6;
supply0 VAR7;
supply1 VAR2 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
sgq995/rc4-de0-nano-soc | fpga/hps/soc_system/synthesis/submodules/rc4.v | 3,247 | module MODULE1(clk, VAR11, VAR5, ready, VAR2, enable);
input clk;
input VAR11;
output reg [7:0] VAR5;
output reg ready;
input [7:0] VAR2; input enable;
reg [7:0] VAR1;
reg [7:0] VAR4[0:255];
reg [3:0] state;
reg [7:0] VAR10[0:255];
reg [7:0] VAR9;
reg [7:0] VAR3;
reg [7:0] VAR8;
reg [7:0] VAR7;
assign VAR6 = ready; | mit |
pwwu/FPGA | VGAbased/final/vga_game_text_top.v | 3,853 | module MODULE1
(
input wire clk, reset,
input wire [1:0] VAR8,
output wire VAR18, VAR13,
output wire [2:0] VAR26
);
localparam [1:0]
VAR30 = 2'b00,
VAR25 = 2'b01,
VAR28 = 2'b10,
VAR9 = 2'b11;
reg [1:0] VAR14, VAR16;
wire [9:0] VAR29, VAR7;
wire VAR22, VAR6;
wire [3:0] VAR1;
wire [2:0] VAR27;
reg [2:0] VAR20, VAR15;
wire [3:0] VAR17, VAR23;
reg [1:0] VAR11, VAR3;
assign VAR17 = 4'b0000;
assign VAR23 = 4'b0000;
VAR19 VAR10
(.clk(clk), .reset(reset), .VAR18(VAR18), .VAR13(VAR13),
.VAR22(VAR22), .VAR5(VAR6),
.VAR29(VAR29), .VAR7(VAR7));
VAR12 VAR4
(.clk(clk),
.VAR2(VAR29), .VAR24(VAR7),
.VAR17(VAR17), .VAR23(VAR23), .VAR21(VAR11),
.VAR1(VAR1), .VAR27(VAR27));
always @(posedge clk, posedge reset)
if (reset)
begin
VAR14 <= VAR30;
VAR11 <= 0;
VAR20 <= 0;
end
else
begin
VAR14 <= VAR16;
VAR11 <= VAR3;
if (VAR6)
VAR20 <= VAR15;
end
always @*
begin
VAR16 = VAR14;
VAR3 = VAR11;
case (VAR14)
VAR30:
begin
VAR3 = 2'b11; if (VAR8 != 2'b00) begin
VAR16 = VAR25;
VAR3 = VAR11 - 1;
end
end
VAR25:
begin
if (VAR8 == 2'b11)
begin
VAR16 = VAR28;
VAR3 = VAR11 - 2;
end
end
VAR28:
if ((VAR8 == 2'b00))
begin
VAR16 = VAR9;
VAR3 = 2'b00;
end
VAR9:
VAR16 = VAR30;
endcase
end
always @*
if (~VAR22)
VAR15 = "000"; else
if (VAR1[3] ||
((VAR14==VAR30) && VAR1[1]) || ((VAR14==VAR9) && VAR1[0]))
VAR15 = VAR27;
else if (VAR1[2]) VAR15 = VAR27;
else
VAR15 = 3'b110; assign VAR26 = VAR20;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/lsbuflv2hv_symmetric/sky130_fd_sc_hvl__lsbuflv2hv_symmetric.pp.blackbox.v | 1,434 | module MODULE1 (
VAR1 ,
VAR3 ,
VAR2 ,
VAR7 ,
VAR4,
VAR6 ,
VAR5
);
output VAR1 ;
input VAR3 ;
input VAR2 ;
input VAR7 ;
input VAR4;
input VAR6 ;
input VAR5 ;
endmodule | apache-2.0 |
bunnie/novena-sd-fpga | novena-sd.srcs/sources_1/imports/common/reg_ro_4burst.v | 2,259 | module MODULE1(
input wire clk,
input wire [15:0] VAR6, input wire [18:0] VAR1,
input wire [2:0] VAR13, input wire VAR12, input wire VAR2, input wire VAR17, input wire [63:0] VAR15,
output reg [15:0] VAR8, output wire VAR3
);
reg [2:0] VAR16;
reg VAR9;
reg [15:0] VAR14;
reg VAR4;
reg [2:0] VAR18;
reg VAR7;
reg VAR5;
reg [2:0] VAR11;
always @(posedge clk) begin
VAR11[2:0] <= {VAR11[1:0],VAR9};
end
assign VAR3 = VAR11[2] & !VAR11[1];
always @(posedge clk) begin
VAR14 <= VAR6;
VAR18 <= VAR13;
VAR4 <= VAR17;
VAR7 <= VAR2;
VAR5 <= VAR12;
if( VAR4 && VAR5 && ({VAR18, VAR14} == VAR1) ) begin
VAR9 <= 1'b1;
VAR16 <= 3'b0;
end else if( !VAR4 ) begin
VAR9 <= 1'b0;
VAR16 <= 3'b0;
end else begin
VAR9 <= VAR9;
if( VAR16 <= 3'b111 ) begin
VAR16 <= VAR16 + 3'b01;
end else begin
VAR16 <= VAR16;
end
end end
always @(*) begin
if( VAR9 && VAR7 ) begin
case (VAR16) 3'b0001: begin
VAR8 = VAR15[15:0];
end
3'b010: begin
VAR8 = VAR15[31:16];
end
3'b011: begin
VAR8 = VAR15[47:32];
end
3'b100: begin
VAR8 = VAR15[63:48];
end
default: begin
VAR8 = 16'VAR10;
end
endcase end else begin VAR8 = 16'VAR10;
end end
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nor2/sky130_fd_sc_hd__nor2_2.v | 2,086 | module MODULE2 (
VAR6 ,
VAR8 ,
VAR4 ,
VAR1,
VAR9,
VAR2 ,
VAR7
);
output VAR6 ;
input VAR8 ;
input VAR4 ;
input VAR1;
input VAR9;
input VAR2 ;
input VAR7 ;
VAR5 VAR3 (
.VAR6(VAR6),
.VAR8(VAR8),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR9(VAR9),
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule
module MODULE2 (
VAR6,
VAR8,
VAR4
);
output VAR6;
input VAR8;
input VAR4;
supply1 VAR1;
supply0 VAR9;
supply1 VAR2 ;
supply0 VAR7 ;
VAR5 VAR3 (
.VAR6(VAR6),
.VAR8(VAR8),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
jmahler/EECE344-Digital_System_Design | lab03/CPLD/led_ctl.v | 1,612 | module MODULE1(
input VAR5,
VAR8,
VAR4,
VAR3,
inout [7:0] VAR1,
output reg [7:0] VAR7);
assign VAR1 = (~(VAR3 | VAR5 | ~VAR8)) ? ~(VAR7) : 8'VAR6;
wire VAR2;
assign VAR2 = VAR8 | VAR3;
always @(negedge VAR4, posedge VAR2) begin
if (~VAR4)
VAR7 <= ~(8'h00);
end
else
VAR7 <= ~(VAR1);
end
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/and4bb/sky130_fd_sc_hd__and4bb.pp.symbol.v | 1,334 | module MODULE1 (
input VAR2 ,
input VAR6 ,
input VAR9 ,
input VAR4 ,
output VAR7 ,
input VAR8 ,
input VAR3,
input VAR5,
input VAR1
);
endmodule | apache-2.0 |
JakeMercer/mac | rx.v | 5,550 | module MODULE1 #(
parameter VAR2 = 3'h0,
parameter VAR26 = 3'h1,
parameter VAR6 = 3'h2,
parameter VAR7 = 3'h3,
parameter VAR34 = 3'h4,
parameter VAR39 = 3'h5,
parameter VAR25 = 3'h6
)(
input reset,
input VAR3,
input VAR40,
input VAR4,
input [7:0] VAR17,
input VAR32,
output reg [7:0] VAR36,
output reg VAR33,
output reg VAR1,
output reg VAR41,
input wire VAR31,
output reg VAR15
);
localparam VAR30 = 32'hC704DD7B;
localparam VAR12 = 32'h04C11DB7;
localparam VAR19 = 32'hFFFFFFFF;
localparam VAR14 = 1518;
localparam VAR10 = 64;
localparam VAR9 = 64;
reg VAR18;
reg [2:0] state;
reg [2:0] VAR16;
reg [15:0] VAR27;
reg [15:0] VAR29;
reg VAR22;
reg VAR28;
reg VAR37;
reg VAR20;
wire [31:0] VAR8;
reg [39:0] VAR11;
always @(posedge VAR3)
begin
if (!VAR4 && VAR32 && VAR17 == 8'h0F && VAR40)
VAR18 = 1;
end
else
VAR18 = 0;
end
always @ (posedge VAR3)
if (reset)
state <= VAR2;
else
state <= VAR16;
always @
if (VAR29 > 5 && (state == VAR6 || state == VAR7 || state == VAR39))
VAR33 = 1;
else
VAR33 = 0;
always @
if (state == VAR7 || state == VAR39)
VAR41 = 1;
else
VAR41 = 0;
always @
if (state == VAR6)
VAR20 = 1;
else
VAR20 = 0;
always @
if (VAR27 < VAR10)
VAR28 = 1;
else
VAR28 = 0;
always @ (*)
if (VAR27 > VAR14)
VAR22 = 1;
else
VAR22 = 0;
VAR13 #( .VAR5(VAR12),
.VAR38(8),
.VAR35(32),
.VAR23(VAR19))
VAR24(
.reset(reset),
.VAR3(VAR3),
.VAR21(VAR37),
.VAR11(VAR17),
.VAR20(VAR20),
.VAR8(VAR8)
);
endmodule | mit |
MarkBlanco/FPGA_Sandbox | RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_auto_pc_3/zqynq_lab_1_design_auto_pc_3_stub.v | 5,743 | module MODULE1(VAR35, VAR6, VAR60, VAR12,
VAR55, VAR31, VAR3, VAR54, VAR27, VAR36,
VAR48, VAR13, VAR45, VAR25, VAR42, VAR15, VAR75,
VAR17, VAR77, VAR43, VAR32, VAR58, VAR23, VAR76,
VAR49, VAR53, VAR20, VAR30, VAR16, VAR72,
VAR14, VAR1, VAR8, VAR50, VAR34, VAR41, VAR4,
VAR22, VAR63, VAR64, VAR10, VAR71, VAR73, VAR46,
VAR7, VAR52, VAR69, VAR61, VAR74, VAR11,
VAR24, VAR57, VAR62, VAR79, VAR68, VAR28,
VAR37, VAR67, VAR26, VAR66, VAR47, VAR59, VAR51,
VAR5, VAR19, VAR2, VAR40, VAR33, VAR44,
VAR21, VAR65, VAR56, VAR29, VAR70, VAR18,
VAR9, VAR39, VAR78, VAR38)
;
input VAR35;
input VAR6;
input [11:0]VAR60;
input [31:0]VAR12;
input [3:0]VAR55;
input [2:0]VAR31;
input [1:0]VAR3;
input [1:0]VAR54;
input [3:0]VAR27;
input [2:0]VAR36;
input [3:0]VAR48;
input VAR13;
output VAR45;
input [11:0]VAR25;
input [31:0]VAR42;
input [3:0]VAR15;
input VAR75;
input VAR17;
output VAR77;
output [11:0]VAR43;
output [1:0]VAR32;
output VAR58;
input VAR23;
input [11:0]VAR76;
input [31:0]VAR49;
input [3:0]VAR53;
input [2:0]VAR20;
input [1:0]VAR30;
input [1:0]VAR16;
input [3:0]VAR72;
input [2:0]VAR14;
input [3:0]VAR1;
input VAR8;
output VAR50;
output [11:0]VAR34;
output [31:0]VAR41;
output [1:0]VAR4;
output VAR22;
output VAR63;
input VAR64;
output [11:0]VAR10;
output [31:0]VAR71;
output [7:0]VAR73;
output [2:0]VAR46;
output [1:0]VAR7;
output [0:0]VAR52;
output [3:0]VAR69;
output [2:0]VAR61;
output [3:0]VAR74;
output [3:0]VAR11;
output VAR24;
input VAR57;
output [31:0]VAR62;
output [3:0]VAR79;
output VAR68;
output VAR28;
input VAR37;
input [11:0]VAR67;
input [1:0]VAR26;
input VAR66;
output VAR47;
output [11:0]VAR59;
output [31:0]VAR51;
output [7:0]VAR5;
output [2:0]VAR19;
output [1:0]VAR2;
output [0:0]VAR40;
output [3:0]VAR33;
output [2:0]VAR44;
output [3:0]VAR21;
output [3:0]VAR65;
output VAR56;
input VAR29;
input [11:0]VAR70;
input [31:0]VAR18;
input [1:0]VAR9;
input VAR39;
input VAR78;
output VAR38;
endmodule | mit |
MarkBlanco/FPGA_Sandbox | RecComp/Lab3/adventures_with_ip/adventures_with_ip.cache/ip/2017.3/872e0473ecb52965/ip_design_axi_gpio_0_0_stub.v | 2,378 | module MODULE1(VAR1, VAR2, VAR19,
VAR11, VAR21, VAR9, VAR4, VAR14, VAR12,
VAR18, VAR6, VAR8, VAR17, VAR13, VAR10,
VAR7, VAR15, VAR16, VAR3, VAR22, VAR20, VAR5)
;
input VAR1;
input VAR2;
input [8:0]VAR19;
input VAR11;
output VAR21;
input [31:0]VAR9;
input [3:0]VAR4;
input VAR14;
output VAR12;
output [1:0]VAR18;
output VAR6;
input VAR8;
input [8:0]VAR17;
input VAR13;
output VAR10;
output [31:0]VAR7;
output [1:0]VAR15;
output VAR16;
input VAR3;
input [1:0]VAR22;
output [1:0]VAR20;
output [1:0]VAR5;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/o21a/sky130_fd_sc_hvl__o21a.behavioral.v | 1,512 | module MODULE1 (
VAR2 ,
VAR12,
VAR6,
VAR4
);
output VAR2 ;
input VAR12;
input VAR6;
input VAR4;
supply1 VAR7;
supply0 VAR3;
supply1 VAR1 ;
supply0 VAR13 ;
wire VAR8 ;
wire VAR10;
or VAR5 (VAR8 , VAR6, VAR12 );
and VAR11 (VAR10, VAR8, VAR4 );
buf VAR9 (VAR2 , VAR10 );
endmodule | apache-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.