repo_name
stringlengths 6
79
| path
stringlengths 4
249
| size
int64 1.02k
768k
| content
stringlengths 15
207k
| license
stringclasses 14
values |
---|---|---|---|---|
HSID/Sora | FPGA/MIMO/rtl/pcie_userapp_wrapper/pcie_dma_engine/read_req_wrapper.v | 5,982 | module MODULE1(
input clk,
input rst,
input VAR18, VAR20
input [4:0] VAR21,
input [31:0] VAR27,
input VAR16,
input [4:0] VAR26,
input [31:0] VAR19,
input VAR31,
input [4:0] VAR5,
output [31:0] VAR14,
input VAR29, output [31:0] VAR2,
output VAR22
);
reg VAR9;
reg [4:0] VAR25;
reg [31:0] VAR6;
reg [4:0] VAR3;
wire [4:0] VAR30;
reg VAR7;
always@(posedge clk) begin
if (rst | (~VAR18))
if (rst)
VAR3[4:0] <= VAR3[4:0] + 5'b00001;
end
else
VAR3[4:0] <= 5'b00000;
end
assign VAR30 = VAR3;
always@(posedge clk)begin
if(rst | (~VAR18))begin
if(rst)begin
VAR25[4:0] <= VAR30[4:0];
VAR9 <= 1'b1;
VAR6[31:0] <= 32'h00000000;
VAR7 <= 1'b0;
end else if(VAR16)begin
VAR25[4:0] <= VAR21[4:0];
VAR9 <= VAR16;
VAR6[31:0] <= VAR27[31:0];
VAR7 <= 1'b1;
end else begin
VAR25[4:0] <= VAR26[4:0];
VAR9 <= VAR31;
VAR6[31:0] <= VAR19[31:0];
VAR7 <= ~VAR29;
end
end
VAR13 VAR12(
.VAR24(VAR25[4:0]),
.VAR10(VAR6[31:0]),
.VAR23(VAR5[4:0]),
.clk(clk),
.VAR9(VAR9),
.VAR28(),
.VAR15(VAR14[31:0])
);
VAR17 VAR4(
.clk(clk),
.din(VAR7),
.addr(VAR25[4:0]),
.VAR9(VAR9),
.dout(VAR2[31:0])
);
VAR11 VAR8(
.clk(clk),
.rst(rst | (~VAR18)),
.rst(rst),
.VAR1(VAR2[31:0]),
.VAR22(VAR22)
);
endmodule | bsd-2-clause |
UCLONG/NetEmulation | BEE3_top/C3D_original_code/b2b/src/aur1.v | 24,858 | module MODULE1 #
(
parameter VAR169= 0 )
(
VAR54,
VAR144,
VAR228,
VAR90,
VAR53,
VAR215,
VAR74,
VAR11,
VAR136,
VAR214,
VAR147,
VAR101,
VAR68,
VAR123,
VAR111,
VAR23,
VAR243,
VAR174,
VAR166,
VAR105,
VAR161,
VAR121,
VAR142,
VAR107,
VAR148,
VAR162
);
input [0:63] VAR54;
input VAR144;
output VAR228;
output [0:63] VAR90;
output VAR53;
input VAR215;
input VAR74;
input [0:3] VAR11;
input [0:3] VAR136;
output [0:3] VAR214;
output [0:3] VAR147;
input VAR101;
output VAR68;
output VAR123;
output VAR111;
output [0:3] VAR23;
input VAR243;
input VAR174;
input VAR166;
input VAR105;
input [2:0] VAR161;
input VAR121;
output VAR107;
output VAR142;
input [2:0] VAR148;
input [2:0] VAR162;
wire [15:0] VAR222;
wire [0:3] VAR95;
wire [0:3] VAR167;
wire [0:3] VAR191;
wire [0:3] VAR72;
wire [3:0] VAR180;
wire [7:0] VAR106;
wire [3:0] VAR84;
wire [7:0] VAR155;
wire [63:0] VAR159;
wire [7:0] VAR205;
wire [7:0] VAR30;
wire [3:0] VAR236;
wire [3:0] VAR213;
wire [3:0] VAR63;
wire VAR175;
wire VAR145;
wire [3:0] VAR143;
wire [0:3] VAR247;
wire VAR99;
wire VAR234;
wire [0:7] VAR58;
wire [0:3] VAR83;
wire [0:7] VAR246;
wire VAR4;
wire [0:7] VAR98;
wire [0:7] VAR7;
wire [0:3] VAR173;
wire [0:3] VAR35;
wire [0:3] VAR198;
wire [0:3] VAR231;
wire [0:3] VAR232;
wire [3:0] VAR194;
wire [7:0] VAR212;
wire [7:0] VAR235;
wire [11:0] VAR239;
wire [63:0] VAR202;
wire [7:0] VAR219;
wire [0:3] VAR6;
wire [7:0] VAR29;
wire [0:3] VAR200;
wire [0:63] VAR96;
wire [0:3] VAR138;
wire [3:0] VAR133;
wire [3:0] VAR13;
wire [3:0] VAR34;
wire [0:3] VAR216;
wire [0:3] VAR190;
wire VAR22;
wire VAR75;
wire [47:0] VAR132;
wire VAR85;
wire [3:0] VAR46;
wire [7:0] VAR110;
wire [63:0] VAR176;
wire [0:1] VAR5;
wire [0:63] VAR209;
wire [0:3] VAR69;
wire [3:0] VAR73;
reg [0:3] VAR1;
reg [0:3] VAR242;
wire VAR71; wire VAR156;
assign VAR132 = 64'd0;
assign VAR75 = 1'b0;
assign VAR85 = 1'b1;
assign VAR111 = VAR175;
assign VAR142 = VAR231[0];
assign VAR107 = &VAR5;
assign VAR23[0] = VAR198[0];
VAR168 VAR27
(
.VAR120(VAR156), .VAR250(VAR71), .VAR141(VAR202[15:0]),
.VAR114(VAR29[1:0]),
.VAR25(VAR219[1:0]),
.VAR152(VAR235[1:0]),
.VAR195(VAR212[1:0]),
.VAR137(VAR132[5:0]),
.VAR62(VAR46[0]),
.VAR32(VAR194[0]),
.VAR127(VAR13[0]),
.VAR163(VAR133[0]),
.VAR125(VAR34[0]),
.VAR51(VAR110[1:0]),
.VAR164(VAR176[15:0]),
.VAR59(VAR73[0]),
.VAR203(VAR143[0]),
.VAR16(VAR4),
.VAR60(VAR75),
.VAR225(VAR83[0]),
.VAR87(VAR209[0:15]),
.VAR230(VAR69[0]),
.VAR20(VAR99),
.VAR104(VAR200[0]),
.VAR47(VAR96[0:15]),
.VAR2(VAR138[0]),
.VAR241(VAR216[0]),
.VAR40(VAR6[0]),
.VAR97(VAR247[0]),
.VAR117(VAR58[0:1]),
.VAR183(VAR246[0:1]),
.VAR126(VAR98[0:1]),
.VAR23(VAR198[0]),
.VAR123(VAR190[0]),
.VAR68(VAR35[0]),
.VAR31(),
.VAR92(VAR7[0:1]),
.VAR140(VAR173[0]),
.VAR243(VAR243),
.VAR166(VAR232[0])
);
assign VAR23[1] = VAR198[1];
VAR168 VAR130
(
.VAR120(VAR156), .VAR250(VAR71), .VAR141(VAR202[31:16]),
.VAR114(VAR29[3:2]),
.VAR25(VAR219[3:2]),
.VAR152(VAR235[3:2]),
.VAR195(VAR212[3:2]),
.VAR137(VAR132[5:0]),
.VAR62(VAR46[1]),
.VAR32(VAR194[1]),
.VAR127(VAR13[1]),
.VAR163(VAR133[1]),
.VAR125(VAR34[1]),
.VAR51(VAR110[3:2]),
.VAR164(VAR176[31:16]),
.VAR59(VAR73[1]),
.VAR203(VAR143[1]),
.VAR16(VAR75),
.VAR60(VAR75),
.VAR225(VAR83[1]),
.VAR87(VAR209[16:31]),
.VAR230(VAR69[1]),
.VAR20(VAR99),
.VAR104(VAR200[1]),
.VAR47(VAR96[16:31]),
.VAR2(VAR138[1]),
.VAR241(VAR216[1]),
.VAR40(VAR6[1]),
.VAR97(VAR247[1]),
.VAR117(VAR58[2:3]),
.VAR183(VAR246[2:3]),
.VAR126(VAR98[2:3]),
.VAR23(VAR198[1]),
.VAR123(VAR190[1]),
.VAR68(VAR35[1]),
.VAR31(),
.VAR92(VAR7[2:3]),
.VAR140(VAR173[1]),
.VAR243(VAR243),
.VAR166(VAR232[1])
);
assign VAR23[2] = VAR198[2];
VAR168 VAR37
(
.VAR120(VAR156), .VAR250(VAR71), .VAR141(VAR202[47:32]),
.VAR114(VAR29[5:4]),
.VAR25(VAR219[5:4]),
.VAR152(VAR235[5:4]),
.VAR195(VAR212[5:4]),
.VAR137(VAR132[5:0]),
.VAR62(VAR46[2]),
.VAR32(VAR194[2]),
.VAR127(VAR13[2]),
.VAR163(VAR133[2]),
.VAR125(VAR34[2]),
.VAR51(VAR110[5:4]),
.VAR164(VAR176[47:32]),
.VAR59(VAR73[2]),
.VAR203(VAR143[2]),
.VAR16(VAR75),
.VAR60(VAR75),
.VAR225(VAR83[2]),
.VAR87(VAR209[32:47]),
.VAR230(VAR69[2]),
.VAR20(VAR99),
.VAR104(VAR200[2]),
.VAR47(VAR96[32:47]),
.VAR2(VAR138[2]),
.VAR241(VAR216[2]),
.VAR40(VAR6[2]),
.VAR97(VAR247[2]),
.VAR117(VAR58[4:5]),
.VAR183(VAR246[4:5]),
.VAR126(VAR98[4:5]),
.VAR23(VAR198[2]),
.VAR123(VAR190[2]),
.VAR68(VAR35[2]),
.VAR31(),
.VAR92(VAR7[4:5]),
.VAR140(VAR173[2]),
.VAR243(VAR243),
.VAR166(VAR232[2])
);
assign VAR23[3] = VAR198[3];
VAR168 VAR55
(
.VAR120(VAR156), .VAR250(VAR71), .VAR141(VAR202[63:48]),
.VAR114(VAR29[7:6]),
.VAR25(VAR219[7:6]),
.VAR152(VAR235[7:6]),
.VAR195(VAR212[7:6]),
.VAR137(VAR132[5:0]),
.VAR62(VAR46[3]),
.VAR32(VAR194[3]),
.VAR127(VAR13[3]),
.VAR163(VAR133[3]),
.VAR125(VAR34[3]),
.VAR51(VAR110[7:6]),
.VAR164(VAR176[63:48]),
.VAR59(VAR73[3]),
.VAR203(VAR143[3]),
.VAR16(VAR75),
.VAR60(VAR234),
.VAR225(VAR83[3]),
.VAR87(VAR209[48:63]),
.VAR230(VAR69[3]),
.VAR20(VAR99),
.VAR104(VAR200[3]),
.VAR47(VAR96[48:63]),
.VAR2(VAR138[3]),
.VAR241(VAR216[3]),
.VAR40(VAR6[3]),
.VAR97(VAR247[3]),
.VAR117(VAR58[6:7]),
.VAR183(VAR246[6:7]),
.VAR126(VAR98[6:7]),
.VAR23(VAR198[3]),
.VAR123(VAR190[3]),
.VAR68(VAR35[3]),
.VAR31(),
.VAR92(VAR7[6:7]),
.VAR140(VAR173[3]),
.VAR243(VAR243),
.VAR166(VAR232[3])
);
VAR57 #
(
.VAR169(VAR169)
)
VAR64
(
.VAR120(VAR156), .VAR250(VAR71), .VAR139(VAR133[0]),
.VAR36(VAR133[1]),
.VAR146(VAR133[2]),
.VAR56(VAR133[3]),
.VAR43(VAR34[0]),
.VAR185(VAR34[1]),
.VAR94(VAR34[2]),
.VAR3(VAR34[3]),
.VAR170(VAR110[1:0]),
.VAR129(VAR110[3:2]),
.VAR177(VAR110[5:4]),
.VAR109(VAR110[7:6]),
.VAR196(VAR176[15:0]),
.VAR76(VAR176[31:16]),
.VAR41(VAR176[47:32]),
.VAR253(VAR176[63:48]),
.VAR82(VAR73[0]),
.VAR45(VAR73[1]),
.VAR15(VAR73[2]),
.VAR229(VAR73[3]),
.VAR208(VAR202[15:0]),
.VAR224(VAR202[31:16]),
.VAR42(VAR202[47:32]),
.VAR18(VAR202[63:48]),
.VAR115(VAR29[1:0]),
.VAR49(VAR29[3:2]),
.VAR24(VAR29[5:4]),
.VAR135(VAR29[7:6]),
.VAR171(VAR219[1:0]),
.VAR255(VAR219[3:2]),
.VAR91(VAR219[5:4]),
.VAR252(VAR219[7:6]),
.VAR122(VAR235[1:0]),
.VAR251(VAR235[3:2]),
.VAR238(VAR235[5:4]),
.VAR124(VAR235[7:6]),
.VAR211(VAR212[1:0]),
.VAR12(VAR212[3:2]),
.VAR233(VAR212[5:4]),
.VAR193(VAR212[7:6]),
.VAR227(VAR13[0]),
.VAR207(VAR13[1]),
.VAR77(VAR13[2]),
.VAR201(VAR13[3]),
.VAR199(VAR194[0]),
.VAR9(VAR194[1]),
.VAR52(VAR194[2]),
.VAR249(VAR194[3]),
.VAR240(VAR46[0]),
.VAR44(VAR46[1]),
.VAR100(VAR46[2]),
.VAR102(VAR46[3]),
.VAR248(VAR143[0]),
.VAR39(VAR143[1]),
.VAR223(VAR143[2]),
.VAR184(VAR143[3]),
.VAR78(VAR143[0]),
.VAR19(VAR143[1]),
.VAR244(VAR143[2]),
.VAR153(VAR143[3]),
.VAR86(),
.VAR17(),
.VAR254(),
.VAR192(),
.VAR165(),
.VAR128(),
.VAR116(),
.VAR21(),
.VAR26(VAR85),
.VAR210(VAR145),
.VAR226(VAR85),
.VAR179(VAR85),
.VAR67(VAR63[0]),
.VAR70(VAR63[1]),
.VAR160(VAR63[2]),
.VAR119(VAR63[3]),
.VAR79(VAR136[0]),
.VAR181(VAR136[1]),
.VAR182(VAR136[2]),
.VAR256(VAR136[3]),
.VAR151(VAR11[0]),
.VAR8(VAR11[1]),
.VAR81(VAR11[2]),
.VAR221(VAR11[3]),
.VAR103(VAR147[0]),
.VAR178(VAR147[1]),
.VAR188(VAR147[2]),
.VAR50(VAR147[3]),
.VAR118(VAR214[0]),
.VAR38(VAR214[1]),
.VAR149(VAR214[2]),
.VAR66(VAR214[3]),
.VAR220(VAR174),
.VAR157(VAR243),
.VAR80(VAR174),
.VAR187(VAR243),
.VAR28(VAR101),
.VAR134(VAR231[0]),
.VAR88(),
.VAR89(VAR231[1]),
.VAR48(),
.VAR237(VAR231[2]),
.VAR65(),
.VAR186(VAR231[3]),
.VAR158(),
.VAR189(VAR5[0]),
.VAR10(VAR5[1]),
.VAR218(),
.VAR131(),
.VAR172(VAR121),
.VAR154(VAR161),
.VAR148(VAR148),
.VAR162(VAR162),
.VAR245(VAR105)
);
always @(posedge VAR243)
if (VAR166)
VAR242 <= 2'b0;
else if (VAR145)
VAR242 <= VAR63;
else
VAR242 <= 2'b0;
always @(posedge VAR243)
if (VAR166)
VAR1 <= 2'b0;
else if(VAR145)
VAR1 <= VAR63 & ~VAR242;
else
VAR1 <= 2'b00;
VAR33 VAR108
(
.VAR217(VAR63),
.VAR206(VAR145),
.VAR23(VAR198),
.VAR123(VAR190),
.VAR68(VAR35),
.VAR31(VAR1),
.VAR92(VAR7),
.VAR140(VAR173),
.VAR97(VAR247),
.VAR117(VAR58),
.VAR183(VAR246),
.VAR126(VAR98),
.VAR14(VAR232),
.VAR243(VAR243),
.VAR166(VAR166),
.VAR105(VAR105),
.VAR111(VAR175),
.VAR150(VAR22),
.VAR61(VAR123),
.VAR112(VAR68)
);
VAR197 VAR204
(
.VAR54(VAR54),
.VAR144(VAR144),
.VAR228(VAR228),
.VAR111(VAR175),
.VAR215(VAR215),
.VAR74(VAR74),
.VAR16(VAR4),
.VAR60(VAR234),
.VAR230(VAR69),
.VAR225(VAR83),
.VAR87(VAR209),
.VAR20(VAR99),
.VAR243(VAR243)
);
VAR93 VAR113
(
.VAR90(VAR90),
.VAR53(VAR53),
.VAR150(VAR22),
.VAR104(VAR200),
.VAR47(VAR96),
.VAR2(VAR138),
.VAR241(VAR216),
.VAR40(VAR6),
.VAR243(VAR243)
);
endmodule | gpl-3.0 |
tinkercnc/linuxcnc-mirror-old | src/hal/drivers/pluto_step_firmware/main.v | 5,166 | module MODULE1(clk, VAR50, VAR16, VAR1, VAR49, VAR11, VAR56, VAR32,
VAR15, dout, din, VAR52, VAR10);
parameter VAR18=10;
parameter VAR6=11;
parameter VAR26=4;
input clk;
output VAR50, VAR16;
inout [7:0] VAR49;
input VAR11;
output VAR56;
input VAR32, VAR15, VAR1;
input [15:0] din;
reg VAR9;
reg[13:0] VAR37; output [13:0] dout = VAR57 ? 14'VAR41 : VAR37;
wire[3:0] VAR35; output [3:0] VAR52 = VAR57 ? 4'VAR41 : VAR35 ^ {4{VAR9}};
wire[3:0] VAR51; output [3:0] VAR10 = VAR57 ? 4'VAR41 : VAR51;
wire [VAR18+VAR6-1:0] VAR17, VAR22, VAR4, VAR48;
reg [VAR6:0] VAR24, VAR40, VAR25, VAR27;
reg [VAR26-1:0] VAR21, VAR42;
reg [1:0] VAR19;
reg [10:0] VAR54;
wire VAR3 = ~|(VAR54[5:0]);
always @(posedge clk) begin
VAR54 <= VAR54 + 1'd1;
end
wire VAR34, VAR57;
VAR39 VAR31(clk, VAR34, &VAR54, VAR57);
VAR14 #(VAR18,VAR6,VAR26) VAR55(clk, VAR3, VAR17, VAR24, VAR21, VAR42, VAR35[0], VAR51[0], VAR19);
VAR14 #(VAR18,VAR6,VAR26) VAR5(clk, VAR3, VAR22, VAR40, VAR21, VAR42, VAR35[1], VAR51[1], VAR19);
VAR14 #(VAR18,VAR6,VAR26) VAR8(clk, VAR3, VAR4, VAR25, VAR21, VAR42, VAR35[2], VAR51[2], VAR19);
VAR14 #(VAR18,VAR6,VAR26) VAR36(clk, VAR3, VAR48, VAR27, VAR21, VAR42, VAR35[3], VAR51[3], VAR19);
wire VAR2 = ~VAR11;
wire VAR33 = VAR11;
wire VAR23 = ~VAR15;
wire VAR20 = ~VAR32;
wire VAR53 = VAR20 | VAR23;
wire VAR47; assign VAR56 = ~VAR47;
wire [7:0] VAR44 = VAR49;
wire [7:0] VAR12; assign VAR49 = VAR12;
reg [4:0] VAR29;
always @(posedge clk) VAR29 <= {VAR29[3:0], VAR53};
wire VAR7 = (VAR29[2:1]==2'b01);
assign VAR47 = VAR29[4];
wire[15:0] VAR45 = {VAR44, VAR13};
reg[4:0] VAR38;
reg[7:0] VAR13;
always @(posedge clk)
if(VAR7 & VAR2 & VAR23) begin
VAR38 <= VAR44[4:0];
end
else if(VAR7 & !VAR23) VAR38 <= VAR38 + 4'd1;
always @(posedge clk) begin
if(VAR7 & VAR2 & VAR20) begin
if(VAR38[3:0] == 4'd1) VAR24 <= VAR45[VAR6:0];
end
else if(VAR38[3:0] == 4'd3) VAR40 <= VAR45[VAR6:0];
end
else if(VAR38[3:0] == 4'd5) VAR25 <= VAR45[VAR6:0];
else if(VAR38[3:0] == 4'd7) VAR27 <= VAR45[VAR6:0];
else if(VAR38[3:0] == 4'd9) begin
VAR37 <= { VAR44[5:0], VAR13 };
end
else if(VAR38[3:0] == 4'd11) begin
VAR19 <= VAR13[7:6];
VAR42 <= VAR13[VAR26-1:0];
VAR9 <= VAR44[7];
VAR21 <= VAR44[VAR26-1:0];
end
else VAR13 <= VAR44;
end
end
reg [31:0] VAR30;
always @(posedge clk) begin
if(VAR7 & VAR33 && VAR38[1:0] == 2'd0) begin
if(VAR38[4:2] == 3'd0) VAR30 <= VAR17;
end
else if(VAR38[4:2] == 3'd1) VAR30 <= VAR22;
end
else if(VAR38[4:2] == 3'd2) VAR30 <= VAR4;
else if(VAR38[4:2] == 3'd3) VAR30 <= VAR48;
else if(VAR38[4:2] == 3'd4)
VAR30 <= din;
end
end
wire [7:0] VAR46 = VAR38[1:0] == 2'd1 ? VAR30[7:0] :
(VAR38[1:0] == 2'd2 ? VAR30[15:8] :
(VAR38[1:0] == 2'd3 ? VAR30[23:16] :
VAR30[31:24]));
wire [7:0] VAR43 = VAR46;
assign VAR12 = (VAR33 & VAR47) ? VAR43 : 8'VAR28;
assign VAR50 = VAR57 ? 1'VAR41 : (VAR35[0] ^ VAR51[0]);
assign VAR16 = VAR1; assign VAR34 = VAR7 & VAR2 & VAR20 & (VAR38[3:0] == 4'd9) & VAR44[6];
endmodule | gpl-2.0 |
shailcoolboy/Warp-Trinity | PlatformSupport/Deprecated/pcores/radio_controller_v1_08_a/hdl/verilog/user_logic.v | 31,339 | /* VAR236 VAR117:
module MODULE1
(
VAR39,
VAR57,
VAR160,
VAR14,
VAR12,
VAR105,
VAR153,
VAR126,
VAR182,
VAR52,
VAR123,
VAR49,
VAR93,
VAR241,
VAR205,
VAR41,
VAR100,
VAR152,
VAR121,
VAR2,
VAR69,
VAR85,
VAR145,
VAR55,
VAR193,
VAR77,
VAR224,
VAR36,
VAR80,
VAR5,
VAR111,
VAR45,
VAR75,
VAR64,
VAR116,
VAR190,
VAR231,
VAR17,
VAR94,
VAR32,
VAR6,
VAR118,
VAR43,
VAR11,
VAR28,
VAR228,
VAR237,
VAR107,
VAR79,
VAR109,
VAR197,
VAR161,
VAR208,
VAR173,
VAR104,
VAR170,
VAR125,
VAR20,
VAR48,
VAR83,
VAR178,
VAR200,
VAR213,
VAR168,
VAR219,
VAR1,
VAR21,
VAR37,
VAR203,
VAR140,
VAR150,
VAR51,
VAR232,
VAR169,
VAR98,
VAR198,
VAR61,
VAR10,
VAR129,
VAR209,
VAR148,
VAR127,
VAR35,
VAR134,
VAR86,
VAR40,
VAR91,
VAR222,
VAR196,
VAR132,
VAR8,
VAR47,
VAR218,
VAR26,
VAR135,
VAR9,
VAR139,
VAR13,
VAR63,
VAR30,
VAR78,
VAR221,
VAR112,
VAR131,
VAR97,
VAR89,
VAR166,
VAR195,
VAR59,
VAR227,
VAR103,
VAR181,
VAR23,
VAR154,
VAR192,
VAR137,
VAR119,
VAR120,
VAR50,
VAR130,
VAR233,
VAR199,
VAR27,
VAR88,
VAR99,
VAR24,
VAR239, VAR212, VAR67, VAR46, VAR207, VAR44, VAR106, VAR72, VAR136, VAR226, VAR144 );
parameter VAR163 = 32;
parameter VAR216 = 17;
output VAR39;
output VAR57;
output VAR160;
output VAR14;
output VAR12;
output VAR105;
output VAR153;
output VAR126;
output VAR182;
output VAR52;
output VAR123;
output VAR49;
output VAR93;
output VAR241;
input VAR205;
output VAR41;
output VAR100;
output [0 : 1] VAR152;
output [0 : 2] VAR121;
output VAR2;
output VAR69;
input VAR85;
input VAR145;
output VAR55;
output VAR193;
input [0 : 3] VAR77;
output VAR224;
output VAR36;
input VAR80;
output VAR5;
input [0 : 9] VAR111;
input VAR45;
output VAR75;
input VAR64;
input VAR116;
input VAR190;
input VAR231;
output [0 : 5] VAR17;
output VAR94;
output VAR32;
output VAR6;
output VAR118;
output VAR43;
input VAR11;
output VAR28;
output VAR228;
output [0 : 1] VAR237;
output [0 : 2] VAR107;
output VAR79;
output VAR109;
input VAR197;
input VAR161;
output VAR208;
output VAR173;
input [0 : 3] VAR104;
output VAR170;
output VAR125;
input VAR20;
output VAR48;
input [0 : 9] VAR83;
input VAR178;
output VAR200;
input VAR213;
input VAR168;
input VAR219;
input VAR1;
output [0 : 5] VAR21;
output VAR37;
output VAR203;
output VAR140;
output VAR150;
output VAR51;
input VAR232;
output VAR169;
output VAR98;
output [0 : 1] VAR198;
output [0 : 2] VAR61;
output VAR10;
output VAR129;
input VAR209;
input VAR148;
output VAR127;
output VAR35;
input [0 : 3] VAR134;
output VAR86;
output VAR40;
input VAR91;
output VAR222;
input [0 : 9] VAR196;
input VAR132;
output VAR8;
input VAR47;
input VAR218;
input VAR26;
input VAR135;
output [0 : 5] VAR9;
output VAR139;
output VAR13;
output VAR63;
output VAR30;
output VAR78;
input VAR221;
output VAR112;
output VAR131;
output [0 : 1] VAR97;
output [0 : 2] VAR89;
output VAR166;
output VAR195;
input VAR59;
input VAR227;
output VAR103;
output VAR181;
input [0 : 3] VAR23;
output VAR154;
output VAR192;
input VAR137;
output VAR119;
input [0 : 9] VAR120;
input VAR50;
output VAR130;
input VAR233;
input VAR199;
input VAR27;
input VAR88;
output [0 : 5] VAR99;
output VAR24;
input VAR239;
input VAR212;
input [0 : VAR163-1] VAR67;
input [0 : VAR163/8-1] VAR46;
input [0 : VAR216-1] VAR207;
input [0 : VAR216-1] VAR44;
output [0 : VAR163-1] VAR106;
output VAR72;
output VAR136;
output VAR226;
output VAR144;
reg [0 : VAR163-1] VAR16;
reg [0 : VAR163-1] VAR158;
reg [0 : VAR163-1] VAR223;
reg [0 : VAR163-1] VAR124;
reg [0 : VAR163-1] VAR217;
reg [0 : VAR163-1] VAR102;
reg [0 : VAR163-1] VAR242;
reg [0 : VAR163-1] VAR110;
reg [0 : VAR163-1] VAR19;
reg [0 : VAR163-1] VAR56;
reg [0 : VAR163-1] VAR4;
reg [0 : VAR163-1] VAR54;
reg [0 : VAR163-1] VAR25;
reg [0 : VAR163-1] VAR175;
reg [0 : VAR163-1] VAR114;
reg [0 : VAR163-1] VAR15;
reg [0 : VAR163-1] VAR33;
wire [0 : 16] VAR138;
wire [0 : 16] VAR229;
reg [0 : VAR163-1] VAR133;
wire VAR171;
wire VAR31;
integer VAR238, VAR108;
wire [7:0] VAR74;
wire VAR206;
wire [13:0] VAR188;
wire [7:0] VAR38;
wire VAR82;
wire [17:0] VAR87;
wire VAR71, VAR162, VAR84, VAR29;
wire VAR187, VAR235, VAR128, VAR18;
wire VAR230, VAR122, VAR90, VAR76;
wire VAR141, VAR115, VAR7, VAR113;
wire [0:5] VAR186, VAR184, VAR62, VAR66;
wire [0:3] VAR60, VAR234, VAR183, VAR164;
wire [0:3] VAR68, VAR156, VAR142, VAR101;
wire [0:7] VAR81, VAR220, VAR147, VAR155;
wire [0:7] VAR180, VAR201, VAR70, VAR167;
wire [0:7] VAR146, VAR3, VAR204, VAR151;
wire [0:7] VAR214, VAR157, VAR191, VAR96;
assign VAR160 = VAR74[0];
assign VAR14 = VAR74[1];
assign VAR12 = VAR74[2];
assign VAR105 = VAR74[3];
assign VAR153 = VAR74[4];
assign VAR126 = VAR74[5];
assign VAR182 = VAR74[6];
assign VAR52 = VAR74[7];
assign VAR188 = VAR102[18:31];
assign VAR82 = VAR242[31];
assign VAR38 = VAR110[24:31];
assign VAR87 = VAR19[14:31];
VAR165 VAR165(
.VAR143(VAR239),
.VAR179(VAR212),
.VAR188(VAR188),
.VAR38(VAR38),
.VAR82(VAR82),
.VAR87(VAR87),
.VAR92(VAR44[5]),
.VAR42(VAR67[23]),
.VAR53(VAR206),
.VAR74(VAR74),
.VAR22(VAR39),
.VAR189(VAR57)
);
assign VAR123 = (VAR16[27])?~VAR64:~VAR16[31];
assign VAR162 = (VAR16[19])?VAR116:VAR16[23];
assign VAR93 = (VAR16[11])?VAR190:VAR16[15];
assign VAR241 = (VAR16[3])?VAR231:VAR16[7];
assign VAR84 = VAR158[31];
assign VAR29 = VAR158[27];
assign VAR41 = ~(VAR84 & VAR71); assign VAR100 = ~(VAR29 & VAR71);
assign VAR152[0] = (VAR16[19])? VAR116 : VAR158[15]; assign VAR152[1] = (VAR16[11])? VAR190 : ~VAR158[15]; assign VAR2 = VAR158[7];
assign VAR121[0] = VAR93;
assign VAR121[1] = VAR49;
assign VAR121[2] = ~VAR205;
assign VAR55 = VAR223[23];
assign VAR193 = VAR223[19];
assign VAR5 = VAR223[15];
assign VAR75 = VAR158[11];
assign VAR69 = 1'b1; assign VAR224 = 1'b0; assign VAR36 = 1'b0;
assign VAR186 = VAR56[0:5];
assign VAR60 = VAR56[6:9];
assign VAR68 = VAR56[10:13];
assign VAR81 = VAR175[0:7];
assign VAR220 = VAR175[8:15];
assign VAR147 = VAR175[16:23];
assign VAR155 = VAR175[24:31];
VAR34 VAR177 (
.clk(VAR239),
.reset(VAR212),
.VAR174(VAR162),
.VAR95(VAR186),
.VAR211(VAR60),
.VAR73(VAR68),
.VAR159(VAR147),
.VAR202(VAR155),
.VAR149(VAR220),
.VAR240(VAR81),
.VAR65(VAR49),
.VAR172(VAR17),
.VAR225(VAR71),
.VAR215(VAR94)
);
assign VAR32 = (VAR16[26])?~VAR213:~VAR16[30];
assign VAR235 = (VAR16[18])?VAR168:VAR16[22];
assign VAR118 = (VAR16[10])?VAR219:VAR16[14];
assign VAR43 = (VAR16[2])?VAR1:VAR16[6];
assign VAR128 = VAR158[30];
assign VAR18 = VAR158[26];
assign VAR28 = ~(VAR128 & VAR187); assign VAR228 = ~(VAR18 & VAR187);
assign VAR237[0] = (VAR16[18])? VAR168 : VAR158[14]; assign VAR237[1] = (VAR16[10])? VAR219 : ~VAR158[14]; assign VAR79 = VAR158[6];
assign VAR107[0] = VAR118;
assign VAR107[1] = VAR6;
assign VAR107[2] = ~VAR11;
assign VAR208 = VAR223[22];
assign VAR173 = VAR223[18];
assign VAR48 = VAR223[14];
assign VAR200 = VAR158[10];
assign VAR109 = 1'b1; assign VAR170 = 1'b0; assign VAR125 = 1'b0;
assign VAR184 = VAR4[0:5];
assign VAR234 = VAR4[6:9];
assign VAR156 = VAR4[10:13];
assign VAR180 = VAR114[0:7];
assign VAR201 = VAR114[8:15];
assign VAR70 = VAR114[16:23];
assign VAR167 = VAR114[24:31];
VAR34 VAR194 (
.clk(VAR239),
.reset(VAR212),
.VAR174(VAR235),
.VAR95(VAR184),
.VAR211(VAR234),
.VAR73(VAR156),
.VAR159(VAR70),
.VAR202(VAR167),
.VAR149(VAR201),
.VAR240(VAR180),
.VAR65(VAR6),
.VAR172(VAR21),
.VAR225(VAR187),
.VAR215(VAR37)
);
assign VAR203 = (VAR16[25])?~VAR47:~VAR16[29];
assign VAR122 = (VAR16[17])?VAR218:VAR16[21];
assign VAR150 = (VAR16[9])?VAR26:VAR16[13];
assign VAR51 = (VAR16[1])?VAR135:VAR16[5];
assign VAR90 = VAR158[29];
assign VAR76 = VAR158[25];
assign VAR169 = ~(VAR90 & VAR230); assign VAR98 = ~(VAR76 & VAR230);
assign VAR198[0] = (VAR16[17])? VAR218 : VAR158[13]; assign VAR198[1] = (VAR16[9])? VAR26 : ~VAR158[13]; assign VAR10 = VAR158[5];
assign VAR61[0] = VAR150;
assign VAR61[1] = VAR140;
assign VAR61[2] = ~VAR232;
assign VAR127 = VAR223[21];
assign VAR35 = VAR223[17];
assign VAR222 = VAR223[13];
assign VAR8 = VAR158[9];
assign VAR129 = 1'b1; assign VAR86 = 1'b0; assign VAR40 = 1'b0;
assign VAR62 = VAR54[0:5];
assign VAR183 = VAR54[6:9];
assign VAR142 = VAR54[10:13];
assign VAR146 = VAR15[0:7];
assign VAR3 = VAR15[8:15];
assign VAR204 = VAR15[16:23];
assign VAR151 = VAR15[24:31];
VAR34 VAR210 (
.clk(VAR239),
.reset(VAR212),
.VAR174(VAR122),
.VAR95(VAR62),
.VAR211(VAR183),
.VAR73(VAR142),
.VAR159(VAR204),
.VAR202(VAR151),
.VAR149(VAR3),
.VAR240(VAR146),
.VAR65(VAR140),
.VAR172(VAR9),
.VAR225(VAR230),
.VAR215(VAR139)
);
assign VAR13 = (VAR16[24])?~VAR233:~VAR16[28];
assign VAR115 = (VAR16[16])?VAR199:VAR16[20];
assign VAR30 = (VAR16[8])?VAR27:VAR16[12];
assign VAR78 = (VAR16[0])?VAR88:VAR16[4];
assign VAR7 = VAR158[28];
assign VAR113 = VAR158[24];
assign VAR112 = ~(VAR7 & VAR141); assign VAR131 = ~(VAR113 & VAR141);
assign VAR97[0] = (VAR16[16])? VAR199 : VAR158[12]; assign VAR97[1] = (VAR16[8])? VAR27 : ~VAR158[12]; assign VAR166 = VAR158[4];
assign VAR89[0] = VAR30;
assign VAR89[1] = VAR63;
assign VAR89[2] = ~VAR221;
assign VAR103 = VAR223[20];
assign VAR181 = VAR223[16];
assign VAR119 = VAR223[12];
assign VAR130 = VAR158[8];
assign VAR195 = 1'b1; assign VAR154 = 1'b0; assign VAR192 = 1'b0;
assign VAR66 = VAR25[0:5];
assign VAR164 = VAR25[6:9];
assign VAR101 = VAR25[10:13];
assign VAR214 = VAR33[0:7];
assign VAR157 = VAR33[8:15];
assign VAR191 = VAR33[16:23];
assign VAR96 = VAR33[24:31];
VAR34 VAR185 (
.clk(VAR239),
.reset(VAR212),
.VAR174(VAR115),
.VAR95(VAR66),
.VAR211(VAR164),
.VAR73(VAR101),
.VAR159(VAR191),
.VAR202(VAR96),
.VAR149(VAR157),
.VAR240(VAR214),
.VAR65(VAR63),
.VAR172(VAR99),
.VAR225(VAR141),
.VAR215(VAR24)
);
assign
VAR138 = VAR44[0:16],
VAR229 = VAR207[0:16],
VAR31 = VAR44[0] || VAR44[1] || VAR44[2] || VAR44[3] || VAR44[4] || VAR44[5] || VAR44[6] || VAR44[7] || VAR44[8] || VAR44[9] || VAR44[10] || VAR44[11] || VAR44[12] || VAR44[13] || VAR44[14] || VAR44[15] || VAR44[16],
VAR171 = VAR207[0] || VAR207[1] || VAR207[2] || VAR207[3] || VAR207[4] || VAR207[5] || VAR207[6] || VAR207[7] || VAR207[8] || VAR207[9] || VAR207[10] || VAR207[11] || VAR207[12] || VAR207[13] || VAR207[14] || VAR207[15] || VAR207[16];
always @( posedge VAR239 )
begin: VAR58
if ( VAR212 == 1 )
begin
VAR16 <= 0;
VAR158 <= 0;
VAR223 <= 0;
VAR124 <= 0;
VAR217 <= 0;
VAR102 <= 0;
VAR242 <= 0;
VAR110 <= 0;
VAR19 <= 0;
VAR56 <= {14'h3fff, 22'h0}; VAR4 <= {14'h3fff, 22'h0};
VAR54 <= {14'h3fff, 22'h0};
VAR25 <= {14'h3fff, 22'h0};
VAR175 <= 0;
VAR114 <= 0;
VAR15 <= 0;
VAR33 <= 0;
end
else
case ( VAR138 )
17'b10000000000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR16[VAR108] <= VAR67[VAR108];
17'b01000000000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR158[VAR108] <= VAR67[VAR108];
17'b00100000000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR223[VAR108] <= VAR67[VAR108];
17'b00010000000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR124[VAR108] <= VAR67[VAR108];
17'b00001000000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR217[VAR108] <= VAR67[VAR108];
17'b00000100000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR102[VAR108] <= VAR67[VAR108];
17'b00000010000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR242[VAR108] <= VAR67[VAR108];
17'b00000001000000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR110[VAR108] <= VAR67[VAR108];
17'b00000000100000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR19[VAR108] <= VAR67[VAR108];
17'b00000000010000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR56[VAR108] <= VAR67[VAR108];
17'b00000000001000000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR4[VAR108] <= VAR67[VAR108];
17'b00000000000100000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR54[VAR108] <= VAR67[VAR108];
17'b00000000000010000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR25[VAR108] <= VAR67[VAR108];
17'b00000000000001000 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR175[VAR108] <= VAR67[VAR108];
17'b00000000000000100 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR114[VAR108] <= VAR67[VAR108];
17'b00000000000000010 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR15[VAR108] <= VAR67[VAR108];
17'b00000000000000001 :
for ( VAR238 = 0; VAR238 <= (VAR163/8)-1; VAR238 = VAR238+1 )
if ( VAR46[VAR238] == 1 )
for ( VAR108 = VAR238*8; VAR108 <= VAR238*8+7; VAR108 = VAR108+1 )
VAR33[VAR108] <= VAR67[VAR108];
default : ;
endcase
end
always @( VAR229 or VAR16 or VAR158 or VAR223 or VAR124 or VAR217 or VAR102 or VAR242 or VAR110 or VAR19 or VAR56 or VAR4 or VAR54 or VAR25 or VAR175 or VAR114 or VAR15 or VAR33 )
begin: VAR176
case ( VAR229 )
17'b10000000000000000 : VAR133 <= VAR16;
17'b01000000000000000 : VAR133 <= {VAR195,
VAR129,
VAR109,
VAR69,
VAR158[4:19],
VAR221,
VAR232,
VAR11,
VAR205,
VAR158[24:31]};
17'b00100000000000000 : VAR133 <= {VAR154,
VAR86,
VAR170,
VAR224,
VAR192,
VAR40,
VAR125,
VAR36,
VAR137,
VAR91,
VAR20,
VAR80,
VAR217[12:23],
VAR227,
VAR148,
VAR161,
VAR145,
VAR59,
VAR209,
VAR197,
VAR85};
17'b00010000000000000 : VAR133 <= {VAR178,
VAR124[1],
VAR104[3],
VAR104[2],
VAR104[1],
VAR104[0],
VAR83,
VAR45,
VAR124[17],
VAR77[3],
VAR77[2],
VAR77[1],
VAR77[0],
VAR111};
17'b00001000000000000 : VAR133 <= {VAR50,
VAR217[1],
VAR23[3],
VAR23[2],
VAR23[1],
VAR23[0],
VAR120,
VAR132,
VAR217[17],
VAR134[3],
VAR134[2],
VAR134[1],
VAR134[0],
VAR196};
17'b00000100000000000 : VAR133 <= {VAR102[0:22], VAR206, VAR102[24:31]};
17'b00000010000000000 : VAR133 <= VAR242;
17'b00000001000000000 : VAR133 <= VAR110;
17'b00000000100000000 : VAR133 <= VAR19;
17'b00000000010000000 : VAR133 <= VAR56;
17'b00000000001000000 : VAR133 <= VAR4;
17'b00000000000100000 : VAR133 <= VAR54;
17'b00000000000010000 : VAR133 <= VAR25;
17'b00000000000001000 : VAR133 <= VAR175;
17'b00000000000000100 : VAR133 <= VAR114;
17'b00000000000000010 : VAR133 <= VAR15;
17'b00000000000000001 : VAR133 <= VAR33;
default : VAR133 <= 0;
endcase
end
assign VAR106 = VAR133;
assign VAR72 = VAR31 || VAR171;
assign VAR226 = 0;
assign VAR136 = 0;
assign VAR144 = 0;
endmodule | bsd-2-clause |
fpgasystems/Centaur | rtl/fthread/fthread.v | 10,384 | module MODULE1 #(parameter VAR39 = VAR69,
parameter VAR36 = 2,
parameter VAR47 = VAR34,
parameter VAR48 = VAR34,
parameter VAR37 = 1,
parameter VAR42 = 1) (
input wire clk,
input wire VAR62,
input wire VAR18,
input wire VAR61,
input wire [VAR60-1:0] VAR64,
output wire VAR10,
output wire VAR7,
output wire [67:0] VAR31,
input wire VAR24,
input wire VAR49,
input wire [VAR23-1:0] VAR5,
input wire [511:0] VAR4,
output wire [71:0] VAR13,
output wire [511:0] VAR50,
output wire VAR22,
input wire VAR1,
input wire [VAR23-1:0] VAR28,
input wire VAR65,
output wire VAR33,
output wire [VAR55-1:0] VAR16,
input wire VAR17,
input wire VAR12,
input wire [VAR55-1:0] VAR63,
input wire [511:0] VAR15,
output wire VAR43,
input wire VAR58,
input wire [VAR55-1:0] VAR9,
output wire VAR44,
output wire VAR3,
output wire [VAR55-1:0] VAR35,
output wire [511:0] VAR19,
input wire VAR29
);
wire VAR25;
wire [(VAR36*512)-1:0] VAR51;
wire VAR21;
wire [VAR66*32-1:0] VAR68;
wire VAR14;
wire [57:0] VAR53;
wire [VAR47-1:0] VAR20;
wire VAR8;
wire VAR45;
wire [57:0] VAR67;
wire [VAR48-1:0] VAR57;
wire VAR6;
wire [511:0] VAR38;
wire VAR30;
wire [VAR47-1:0] VAR40;
wire [511:0] VAR56;
wire VAR32;
wire VAR11;
wire VAR27;
wire [VAR48-1:0] VAR2;
wire VAR46;
reg VAR59 = 0;
VAR54 #(.VAR52(VAR36),
.VAR47(VAR47),
.VAR48(VAR48)
)
VAR54(
.clk (clk),
.VAR18 (VAR18),
.VAR61 (VAR61),
.VAR64 (VAR64),
.VAR10 (VAR10),
.VAR46 (VAR46),
.VAR7 (VAR7),
.VAR31 (VAR31),
.VAR24 (VAR24),
.VAR49 (VAR49),
.VAR5 (VAR5),
.VAR4 (VAR4),
.VAR13 (VAR13),
.VAR50 (VAR50),
.VAR22 (VAR22),
.VAR1 (VAR1),
.VAR28 (VAR28),
.VAR65 (VAR65),
.VAR33 (VAR33),
.VAR16 (VAR16),
.VAR17 (VAR17),
.VAR12 (VAR12),
.VAR63 (VAR63),
.VAR15 (VAR15),
.VAR43 (VAR43),
.VAR58 (VAR58),
.VAR9 (VAR9),
.VAR44 (VAR44),
.VAR3 (VAR3),
.VAR35 (VAR35),
.VAR19 (VAR19),
.VAR29 (VAR29),
.VAR25 (VAR25),
.VAR51 (VAR51),
.VAR21 (VAR21),
.VAR68 (VAR68),
.VAR14 (VAR14),
.VAR53 (VAR53),
.VAR20 (VAR20),
.VAR8 (VAR8),
.VAR45 (VAR45),
.VAR67 (VAR67),
.VAR57 (VAR57),
.VAR6 (VAR6),
.VAR38 (VAR38),
.VAR30 (VAR30),
.VAR40 (VAR40),
.VAR56 (VAR56),
.VAR32 (VAR32),
.VAR11 (VAR11),
.VAR27 (VAR27),
.VAR2 (VAR2)
);
always @(posedge clk) begin
VAR59 <= VAR18 & ~VAR46;
end
VAR41 #(.VAR39(VAR39),
.VAR26(VAR36*512),
.VAR47(VAR47),
.VAR48(VAR48),
.VAR37(VAR37),
.VAR42(VAR42)
)
VAR41(
.clk (clk),
.VAR62 (VAR62),
.VAR18 (VAR59 ),
.VAR25 (VAR25),
.VAR51 (VAR51),
.VAR21 (VAR21),
.VAR68 (VAR68),
.VAR14 (VAR14),
.VAR53 (VAR53),
.VAR20 (VAR20),
.VAR8 (VAR8),
.VAR45 (VAR45),
.VAR67 (VAR67),
.VAR57 (VAR57),
.VAR6 (VAR6),
.VAR38 (VAR38),
.VAR30 (VAR30),
.VAR40 (VAR40),
.VAR56 (VAR56),
.VAR32 (VAR32),
.VAR11 (VAR11),
.VAR27 (VAR27),
.VAR2 (VAR2)
);
endmodule | apache-2.0 |
GSejas/Karatsuba_FPU | FPGA_FLOW/Karat/source/rtl/FPU_Add_Subtract_Function.v | 12,621 | module MODULE1
(
input wire clk,
input wire rst,
input wire VAR126,
input wire VAR154,
input wire [VAR64-1:0] VAR11,
input wire [VAR64-1:0] VAR69,
input wire VAR62,
input wire [1:0] VAR151,
output wire VAR8,
output wire VAR67,
output wire ready,
output wire [VAR64-1:0] VAR149
);
wire VAR96,VAR105;
wire [VAR64-2:0] VAR79, VAR119;
wire VAR65;
wire VAR132;
wire VAR146;
wire [VAR12-1:0] VAR53;
wire [1:0] VAR104;
wire [VAR12-1:0] VAR14;
wire VAR41, VAR54 ,VAR59;
wire [VAR12-1:0] VAR85;
wire [VAR116-1:0] VAR127;
wire VAR75;
wire [VAR50-1:0]VAR70;
wire VAR135, VAR129, VAR4;
wire [VAR50-1:0] VAR15;
wire VAR150;
wire VAR100;
wire [VAR50-1:0] VAR71;
wire [VAR50-1:0] VAR117;
wire VAR91, VAR72;
wire [VAR50-1:0] VAR2;
wire [VAR50-1:0] VAR55;
wire [VAR50-1:1] VAR87;
wire VAR114;
wire [VAR116-1:0] VAR92;
wire VAR33;
wire VAR136;
wire VAR89;
wire VAR101;
wire [1:0] VAR130;
wire VAR140;
wire VAR68;
wire VAR102;
VAR44 VAR107(
.clk(clk), .rst(rst), .VAR155(VAR154), .VAR126(VAR126), .VAR42(VAR9), .VAR112(VAR75), .VAR57(VAR72), .VAR48(VAR33), .VAR47(VAR96), .VAR124(VAR105), .VAR43(VAR41), .VAR76(VAR54),
.VAR49(VAR59), .VAR152(VAR135), .VAR86(VAR129), .VAR22(VAR4), .VAR35(VAR91), .VAR5(VAR114), .VAR81(VAR136), .VAR10(VAR101), .VAR74(VAR130), .VAR121(VAR140),
.VAR147(VAR68), .VAR20(VAR102), .VAR89(VAR89), .ready(ready) );
VAR93 #(.VAR64(1)) VAR153 ( .clk(clk),
.rst(VAR89),
.VAR29(VAR101),
.VAR16(1'b1),
.VAR27(VAR146)
);
VAR93 #(.VAR64(1)) VAR90 ( .clk(clk),
.rst(VAR89),
.VAR29(VAR68),
.VAR16(1'b1),
.VAR27(VAR75)
);
VAR93 #(.VAR64(1)) VAR3 ( .clk(clk),
.rst(VAR89),
.VAR29(VAR102),
.VAR16(1'b1),
.VAR27(VAR150)
);
VAR93 #(.VAR64(2)) VAR133 ( .clk(clk),
.rst(VAR89),
.VAR29(VAR140),
.VAR16(VAR130),
.VAR27(VAR104)
);
VAR156 #(.VAR64(VAR64)) VAR21 (
.clk(clk),
.rst(VAR89),
.VAR82(VAR96),
.VAR37(VAR105),
.VAR73(VAR62),
.VAR19(VAR11),
.VAR51(VAR69),
.VAR109(VAR79),
.VAR39(VAR119),
.VAR97(VAR9),
.VAR46(VAR65),
.VAR128(VAR132)
);
VAR84 #(.VAR64(VAR12)) VAR108(
.VAR6(VAR146),
.VAR144 (VAR79[VAR64-2:VAR64-VAR12-1]),
.VAR60 (VAR85),
.VAR36 (VAR53)
);
wire [VAR12-VAR116-1:0] VAR23;
wire [VAR12-1:0] VAR32;
VAR88 #(.VAR64(VAR12)) VAR7(
.VAR6(VAR104),
.VAR144 (VAR119[VAR64-2:VAR64-VAR12-1]),
.VAR60 ({VAR23,VAR92}),
.VAR61 (VAR32),
.VAR36(VAR14)
);
generate
case(VAR12)
8:begin
assign VAR23 =3'd0;
assign VAR32 = 8'd1;
end
default:begin
assign VAR23 =5'd0;
assign VAR32 = 11'd1;
end
endcase
endgenerate
VAR99 #(.VAR12(VAR12)) VAR78(
.clk(clk),
.rst(VAR89),
.VAR82(VAR41),
.VAR37(VAR54),
.VAR111(VAR53),
.VAR26(VAR14),
.VAR45(VAR59),
.VAR52(VAR85),
.VAR125(VAR8),
.VAR18(VAR67)
);
wire [VAR116-1:0] VAR141;
VAR88 #(.VAR64(VAR116)) VAR98(
.VAR6(VAR104),
.VAR144 (VAR85[VAR116-1:0]),
.VAR60 (VAR92),
.VAR61 (VAR141),
.VAR36 (VAR127)
);
generate
case(VAR12)
8:begin
assign VAR141 = 5'd1;
end
default:begin
assign VAR141 = 6'd1;
end
endcase
endgenerate
VAR84 #(.VAR64(VAR50)) VAR138(
.VAR6(VAR75),
.VAR144 ({1'b1,VAR119[VAR137-1:0],2'b00}),
.VAR60 (VAR2),
.VAR36 (VAR70)
);
VAR1 #(.VAR50(VAR50),.VAR116(VAR116)) VAR120 (
.clk(clk),
.rst(VAR89),
.VAR56(VAR135),
.VAR122(VAR127),
.VAR58(VAR70),
.VAR17(VAR129),
.VAR38(VAR4),
.VAR115(VAR15)
);
VAR84 #(.VAR64(1)) VAR66(
.VAR6(VAR150),
.VAR144 (VAR65),
.VAR60 (1'b0),
.VAR36 (VAR100)
);
VAR84 #(.VAR64(VAR50)) VAR134(
.VAR6(VAR150),
.VAR144 ({1'b1,VAR79[VAR137-1:0],2'b00}),
.VAR60 (VAR15),
.VAR36 (VAR71)
);
wire [VAR50-1:0] VAR103;
VAR84 #(.VAR64(VAR50)) VAR34(
.VAR6(VAR150),
.VAR144 (VAR15),
.VAR60 (VAR103),
.VAR36 (VAR117)
);
generate
case (VAR64)
32:begin
assign VAR103 = 26'd4;
end
default:begin
assign VAR103 =55'd4;
end
endcase
endgenerate
VAR113 #(.VAR50(VAR50)) VAR148(
.clk(clk),
.rst(VAR89),
.VAR56(VAR91),
.VAR139(VAR100),
.VAR111(VAR71),
.VAR63(VAR117),
.VAR52(VAR2),
.VAR94(VAR72)
);
wire [VAR50-1:0] VAR95;
assign VAR95 = ~VAR2;
VAR31 #(.VAR50(VAR50),.VAR116(VAR116)) VAR123 (
.clk(clk),
.rst(VAR89),
.VAR56(VAR114),
.VAR110(VAR95),
.VAR106(VAR92)
);
VAR25 VAR118(
.VAR77(VAR15[1:0]),
.VAR83(VAR151),
.VAR131(VAR132),
.VAR142(VAR33)
);
VAR80 #(.VAR64(VAR64),.VAR12(VAR12),.VAR137(VAR137)) VAR40(
.clk(clk),
.rst(VAR89),
.VAR56(VAR136),
.VAR145(VAR8),
.VAR143(VAR67),
.VAR13(VAR132),
.VAR24(VAR85),
.VAR28(VAR15[VAR50-2:2]),
.VAR30(VAR149)
);
endmodule | gpl-3.0 |
Madh93/scpu | modules/vga_adapter/vga_controller.v | 8,424 | module MODULE1( VAR17, VAR39, VAR26, VAR20,
VAR9, VAR5, VAR24,
VAR8, VAR38, VAR42,
VAR3, VAR37);
parameter VAR40 = 1;
parameter VAR31 = "VAR16";
parameter VAR25 = "320x240";
parameter VAR32 = "VAR35";
parameter VAR19 = 11'd480;
parameter VAR28 = 11'd493;
parameter VAR12 = 11'd494; parameter VAR18 = 11'd525;
parameter VAR30 = 11'd640;
parameter VAR14 = 11'd659;
parameter VAR41 = 11'd754; parameter VAR33 = 11'd800;
input VAR17, VAR39;
input [((VAR31 == "VAR35") ? (0) : (VAR40*3-1)):0] VAR26;
output [((VAR25 == "320x240") ? (16) : (14)):0] VAR20;
output reg [9:0] VAR9;
output reg [9:0] VAR5;
output reg [9:0] VAR24;
output reg VAR8;
output reg VAR38;
output reg VAR42;
output VAR3, VAR37;
reg VAR4;
reg VAR22;
reg VAR2;
reg [9:0] VAR6, VAR36;
wire VAR1;
wire VAR34;
wire VAR10;
reg [((VAR25 == "320x240") ? (8) : (7)):0] VAR11;
reg [((VAR25 == "320x240") ? (7) : (6)):0] VAR21;
assign VAR10 =1'b1;
always @(posedge VAR17 or negedge VAR39)
begin
if (!VAR39)
VAR6 <= 10'd0;
end
else if (VAR1)
VAR6 <= 10'd0;
else
begin
VAR6 <= VAR6 + 1'b1;
end
end
assign VAR1 = (VAR6 == (VAR33-1));
always @(posedge VAR17 or negedge VAR39)
begin
if (!VAR39)
VAR36 <= 10'd0;
end
else if (VAR1 && VAR34)
VAR36 <= 10'd0;
else if (VAR1) VAR36 <= VAR36 + 1'b1;
end
assign VAR34 = (VAR36 == (VAR18-1));
always @(*)
begin
if (VAR25 == "320x240")
begin
VAR11 = VAR6[9:1];
VAR21 = VAR36[8:1];
end
else
begin
VAR11 = VAR6[9:2];
VAR21 = VAR36[8:2];
end
end
VAR7 VAR27(
.VAR11(VAR11), .VAR21(VAR21), .VAR15(VAR20) );
always @(posedge VAR17)
begin
if (VAR32 == "VAR35")
VAR4 <= ~((VAR6 >= VAR14-2) && (VAR6 <= VAR41-2));
end
else
VAR4 <= ~((VAR6 >= VAR14) && (VAR6 <= VAR41));
VAR22 <= ~((VAR36 >= VAR28) && (VAR36 <= VAR12));
VAR2 <= ((VAR6 < VAR30) && (VAR36 < VAR19));
VAR8 <= VAR4;
VAR38 <= VAR22;
VAR42 <= VAR2;
end
assign VAR3 = VAR10;
assign VAR37 = VAR17;
integer VAR13;
integer VAR29;
wire VAR23;
assign VAR23 = (VAR32 == "VAR35") ?
(({1'b0, VAR6} >= 2) & ({1'b0, VAR6} < VAR30+2) & ({1'b0, VAR36} < VAR19)) :
(({1'b0, VAR6} >= 0) & ({1'b0, VAR6} < VAR30+2) & ({1'b0, VAR36} < VAR19));
always @(VAR26 or VAR23)
begin
VAR9 <= 'b0;
VAR5 <= 'b0;
VAR24 <= 'b0;
if (VAR31 == "VAR16")
begin
for (VAR13 = 10-VAR40; VAR13 >= 0; VAR13 = VAR13 - VAR40)
begin
for (VAR29 = VAR40 - 1; VAR29 >= 0; VAR29 = VAR29 - 1)
begin
VAR9[VAR29+VAR13] <= VAR23 & VAR26[VAR29 + VAR40*2];
VAR5[VAR29+VAR13] <= VAR23 & VAR26[VAR29 + VAR40];
VAR24[VAR29+VAR13] <= VAR23 & VAR26[VAR29];
end
end
end
else
begin
for (VAR13 = 0; VAR13 < 10; VAR13 = VAR13 + 1)
begin
VAR9[VAR13] <= VAR23 & VAR26[0:0];
VAR5[VAR13] <= VAR23 & VAR26[0:0];
VAR24[VAR13] <= VAR23 & VAR26[0:0];
end
end
end
endmodule | mit |
archlabo/Frix | fpga/nexys4_ddr/project/project.srcs/sources_1/ip/mig/mig/user_design/rtl/controller/mig_7series_v2_0_col_mach.v | 16,639 | module MODULE1 #
(
parameter VAR104 = 100,
parameter VAR48 = 3,
parameter VAR24 = "8",
parameter VAR34 = 12,
parameter VAR101 = 4,
parameter VAR30 = 8,
parameter VAR3 = 1,
parameter VAR13 = 0,
parameter VAR107 = 8,
parameter VAR11 = "VAR58",
parameter VAR109 = "VAR66",
parameter VAR85 = "VAR66",
parameter VAR74 = 31,
parameter VAR111 = 2,
parameter VAR62 = 0,
parameter VAR106 = 2,
parameter VAR60 = 16
)
(
VAR56, VAR4, VAR8, VAR12,
VAR15, VAR41, VAR70, VAR115, VAR35, VAR10,
VAR76, VAR39, VAR83, VAR33,
clk, rst, VAR95, VAR91, VAR67,
VAR25, VAR27, VAR61, VAR23,
VAR9, VAR92, VAR28, VAR100, VAR82
);
input clk;
input rst;
input VAR95;
input VAR9;
output reg VAR56 = 1'b0;
generate
if ((VAR111 == 1) && ((VAR24 == "8") || (VAR11 == "VAR58")))
begin : VAR73
reg [1:0] VAR6;
wire [1:0] VAR43 = {VAR95, VAR6[1]};
always @(VAR6 or VAR95)
VAR56 = VAR95 || |VAR6;
end
if (((VAR111 == 2) && ((VAR24 == "8") || (VAR11 == "VAR58")))
|| ((VAR111 == 1) && ((VAR24 == "4") || (VAR11 == "VAR50"))))
begin : VAR71
always @(VAR95) VAR56 = VAR95;
end
endgenerate
reg [1:0] VAR97 = 2'b0;
reg [1:0] VAR64 = 2'b0;
input VAR91;
wire VAR21;
generate
if(VAR111 == 4) begin : VAR32
assign VAR21 = 1'b1;
end
else begin
if(VAR3 == 2) begin : VAR113
always @(VAR91 or VAR97 or rst or VAR95) begin
if (rst) VAR64 = 2'b0;
end
else begin
VAR64 = VAR97;
if (VAR95) VAR64 = 2'b1;
end
else if (|VAR97 && (VAR97 != {VAR91, 1'b1}))
VAR64 = VAR97 + 2'b1;
end
else VAR64 = 2'b0;
end
end
assign VAR21 = VAR91 ? (VAR97 == 2'b11) : VAR97[0];
end
else begin : VAR77
always @(VAR91 or rst or VAR95)
VAR64[0] = rst ? 1'b0 : VAR95 && VAR91;
assign VAR21 = VAR91 ? VAR97[0] : 1'b1;
end
end
endgenerate
reg [VAR3-1:0] VAR37 = {VAR3{1'b0}};
reg [VAR3-1:0] VAR88 = {VAR3{1'b0}};
reg VAR103;
reg VAR99;
generate
if ((VAR62 >= 1) || (VAR13 == 1)) begin : VAR65
always @(posedge clk) VAR37 <=
end
if(VAR62 == 2) begin : VAR53
always @(posedge clk) VAR88 <=
end
endgenerate
output wire [VAR3-1:0] VAR4;
assign VAR4 = (VAR13 == 1)
? VAR37[VAR3-1:0]
: (VAR109 == "VAR66")
? VAR97[VAR3-1:0]
: VAR64[VAR3-1:0];
reg VAR78;
reg VAR18;
wire VAR12 = (VAR62 == 0) ?
(VAR95 || |VAR97) & ~VAR9 :
(VAR62 == 1) ?
(VAR78 || |VAR37) & ~VAR103 :
(VAR18 || |VAR88) & ~VAR99;
output wire VAR8;
assign VAR8 = VAR12;
output wire VAR12;
assign VAR12 = (VAR13 == 1)
? ((VAR78 || |VAR37) && ~VAR103)
: ((VAR95 || |VAR97) && ~VAR9);
input [VAR30-1:0] VAR67;
output wire [VAR30-1:0] VAR15;
generate
if (VAR13 == 1) begin : VAR38
reg [VAR30-1:0] VAR90;
always @(posedge clk) VAR90 <=
assign VAR15 = VAR90;
end
else begin : VAR55
assign VAR15 = VAR67;
end
endgenerate
wire VAR110 = (VAR95 || |VAR97) && VAR9;
function integer VAR119 (input integer VAR17); begin
VAR17 = VAR17 - 1;
for (VAR119=1; VAR17>1; VAR119=VAR119+1)
VAR17 = VAR17 >> 1;
end
endfunction
input VAR25;
output wire VAR41;
output reg [VAR74-1:0] VAR70;
output reg VAR115;
output reg VAR35;
output reg VAR10;
output reg [VAR30-1:0] VAR76;
output reg [VAR3-1:0] VAR39;
output reg VAR83 ;
output VAR33;
input VAR27;
input [VAR30-1:0] VAR61;
input VAR23;
input [VAR106-1:0] VAR92;
input [VAR48-1:0] VAR28;
input [VAR60-1:0] VAR100;
input [VAR60-1:0] VAR82;
wire [11:0] VAR96;
assign VAR96[10:0] = {VAR82[11], VAR82[9:0]};
generate
if (VAR60 >= 14) begin : VAR81
assign VAR96[11] = VAR82[13];
end else begin : VAR51
assign VAR96[11] = 0;
end
endgenerate
wire [VAR34-1:0] VAR98 = VAR96[VAR34-1:0];
localparam VAR72 = VAR74-VAR3;
localparam VAR40 = 1 +
1 +
VAR30 +
VAR3 +
((VAR85 == "VAR66") ? 0 : 1+VAR72);
localparam VAR68 = (VAR40/6);
localparam VAR105 = VAR40 % 6;
localparam VAR54 = VAR68 + ((VAR105 == 0 ) ? 0 : 1);
localparam VAR94 = (VAR54*6);
generate
begin : VAR7
wire [VAR72:0] VAR69;
if (VAR101 == 1)
assign VAR69 = {VAR23, VAR28, VAR100, VAR98};
end
else
assign VAR69 = {VAR23,
VAR92,
VAR28,
VAR100,
VAR98};
wire [VAR40-1:0] VAR117;
if (VAR85 == "VAR66")
assign VAR117 = {VAR21,
VAR27,
VAR61,
VAR97[VAR3-1:0]};
end
else
assign VAR117 = {VAR21,
VAR27,
VAR61,
VAR97[VAR3-1:0],
VAR69};
wire [VAR94-1:0] VAR42;
if (VAR105 == 0)
assign VAR42 = VAR117;
else
assign VAR42 = {{6-VAR105{1'b0}}, VAR117};
wire [VAR94-1:0] VAR36;
reg [4:0] VAR47;
wire [4:0] VAR5 = rst ? 5'b0 : VAR110
? (VAR47 + 5'b1)
: VAR47;
reg [4:0] VAR44;
wire [4:0] VAR89 = rst ? 5'b0 : VAR25
? (VAR44 + 5'b1)
: VAR44;
assign VAR33 = VAR47 == VAR44 ? 1'b1 : 1'b0;
genvar VAR2;
for (VAR2=0; VAR2<VAR54; VAR2=VAR2+1) begin : VAR46
VAR57
.VAR118(64'h0000000000000000),
.VAR112(64'h0000000000000000),
.VAR20(64'h0000000000000000)
) VAR31 (
.VAR59(VAR36[((VAR2*6)+4)+:2]),
.VAR45(VAR36[((VAR2*6)+2)+:2]),
.VAR19(VAR36[((VAR2*6)+0)+:2]),
.VAR63(),
.VAR22(VAR42[((VAR2*6)+4)+:2]),
.VAR102(VAR42[((VAR2*6)+2)+:2]),
.VAR29(VAR42[((VAR2*6)+0)+:2]),
.VAR80(2'b0),
.VAR87(VAR89),
.VAR49(VAR89),
.VAR14(VAR89),
.VAR16(VAR47),
.VAR93(1'b1),
.VAR84(clk)
);
end
reg [VAR94-1:0] VAR116;
if (VAR85 == "VAR66") begin
reg VAR75;
always @(VAR25 or VAR116) begin
{VAR10,
VAR75,
VAR76,
VAR39} = VAR116[VAR40-1:0];
VAR70 = {VAR74{1'b0}};
VAR83 = VAR25 && ~VAR75;
VAR115 = 1'b0;
VAR35 = 1'b0;
end
assign VAR41 = 1'b0;
end
else begin
wire VAR1;
wire VAR75;
wire [VAR30-1:0] VAR114;
wire [VAR3-1:0] VAR86;
wire [VAR74-1:0] VAR79;
assign {VAR1,
VAR75,
VAR114,
VAR86,
VAR41,
VAR79[VAR3+:VAR72]} =
{VAR116[VAR40-1:0]};
assign VAR79[0+:VAR3] = VAR86;
wire VAR108 = VAR25 && ~(VAR75 || VAR41);
always @(posedge clk) VAR83 <= VAR108;
wire VAR52 = VAR25 && ~VAR75;
wire VAR26 = VAR25 && ~VAR75 && VAR41;
end
end
endgenerate
endmodule | bsd-2-clause |
tmolteno/TART | hardware/FPGA/tart_spi/verilog/capture/signal_source.v | 4,303 | module MODULE1
parameter VAR1 = 1<<VAR25, parameter VAR11 = VAR1-1, parameter VAR4 = VAR25-2, parameter VAR26 = VAR4-1, parameter VAR22 = 1<<VAR4, parameter VAR21 = VAR22-1,
parameter VAR17 = 0,
parameter VAR16 = 0, parameter VAR14 = 3) (
input VAR19, input VAR10,
input VAR23, input [VAR7:0] VAR6, input [VAR2:0] VAR8, input [VAR2:0] VAR12, output VAR3, output reg VAR18, output reg VAR20 );
wire [VAR11:0] VAR5, VAR24;
reg [VAR21:0] VAR15, VAR27;
reg [VAR26:0] select;
reg [1:0] enable;
assign VAR3 = enable[1];
assign VAR5 = {{VAR1-VAR9{1'VAR13}}, VAR8};
assign VAR24 = {{VAR1-VAR9{1'VAR13}}, VAR12};
always @(posedge VAR19)
if (VAR10 && VAR17)
enable <= #VAR14 2'b00;
else begin
enable <= #VAR14 {enable[0], VAR23};
VAR15 <= #VAR14 VAR5 >> {VAR6[VAR7:VAR4], {VAR4{1'b0}}};
VAR27 <= #VAR14 VAR24 >> {VAR6[VAR7:VAR4], {VAR4{1'b0}}};
select <= #VAR14 VAR6[VAR26:0];
VAR18 <= #VAR14 VAR15[select];
VAR20 <= #VAR14 VAR27[select];
end
endmodule | lgpl-3.0 |
bluespec/Flute | builds/RV64ACDFIMSU_Flute_iverilog/Verilog_RTL/mkAXI4_Deburster_A.v | 49,644 | module MODULE1(VAR20,
VAR54,
VAR22,
VAR135,
VAR166,
VAR59,
VAR230,
VAR6,
VAR75,
VAR249,
VAR214,
VAR13,
VAR104,
VAR167,
VAR287,
VAR115,
VAR10,
VAR193,
VAR198,
VAR18,
VAR204,
VAR62,
VAR296,
VAR85,
VAR158,
VAR270,
VAR138,
VAR15,
VAR65,
VAR185,
VAR273,
VAR267,
VAR288,
VAR206,
VAR218,
VAR60,
VAR111,
VAR205,
VAR106,
VAR43,
VAR103,
VAR97,
VAR45,
VAR122,
VAR182,
VAR199,
VAR93,
VAR257,
VAR271,
VAR114,
VAR107,
VAR259,
VAR118,
VAR136,
VAR265,
VAR99,
VAR66,
VAR21,
VAR5,
VAR41,
VAR231,
VAR112,
VAR251,
VAR183,
VAR224,
VAR243,
VAR237,
VAR137,
VAR39,
VAR30,
VAR175,
VAR247,
VAR184,
VAR216,
VAR147,
VAR101,
VAR246,
VAR100,
VAR28,
VAR143,
VAR149,
VAR121);
input VAR20;
input VAR54;
input VAR22;
output VAR135;
input VAR166;
input [3 : 0] VAR59;
input [63 : 0] VAR230;
input [7 : 0] VAR6;
input [2 : 0] VAR75;
input [1 : 0] VAR249;
input VAR214;
input [3 : 0] VAR13;
input [2 : 0] VAR104;
input [3 : 0] VAR167;
input [3 : 0] VAR287;
output VAR115;
input VAR10;
input [63 : 0] VAR193;
input [7 : 0] VAR198;
input VAR18;
output VAR204;
output VAR62;
output [3 : 0] VAR296;
output [1 : 0] VAR85;
input VAR158;
input VAR270;
input [3 : 0] VAR138;
input [63 : 0] VAR15;
input [7 : 0] VAR65;
input [2 : 0] VAR185;
input [1 : 0] VAR273;
input VAR267;
input [3 : 0] VAR288;
input [2 : 0] VAR206;
input [3 : 0] VAR218;
input [3 : 0] VAR60;
output VAR111;
output VAR205;
output [3 : 0] VAR106;
output [63 : 0] VAR43;
output [1 : 0] VAR103;
output VAR97;
input VAR45;
output VAR122;
output [3 : 0] VAR182;
output [63 : 0] VAR199;
output [7 : 0] VAR93;
output [2 : 0] VAR257;
output [1 : 0] VAR271;
output VAR114;
output [3 : 0] VAR107;
output [2 : 0] VAR259;
output [3 : 0] VAR118;
output [3 : 0] VAR136;
input VAR265;
output VAR99;
output [63 : 0] VAR66;
output [7 : 0] VAR21;
output VAR5;
input VAR41;
input VAR231;
input [3 : 0] VAR112;
input [1 : 0] VAR251;
output VAR183;
output VAR224;
output [3 : 0] VAR243;
output [63 : 0] VAR237;
output [7 : 0] VAR137;
output [2 : 0] VAR39;
output [1 : 0] VAR30;
output VAR175;
output [3 : 0] VAR247;
output [2 : 0] VAR184;
output [3 : 0] VAR216;
output [3 : 0] VAR147;
input VAR101;
input VAR246;
input [3 : 0] VAR100;
input [63 : 0] VAR28;
input [1 : 0] VAR143;
input VAR149;
output VAR121;
wire [63 : 0] VAR43,
VAR237,
VAR199,
VAR66;
wire [7 : 0] VAR137, VAR93, VAR21;
wire [3 : 0] VAR296,
VAR106,
VAR247,
VAR243,
VAR216,
VAR147,
VAR107,
VAR182,
VAR118,
VAR136;
wire [2 : 0] VAR184,
VAR39,
VAR259,
VAR257;
wire [1 : 0] VAR85,
VAR103,
VAR30,
VAR271;
wire VAR135,
VAR111,
VAR115,
VAR62,
VAR97,
VAR205,
VAR204,
VAR175,
VAR224,
VAR114,
VAR122,
VAR183,
VAR121,
VAR5,
VAR99;
reg [7 : 0] VAR242;
wire [7 : 0] VAR11;
wire VAR172;
reg [7 : 0] VAR109;
wire [7 : 0] VAR181;
wire VAR125;
reg [1 : 0] VAR281;
wire [1 : 0] VAR48;
wire VAR244;
reg [63 : 0] VAR201;
wire [63 : 0] VAR38;
wire VAR263;
reg [63 : 0] VAR250;
wire [63 : 0] VAR146;
wire VAR168;
reg [7 : 0] VAR9;
wire [7 : 0] VAR294;
wire VAR159;
reg VAR49;
wire VAR295, VAR127;
reg [7 : 0] VAR87;
wire [7 : 0] VAR285;
wire VAR116;
wire [7 : 0] VAR283, VAR289;
wire VAR240,
VAR7,
VAR12,
VAR25,
VAR262;
wire [7 : 0] VAR132, VAR74;
wire VAR291,
VAR192,
VAR58,
VAR174,
VAR67;
wire [96 : 0] VAR155,
VAR160;
wire VAR145,
VAR57,
VAR245,
VAR69,
VAR220;
wire [70 : 0] VAR261,
VAR238;
wire VAR186,
VAR110,
VAR139,
VAR24,
VAR165;
wire [96 : 0] VAR134,
VAR19;
wire VAR234,
VAR105,
VAR92,
VAR52,
VAR76;
wire [72 : 0] VAR292,
VAR64;
wire VAR31,
VAR254,
VAR277,
VAR222,
VAR51;
wire [5 : 0] VAR179,
VAR120;
wire VAR81,
VAR129,
VAR187,
VAR126,
VAR32;
wire [96 : 0] VAR217,
VAR171;
wire VAR264,
VAR26,
VAR131,
VAR200,
VAR98;
wire [70 : 0] VAR55,
VAR119;
wire VAR14,
VAR197,
VAR153,
VAR140,
VAR86;
wire [96 : 0] VAR88,
VAR124;
wire VAR29,
VAR275,
VAR36,
VAR23,
VAR17;
wire [72 : 0] VAR255,
VAR80;
wire VAR276,
VAR50,
VAR141,
VAR212,
VAR195;
wire [5 : 0] VAR164,
VAR241;
wire VAR123,
VAR157,
VAR3,
VAR61,
VAR207;
wire VAR113,
VAR279,
VAR188,
VAR272,
VAR70,
VAR219,
VAR194,
VAR77,
VAR156,
VAR96,
VAR266,
VAR71,
VAR274,
VAR42,
VAR34,
VAR53,
VAR226,
VAR154,
VAR1,
VAR89,
VAR260,
VAR150,
VAR33,
VAR128,
VAR8,
VAR256,
VAR72,
VAR130,
VAR63,
VAR173,
VAR239,
VAR82;
wire [7 : 0] VAR73,
VAR37,
VAR47,
VAR79;
wire [1 : 0] VAR278;
wire VAR102;
reg [31 : 0] VAR208;
reg [31 : 0] VAR176;
reg [31 : 0] VAR298;
reg [31 : 0] VAR35;
wire [63 : 0] VAR133,
VAR177,
VAR284,
VAR297,
VAR178,
VAR213,
VAR68,
VAR210,
VAR151,
VAR163,
VAR16,
VAR203,
VAR253,
VAR269;
wire [7 : 0] VAR27, VAR95, VAR144, VAR293;
wire VAR90,
VAR252,
VAR84,
VAR4;
assign VAR135 = !VAR49 ;
assign VAR266 = !VAR49 ;
assign VAR72 = VAR22 ;
assign VAR194 = 1'd1 ;
assign VAR33 = 1'd1 ;
assign VAR115 = VAR76 ;
assign VAR96 = 1'd1 ;
assign VAR256 = 1'd1 ;
assign VAR204 = VAR51 ;
assign VAR62 = VAR187 ;
assign VAR296 = VAR120[5:2] ;
assign VAR85 = VAR120[1:0] ;
assign VAR77 = 1'd1 ;
assign VAR128 = 1'd1 ;
assign VAR219 = 1'd1 ;
assign VAR150 = 1'd1 ;
assign VAR111 = VAR220 ;
assign VAR205 = VAR139 ;
assign VAR106 = VAR238[70:67] ;
assign VAR43 = VAR238[66:3] ;
assign VAR103 = VAR238[2:1] ;
assign VAR97 = VAR238[0] ;
assign VAR156 = 1'd1 ;
assign VAR8 = 1'd1 ;
assign VAR122 = VAR36 ;
assign VAR182 = VAR124[96:93] ;
assign VAR199 = VAR124[92:29] ;
assign VAR93 = VAR124[28:21] ;
assign VAR257 = VAR124[20:18] ;
assign VAR271 = VAR124[17:16] ;
assign VAR114 = VAR124[15] ;
assign VAR107 = VAR124[14:11] ;
assign VAR259 = VAR124[10:8] ;
assign VAR118 = VAR124[7:4] ;
assign VAR136 = VAR124[3:0] ;
assign VAR274 = 1'd1 ;
assign VAR63 = 1'd1 ;
assign VAR99 = VAR141 ;
assign VAR66 = VAR80[72:9] ;
assign VAR21 = VAR80[8:1] ;
assign VAR5 = VAR80[0] ;
assign VAR53 = 1'd1 ;
assign VAR82 = 1'd1 ;
assign VAR42 = 1'd1 ;
assign VAR173 = 1'd1 ;
assign VAR183 = VAR207 ;
assign VAR224 = VAR131 ;
assign VAR243 = VAR171[96:93] ;
assign VAR237 = VAR171[92:29] ;
assign VAR137 = VAR171[28:21] ;
assign VAR39 = VAR171[20:18] ;
assign VAR30 = VAR171[17:16] ;
assign VAR175 = VAR171[15] ;
assign VAR247 = VAR171[14:11] ;
assign VAR184 = VAR171[10:8] ;
assign VAR216 = VAR171[7:4] ;
assign VAR147 = VAR171[3:0] ;
assign VAR71 = 1'd1 ;
assign VAR130 = 1'd1 ;
assign VAR34 = 1'd1 ;
assign VAR239 = 1'd1 ;
assign VAR121 = VAR86 ;
VAR91 #(.VAR40(32'd8),
.VAR142(32'd4),
.VAR280(32'd2),
.VAR248(32'd1)) VAR290(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR283),
.VAR162(VAR25),
.VAR209(VAR7),
.VAR282(VAR240),
.VAR258(VAR289),
.VAR44(VAR262),
.VAR94(VAR12));
VAR91 #(.VAR40(32'd8),
.VAR142(32'd4),
.VAR280(32'd2),
.VAR248(32'd1)) VAR190(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR132),
.VAR162(VAR174),
.VAR209(VAR192),
.VAR282(VAR291),
.VAR258(VAR74),
.VAR44(VAR67),
.VAR94(VAR58));
VAR117 #(.VAR161(32'd97),
.VAR248(32'd1)) VAR227(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR155),
.VAR162(VAR69),
.VAR209(VAR57),
.VAR282(VAR145),
.VAR258(VAR160),
.VAR44(VAR220),
.VAR94(VAR245));
VAR117 #(.VAR161(32'd71),
.VAR248(32'd1)) VAR152(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR261),
.VAR162(VAR24),
.VAR209(VAR110),
.VAR282(VAR186),
.VAR258(VAR238),
.VAR44(VAR165),
.VAR94(VAR139));
VAR117 #(.VAR161(32'd97),
.VAR248(32'd1)) VAR236(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR134),
.VAR162(VAR52),
.VAR209(VAR105),
.VAR282(VAR234),
.VAR258(VAR19),
.VAR44(VAR76),
.VAR94(VAR92));
VAR117 #(.VAR161(32'd73),
.VAR248(32'd1)) VAR180(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR292),
.VAR162(VAR222),
.VAR209(VAR254),
.VAR282(VAR31),
.VAR258(VAR64),
.VAR44(VAR51),
.VAR94(VAR277));
VAR117 #(.VAR161(32'd6),
.VAR248(32'd1)) VAR196(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR179),
.VAR162(VAR126),
.VAR209(VAR129),
.VAR282(VAR81),
.VAR258(VAR120),
.VAR44(VAR32),
.VAR94(VAR187));
VAR117 #(.VAR161(32'd97),
.VAR248(32'd1)) VAR223(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR217),
.VAR162(VAR200),
.VAR209(VAR26),
.VAR282(VAR264),
.VAR258(VAR171),
.VAR44(VAR98),
.VAR94(VAR131));
VAR117 #(.VAR161(32'd71),
.VAR248(32'd1)) VAR78(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR55),
.VAR162(VAR140),
.VAR209(VAR197),
.VAR282(VAR14),
.VAR258(VAR119),
.VAR44(VAR86),
.VAR94(VAR153));
VAR117 #(.VAR161(32'd97),
.VAR248(32'd1)) VAR169(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR88),
.VAR162(VAR23),
.VAR209(VAR275),
.VAR282(VAR29),
.VAR258(VAR124),
.VAR44(VAR17),
.VAR94(VAR36));
VAR117 #(.VAR161(32'd73),
.VAR248(32'd1)) VAR221(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR255),
.VAR162(VAR212),
.VAR209(VAR50),
.VAR282(VAR276),
.VAR258(VAR80),
.VAR44(VAR195),
.VAR94(VAR141));
VAR117 #(.VAR161(32'd6),
.VAR248(32'd1)) VAR225(.VAR189(VAR54),
.VAR20(VAR20),
.VAR268(VAR164),
.VAR162(VAR61),
.VAR209(VAR157),
.VAR282(VAR123),
.VAR258(VAR241),
.VAR44(VAR207),
.VAR94(VAR3));
assign VAR70 =
VAR17 &&
VAR92 &&
VAR195 &&
VAR277 &&
(VAR87 != 8'd0 || VAR67) ;
assign VAR260 =
VAR70 ;
assign VAR272 =
VAR3 && VAR58 &&
(VAR252 ||
VAR32) ;
assign VAR89 =
VAR272 ;
assign VAR279 =
VAR98 &&
VAR245 &&
(VAR242 != 8'd0 || VAR262) ;
assign VAR154 =
VAR279 ;
assign VAR113 =
VAR153 && VAR12 &&
VAR165 ;
assign VAR226 =
VAR113 ;
assign VAR188 = VAR49 ;
assign VAR1 = VAR49 ;
assign VAR102 =
VAR89 &&
(VAR281 == 2'b0 &&
VAR241[1:0] != 2'b0 ||
!VAR252) ;
assign VAR73 =
VAR90 ?
VAR144 :
8'd0 ;
assign VAR37 =
VAR252 ?
VAR95 :
8'd0 ;
assign VAR278 =
VAR252 ?
VAR241[1:0] :
2'b0 ;
assign VAR47 =
VAR84 ?
VAR293 :
8'd0 ;
assign VAR79 =
VAR4 ?
VAR27 :
8'd0 ;
assign VAR11 =
VAR49 ? 8'd0 : VAR73 ;
assign VAR172 =
VAR154 || VAR49 ;
assign VAR181 =
VAR49 ? 8'd0 : VAR37 ;
assign VAR125 =
VAR89 || VAR49 ;
assign VAR48 =
VAR49 ? 2'b0 : VAR278 ;
assign VAR244 = VAR102 || VAR49 ;
assign VAR38 = VAR284 ;
assign VAR263 =
VAR279 ;
assign VAR146 = VAR297 ;
assign VAR168 =
VAR70 ;
assign VAR294 =
VAR49 ? 8'd0 : VAR47 ;
assign VAR159 =
VAR226 || VAR49 ;
assign VAR295 = !VAR49 ;
assign VAR127 = VAR49 || VAR22 ;
assign VAR285 =
VAR49 ? 8'd0 : VAR79 ;
assign VAR116 =
VAR260 || VAR49 ;
assign VAR283 = VAR160[28:21] ;
assign VAR25 =
VAR154 &&
VAR242 == 8'd0 ;
assign VAR7 =
VAR226 &&
!VAR84 ;
assign VAR240 = VAR49 ;
assign VAR132 = VAR19[28:21] ;
assign VAR174 =
VAR260 &&
VAR87 == 8'd0 ;
assign VAR192 =
VAR89 &&
!VAR252 ;
assign VAR291 = VAR49 ;
assign VAR155 =
{ VAR138,
VAR15,
VAR65,
VAR185,
VAR273,
VAR267,
VAR288,
VAR206,
VAR218,
VAR60 } ;
assign VAR69 =
VAR270 && VAR220 ;
assign VAR57 =
VAR154 &&
!VAR90 ;
assign VAR145 = VAR49 ;
assign VAR261 =
{ VAR119[70:1],
!VAR84 } ;
assign VAR24 =
VAR113 ;
assign VAR110 =
VAR45 && VAR139 ;
assign VAR186 = VAR49 ;
assign VAR134 =
{ VAR59,
VAR230,
VAR6,
VAR75,
VAR249,
VAR214,
VAR13,
VAR104,
VAR167,
VAR287 } ;
assign VAR52 =
VAR166 && VAR76 ;
assign VAR105 =
VAR260 &&
!VAR4 ;
assign VAR234 = VAR49 ;
assign VAR292 =
{ VAR193, VAR198, VAR18 } ;
assign VAR222 =
VAR10 && VAR51 ;
assign VAR254 =
VAR70 ;
assign VAR31 = VAR49 ;
assign VAR179 =
{ VAR241[5:2],
(VAR281 == 2'b0) ?
VAR241[1:0] :
VAR281 } ;
assign VAR126 =
VAR89 &&
!VAR252 ;
assign VAR129 =
VAR158 && VAR187 ;
assign VAR81 = VAR49 ;
assign VAR217 =
{ VAR160[96:93],
VAR284,
8'd0,
VAR160[20:18],
2'b0,
VAR160[15:0] } ;
assign VAR200 =
VAR279 ;
assign VAR26 =
VAR131 && VAR101 ;
assign VAR264 = VAR49 ;
assign VAR55 =
{ VAR100,
VAR28,
VAR143,
VAR149 } ;
assign VAR140 =
VAR246 && VAR86 ;
assign VAR197 =
VAR113 ;
assign VAR14 = VAR49 ;
assign VAR88 =
{ VAR19[96:93],
VAR297,
8'd0,
VAR19[20:18],
2'b0,
VAR19[15:0] } ;
assign VAR23 =
VAR70 ;
assign VAR275 =
VAR36 && VAR265 ;
assign VAR29 = VAR49 ;
assign VAR255 =
{ VAR64[72:1], 1'd1 } ;
assign VAR212 =
VAR70 ;
assign VAR50 =
VAR141 && VAR41 ;
assign VAR276 = VAR49 ;
assign VAR164 = { VAR112, VAR251 } ;
assign VAR61 =
VAR231 && VAR207 ;
assign VAR157 =
VAR272 ;
assign VAR123 = VAR49 ;
assign VAR133 =
(VAR160[17:16] == 2'b10) ?
((VAR16 ==
64'd0) ?
VAR213 :
VAR210) :
VAR210 ;
assign VAR177 =
(VAR19[17:16] == 2'b10) ?
((VAR203 ==
64'd0) ?
VAR178 :
VAR68) :
VAR68 ;
assign VAR284 =
(VAR242 == 8'd0) ?
VAR160[92:29] :
VAR133 ;
assign VAR297 =
(VAR87 == 8'd0) ?
VAR19[92:29] :
VAR177 ;
assign VAR178 = VAR68 - VAR253 ;
assign VAR213 = VAR210 - VAR269 ;
assign VAR68 =
VAR250 +
(64'd1 << VAR19[20:18]) ;
assign VAR210 =
VAR201 +
(64'd1 << VAR160[20:18]) ;
assign VAR151 =
{ 56'd0, VAR19[28:21] } + 64'd1 ;
assign VAR163 =
{ 56'd0, VAR160[28:21] } + 64'd1 ;
assign VAR90 =
VAR242 <
VAR160[28:21] ;
assign VAR252 =
VAR109 < VAR74 ;
assign VAR16 =
VAR210 % VAR269 ;
assign VAR203 =
VAR68 % VAR253 ;
assign VAR84 =
VAR9 < VAR289 ;
assign VAR4 =
VAR87 < VAR19[28:21] ;
assign VAR253 =
VAR151 << VAR19[20:18] ;
assign VAR269 =
VAR163 << VAR160[20:18] ;
assign VAR27 = VAR87 + 8'd1 ;
assign VAR95 = VAR109 + 8'd1 ;
assign VAR144 = VAR242 + 8'd1 ;
assign VAR293 = VAR9 + 8'd1 ;
always@(posedge VAR20)
begin
if (VAR54 == VAR170)
begin
VAR242 <= VAR83 8'd0;
VAR109 <= VAR83 8'd0;
VAR281 <= VAR83 2'b0;
VAR9 <= VAR83 8'd0;
VAR49 <= VAR83 1'd1;
VAR87 <= VAR83 8'd0;
end
else
begin
if (VAR172)
VAR242 <= VAR83 VAR11;
if (VAR125)
VAR109 <= VAR83 VAR181;
if (VAR244)
VAR281 <= VAR83 VAR48;
if (VAR159)
VAR9 <= VAR83 VAR294;
if (VAR127)
VAR49 <= VAR83 VAR295;
if (VAR116)
VAR87 <= VAR83 VAR285;
end
if (VAR263)
VAR201 <= VAR83 VAR38;
if (VAR168)
VAR250 <= VAR83 VAR146;
end
begin
VAR242 = 8'hAA;
VAR109 = 8'hAA;
VAR281 = 2'h2;
VAR201 = 64'hAAAAAAAAAAAAAAAA;
VAR250 = 64'hAAAAAAAAAAAAAAAA;
VAR9 = 8'hAA;
VAR49 = 1'h0;
VAR87 = 8'hAA;
end
always@(negedge VAR20)
begin
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
begin
VAR208 = VAR228;
end
VAR298 = VAR208 / 32'd10;
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
VAR235("%0d: VAR2: %VAR233::VAR202.VAR148: VAR233 VAR56",
VAR298);
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
",
VAR19[28:21]);
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
(" ");
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
("VAR191 { ", "VAR286: ");
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
("'VAR108%VAR108", VAR64[72:9]);
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
(", ", "VAR46: ");
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
("'VAR108%VAR108", VAR64[8:1]);
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
(", ", "VAR215: ");
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
("VAR211");
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
(", ", "VAR229: ");
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
("'VAR108%VAR108", 1'd0, " }");
if (VAR54 != VAR170)
if (VAR260 &&
!VAR4 &&
!VAR64[0])
("\VAR232");
if (VAR54 != VAR170)
if (VAR49)
begin
VAR176 = VAR228;
end
VAR35 = VAR176 / 32'd10;
if (VAR54 != VAR170)
if (VAR49)
end
endmodule | apache-2.0 |
josemonsalve2/cpeg324_calculator | vivado/hdl/blk_mem_gen_0/blk_mem_gen_0_stub.v | 1,432 | module MODULE1(VAR4, VAR6, VAR5, VAR3, VAR1, VAR2)
;
input VAR4;
input VAR6;
input [0:0]VAR5;
input [7:0]VAR3;
input [7:0]VAR1;
output [7:0]VAR2;
endmodule | gpl-3.0 |
deepakcu/maestro | fpga/DE4_Ethernet_0/src/generic_cntr_regs.v | 11,749 | module MODULE1
parameter VAR53 = 2,
parameter VAR1 = 0, parameter VAR49 = 5, parameter VAR8 = 8, parameter VAR26 = 0, parameter VAR58 = 1, parameter VAR35 = 8, parameter VAR61 = VAR40, parameter VAR46 = 0,
parameter VAR22 = VAR26 + VAR8, parameter VAR39 = VAR26 * VAR58, parameter VAR43 = VAR22 * VAR58 )
(
input VAR16,
input VAR20,
input VAR45,
input [VAR15-1:0] VAR31,
input [VAR40-1:0] VAR3,
input [VAR53-1:0] VAR24,
output reg VAR65,
output reg VAR63,
output reg VAR36,
output reg [VAR15-1:0] VAR38,
output reg [VAR40-1:0] VAR47,
output reg [VAR53-1:0] VAR28,
input [VAR43 - 1:VAR39] VAR13,
input [VAR22-1:VAR26] VAR32,
input clk,
input reset
);
localparam VAR44 = VAR8 + 1;
localparam VAR21 = VAR66(VAR44, VAR35);
localparam VAR5 = VAR7(VAR21);
localparam VAR56 = VAR58 + VAR5 + 1;
localparam VAR34 = 0,
VAR60 = 1;
reg [VAR61-1:0] VAR41 [VAR26:VAR22-1];
wire [VAR49-1:0] addr, VAR14;
wire [VAR15-VAR49-1:0] VAR6;
reg [VAR49-1:0] VAR23;
wire [VAR49-1:0] VAR37;
wire [VAR49-1:0] VAR29;
reg [VAR49-1:0] VAR62;
wire [VAR49-1:0] VAR11;
reg [VAR56-1:0] VAR27[VAR26:VAR22-1];
wire [VAR56-1:0] VAR57;
wire [VAR56-1:0] VAR4[VAR26:VAR22-1];
wire [VAR61-1:0] VAR12;
reg [VAR61-1:0] VAR9;
reg VAR25;
reg [VAR49-1:0] VAR51;
reg VAR10, VAR48;
reg [VAR15-1:0] VAR19;
reg [VAR40-1:0] VAR50;
reg VAR55;
reg VAR42;
reg VAR17;
reg [VAR53-1:0] VAR30;
integer VAR33;
reg state;
assign addr = VAR31[VAR49-1:0];
assign VAR14 = VAR19[VAR49-1:0];
assign VAR6 = VAR31[VAR15 - 1:VAR49];
assign VAR59 = addr < VAR22 && addr >= VAR26;
assign VAR54 = VAR6 == VAR1;
assign VAR18 = (VAR54 && VAR59 && VAR16 && VAR45);
assign VAR52 = (VAR54 && VAR59 && VAR16 && ~VAR45);
assign VAR37 = (VAR23==VAR22-1'b1) ? VAR26 : VAR23 + 1'b1;
assign VAR57 = VAR27[VAR51];
assign VAR29 = VAR18 ? addr : VAR23;
assign VAR11 = (state == VAR34
? VAR23
: (VAR48 || VAR10)
? VAR14 : VAR51);
always @(*) begin
VAR9 = VAR12 + {{(VAR61 - VAR56){VAR57[VAR56-1]}}, VAR57};
VAR25 = 0;
if(state == VAR34 || (VAR10 && VAR46)) begin
VAR25 = 1;
VAR9 = 0;
end
else if(!VAR48 && !VAR10) begin
VAR25 = 1;
end
else if(VAR48) begin
VAR9 = VAR50;
VAR25 = 1;
end
end
generate
genvar VAR2;
for (VAR2 = VAR26; VAR2 < VAR22; VAR2 = VAR2 + 1) begin : VAR64
assign VAR4[VAR2] = {{(VAR56 - VAR58){1'b0}}, VAR13[(VAR2 + 1) * VAR58 - 1 : VAR2 * VAR58]};
end
endgenerate
always @(posedge clk) begin
if(VAR25) begin
VAR41[VAR11] <= VAR9;
end
VAR62 <= VAR29;
end
assign VAR12 = VAR41[VAR62];
always @(posedge clk) begin
if(reset) begin
VAR23 <= VAR26;
VAR10 <= 0;
VAR48 <= 0;
VAR55 <= 0;
VAR63 <= 0;
VAR65 <= 0;
state <= VAR34;
for (VAR33 = VAR26; VAR33 < VAR22; VAR33 = VAR33 + 1) begin
VAR27[VAR33] <= 0;
end
end
else begin
VAR51 <= VAR23;
if(state == VAR34) begin
VAR23 <= VAR37;
if(VAR23 == VAR22-1'b1) begin
state <= VAR60;
end
end
else begin
VAR23 <= (VAR18 || VAR52) ? VAR23 : VAR37;
VAR10 <= VAR18;
VAR48 <= VAR52;
VAR19 <= VAR31;
VAR50 <= VAR3;
VAR55 <= VAR16;
VAR42 <= VAR20;
VAR17 <= VAR45;
VAR30 <= VAR24;
if(VAR20 && (VAR18 || VAR52)) begin
end
VAR63 <= VAR10 || VAR48 || VAR42;
VAR47 <= VAR10 ? VAR12 : VAR50;
VAR38 <= VAR19;
VAR65 <= VAR55;
VAR36 <= VAR17;
VAR28 <= VAR30;
for (VAR33 = VAR26; VAR33 < VAR22; VAR33 = VAR33 + 1) begin
if ((VAR33==VAR51) && !VAR48 && !(VAR10 && VAR46) ) begin
VAR27[VAR33] <= VAR32[VAR33] ? -VAR4[VAR33] : VAR4[VAR33];
end
else begin
VAR27[VAR33] <= VAR32[VAR33] ? VAR27[VAR33] - VAR4[VAR33] : VAR27[VAR33] + VAR4[VAR33];
end
end end end end
endmodule | apache-2.0 |
azonenberg/antikernel-ipcores | math/CRC32_Ethernet_x32.v | 18,321 | module MODULE1(
input wire clk,
input wire reset,
input wire VAR2,
input wire[31:0] din,
output wire[31:0] VAR5);
reg[31:0] VAR3 = 0;
wire[31:0] VAR1 = ~VAR3;
assign VAR5 =
{
VAR1[24], VAR1[25], VAR1[26], VAR1[27],
VAR1[28], VAR1[29], VAR1[30], VAR1[31],
VAR1[16], VAR1[17], VAR1[18], VAR1[19],
VAR1[20], VAR1[21], VAR1[22], VAR1[23],
VAR1[8], VAR1[9], VAR1[10], VAR1[11],
VAR1[12], VAR1[13], VAR1[14], VAR1[15],
VAR1[0], VAR1[1], VAR1[2], VAR1[3],
VAR1[4], VAR1[5], VAR1[6], VAR1[7]
};
wire[31:0] VAR4 =
{
din[0], din[1], din[2], din[3],
din[4], din[5], din[6], din[7],
din[8], din[9], din[10], din[11],
din[12], din[13], din[14], din[15],
din[16], din[17], din[18], din[19],
din[20], din[21], din[22], din[23],
din[24], din[25], din[26], din[27],
din[28], din[29], din[30], din[31]
};
always @(posedge clk) begin
if(reset)
VAR3 <= 'hffffffff;
if(VAR2) begin
VAR3[0] <= VAR4[31] ^ VAR4[30] ^ VAR4[29] ^ VAR4[28] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[16] ^ VAR4[12] ^ VAR4[10] ^ VAR4[9] ^ VAR4[6] ^ VAR4[0] ^ VAR3[0] ^ VAR3[6] ^ VAR3[9] ^ VAR3[10] ^ VAR3[12] ^ VAR3[16] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[28] ^ VAR3[29] ^ VAR3[30] ^ VAR3[31];
VAR3[1] <= VAR4[28] ^ VAR4[27] ^ VAR4[24] ^ VAR4[17] ^ VAR4[16] ^ VAR4[13] ^ VAR4[12] ^ VAR4[11] ^ VAR4[9] ^ VAR4[7] ^ VAR4[6] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[6] ^ VAR3[7] ^ VAR3[9] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[16] ^ VAR3[17] ^ VAR3[24] ^ VAR3[27] ^ VAR3[28];
VAR3[2] <= VAR4[31] ^ VAR4[30] ^ VAR4[26] ^ VAR4[24] ^ VAR4[18] ^ VAR4[17] ^ VAR4[16] ^ VAR4[14] ^ VAR4[13] ^ VAR4[9] ^ VAR4[8] ^ VAR4[7] ^ VAR4[6] ^ VAR4[2] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[2] ^ VAR3[6] ^ VAR3[7] ^ VAR3[8] ^ VAR3[9] ^ VAR3[13] ^ VAR3[14] ^ VAR3[16] ^ VAR3[17] ^ VAR3[18] ^ VAR3[24] ^ VAR3[26] ^ VAR3[30] ^ VAR3[31];
VAR3[3] <= VAR4[31] ^ VAR4[27] ^ VAR4[25] ^ VAR4[19] ^ VAR4[18] ^ VAR4[17] ^ VAR4[15] ^ VAR4[14] ^ VAR4[10] ^ VAR4[9] ^ VAR4[8] ^ VAR4[7] ^ VAR4[3] ^ VAR4[2] ^ VAR4[1] ^ VAR3[1] ^ VAR3[2] ^ VAR3[3] ^ VAR3[7] ^ VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[14] ^ VAR3[15] ^ VAR3[17] ^ VAR3[18] ^ VAR3[19] ^ VAR3[25] ^ VAR3[27] ^ VAR3[31];
VAR3[4] <= VAR4[31] ^ VAR4[30] ^ VAR4[29] ^ VAR4[25] ^ VAR4[24] ^ VAR4[20] ^ VAR4[19] ^ VAR4[18] ^ VAR4[15] ^ VAR4[12] ^ VAR4[11] ^ VAR4[8] ^ VAR4[6] ^ VAR4[4] ^ VAR4[3] ^ VAR4[2] ^ VAR4[0] ^ VAR3[0] ^ VAR3[2] ^ VAR3[3] ^ VAR3[4] ^ VAR3[6] ^ VAR3[8] ^ VAR3[11] ^ VAR3[12] ^ VAR3[15] ^ VAR3[18] ^ VAR3[19] ^ VAR3[20] ^ VAR3[24] ^ VAR3[25] ^ VAR3[29] ^ VAR3[30] ^ VAR3[31];
VAR3[5] <= VAR4[29] ^ VAR4[28] ^ VAR4[24] ^ VAR4[21] ^ VAR4[20] ^ VAR4[19] ^ VAR4[13] ^ VAR4[10] ^ VAR4[7] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4] ^ VAR4[3] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[3] ^ VAR3[4] ^ VAR3[5] ^ VAR3[6] ^ VAR3[7] ^ VAR3[10] ^ VAR3[13] ^ VAR3[19] ^ VAR3[20] ^ VAR3[21] ^ VAR3[24] ^ VAR3[28] ^ VAR3[29];
VAR3[6] <= VAR4[30] ^ VAR4[29] ^ VAR4[25] ^ VAR4[22] ^ VAR4[21] ^ VAR4[20] ^ VAR4[14] ^ VAR4[11] ^ VAR4[8] ^ VAR4[7] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4] ^ VAR4[2] ^ VAR4[1] ^ VAR3[1] ^ VAR3[2] ^ VAR3[4] ^ VAR3[5] ^ VAR3[6] ^ VAR3[7] ^ VAR3[8] ^ VAR3[11] ^ VAR3[14] ^ VAR3[20] ^ VAR3[21] ^ VAR3[22] ^ VAR3[25] ^ VAR3[29] ^ VAR3[30];
VAR3[7] <= VAR4[29] ^ VAR4[28] ^ VAR4[25] ^ VAR4[24] ^ VAR4[23] ^ VAR4[22] ^ VAR4[21] ^ VAR4[16] ^ VAR4[15] ^ VAR4[10] ^ VAR4[8] ^ VAR4[7] ^ VAR4[5] ^ VAR4[3] ^ VAR4[2] ^ VAR4[0] ^ VAR3[0] ^ VAR3[2] ^ VAR3[3] ^ VAR3[5] ^ VAR3[7] ^ VAR3[8] ^ VAR3[10] ^ VAR3[15] ^ VAR3[16] ^ VAR3[21] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[28] ^ VAR3[29];
VAR3[8] <= VAR4[31] ^ VAR4[28] ^ VAR4[23] ^ VAR4[22] ^ VAR4[17] ^ VAR4[12] ^ VAR4[11] ^ VAR4[10] ^ VAR4[8] ^ VAR4[4] ^ VAR4[3] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[3] ^ VAR3[4] ^ VAR3[8] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[17] ^ VAR3[22] ^ VAR3[23] ^ VAR3[28] ^ VAR3[31];
VAR3[9] <= VAR4[29] ^ VAR4[24] ^ VAR4[23] ^ VAR4[18] ^ VAR4[13] ^ VAR4[12] ^ VAR4[11] ^ VAR4[9] ^ VAR4[5] ^ VAR4[4] ^ VAR4[2] ^ VAR4[1] ^ VAR3[1] ^ VAR3[2] ^ VAR3[4] ^ VAR3[5] ^ VAR3[9] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[18] ^ VAR3[23] ^ VAR3[24] ^ VAR3[29];
VAR3[10] <= VAR4[31] ^ VAR4[29] ^ VAR4[28] ^ VAR4[26] ^ VAR4[19] ^ VAR4[16] ^ VAR4[14] ^ VAR4[13] ^ VAR4[9] ^ VAR4[5] ^ VAR4[3] ^ VAR4[2] ^ VAR4[0] ^ VAR3[0] ^ VAR3[2] ^ VAR3[3] ^ VAR3[5] ^ VAR3[9] ^ VAR3[13] ^ VAR3[14] ^ VAR3[16] ^ VAR3[19] ^ VAR3[26] ^ VAR3[28] ^ VAR3[29] ^ VAR3[31];
VAR3[11] <= VAR4[31] ^ VAR4[28] ^ VAR4[27] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[20] ^ VAR4[17] ^ VAR4[16] ^ VAR4[15] ^ VAR4[14] ^ VAR4[12] ^ VAR4[9] ^ VAR4[4] ^ VAR4[3] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[3] ^ VAR3[4] ^ VAR3[9] ^ VAR3[12] ^ VAR3[14] ^ VAR3[15] ^ VAR3[16] ^ VAR3[17] ^ VAR3[20] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[27] ^ VAR3[28] ^ VAR3[31];
VAR3[12] <= VAR4[31] ^ VAR4[30] ^ VAR4[27] ^ VAR4[24] ^ VAR4[21] ^ VAR4[18] ^ VAR4[17] ^ VAR4[15] ^ VAR4[13] ^ VAR4[12] ^ VAR4[9] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4] ^ VAR4[2] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[2] ^ VAR3[4] ^ VAR3[5] ^ VAR3[6] ^ VAR3[9] ^ VAR3[12] ^ VAR3[13] ^ VAR3[15] ^ VAR3[17] ^ VAR3[18] ^ VAR3[21] ^ VAR3[24] ^ VAR3[27] ^ VAR3[30] ^ VAR3[31];
VAR3[13] <= VAR4[31] ^ VAR4[28] ^ VAR4[25] ^ VAR4[22] ^ VAR4[19] ^ VAR4[18] ^ VAR4[16] ^ VAR4[14] ^ VAR4[13] ^ VAR4[10] ^ VAR4[7] ^ VAR4[6] ^ VAR4[5] ^ VAR4[3] ^ VAR4[2] ^ VAR4[1] ^ VAR3[1] ^ VAR3[2] ^ VAR3[3] ^ VAR3[5] ^ VAR3[6] ^ VAR3[7] ^ VAR3[10] ^ VAR3[13] ^ VAR3[14] ^ VAR3[16] ^ VAR3[18] ^ VAR3[19] ^ VAR3[22] ^ VAR3[25] ^ VAR3[28] ^ VAR3[31];
VAR3[14] <= VAR4[29] ^ VAR4[26] ^ VAR4[23] ^ VAR4[20] ^ VAR4[19] ^ VAR4[17] ^ VAR4[15] ^ VAR4[14] ^ VAR4[11] ^ VAR4[8] ^ VAR4[7] ^ VAR4[6] ^ VAR4[4] ^ VAR4[3] ^ VAR4[2] ^ VAR3[2] ^ VAR3[3] ^ VAR3[4] ^ VAR3[6] ^ VAR3[7] ^ VAR3[8] ^ VAR3[11] ^ VAR3[14] ^ VAR3[15] ^ VAR3[17] ^ VAR3[19] ^ VAR3[20] ^ VAR3[23] ^ VAR3[26] ^ VAR3[29];
VAR3[15] <= VAR4[30] ^ VAR4[27] ^ VAR4[24] ^ VAR4[21] ^ VAR4[20] ^ VAR4[18] ^ VAR4[16] ^ VAR4[15] ^ VAR4[12] ^ VAR4[9] ^ VAR4[8] ^ VAR4[7] ^ VAR4[5] ^ VAR4[4] ^ VAR4[3] ^ VAR3[3] ^ VAR3[4] ^ VAR3[5] ^ VAR3[7] ^ VAR3[8] ^ VAR3[9] ^ VAR3[12] ^ VAR3[15] ^ VAR3[16] ^ VAR3[18] ^ VAR3[20] ^ VAR3[21] ^ VAR3[24] ^ VAR3[27] ^ VAR3[30];
VAR3[16] <= VAR4[30] ^ VAR4[29] ^ VAR4[26] ^ VAR4[24] ^ VAR4[22] ^ VAR4[21] ^ VAR4[19] ^ VAR4[17] ^ VAR4[13] ^ VAR4[12] ^ VAR4[8] ^ VAR4[5] ^ VAR4[4] ^ VAR4[0] ^ VAR3[0] ^ VAR3[4] ^ VAR3[5] ^ VAR3[8] ^ VAR3[12] ^ VAR3[13] ^ VAR3[17] ^ VAR3[19] ^ VAR3[21] ^ VAR3[22] ^ VAR3[24] ^ VAR3[26] ^ VAR3[29] ^ VAR3[30];
VAR3[17] <= VAR4[31] ^ VAR4[30] ^ VAR4[27] ^ VAR4[25] ^ VAR4[23] ^ VAR4[22] ^ VAR4[20] ^ VAR4[18] ^ VAR4[14] ^ VAR4[13] ^ VAR4[9] ^ VAR4[6] ^ VAR4[5] ^ VAR4[1] ^ VAR3[1] ^ VAR3[5] ^ VAR3[6] ^ VAR3[9] ^ VAR3[13] ^ VAR3[14] ^ VAR3[18] ^ VAR3[20] ^ VAR3[22] ^ VAR3[23] ^ VAR3[25] ^ VAR3[27] ^ VAR3[30] ^ VAR3[31];
VAR3[18] <= VAR4[31] ^ VAR4[28] ^ VAR4[26] ^ VAR4[24] ^ VAR4[23] ^ VAR4[21] ^ VAR4[19] ^ VAR4[15] ^ VAR4[14] ^ VAR4[10] ^ VAR4[7] ^ VAR4[6] ^ VAR4[2] ^ VAR3[2] ^ VAR3[6] ^ VAR3[7] ^ VAR3[10] ^ VAR3[14] ^ VAR3[15] ^ VAR3[19] ^ VAR3[21] ^ VAR3[23] ^ VAR3[24] ^ VAR3[26] ^ VAR3[28] ^ VAR3[31];
VAR3[19] <= VAR4[29] ^ VAR4[27] ^ VAR4[25] ^ VAR4[24] ^ VAR4[22] ^ VAR4[20] ^ VAR4[16] ^ VAR4[15] ^ VAR4[11] ^ VAR4[8] ^ VAR4[7] ^ VAR4[3] ^ VAR3[3] ^ VAR3[7] ^ VAR3[8] ^ VAR3[11] ^ VAR3[15] ^ VAR3[16] ^ VAR3[20] ^ VAR3[22] ^ VAR3[24] ^ VAR3[25] ^ VAR3[27] ^ VAR3[29];
VAR3[20] <= VAR4[30] ^ VAR4[28] ^ VAR4[26] ^ VAR4[25] ^ VAR4[23] ^ VAR4[21] ^ VAR4[17] ^ VAR4[16] ^ VAR4[12] ^ VAR4[9] ^ VAR4[8] ^ VAR4[4] ^ VAR3[4] ^ VAR3[8] ^ VAR3[9] ^ VAR3[12] ^ VAR3[16] ^ VAR3[17] ^ VAR3[21] ^ VAR3[23] ^ VAR3[25] ^ VAR3[26] ^ VAR3[28] ^ VAR3[30];
VAR3[21] <= VAR4[31] ^ VAR4[29] ^ VAR4[27] ^ VAR4[26] ^ VAR4[24] ^ VAR4[22] ^ VAR4[18] ^ VAR4[17] ^ VAR4[13] ^ VAR4[10] ^ VAR4[9] ^ VAR4[5] ^ VAR3[5] ^ VAR3[9] ^ VAR3[10] ^ VAR3[13] ^ VAR3[17] ^ VAR3[18] ^ VAR3[22] ^ VAR3[24] ^ VAR3[26] ^ VAR3[27] ^ VAR3[29] ^ VAR3[31];
VAR3[22] <= VAR4[31] ^ VAR4[29] ^ VAR4[27] ^ VAR4[26] ^ VAR4[24] ^ VAR4[23] ^ VAR4[19] ^ VAR4[18] ^ VAR4[16] ^ VAR4[14] ^ VAR4[12] ^ VAR4[11] ^ VAR4[9] ^ VAR4[0] ^ VAR3[0] ^ VAR3[9] ^ VAR3[11] ^ VAR3[12] ^ VAR3[14] ^ VAR3[16] ^ VAR3[18] ^ VAR3[19] ^ VAR3[23] ^ VAR3[24] ^ VAR3[26] ^ VAR3[27] ^ VAR3[29] ^ VAR3[31];
VAR3[23] <= VAR4[31] ^ VAR4[29] ^ VAR4[27] ^ VAR4[26] ^ VAR4[20] ^ VAR4[19] ^ VAR4[17] ^ VAR4[16] ^ VAR4[15] ^ VAR4[13] ^ VAR4[9] ^ VAR4[6] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[6] ^ VAR3[9] ^ VAR3[13] ^ VAR3[15] ^ VAR3[16] ^ VAR3[17] ^ VAR3[19] ^ VAR3[20] ^ VAR3[26] ^ VAR3[27] ^ VAR3[29] ^ VAR3[31];
VAR3[24] <= VAR4[30] ^ VAR4[28] ^ VAR4[27] ^ VAR4[21] ^ VAR4[20] ^ VAR4[18] ^ VAR4[17] ^ VAR4[16] ^ VAR4[14] ^ VAR4[10] ^ VAR4[7] ^ VAR4[2] ^ VAR4[1] ^ VAR3[1] ^ VAR3[2] ^ VAR3[7] ^ VAR3[10] ^ VAR3[14] ^ VAR3[16] ^ VAR3[17] ^ VAR3[18] ^ VAR3[20] ^ VAR3[21] ^ VAR3[27] ^ VAR3[28] ^ VAR3[30];
VAR3[25] <= VAR4[31] ^ VAR4[29] ^ VAR4[28] ^ VAR4[22] ^ VAR4[21] ^ VAR4[19] ^ VAR4[18] ^ VAR4[17] ^ VAR4[15] ^ VAR4[11] ^ VAR4[8] ^ VAR4[3] ^ VAR4[2] ^ VAR3[2] ^ VAR3[3] ^ VAR3[8] ^ VAR3[11] ^ VAR3[15] ^ VAR3[17] ^ VAR3[18] ^ VAR3[19] ^ VAR3[21] ^ VAR3[22] ^ VAR3[28] ^ VAR3[29] ^ VAR3[31];
VAR3[26] <= VAR4[31] ^ VAR4[28] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[23] ^ VAR4[22] ^ VAR4[20] ^ VAR4[19] ^ VAR4[18] ^ VAR4[10] ^ VAR4[6] ^ VAR4[4] ^ VAR4[3] ^ VAR4[0] ^ VAR3[0] ^ VAR3[3] ^ VAR3[4] ^ VAR3[6] ^ VAR3[10] ^ VAR3[18] ^ VAR3[19] ^ VAR3[20] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[28] ^ VAR3[31];
VAR3[27] <= VAR4[29] ^ VAR4[27] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[23] ^ VAR4[21] ^ VAR4[20] ^ VAR4[19] ^ VAR4[11] ^ VAR4[7] ^ VAR4[5] ^ VAR4[4] ^ VAR4[1] ^ VAR3[1] ^ VAR3[4] ^ VAR3[5] ^ VAR3[7] ^ VAR3[11] ^ VAR3[19] ^ VAR3[20] ^ VAR3[21] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[27] ^ VAR3[29];
VAR3[28] <= VAR4[30] ^ VAR4[28] ^ VAR4[27] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[22] ^ VAR4[21] ^ VAR4[20] ^ VAR4[12] ^ VAR4[8] ^ VAR4[6] ^ VAR4[5] ^ VAR4[2] ^ VAR3[2] ^ VAR3[5] ^ VAR3[6] ^ VAR3[8] ^ VAR3[12] ^ VAR3[20] ^ VAR3[21] ^ VAR3[22] ^ VAR3[24] ^ VAR3[25] ^ VAR3[26] ^ VAR3[27] ^ VAR3[28] ^ VAR3[30];
VAR3[29] <= VAR4[31] ^ VAR4[29] ^ VAR4[28] ^ VAR4[27] ^ VAR4[26] ^ VAR4[25] ^ VAR4[23] ^ VAR4[22] ^ VAR4[21] ^ VAR4[13] ^ VAR4[9] ^ VAR4[7] ^ VAR4[6] ^ VAR4[3] ^ VAR3[3] ^ VAR3[6] ^ VAR3[7] ^ VAR3[9] ^ VAR3[13] ^ VAR3[21] ^ VAR3[22] ^ VAR3[23] ^ VAR3[25] ^ VAR3[26] ^ VAR3[27] ^ VAR3[28] ^ VAR3[29] ^ VAR3[31];
VAR3[30] <= VAR4[30] ^ VAR4[29] ^ VAR4[28] ^ VAR4[27] ^ VAR4[26] ^ VAR4[24] ^ VAR4[23] ^ VAR4[22] ^ VAR4[14] ^ VAR4[10] ^ VAR4[8] ^ VAR4[7] ^ VAR4[4] ^ VAR3[4] ^ VAR3[7] ^ VAR3[8] ^ VAR3[10] ^ VAR3[14] ^ VAR3[22] ^ VAR3[23] ^ VAR3[24] ^ VAR3[26] ^ VAR3[27] ^ VAR3[28] ^ VAR3[29] ^ VAR3[30];
VAR3[31] <= VAR4[31] ^ VAR4[30] ^ VAR4[29] ^ VAR4[28] ^ VAR4[27] ^ VAR4[25] ^ VAR4[24] ^ VAR4[23] ^ VAR4[15] ^ VAR4[11] ^ VAR4[9] ^ VAR4[8] ^ VAR4[5] ^ VAR3[5] ^ VAR3[8] ^ VAR3[9] ^ VAR3[11] ^ VAR3[15] ^ VAR3[23] ^ VAR3[24] ^ VAR3[25] ^ VAR3[27] ^ VAR3[28] ^ VAR3[29] ^ VAR3[30] ^ VAR3[31];
end
end
endmodule | bsd-3-clause |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v | 182,347 | module MODULE1 #(parameter VAR9(VAR54)
,VAR50 =VAR1(VAR54)
,VAR2 = 1'b0
,VAR53 = 1'b0
,VAR33 = 1'b0)
(input VAR7
, input VAR44
, input VAR35
, input [VAR54-1:0] VAR61
, output logic [VAR54-1:0] VAR41
, output logic [VAR54-1:0] VAR62
, output VAR31 , output logic [VAR50-1:0] VAR36 , input VAR21 );
logic [VAR50-1:0] VAR17, VAR59, VAR23;
logic VAR4, VAR32;
if(VAR54 == 1)
begin: VAR48
logic [1-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
2'VAR14?0: begin VAR49 = 1'b0; VAR36 = (VAR50) ' (0); end 2'b01: begin VAR49= 1'b1; VAR36 = (VAR50) ' (0); end
default: begin VAR49= {1{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {1{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
1'b0 : VAR4 = ( VAR61 == 1'b1 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR3
assign VAR32 = ( VAR61 == 1'b1 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR48
if(VAR54 == 2)
begin: VAR20
logic [2-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
3'VAR14?00: begin VAR49 = 2'b00; VAR36 = (VAR50) ' (0); end 3'b01?: begin VAR49= 2'b10; VAR36 = (VAR50) ' (1); end
3'b001: begin VAR49= 2'b01; VAR36 = (VAR50) ' (0); end
3'b1?1: begin VAR49= 2'b01; VAR36 = (VAR50) ' (0); end
3'b110: begin VAR49= 2'b10; VAR36 = (VAR50) ' (1); end
default: begin VAR49= {2{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {2{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
1'b0 : VAR4 = ( VAR61 == 2'b01 );
default: VAR4 = ( VAR61 == 2'b10 );
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR27
assign VAR32 = ( VAR61 == 2'b01 )
| ( VAR61 == 2'b10 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR20
if(VAR54 == 3)
begin: VAR18
logic [3-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
5'VAR14??000: begin VAR49 = 3'b000; VAR36 = (VAR50) ' (0); end 5'b00?1?: begin VAR49= 3'b010; VAR36 = (VAR50) ' (1); end
5'b0010?: begin VAR49= 3'b100; VAR36 = (VAR50) ' (2); end
5'b00001: begin VAR49= 3'b001; VAR36 = (VAR50) ' (0); end
5'b011??: begin VAR49= 3'b100; VAR36 = (VAR50) ' (2); end
5'b010?1: begin VAR49= 3'b001; VAR36 = (VAR50) ' (0); end
5'b01010: begin VAR49= 3'b010; VAR36 = (VAR50) ' (1); end
5'b10??1: begin VAR49= 3'b001; VAR36 = (VAR50) ' (0); end
5'b10?10: begin VAR49= 3'b010; VAR36 = (VAR50) ' (1); end
5'b10100: begin VAR49= 3'b100; VAR36 = (VAR50) ' (2); end
default: begin VAR49= {3{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {3{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
2'b00 : VAR4 = ( VAR61 == 3'b010 );
2'b01 : VAR4 = ( VAR61 == 3'b001 );
2'b10 : VAR4 = ( VAR61 == 3'b100 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR19
assign VAR32 = ( VAR61 == 3'b010 )
| ( VAR61 == 3'b001 )
| ( VAR61 == 3'b100 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR18
if(VAR54 == 4)
begin: VAR15
logic [4-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
6'VAR14??0000: begin VAR49 = 4'b0000; VAR36 = (VAR50) ' (0); end 6'b00??1?: begin VAR49= 4'b0010; VAR36 = (VAR50) ' (1); end
6'b00?10?: begin VAR49= 4'b0100; VAR36 = (VAR50) ' (2); end
6'b00100?: begin VAR49= 4'b1000; VAR36 = (VAR50) ' (3); end
6'b000001: begin VAR49= 4'b0001; VAR36 = (VAR50) ' (0); end
6'b01?1??: begin VAR49= 4'b0100; VAR36 = (VAR50) ' (2); end
6'b0110??: begin VAR49= 4'b1000; VAR36 = (VAR50) ' (3); end
6'b0100?1: begin VAR49= 4'b0001; VAR36 = (VAR50) ' (0); end
6'b010010: begin VAR49= 4'b0010; VAR36 = (VAR50) ' (1); end
6'b101???: begin VAR49= 4'b1000; VAR36 = (VAR50) ' (3); end
6'b100??1: begin VAR49= 4'b0001; VAR36 = (VAR50) ' (0); end
6'b100?10: begin VAR49= 4'b0010; VAR36 = (VAR50) ' (1); end
6'b100100: begin VAR49= 4'b0100; VAR36 = (VAR50) ' (2); end
6'b11???1: begin VAR49= 4'b0001; VAR36 = (VAR50) ' (0); end
6'b11??10: begin VAR49= 4'b0010; VAR36 = (VAR50) ' (1); end
6'b11?100: begin VAR49= 4'b0100; VAR36 = (VAR50) ' (2); end
6'b111000: begin VAR49= 4'b1000; VAR36 = (VAR50) ' (3); end
default: begin VAR49= {4{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {4{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
2'b00 : VAR4 = ( VAR61 == 4'b0100 );
2'b01 : VAR4 = ( VAR61 == 4'b0010 );
2'b10 : VAR4 = ( VAR61 == 4'b0001 );
default: VAR4 = ( VAR61 == 4'b1000 );
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR55
assign VAR32 = ( VAR61 == 4'b0100 )
| ( VAR61 == 4'b0010 )
| ( VAR61 == 4'b0001 )
| ( VAR61 == 4'b1000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR15
if(VAR54 == 5)
begin: VAR22
logic [5-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
8'VAR14???00000: begin VAR49 = 5'b00000; VAR36 = (VAR50) ' (0); end 8'b000???1?: begin VAR49= 5'b00010; VAR36 = (VAR50) ' (1); end
8'b000??10?: begin VAR49= 5'b00100; VAR36 = (VAR50) ' (2); end
8'b000?100?: begin VAR49= 5'b01000; VAR36 = (VAR50) ' (3); end
8'b0001000?: begin VAR49= 5'b10000; VAR36 = (VAR50) ' (4); end
8'b00000001: begin VAR49= 5'b00001; VAR36 = (VAR50) ' (0); end
8'b001??1??: begin VAR49= 5'b00100; VAR36 = (VAR50) ' (2); end
8'b001?10??: begin VAR49= 5'b01000; VAR36 = (VAR50) ' (3); end
8'b001100??: begin VAR49= 5'b10000; VAR36 = (VAR50) ' (4); end
8'b001000?1: begin VAR49= 5'b00001; VAR36 = (VAR50) ' (0); end
8'b00100010: begin VAR49= 5'b00010; VAR36 = (VAR50) ' (1); end
8'b010?1???: begin VAR49= 5'b01000; VAR36 = (VAR50) ' (3); end
8'b01010???: begin VAR49= 5'b10000; VAR36 = (VAR50) ' (4); end
8'b01000??1: begin VAR49= 5'b00001; VAR36 = (VAR50) ' (0); end
8'b01000?10: begin VAR49= 5'b00010; VAR36 = (VAR50) ' (1); end
8'b01000100: begin VAR49= 5'b00100; VAR36 = (VAR50) ' (2); end
8'b0111????: begin VAR49= 5'b10000; VAR36 = (VAR50) ' (4); end
8'b0110???1: begin VAR49= 5'b00001; VAR36 = (VAR50) ' (0); end
8'b0110??10: begin VAR49= 5'b00010; VAR36 = (VAR50) ' (1); end
8'b0110?100: begin VAR49= 5'b00100; VAR36 = (VAR50) ' (2); end
8'b01101000: begin VAR49= 5'b01000; VAR36 = (VAR50) ' (3); end
8'b100????1: begin VAR49= 5'b00001; VAR36 = (VAR50) ' (0); end
8'b100???10: begin VAR49= 5'b00010; VAR36 = (VAR50) ' (1); end
8'b100??100: begin VAR49= 5'b00100; VAR36 = (VAR50) ' (2); end
8'b100?1000: begin VAR49= 5'b01000; VAR36 = (VAR50) ' (3); end
8'b10010000: begin VAR49= 5'b10000; VAR36 = (VAR50) ' (4); end
default: begin VAR49= {5{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {5{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
3'b000 : VAR4 = ( VAR61 == 5'b01000 );
3'b001 : VAR4 = ( VAR61 == 5'b00100 );
3'b010 : VAR4 = ( VAR61 == 5'b00010 );
3'b011 : VAR4 = ( VAR61 == 5'b00001 );
3'b100 : VAR4 = ( VAR61 == 5'b10000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR56
assign VAR32 = ( VAR61 == 5'b01000 )
| ( VAR61 == 5'b00100 )
| ( VAR61 == 5'b00010 )
| ( VAR61 == 5'b00001 )
| ( VAR61 == 5'b10000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR22
if(VAR54 == 6)
begin: VAR12
logic [6-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
9'VAR14???000000: begin VAR49 = 6'b000000; VAR36 = (VAR50) ' (0); end 9'b000????1?: begin VAR49= 6'b000010; VAR36 = (VAR50) ' (1); end
9'b000???10?: begin VAR49= 6'b000100; VAR36 = (VAR50) ' (2); end
9'b000??100?: begin VAR49= 6'b001000; VAR36 = (VAR50) ' (3); end
9'b000?1000?: begin VAR49= 6'b010000; VAR36 = (VAR50) ' (4); end
9'b00010000?: begin VAR49= 6'b100000; VAR36 = (VAR50) ' (5); end
9'b000000001: begin VAR49= 6'b000001; VAR36 = (VAR50) ' (0); end
9'b001???1??: begin VAR49= 6'b000100; VAR36 = (VAR50) ' (2); end
9'b001??10??: begin VAR49= 6'b001000; VAR36 = (VAR50) ' (3); end
9'b001?100??: begin VAR49= 6'b010000; VAR36 = (VAR50) ' (4); end
9'b0011000??: begin VAR49= 6'b100000; VAR36 = (VAR50) ' (5); end
9'b0010000?1: begin VAR49= 6'b000001; VAR36 = (VAR50) ' (0); end
9'b001000010: begin VAR49= 6'b000010; VAR36 = (VAR50) ' (1); end
9'b010??1???: begin VAR49= 6'b001000; VAR36 = (VAR50) ' (3); end
9'b010?10???: begin VAR49= 6'b010000; VAR36 = (VAR50) ' (4); end
9'b010100???: begin VAR49= 6'b100000; VAR36 = (VAR50) ' (5); end
9'b010000??1: begin VAR49= 6'b000001; VAR36 = (VAR50) ' (0); end
9'b010000?10: begin VAR49= 6'b000010; VAR36 = (VAR50) ' (1); end
9'b010000100: begin VAR49= 6'b000100; VAR36 = (VAR50) ' (2); end
9'b011?1????: begin VAR49= 6'b010000; VAR36 = (VAR50) ' (4); end
9'b01110????: begin VAR49= 6'b100000; VAR36 = (VAR50) ' (5); end
9'b01100???1: begin VAR49= 6'b000001; VAR36 = (VAR50) ' (0); end
9'b01100??10: begin VAR49= 6'b000010; VAR36 = (VAR50) ' (1); end
9'b01100?100: begin VAR49= 6'b000100; VAR36 = (VAR50) ' (2); end
9'b011001000: begin VAR49= 6'b001000; VAR36 = (VAR50) ' (3); end
9'b1001?????: begin VAR49= 6'b100000; VAR36 = (VAR50) ' (5); end
9'b1000????1: begin VAR49= 6'b000001; VAR36 = (VAR50) ' (0); end
9'b1000???10: begin VAR49= 6'b000010; VAR36 = (VAR50) ' (1); end
9'b1000??100: begin VAR49= 6'b000100; VAR36 = (VAR50) ' (2); end
9'b1000?1000: begin VAR49= 6'b001000; VAR36 = (VAR50) ' (3); end
9'b100010000: begin VAR49= 6'b010000; VAR36 = (VAR50) ' (4); end
9'b101?????1: begin VAR49= 6'b000001; VAR36 = (VAR50) ' (0); end
9'b101????10: begin VAR49= 6'b000010; VAR36 = (VAR50) ' (1); end
9'b101???100: begin VAR49= 6'b000100; VAR36 = (VAR50) ' (2); end
9'b101??1000: begin VAR49= 6'b001000; VAR36 = (VAR50) ' (3); end
9'b101?10000: begin VAR49= 6'b010000; VAR36 = (VAR50) ' (4); end
9'b101100000: begin VAR49= 6'b100000; VAR36 = (VAR50) ' (5); end
default: begin VAR49= {6{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {6{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
3'b000 : VAR4 = ( VAR61 == 6'b010000 );
3'b001 : VAR4 = ( VAR61 == 6'b001000 );
3'b010 : VAR4 = ( VAR61 == 6'b000100 );
3'b011 : VAR4 = ( VAR61 == 6'b000010 );
3'b100 : VAR4 = ( VAR61 == 6'b000001 );
3'b101 : VAR4 = ( VAR61 == 6'b100000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR40
assign VAR32 = ( VAR61 == 6'b010000 )
| ( VAR61 == 6'b001000 )
| ( VAR61 == 6'b000100 )
| ( VAR61 == 6'b000010 )
| ( VAR61 == 6'b000001 )
| ( VAR61 == 6'b100000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR12
if(VAR54 == 7)
begin: VAR39
logic [7-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
10'VAR14???0000000: begin VAR49 = 7'b0000000; VAR36 = (VAR50) ' (0); end 10'b000?????1?: begin VAR49= 7'b0000010; VAR36 = (VAR50) ' (1); end
10'b000????10?: begin VAR49= 7'b0000100; VAR36 = (VAR50) ' (2); end
10'b000???100?: begin VAR49= 7'b0001000; VAR36 = (VAR50) ' (3); end
10'b000??1000?: begin VAR49= 7'b0010000; VAR36 = (VAR50) ' (4); end
10'b000?10000?: begin VAR49= 7'b0100000; VAR36 = (VAR50) ' (5); end
10'b000100000?: begin VAR49= 7'b1000000; VAR36 = (VAR50) ' (6); end
10'b0000000001: begin VAR49= 7'b0000001; VAR36 = (VAR50) ' (0); end
10'b001????1??: begin VAR49= 7'b0000100; VAR36 = (VAR50) ' (2); end
10'b001???10??: begin VAR49= 7'b0001000; VAR36 = (VAR50) ' (3); end
10'b001??100??: begin VAR49= 7'b0010000; VAR36 = (VAR50) ' (4); end
10'b001?1000??: begin VAR49= 7'b0100000; VAR36 = (VAR50) ' (5); end
10'b00110000??: begin VAR49= 7'b1000000; VAR36 = (VAR50) ' (6); end
10'b00100000?1: begin VAR49= 7'b0000001; VAR36 = (VAR50) ' (0); end
10'b0010000010: begin VAR49= 7'b0000010; VAR36 = (VAR50) ' (1); end
10'b010???1???: begin VAR49= 7'b0001000; VAR36 = (VAR50) ' (3); end
10'b010??10???: begin VAR49= 7'b0010000; VAR36 = (VAR50) ' (4); end
10'b010?100???: begin VAR49= 7'b0100000; VAR36 = (VAR50) ' (5); end
10'b0101000???: begin VAR49= 7'b1000000; VAR36 = (VAR50) ' (6); end
10'b0100000??1: begin VAR49= 7'b0000001; VAR36 = (VAR50) ' (0); end
10'b0100000?10: begin VAR49= 7'b0000010; VAR36 = (VAR50) ' (1); end
10'b0100000100: begin VAR49= 7'b0000100; VAR36 = (VAR50) ' (2); end
10'b011??1????: begin VAR49= 7'b0010000; VAR36 = (VAR50) ' (4); end
10'b011?10????: begin VAR49= 7'b0100000; VAR36 = (VAR50) ' (5); end
10'b011100????: begin VAR49= 7'b1000000; VAR36 = (VAR50) ' (6); end
10'b011000???1: begin VAR49= 7'b0000001; VAR36 = (VAR50) ' (0); end
10'b011000??10: begin VAR49= 7'b0000010; VAR36 = (VAR50) ' (1); end
10'b011000?100: begin VAR49= 7'b0000100; VAR36 = (VAR50) ' (2); end
10'b0110001000: begin VAR49= 7'b0001000; VAR36 = (VAR50) ' (3); end
10'b100?1?????: begin VAR49= 7'b0100000; VAR36 = (VAR50) ' (5); end
10'b10010?????: begin VAR49= 7'b1000000; VAR36 = (VAR50) ' (6); end
10'b10000????1: begin VAR49= 7'b0000001; VAR36 = (VAR50) ' (0); end
10'b10000???10: begin VAR49= 7'b0000010; VAR36 = (VAR50) ' (1); end
10'b10000??100: begin VAR49= 7'b0000100; VAR36 = (VAR50) ' (2); end
10'b10000?1000: begin VAR49= 7'b0001000; VAR36 = (VAR50) ' (3); end
10'b1000010000: begin VAR49= 7'b0010000; VAR36 = (VAR50) ' (4); end
10'b1011??????: begin VAR49= 7'b1000000; VAR36 = (VAR50) ' (6); end
10'b1010?????1: begin VAR49= 7'b0000001; VAR36 = (VAR50) ' (0); end
10'b1010????10: begin VAR49= 7'b0000010; VAR36 = (VAR50) ' (1); end
10'b1010???100: begin VAR49= 7'b0000100; VAR36 = (VAR50) ' (2); end
10'b1010??1000: begin VAR49= 7'b0001000; VAR36 = (VAR50) ' (3); end
10'b1010?10000: begin VAR49= 7'b0010000; VAR36 = (VAR50) ' (4); end
10'b1010100000: begin VAR49= 7'b0100000; VAR36 = (VAR50) ' (5); end
10'b110??????1: begin VAR49= 7'b0000001; VAR36 = (VAR50) ' (0); end
10'b110?????10: begin VAR49= 7'b0000010; VAR36 = (VAR50) ' (1); end
10'b110????100: begin VAR49= 7'b0000100; VAR36 = (VAR50) ' (2); end
10'b110???1000: begin VAR49= 7'b0001000; VAR36 = (VAR50) ' (3); end
10'b110??10000: begin VAR49= 7'b0010000; VAR36 = (VAR50) ' (4); end
10'b110?100000: begin VAR49= 7'b0100000; VAR36 = (VAR50) ' (5); end
10'b1101000000: begin VAR49= 7'b1000000; VAR36 = (VAR50) ' (6); end
default: begin VAR49= {7{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {7{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
3'b000 : VAR4 = ( VAR61 == 7'b0100000 );
3'b001 : VAR4 = ( VAR61 == 7'b0010000 );
3'b010 : VAR4 = ( VAR61 == 7'b0001000 );
3'b011 : VAR4 = ( VAR61 == 7'b0000100 );
3'b100 : VAR4 = ( VAR61 == 7'b0000010 );
3'b101 : VAR4 = ( VAR61 == 7'b0000001 );
3'b110 : VAR4 = ( VAR61 == 7'b1000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR11
assign VAR32 = ( VAR61 == 7'b0100000 )
| ( VAR61 == 7'b0010000 )
| ( VAR61 == 7'b0001000 )
| ( VAR61 == 7'b0000100 )
| ( VAR61 == 7'b0000010 )
| ( VAR61 == 7'b0000001 )
| ( VAR61 == 7'b1000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR39
if(VAR54 == 8)
begin: VAR34
logic [8-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
11'VAR14???00000000: begin VAR49 = 8'b00000000; VAR36 = (VAR50) ' (0); end 11'b000??????1?: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b000?????10?: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b000????100?: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b000???1000?: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b000??10000?: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b000?100000?: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b0001000000?: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
11'b00000000001: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b001?????1??: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b001????10??: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b001???100??: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b001??1000??: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b001?10000??: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b001100000??: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
11'b001000000?1: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b00100000010: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b010????1???: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b010???10???: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b010??100???: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b010?1000???: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b01010000???: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
11'b01000000??1: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b01000000?10: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b01000000100: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b011???1????: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b011??10????: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b011?100????: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b0111000????: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
11'b0110000???1: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b0110000??10: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b0110000?100: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b01100001000: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b100??1?????: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b100?10?????: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b100100?????: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
11'b100000????1: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b100000???10: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b100000??100: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b100000?1000: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b10000010000: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b101?1??????: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b10110??????: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
11'b10100?????1: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b10100????10: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b10100???100: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b10100??1000: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b10100?10000: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b10100100000: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b1101???????: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
11'b1100??????1: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b1100?????10: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b1100????100: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b1100???1000: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b1100??10000: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b1100?100000: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b11001000000: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b111???????1: begin VAR49= 8'b00000001; VAR36 = (VAR50) ' (0); end
11'b111??????10: begin VAR49= 8'b00000010; VAR36 = (VAR50) ' (1); end
11'b111?????100: begin VAR49= 8'b00000100; VAR36 = (VAR50) ' (2); end
11'b111????1000: begin VAR49= 8'b00001000; VAR36 = (VAR50) ' (3); end
11'b111???10000: begin VAR49= 8'b00010000; VAR36 = (VAR50) ' (4); end
11'b111??100000: begin VAR49= 8'b00100000; VAR36 = (VAR50) ' (5); end
11'b111?1000000: begin VAR49= 8'b01000000; VAR36 = (VAR50) ' (6); end
11'b11110000000: begin VAR49= 8'b10000000; VAR36 = (VAR50) ' (7); end
default: begin VAR49= {8{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {8{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
3'b000 : VAR4 = ( VAR61 == 8'b01000000 );
3'b001 : VAR4 = ( VAR61 == 8'b00100000 );
3'b010 : VAR4 = ( VAR61 == 8'b00010000 );
3'b011 : VAR4 = ( VAR61 == 8'b00001000 );
3'b100 : VAR4 = ( VAR61 == 8'b00000100 );
3'b101 : VAR4 = ( VAR61 == 8'b00000010 );
3'b110 : VAR4 = ( VAR61 == 8'b00000001 );
default: VAR4 = ( VAR61 == 8'b10000000 );
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR25
assign VAR32 = ( VAR61 == 8'b01000000 )
| ( VAR61 == 8'b00100000 )
| ( VAR61 == 8'b00010000 )
| ( VAR61 == 8'b00001000 )
| ( VAR61 == 8'b00000100 )
| ( VAR61 == 8'b00000010 )
| ( VAR61 == 8'b00000001 )
| ( VAR61 == 8'b10000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR34
if(VAR54 == 9)
begin: VAR24
logic [9-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
13'VAR14????000000000: begin VAR49 = 9'b000000000; VAR36 = (VAR50) ' (0); end 13'b0000???????1?: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b0000??????10?: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b0000?????100?: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b0000????1000?: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b0000???10000?: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b0000??100000?: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b0000?1000000?: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b000010000000?: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b0000000000001: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b0001??????1??: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b0001?????10??: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b0001????100??: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b0001???1000??: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b0001??10000??: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b0001?100000??: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b00011000000??: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b00010000000?1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b0001000000010: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b0010?????1???: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b0010????10???: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b0010???100???: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b0010??1000???: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b0010?10000???: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b0010100000???: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b0010000000??1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b0010000000?10: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b0010000000100: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b0011????1????: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b0011???10????: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b0011??100????: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b0011?1000????: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b001110000????: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b001100000???1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b001100000??10: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b001100000?100: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b0011000001000: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b0100???1?????: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b0100??10?????: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b0100?100?????: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b01001000?????: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b01000000????1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b01000000???10: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b01000000??100: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b01000000?1000: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b0100000010000: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b0101??1??????: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b0101?10??????: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b0101100??????: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b0101000?????1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b0101000????10: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b0101000???100: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b0101000??1000: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b0101000?10000: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b0101000100000: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b0110?1???????: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b011010???????: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b011000??????1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b011000?????10: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b011000????100: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b011000???1000: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b011000??10000: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b011000?100000: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b0110001000000: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b01111????????: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
13'b01110???????1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b01110??????10: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b01110?????100: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b01110????1000: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b01110???10000: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b01110??100000: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b01110?1000000: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b0111010000000: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b1000????????1: begin VAR49= 9'b000000001; VAR36 = (VAR50) ' (0); end
13'b1000???????10: begin VAR49= 9'b000000010; VAR36 = (VAR50) ' (1); end
13'b1000??????100: begin VAR49= 9'b000000100; VAR36 = (VAR50) ' (2); end
13'b1000?????1000: begin VAR49= 9'b000001000; VAR36 = (VAR50) ' (3); end
13'b1000????10000: begin VAR49= 9'b000010000; VAR36 = (VAR50) ' (4); end
13'b1000???100000: begin VAR49= 9'b000100000; VAR36 = (VAR50) ' (5); end
13'b1000??1000000: begin VAR49= 9'b001000000; VAR36 = (VAR50) ' (6); end
13'b1000?10000000: begin VAR49= 9'b010000000; VAR36 = (VAR50) ' (7); end
13'b1000100000000: begin VAR49= 9'b100000000; VAR36 = (VAR50) ' (8); end
default: begin VAR49= {9{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {9{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 9'b010000000 );
4'b0001 : VAR4 = ( VAR61 == 9'b001000000 );
4'b0010 : VAR4 = ( VAR61 == 9'b000100000 );
4'b0011 : VAR4 = ( VAR61 == 9'b000010000 );
4'b0100 : VAR4 = ( VAR61 == 9'b000001000 );
4'b0101 : VAR4 = ( VAR61 == 9'b000000100 );
4'b0110 : VAR4 = ( VAR61 == 9'b000000010 );
4'b0111 : VAR4 = ( VAR61 == 9'b000000001 );
4'b1000 : VAR4 = ( VAR61 == 9'b100000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR13
assign VAR32 = ( VAR61 == 9'b010000000 )
| ( VAR61 == 9'b001000000 )
| ( VAR61 == 9'b000100000 )
| ( VAR61 == 9'b000010000 )
| ( VAR61 == 9'b000001000 )
| ( VAR61 == 9'b000000100 )
| ( VAR61 == 9'b000000010 )
| ( VAR61 == 9'b000000001 )
| ( VAR61 == 9'b100000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR24
if(VAR54 == 10)
begin: VAR8
logic [10-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
14'VAR14????0000000000: begin VAR49 = 10'b0000000000; VAR36 = (VAR50) ' (0); end 14'b0000????????1?: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b0000???????10?: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b0000??????100?: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b0000?????1000?: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b0000????10000?: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b0000???100000?: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b0000??1000000?: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b0000?10000000?: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b0000100000000?: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b00000000000001: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b0001???????1??: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b0001??????10??: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b0001?????100??: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b0001????1000??: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b0001???10000??: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b0001??100000??: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b0001?1000000??: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b000110000000??: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b000100000000?1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b00010000000010: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b0010??????1???: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b0010?????10???: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b0010????100???: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b0010???1000???: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b0010??10000???: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b0010?100000???: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b00101000000???: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b00100000000??1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b00100000000?10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b00100000000100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b0011?????1????: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b0011????10????: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b0011???100????: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b0011??1000????: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b0011?10000????: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b0011100000????: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b0011000000???1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b0011000000??10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b0011000000?100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b00110000001000: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b0100????1?????: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b0100???10?????: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b0100??100?????: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b0100?1000?????: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b010010000?????: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b010000000????1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b010000000???10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b010000000??100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b010000000?1000: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b01000000010000: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b0101???1??????: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b0101??10??????: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b0101?100??????: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b01011000??????: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b01010000?????1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b01010000????10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b01010000???100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b01010000??1000: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b01010000?10000: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b01010000100000: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b0110??1???????: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b0110?10???????: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b0110100???????: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b0110000??????1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b0110000?????10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b0110000????100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b0110000???1000: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b0110000??10000: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b0110000?100000: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b01100001000000: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b0111?1????????: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b011110????????: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b011100???????1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b011100??????10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b011100?????100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b011100????1000: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b011100???10000: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b011100??100000: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b011100?1000000: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b01110010000000: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b10001?????????: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
14'b10000????????1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b10000???????10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b10000??????100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b10000?????1000: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b10000????10000: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b10000???100000: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b10000??1000000: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b10000?10000000: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b10000100000000: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b1001?????????1: begin VAR49= 10'b0000000001; VAR36 = (VAR50) ' (0); end
14'b1001????????10: begin VAR49= 10'b0000000010; VAR36 = (VAR50) ' (1); end
14'b1001???????100: begin VAR49= 10'b0000000100; VAR36 = (VAR50) ' (2); end
14'b1001??????1000: begin VAR49= 10'b0000001000; VAR36 = (VAR50) ' (3); end
14'b1001?????10000: begin VAR49= 10'b0000010000; VAR36 = (VAR50) ' (4); end
14'b1001????100000: begin VAR49= 10'b0000100000; VAR36 = (VAR50) ' (5); end
14'b1001???1000000: begin VAR49= 10'b0001000000; VAR36 = (VAR50) ' (6); end
14'b1001??10000000: begin VAR49= 10'b0010000000; VAR36 = (VAR50) ' (7); end
14'b1001?100000000: begin VAR49= 10'b0100000000; VAR36 = (VAR50) ' (8); end
14'b10011000000000: begin VAR49= 10'b1000000000; VAR36 = (VAR50) ' (9); end
default: begin VAR49= {10{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {10{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 10'b0100000000 );
4'b0001 : VAR4 = ( VAR61 == 10'b0010000000 );
4'b0010 : VAR4 = ( VAR61 == 10'b0001000000 );
4'b0011 : VAR4 = ( VAR61 == 10'b0000100000 );
4'b0100 : VAR4 = ( VAR61 == 10'b0000010000 );
4'b0101 : VAR4 = ( VAR61 == 10'b0000001000 );
4'b0110 : VAR4 = ( VAR61 == 10'b0000000100 );
4'b0111 : VAR4 = ( VAR61 == 10'b0000000010 );
4'b1000 : VAR4 = ( VAR61 == 10'b0000000001 );
4'b1001 : VAR4 = ( VAR61 == 10'b1000000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR16
assign VAR32 = ( VAR61 == 10'b0100000000 )
| ( VAR61 == 10'b0010000000 )
| ( VAR61 == 10'b0001000000 )
| ( VAR61 == 10'b0000100000 )
| ( VAR61 == 10'b0000010000 )
| ( VAR61 == 10'b0000001000 )
| ( VAR61 == 10'b0000000100 )
| ( VAR61 == 10'b0000000010 )
| ( VAR61 == 10'b0000000001 )
| ( VAR61 == 10'b1000000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR8
if(VAR54 == 11)
begin: VAR28
logic [11-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
15'VAR14????00000000000: begin VAR49 = 11'b00000000000; VAR36 = (VAR50) ' (0); end 15'b0000?????????1?: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b0000????????10?: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b0000???????100?: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b0000??????1000?: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b0000?????10000?: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b0000????100000?: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b0000???1000000?: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b0000??10000000?: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0000?100000000?: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b00001000000000?: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b000000000000001: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b0001????????1??: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b0001???????10??: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b0001??????100??: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b0001?????1000??: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b0001????10000??: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b0001???100000??: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b0001??1000000??: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0001?10000000??: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b0001100000000??: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b0001000000000?1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b000100000000010: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b0010???????1???: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b0010??????10???: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b0010?????100???: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b0010????1000???: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b0010???10000???: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b0010??100000???: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0010?1000000???: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b001010000000???: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b001000000000??1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b001000000000?10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b001000000000100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b0011??????1????: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b0011?????10????: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b0011????100????: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b0011???1000????: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b0011??10000????: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0011?100000????: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b00111000000????: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b00110000000???1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b00110000000??10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b00110000000?100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b001100000001000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b0100?????1?????: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b0100????10?????: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b0100???100?????: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b0100??1000?????: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0100?10000?????: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b0100100000?????: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b0100000000????1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b0100000000???10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b0100000000??100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b0100000000?1000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b010000000010000: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b0101????1??????: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b0101???10??????: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b0101??100??????: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0101?1000??????: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b010110000??????: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b010100000?????1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b010100000????10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b010100000???100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b010100000??1000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b010100000?10000: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b010100000100000: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b0110???1???????: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b0110??10???????: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0110?100???????: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b01101000???????: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b01100000??????1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b01100000?????10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b01100000????100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b01100000???1000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b01100000??10000: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b01100000?100000: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b011000001000000: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b0111??1????????: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b0111?10????????: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b0111100????????: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b0111000???????1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b0111000??????10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b0111000?????100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b0111000????1000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b0111000???10000: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b0111000??100000: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b0111000?1000000: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b011100010000000: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b1000?1?????????: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b100010?????????: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b100000????????1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b100000???????10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b100000??????100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b100000?????1000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b100000????10000: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b100000???100000: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b100000??1000000: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b100000?10000000: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b100000100000000: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b10011??????????: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
15'b10010?????????1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b10010????????10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b10010???????100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b10010??????1000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b10010?????10000: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b10010????100000: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b10010???1000000: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b10010??10000000: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b10010?100000000: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b100101000000000: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b1010??????????1: begin VAR49= 11'b00000000001; VAR36 = (VAR50) ' (0); end
15'b1010?????????10: begin VAR49= 11'b00000000010; VAR36 = (VAR50) ' (1); end
15'b1010????????100: begin VAR49= 11'b00000000100; VAR36 = (VAR50) ' (2); end
15'b1010???????1000: begin VAR49= 11'b00000001000; VAR36 = (VAR50) ' (3); end
15'b1010??????10000: begin VAR49= 11'b00000010000; VAR36 = (VAR50) ' (4); end
15'b1010?????100000: begin VAR49= 11'b00000100000; VAR36 = (VAR50) ' (5); end
15'b1010????1000000: begin VAR49= 11'b00001000000; VAR36 = (VAR50) ' (6); end
15'b1010???10000000: begin VAR49= 11'b00010000000; VAR36 = (VAR50) ' (7); end
15'b1010??100000000: begin VAR49= 11'b00100000000; VAR36 = (VAR50) ' (8); end
15'b1010?1000000000: begin VAR49= 11'b01000000000; VAR36 = (VAR50) ' (9); end
15'b101010000000000: begin VAR49= 11'b10000000000; VAR36 = (VAR50) ' (10); end
default: begin VAR49= {11{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {11{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 11'b01000000000 );
4'b0001 : VAR4 = ( VAR61 == 11'b00100000000 );
4'b0010 : VAR4 = ( VAR61 == 11'b00010000000 );
4'b0011 : VAR4 = ( VAR61 == 11'b00001000000 );
4'b0100 : VAR4 = ( VAR61 == 11'b00000100000 );
4'b0101 : VAR4 = ( VAR61 == 11'b00000010000 );
4'b0110 : VAR4 = ( VAR61 == 11'b00000001000 );
4'b0111 : VAR4 = ( VAR61 == 11'b00000000100 );
4'b1000 : VAR4 = ( VAR61 == 11'b00000000010 );
4'b1001 : VAR4 = ( VAR61 == 11'b00000000001 );
4'b1010 : VAR4 = ( VAR61 == 11'b10000000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR10
assign VAR32 = ( VAR61 == 11'b01000000000 )
| ( VAR61 == 11'b00100000000 )
| ( VAR61 == 11'b00010000000 )
| ( VAR61 == 11'b00001000000 )
| ( VAR61 == 11'b00000100000 )
| ( VAR61 == 11'b00000010000 )
| ( VAR61 == 11'b00000001000 )
| ( VAR61 == 11'b00000000100 )
| ( VAR61 == 11'b00000000010 )
| ( VAR61 == 11'b00000000001 )
| ( VAR61 == 11'b10000000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR28
if(VAR54 == 12)
begin: VAR51
logic [12-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
16'VAR14????000000000000: begin VAR49 = 12'b000000000000; VAR36 = (VAR50) ' (0); end 16'b0000??????????1?: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b0000?????????10?: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b0000????????100?: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b0000???????1000?: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b0000??????10000?: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b0000?????100000?: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0000????1000000?: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b0000???10000000?: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0000??100000000?: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0000?1000000000?: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b000010000000000?: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b0000000000000001: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b0001?????????1??: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b0001????????10??: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b0001???????100??: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b0001??????1000??: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b0001?????10000??: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0001????100000??: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b0001???1000000??: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0001??10000000??: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0001?100000000??: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b00011000000000??: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b00010000000000?1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b0001000000000010: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b0010????????1???: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b0010???????10???: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b0010??????100???: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b0010?????1000???: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0010????10000???: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b0010???100000???: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0010??1000000???: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0010?10000000???: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b0010100000000???: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b0010000000000??1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b0010000000000?10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b0010000000000100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b0011???????1????: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b0011??????10????: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b0011?????100????: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0011????1000????: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b0011???10000????: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0011??100000????: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0011?1000000????: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b001110000000????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b001100000000???1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b001100000000??10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b001100000000?100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b0011000000001000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b0100??????1?????: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b0100?????10?????: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0100????100?????: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b0100???1000?????: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0100??10000?????: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0100?100000?????: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b01001000000?????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b01000000000????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b01000000000???10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b01000000000??100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b01000000000?1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b0100000000010000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b0101?????1??????: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0101????10??????: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b0101???100??????: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0101??1000??????: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0101?10000??????: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b0101100000??????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b0101000000?????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b0101000000????10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b0101000000???100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b0101000000??1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b0101000000?10000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b0101000000100000: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b0110????1???????: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b0110???10???????: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0110??100???????: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0110?1000???????: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b011010000???????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b011000000??????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b011000000?????10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b011000000????100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b011000000???1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b011000000??10000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b011000000?100000: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b0110000001000000: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0111???1????????: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b0111??10????????: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b0111?100????????: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b01111000????????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b01110000???????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b01110000??????10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b01110000?????100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b01110000????1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b01110000???10000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b01110000??100000: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b01110000?1000000: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b0111000010000000: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b1000??1?????????: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b1000?10?????????: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b1000100?????????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b1000000????????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b1000000???????10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b1000000??????100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b1000000?????1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b1000000????10000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b1000000???100000: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b1000000??1000000: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b1000000?10000000: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b1000000100000000: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b1001?1??????????: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b100110??????????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b100100?????????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b100100????????10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b100100???????100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b100100??????1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b100100?????10000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b100100????100000: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b100100???1000000: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b100100??10000000: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b100100?100000000: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b1001001000000000: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b10101???????????: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
16'b10100??????????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b10100?????????10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b10100????????100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b10100???????1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b10100??????10000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b10100?????100000: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b10100????1000000: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b10100???10000000: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b10100??100000000: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b10100?1000000000: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b1010010000000000: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b1011???????????1: begin VAR49= 12'b000000000001; VAR36 = (VAR50) ' (0); end
16'b1011??????????10: begin VAR49= 12'b000000000010; VAR36 = (VAR50) ' (1); end
16'b1011?????????100: begin VAR49= 12'b000000000100; VAR36 = (VAR50) ' (2); end
16'b1011????????1000: begin VAR49= 12'b000000001000; VAR36 = (VAR50) ' (3); end
16'b1011???????10000: begin VAR49= 12'b000000010000; VAR36 = (VAR50) ' (4); end
16'b1011??????100000: begin VAR49= 12'b000000100000; VAR36 = (VAR50) ' (5); end
16'b1011?????1000000: begin VAR49= 12'b000001000000; VAR36 = (VAR50) ' (6); end
16'b1011????10000000: begin VAR49= 12'b000010000000; VAR36 = (VAR50) ' (7); end
16'b1011???100000000: begin VAR49= 12'b000100000000; VAR36 = (VAR50) ' (8); end
16'b1011??1000000000: begin VAR49= 12'b001000000000; VAR36 = (VAR50) ' (9); end
16'b1011?10000000000: begin VAR49= 12'b010000000000; VAR36 = (VAR50) ' (10); end
16'b1011100000000000: begin VAR49= 12'b100000000000; VAR36 = (VAR50) ' (11); end
default: begin VAR49= {12{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {12{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 12'b010000000000 );
4'b0001 : VAR4 = ( VAR61 == 12'b001000000000 );
4'b0010 : VAR4 = ( VAR61 == 12'b000100000000 );
4'b0011 : VAR4 = ( VAR61 == 12'b000010000000 );
4'b0100 : VAR4 = ( VAR61 == 12'b000001000000 );
4'b0101 : VAR4 = ( VAR61 == 12'b000000100000 );
4'b0110 : VAR4 = ( VAR61 == 12'b000000010000 );
4'b0111 : VAR4 = ( VAR61 == 12'b000000001000 );
4'b1000 : VAR4 = ( VAR61 == 12'b000000000100 );
4'b1001 : VAR4 = ( VAR61 == 12'b000000000010 );
4'b1010 : VAR4 = ( VAR61 == 12'b000000000001 );
4'b1011 : VAR4 = ( VAR61 == 12'b100000000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR60
assign VAR32 = ( VAR61 == 12'b010000000000 )
| ( VAR61 == 12'b001000000000 )
| ( VAR61 == 12'b000100000000 )
| ( VAR61 == 12'b000010000000 )
| ( VAR61 == 12'b000001000000 )
| ( VAR61 == 12'b000000100000 )
| ( VAR61 == 12'b000000010000 )
| ( VAR61 == 12'b000000001000 )
| ( VAR61 == 12'b000000000100 )
| ( VAR61 == 12'b000000000010 )
| ( VAR61 == 12'b000000000001 )
| ( VAR61 == 12'b100000000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR51
if(VAR54 == 13)
begin: VAR58
logic [13-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
17'VAR14????0000000000000: begin VAR49 = 13'b0000000000000; VAR36 = (VAR50) ' (0); end 17'b0000???????????1?: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b0000??????????10?: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b0000?????????100?: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b0000????????1000?: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b0000???????10000?: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b0000??????100000?: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b0000?????1000000?: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b0000????10000000?: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0000???100000000?: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0000??1000000000?: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0000?10000000000?: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b0000100000000000?: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b00000000000000001: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b0001??????????1??: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b0001?????????10??: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b0001????????100??: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b0001???????1000??: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b0001??????10000??: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b0001?????100000??: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b0001????1000000??: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0001???10000000??: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0001??100000000??: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0001?1000000000??: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b000110000000000??: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b000100000000000?1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b00010000000000010: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b0010?????????1???: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b0010????????10???: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b0010???????100???: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b0010??????1000???: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b0010?????10000???: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b0010????100000???: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0010???1000000???: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0010??10000000???: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0010?100000000???: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b00101000000000???: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b00100000000000??1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b00100000000000?10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b00100000000000100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b0011????????1????: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b0011???????10????: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b0011??????100????: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b0011?????1000????: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b0011????10000????: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0011???100000????: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0011??1000000????: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0011?10000000????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b0011100000000????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b0011000000000???1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b0011000000000??10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b0011000000000?100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b00110000000001000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b0100???????1?????: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b0100??????10?????: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b0100?????100?????: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b0100????1000?????: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0100???10000?????: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0100??100000?????: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0100?1000000?????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b010010000000?????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b010000000000????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b010000000000???10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b010000000000??100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b010000000000?1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b01000000000010000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b0101??????1??????: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b0101?????10??????: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b0101????100??????: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0101???1000??????: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0101??10000??????: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0101?100000??????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b01011000000??????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b01010000000?????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b01010000000????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b01010000000???100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b01010000000??1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b01010000000?10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b01010000000100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b0110?????1???????: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b0110????10???????: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0110???100???????: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0110??1000???????: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0110?10000???????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b0110100000???????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b0110000000??????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b0110000000?????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b0110000000????100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b0110000000???1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b0110000000??10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b0110000000?100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b01100000001000000: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b0111????1????????: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b0111???10????????: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b0111??100????????: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b0111?1000????????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b011110000????????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b011100000???????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b011100000??????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b011100000?????100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b011100000????1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b011100000???10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b011100000??100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b011100000?1000000: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b01110000010000000: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b1000???1?????????: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b1000??10?????????: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b1000?100?????????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b10001000?????????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b10000000????????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b10000000???????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b10000000??????100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b10000000?????1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b10000000????10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b10000000???100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b10000000??1000000: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b10000000?10000000: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b10000000100000000: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b1001??1??????????: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b1001?10??????????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b1001100??????????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b1001000?????????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b1001000????????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b1001000???????100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b1001000??????1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b1001000?????10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b1001000????100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b1001000???1000000: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b1001000??10000000: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b1001000?100000000: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b10010001000000000: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b1010?1???????????: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b101010???????????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b101000??????????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b101000?????????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b101000????????100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b101000???????1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b101000??????10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b101000?????100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b101000????1000000: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b101000???10000000: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b101000??100000000: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b101000?1000000000: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b10100010000000000: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b10111????????????: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
17'b10110???????????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b10110??????????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b10110?????????100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b10110????????1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b10110???????10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b10110??????100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b10110?????1000000: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b10110????10000000: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b10110???100000000: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b10110??1000000000: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b10110?10000000000: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b10110100000000000: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b1100????????????1: begin VAR49= 13'b0000000000001; VAR36 = (VAR50) ' (0); end
17'b1100???????????10: begin VAR49= 13'b0000000000010; VAR36 = (VAR50) ' (1); end
17'b1100??????????100: begin VAR49= 13'b0000000000100; VAR36 = (VAR50) ' (2); end
17'b1100?????????1000: begin VAR49= 13'b0000000001000; VAR36 = (VAR50) ' (3); end
17'b1100????????10000: begin VAR49= 13'b0000000010000; VAR36 = (VAR50) ' (4); end
17'b1100???????100000: begin VAR49= 13'b0000000100000; VAR36 = (VAR50) ' (5); end
17'b1100??????1000000: begin VAR49= 13'b0000001000000; VAR36 = (VAR50) ' (6); end
17'b1100?????10000000: begin VAR49= 13'b0000010000000; VAR36 = (VAR50) ' (7); end
17'b1100????100000000: begin VAR49= 13'b0000100000000; VAR36 = (VAR50) ' (8); end
17'b1100???1000000000: begin VAR49= 13'b0001000000000; VAR36 = (VAR50) ' (9); end
17'b1100??10000000000: begin VAR49= 13'b0010000000000; VAR36 = (VAR50) ' (10); end
17'b1100?100000000000: begin VAR49= 13'b0100000000000; VAR36 = (VAR50) ' (11); end
17'b11001000000000000: begin VAR49= 13'b1000000000000; VAR36 = (VAR50) ' (12); end
default: begin VAR49= {13{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {13{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 13'b0100000000000 );
4'b0001 : VAR4 = ( VAR61 == 13'b0010000000000 );
4'b0010 : VAR4 = ( VAR61 == 13'b0001000000000 );
4'b0011 : VAR4 = ( VAR61 == 13'b0000100000000 );
4'b0100 : VAR4 = ( VAR61 == 13'b0000010000000 );
4'b0101 : VAR4 = ( VAR61 == 13'b0000001000000 );
4'b0110 : VAR4 = ( VAR61 == 13'b0000000100000 );
4'b0111 : VAR4 = ( VAR61 == 13'b0000000010000 );
4'b1000 : VAR4 = ( VAR61 == 13'b0000000001000 );
4'b1001 : VAR4 = ( VAR61 == 13'b0000000000100 );
4'b1010 : VAR4 = ( VAR61 == 13'b0000000000010 );
4'b1011 : VAR4 = ( VAR61 == 13'b0000000000001 );
4'b1100 : VAR4 = ( VAR61 == 13'b1000000000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR5
assign VAR32 = ( VAR61 == 13'b0100000000000 )
| ( VAR61 == 13'b0010000000000 )
| ( VAR61 == 13'b0001000000000 )
| ( VAR61 == 13'b0000100000000 )
| ( VAR61 == 13'b0000010000000 )
| ( VAR61 == 13'b0000001000000 )
| ( VAR61 == 13'b0000000100000 )
| ( VAR61 == 13'b0000000010000 )
| ( VAR61 == 13'b0000000001000 )
| ( VAR61 == 13'b0000000000100 )
| ( VAR61 == 13'b0000000000010 )
| ( VAR61 == 13'b0000000000001 )
| ( VAR61 == 13'b1000000000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR58
if(VAR54 == 14)
begin: VAR29
logic [14-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
18'VAR14????00000000000000: begin VAR49 = 14'b00000000000000; VAR36 = (VAR50) ' (0); end 18'b0000????????????1?: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b0000???????????10?: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b0000??????????100?: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b0000?????????1000?: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b0000????????10000?: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b0000???????100000?: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b0000??????1000000?: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b0000?????10000000?: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0000????100000000?: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0000???1000000000?: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0000??10000000000?: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0000?100000000000?: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b00001000000000000?: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b000000000000000001: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b0001???????????1??: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b0001??????????10??: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b0001?????????100??: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b0001????????1000??: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b0001???????10000??: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b0001??????100000??: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b0001?????1000000??: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0001????10000000??: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0001???100000000??: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0001??1000000000??: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0001?10000000000??: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b0001100000000000??: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b0001000000000000?1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b000100000000000010: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b0010??????????1???: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b0010?????????10???: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b0010????????100???: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b0010???????1000???: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b0010??????10000???: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b0010?????100000???: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0010????1000000???: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0010???10000000???: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0010??100000000???: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0010?1000000000???: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b001010000000000???: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b001000000000000??1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b001000000000000?10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b001000000000000100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b0011?????????1????: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b0011????????10????: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b0011???????100????: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b0011??????1000????: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b0011?????10000????: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0011????100000????: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0011???1000000????: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0011??10000000????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0011?100000000????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b00111000000000????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b00110000000000???1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b00110000000000??10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b00110000000000?100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b001100000000001000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b0100????????1?????: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b0100???????10?????: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b0100??????100?????: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b0100?????1000?????: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0100????10000?????: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0100???100000?????: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0100??1000000?????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0100?10000000?????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b0100100000000?????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b0100000000000????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b0100000000000???10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b0100000000000??100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b0100000000000?1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b010000000000010000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b0101???????1??????: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b0101??????10??????: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b0101?????100??????: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0101????1000??????: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0101???10000??????: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0101??100000??????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0101?1000000??????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b010110000000??????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b010100000000?????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b010100000000????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b010100000000???100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b010100000000??1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b010100000000?10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b010100000000100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b0110??????1???????: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b0110?????10???????: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0110????100???????: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0110???1000???????: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0110??10000???????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0110?100000???????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b01101000000???????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b01100000000??????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b01100000000?????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b01100000000????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b01100000000???1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b01100000000??10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b01100000000?100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b011000000001000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b0111?????1????????: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b0111????10????????: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b0111???100????????: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b0111??1000????????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b0111?10000????????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b0111100000????????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b0111000000???????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b0111000000??????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b0111000000?????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b0111000000????1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b0111000000???10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b0111000000??100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b0111000000?1000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b011100000010000000: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b1000????1?????????: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b1000???10?????????: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b1000??100?????????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b1000?1000?????????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b100010000?????????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b100000000????????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b100000000???????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b100000000??????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b100000000?????1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b100000000????10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b100000000???100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b100000000??1000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b100000000?10000000: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b100000000100000000: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b1001???1??????????: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b1001??10??????????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b1001?100??????????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b10011000??????????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b10010000?????????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b10010000????????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b10010000???????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b10010000??????1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b10010000?????10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b10010000????100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b10010000???1000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b10010000??10000000: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b10010000?100000000: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b100100001000000000: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b1010??1???????????: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b1010?10???????????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b1010100???????????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b1010000??????????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b1010000?????????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b1010000????????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b1010000???????1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b1010000??????10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b1010000?????100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b1010000????1000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b1010000???10000000: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b1010000??100000000: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b1010000?1000000000: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b101000010000000000: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b1011?1????????????: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b101110????????????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b101100???????????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b101100??????????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b101100?????????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b101100????????1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b101100???????10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b101100??????100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b101100?????1000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b101100????10000000: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b101100???100000000: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b101100??1000000000: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b101100?10000000000: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b101100100000000000: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b11001?????????????: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
18'b11000????????????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b11000???????????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b11000??????????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b11000?????????1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b11000????????10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b11000???????100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b11000??????1000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b11000?????10000000: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b11000????100000000: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b11000???1000000000: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b11000??10000000000: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b11000?100000000000: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b110001000000000000: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b1101?????????????1: begin VAR49= 14'b00000000000001; VAR36 = (VAR50) ' (0); end
18'b1101????????????10: begin VAR49= 14'b00000000000010; VAR36 = (VAR50) ' (1); end
18'b1101???????????100: begin VAR49= 14'b00000000000100; VAR36 = (VAR50) ' (2); end
18'b1101??????????1000: begin VAR49= 14'b00000000001000; VAR36 = (VAR50) ' (3); end
18'b1101?????????10000: begin VAR49= 14'b00000000010000; VAR36 = (VAR50) ' (4); end
18'b1101????????100000: begin VAR49= 14'b00000000100000; VAR36 = (VAR50) ' (5); end
18'b1101???????1000000: begin VAR49= 14'b00000001000000; VAR36 = (VAR50) ' (6); end
18'b1101??????10000000: begin VAR49= 14'b00000010000000; VAR36 = (VAR50) ' (7); end
18'b1101?????100000000: begin VAR49= 14'b00000100000000; VAR36 = (VAR50) ' (8); end
18'b1101????1000000000: begin VAR49= 14'b00001000000000; VAR36 = (VAR50) ' (9); end
18'b1101???10000000000: begin VAR49= 14'b00010000000000; VAR36 = (VAR50) ' (10); end
18'b1101??100000000000: begin VAR49= 14'b00100000000000; VAR36 = (VAR50) ' (11); end
18'b1101?1000000000000: begin VAR49= 14'b01000000000000; VAR36 = (VAR50) ' (12); end
18'b110110000000000000: begin VAR49= 14'b10000000000000; VAR36 = (VAR50) ' (13); end
default: begin VAR49= {14{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {14{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 14'b01000000000000 );
4'b0001 : VAR4 = ( VAR61 == 14'b00100000000000 );
4'b0010 : VAR4 = ( VAR61 == 14'b00010000000000 );
4'b0011 : VAR4 = ( VAR61 == 14'b00001000000000 );
4'b0100 : VAR4 = ( VAR61 == 14'b00000100000000 );
4'b0101 : VAR4 = ( VAR61 == 14'b00000010000000 );
4'b0110 : VAR4 = ( VAR61 == 14'b00000001000000 );
4'b0111 : VAR4 = ( VAR61 == 14'b00000000100000 );
4'b1000 : VAR4 = ( VAR61 == 14'b00000000010000 );
4'b1001 : VAR4 = ( VAR61 == 14'b00000000001000 );
4'b1010 : VAR4 = ( VAR61 == 14'b00000000000100 );
4'b1011 : VAR4 = ( VAR61 == 14'b00000000000010 );
4'b1100 : VAR4 = ( VAR61 == 14'b00000000000001 );
4'b1101 : VAR4 = ( VAR61 == 14'b10000000000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR57
assign VAR32 = ( VAR61 == 14'b01000000000000 )
| ( VAR61 == 14'b00100000000000 )
| ( VAR61 == 14'b00010000000000 )
| ( VAR61 == 14'b00001000000000 )
| ( VAR61 == 14'b00000100000000 )
| ( VAR61 == 14'b00000010000000 )
| ( VAR61 == 14'b00000001000000 )
| ( VAR61 == 14'b00000000100000 )
| ( VAR61 == 14'b00000000010000 )
| ( VAR61 == 14'b00000000001000 )
| ( VAR61 == 14'b00000000000100 )
| ( VAR61 == 14'b00000000000010 )
| ( VAR61 == 14'b00000000000001 )
| ( VAR61 == 14'b10000000000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR29
if(VAR54 == 15)
begin: VAR26
logic [15-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
19'VAR14????000000000000000: begin VAR49 = 15'b000000000000000; VAR36 = (VAR50) ' (0); end 19'b0000?????????????1?: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b0000????????????10?: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b0000???????????100?: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b0000??????????1000?: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b0000?????????10000?: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b0000????????100000?: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0000???????1000000?: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b0000??????10000000?: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0000?????100000000?: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0000????1000000000?: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0000???10000000000?: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0000??100000000000?: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0000?1000000000000?: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b000010000000000000?: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b0000000000000000001: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b0001????????????1??: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b0001???????????10??: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b0001??????????100??: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b0001?????????1000??: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b0001????????10000??: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0001???????100000??: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b0001??????1000000??: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0001?????10000000??: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0001????100000000??: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0001???1000000000??: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0001??10000000000??: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0001?100000000000??: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b00011000000000000??: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b00010000000000000?1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b0001000000000000010: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b0010???????????1???: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b0010??????????10???: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b0010?????????100???: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b0010????????1000???: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0010???????10000???: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b0010??????100000???: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0010?????1000000???: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0010????10000000???: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0010???100000000???: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0010??1000000000???: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0010?10000000000???: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b0010100000000000???: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b0010000000000000??1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b0010000000000000?10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b0010000000000000100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b0011??????????1????: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b0011?????????10????: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b0011????????100????: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0011???????1000????: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b0011??????10000????: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0011?????100000????: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0011????1000000????: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0011???10000000????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0011??100000000????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0011?1000000000????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b001110000000000????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b001100000000000???1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b001100000000000??10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b001100000000000?100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b0011000000000001000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b0100?????????1?????: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b0100????????10?????: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0100???????100?????: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b0100??????1000?????: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0100?????10000?????: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0100????100000?????: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0100???1000000?????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0100??10000000?????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0100?100000000?????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b01001000000000?????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b01000000000000????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b01000000000000???10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b01000000000000??100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b01000000000000?1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b0100000000000010000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b0101????????1??????: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0101???????10??????: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b0101??????100??????: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0101?????1000??????: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0101????10000??????: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0101???100000??????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0101??1000000??????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0101?10000000??????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b0101100000000??????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b0101000000000?????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b0101000000000????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b0101000000000???100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b0101000000000??1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b0101000000000?10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b0101000000000100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b0110???????1???????: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b0110??????10???????: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0110?????100???????: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0110????1000???????: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0110???10000???????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0110??100000???????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0110?1000000???????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b011010000000???????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b011000000000??????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b011000000000?????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b011000000000????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b011000000000???1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b011000000000??10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b011000000000?100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b0110000000001000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0111??????1????????: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b0111?????10????????: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b0111????100????????: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b0111???1000????????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b0111??10000????????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b0111?100000????????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b01111000000????????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b01110000000???????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b01110000000??????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b01110000000?????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b01110000000????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b01110000000???10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b01110000000??100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b01110000000?1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b0111000000010000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b1000?????1?????????: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b1000????10?????????: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b1000???100?????????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b1000??1000?????????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b1000?10000?????????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b1000100000?????????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b1000000000????????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b1000000000???????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b1000000000??????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b1000000000?????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b1000000000????10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b1000000000???100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b1000000000??1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b1000000000?10000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b1000000000100000000: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b1001????1??????????: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b1001???10??????????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b1001??100??????????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b1001?1000??????????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b100110000??????????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b100100000?????????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b100100000????????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b100100000???????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b100100000??????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b100100000?????10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b100100000????100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b100100000???1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b100100000??10000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b100100000?100000000: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b1001000001000000000: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b1010???1???????????: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b1010??10???????????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b1010?100???????????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b10101000???????????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b10100000??????????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b10100000?????????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b10100000????????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b10100000???????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b10100000??????10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b10100000?????100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b10100000????1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b10100000???10000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b10100000??100000000: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b10100000?1000000000: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b1010000010000000000: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b1011??1????????????: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b1011?10????????????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b1011100????????????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b1011000???????????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b1011000??????????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b1011000?????????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b1011000????????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b1011000???????10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b1011000??????100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b1011000?????1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b1011000????10000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b1011000???100000000: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b1011000??1000000000: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b1011000?10000000000: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b1011000100000000000: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b1100?1?????????????: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b110010?????????????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b110000????????????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b110000???????????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b110000??????????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b110000?????????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b110000????????10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b110000???????100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b110000??????1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b110000?????10000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b110000????100000000: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b110000???1000000000: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b110000??10000000000: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b110000?100000000000: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b1100001000000000000: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b11011??????????????: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
19'b11010?????????????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b11010????????????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b11010???????????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b11010??????????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b11010?????????10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b11010????????100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b11010???????1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b11010??????10000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b11010?????100000000: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b11010????1000000000: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b11010???10000000000: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b11010??100000000000: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b11010?1000000000000: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b1101010000000000000: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b1110??????????????1: begin VAR49= 15'b000000000000001; VAR36 = (VAR50) ' (0); end
19'b1110?????????????10: begin VAR49= 15'b000000000000010; VAR36 = (VAR50) ' (1); end
19'b1110????????????100: begin VAR49= 15'b000000000000100; VAR36 = (VAR50) ' (2); end
19'b1110???????????1000: begin VAR49= 15'b000000000001000; VAR36 = (VAR50) ' (3); end
19'b1110??????????10000: begin VAR49= 15'b000000000010000; VAR36 = (VAR50) ' (4); end
19'b1110?????????100000: begin VAR49= 15'b000000000100000; VAR36 = (VAR50) ' (5); end
19'b1110????????1000000: begin VAR49= 15'b000000001000000; VAR36 = (VAR50) ' (6); end
19'b1110???????10000000: begin VAR49= 15'b000000010000000; VAR36 = (VAR50) ' (7); end
19'b1110??????100000000: begin VAR49= 15'b000000100000000; VAR36 = (VAR50) ' (8); end
19'b1110?????1000000000: begin VAR49= 15'b000001000000000; VAR36 = (VAR50) ' (9); end
19'b1110????10000000000: begin VAR49= 15'b000010000000000; VAR36 = (VAR50) ' (10); end
19'b1110???100000000000: begin VAR49= 15'b000100000000000; VAR36 = (VAR50) ' (11); end
19'b1110??1000000000000: begin VAR49= 15'b001000000000000; VAR36 = (VAR50) ' (12); end
19'b1110?10000000000000: begin VAR49= 15'b010000000000000; VAR36 = (VAR50) ' (13); end
19'b1110100000000000000: begin VAR49= 15'b100000000000000; VAR36 = (VAR50) ' (14); end
default: begin VAR49= {15{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {15{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 15'b010000000000000 );
4'b0001 : VAR4 = ( VAR61 == 15'b001000000000000 );
4'b0010 : VAR4 = ( VAR61 == 15'b000100000000000 );
4'b0011 : VAR4 = ( VAR61 == 15'b000010000000000 );
4'b0100 : VAR4 = ( VAR61 == 15'b000001000000000 );
4'b0101 : VAR4 = ( VAR61 == 15'b000000100000000 );
4'b0110 : VAR4 = ( VAR61 == 15'b000000010000000 );
4'b0111 : VAR4 = ( VAR61 == 15'b000000001000000 );
4'b1000 : VAR4 = ( VAR61 == 15'b000000000100000 );
4'b1001 : VAR4 = ( VAR61 == 15'b000000000010000 );
4'b1010 : VAR4 = ( VAR61 == 15'b000000000001000 );
4'b1011 : VAR4 = ( VAR61 == 15'b000000000000100 );
4'b1100 : VAR4 = ( VAR61 == 15'b000000000000010 );
4'b1101 : VAR4 = ( VAR61 == 15'b000000000000001 );
4'b1110 : VAR4 = ( VAR61 == 15'b100000000000000 );
default : VAR4 = 1'b0;
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR37
assign VAR32 = ( VAR61 == 15'b010000000000000 )
| ( VAR61 == 15'b001000000000000 )
| ( VAR61 == 15'b000100000000000 )
| ( VAR61 == 15'b000010000000000 )
| ( VAR61 == 15'b000001000000000 )
| ( VAR61 == 15'b000000100000000 )
| ( VAR61 == 15'b000000010000000 )
| ( VAR61 == 15'b000000001000000 )
| ( VAR61 == 15'b000000000100000 )
| ( VAR61 == 15'b000000000010000 )
| ( VAR61 == 15'b000000000001000 )
| ( VAR61 == 15'b000000000000100 )
| ( VAR61 == 15'b000000000000010 )
| ( VAR61 == 15'b000000000000001 )
| ( VAR61 == 15'b100000000000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR26
if(VAR54 == 16)
begin: VAR42
logic [16-1: 0 ] VAR49;
VAR47
begin
unique casez({VAR23, VAR61})
20'VAR14????0000000000000000: begin VAR49 = 16'b0000000000000000; VAR36 = (VAR50) ' (0); end 20'b0000??????????????1?: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b0000?????????????10?: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b0000????????????100?: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b0000???????????1000?: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b0000??????????10000?: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b0000?????????100000?: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b0000????????1000000?: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b0000???????10000000?: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0000??????100000000?: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0000?????1000000000?: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0000????10000000000?: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0000???100000000000?: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0000??1000000000000?: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0000?10000000000000?: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b0000100000000000000?: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b00000000000000000001: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b0001?????????????1??: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b0001????????????10??: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b0001???????????100??: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b0001??????????1000??: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b0001?????????10000??: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b0001????????100000??: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b0001???????1000000??: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0001??????10000000??: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0001?????100000000??: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0001????1000000000??: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0001???10000000000??: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0001??100000000000??: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0001?1000000000000??: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b000110000000000000??: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b000100000000000000?1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b00010000000000000010: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b0010????????????1???: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b0010???????????10???: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b0010??????????100???: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b0010?????????1000???: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b0010????????10000???: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b0010???????100000???: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0010??????1000000???: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0010?????10000000???: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0010????100000000???: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0010???1000000000???: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0010??10000000000???: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0010?100000000000???: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b00101000000000000???: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b00100000000000000??1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b00100000000000000?10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b00100000000000000100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b0011???????????1????: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b0011??????????10????: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b0011?????????100????: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b0011????????1000????: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b0011???????10000????: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0011??????100000????: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0011?????1000000????: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0011????10000000????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0011???100000000????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0011??1000000000????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0011?10000000000????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b0011100000000000????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b0011000000000000???1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b0011000000000000??10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b0011000000000000?100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b00110000000000001000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b0100??????????1?????: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b0100?????????10?????: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b0100????????100?????: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b0100???????1000?????: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0100??????10000?????: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0100?????100000?????: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0100????1000000?????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0100???10000000?????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0100??100000000?????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0100?1000000000?????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b010010000000000?????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b010000000000000????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b010000000000000???10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b010000000000000??100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b010000000000000?1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b01000000000000010000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b0101?????????1??????: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b0101????????10??????: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b0101???????100??????: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0101??????1000??????: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0101?????10000??????: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0101????100000??????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0101???1000000??????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0101??10000000??????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0101?100000000??????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b01011000000000??????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b01010000000000?????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b01010000000000????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b01010000000000???100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b01010000000000??1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b01010000000000?10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b01010000000000100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b0110????????1???????: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b0110???????10???????: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0110??????100???????: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0110?????1000???????: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0110????10000???????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0110???100000???????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0110??1000000???????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0110?10000000???????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b0110100000000???????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b0110000000000??????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b0110000000000?????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b0110000000000????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b0110000000000???1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b0110000000000??10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b0110000000000?100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b01100000000001000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b0111???????1????????: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b0111??????10????????: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b0111?????100????????: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b0111????1000????????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b0111???10000????????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b0111??100000????????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b0111?1000000????????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b011110000000????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b011100000000???????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b011100000000??????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b011100000000?????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b011100000000????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b011100000000???10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b011100000000??100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b011100000000?1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b01110000000010000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b1000??????1?????????: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b1000?????10?????????: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b1000????100?????????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b1000???1000?????????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b1000??10000?????????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b1000?100000?????????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b10001000000?????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b10000000000????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b10000000000???????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b10000000000??????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b10000000000?????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b10000000000????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b10000000000???100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b10000000000??1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b10000000000?10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b10000000000100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b1001?????1??????????: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b1001????10??????????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b1001???100??????????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b1001??1000??????????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b1001?10000??????????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b1001100000??????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b1001000000?????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b1001000000????????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b1001000000???????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b1001000000??????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b1001000000?????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b1001000000????100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b1001000000???1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b1001000000??10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b1001000000?100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b10010000001000000000: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b1010????1???????????: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b1010???10???????????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b1010??100???????????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b1010?1000???????????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b101010000???????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b101000000??????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b101000000?????????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b101000000????????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b101000000???????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b101000000??????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b101000000?????100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b101000000????1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b101000000???10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b101000000??100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b101000000?1000000000: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b10100000010000000000: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b1011???1????????????: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b1011??10????????????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b1011?100????????????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b10111000????????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b10110000???????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b10110000??????????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b10110000?????????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b10110000????????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b10110000???????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b10110000??????100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b10110000?????1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b10110000????10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b10110000???100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b10110000??1000000000: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b10110000?10000000000: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b10110000100000000000: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b1100??1?????????????: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b1100?10?????????????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b1100100?????????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b1100000????????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b1100000???????????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b1100000??????????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b1100000?????????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b1100000????????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b1100000???????100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b1100000??????1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b1100000?????10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b1100000????100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b1100000???1000000000: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b1100000??10000000000: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b1100000?100000000000: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b11000001000000000000: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b1101?1??????????????: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b110110??????????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b110100?????????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b110100????????????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b110100???????????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b110100??????????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b110100?????????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b110100????????100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b110100???????1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b110100??????10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b110100?????100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b110100????1000000000: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b110100???10000000000: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b110100??100000000000: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b110100?1000000000000: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b11010010000000000000: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b11101???????????????: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
20'b11100??????????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b11100?????????????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b11100????????????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b11100???????????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b11100??????????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b11100?????????100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b11100????????1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b11100???????10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b11100??????100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b11100?????1000000000: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b11100????10000000000: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b11100???100000000000: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b11100??1000000000000: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b11100?10000000000000: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b11100100000000000000: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b1111???????????????1: begin VAR49= 16'b0000000000000001; VAR36 = (VAR50) ' (0); end
20'b1111??????????????10: begin VAR49= 16'b0000000000000010; VAR36 = (VAR50) ' (1); end
20'b1111?????????????100: begin VAR49= 16'b0000000000000100; VAR36 = (VAR50) ' (2); end
20'b1111????????????1000: begin VAR49= 16'b0000000000001000; VAR36 = (VAR50) ' (3); end
20'b1111???????????10000: begin VAR49= 16'b0000000000010000; VAR36 = (VAR50) ' (4); end
20'b1111??????????100000: begin VAR49= 16'b0000000000100000; VAR36 = (VAR50) ' (5); end
20'b1111?????????1000000: begin VAR49= 16'b0000000001000000; VAR36 = (VAR50) ' (6); end
20'b1111????????10000000: begin VAR49= 16'b0000000010000000; VAR36 = (VAR50) ' (7); end
20'b1111???????100000000: begin VAR49= 16'b0000000100000000; VAR36 = (VAR50) ' (8); end
20'b1111??????1000000000: begin VAR49= 16'b0000001000000000; VAR36 = (VAR50) ' (9); end
20'b1111?????10000000000: begin VAR49= 16'b0000010000000000; VAR36 = (VAR50) ' (10); end
20'b1111????100000000000: begin VAR49= 16'b0000100000000000; VAR36 = (VAR50) ' (11); end
20'b1111???1000000000000: begin VAR49= 16'b0001000000000000; VAR36 = (VAR50) ' (12); end
20'b1111??10000000000000: begin VAR49= 16'b0010000000000000; VAR36 = (VAR50) ' (13); end
20'b1111?100000000000000: begin VAR49= 16'b0100000000000000; VAR36 = (VAR50) ' (14); end
20'b11111000000000000000: begin VAR49= 16'b1000000000000000; VAR36 = (VAR50) ' (15); end
default: begin VAR49= {16{1'VAR38}}; VAR36 = (VAR50) ' (0); end endcase
end
assign VAR62 = VAR49;
assign VAR41 = VAR49 & {16{VAR35}} ;
if ( VAR53 ) begin
VAR47 begin
unique casez( VAR23 )
4'b0000 : VAR4 = ( VAR61 == 16'b0100000000000000 );
4'b0001 : VAR4 = ( VAR61 == 16'b0010000000000000 );
4'b0010 : VAR4 = ( VAR61 == 16'b0001000000000000 );
4'b0011 : VAR4 = ( VAR61 == 16'b0000100000000000 );
4'b0100 : VAR4 = ( VAR61 == 16'b0000010000000000 );
4'b0101 : VAR4 = ( VAR61 == 16'b0000001000000000 );
4'b0110 : VAR4 = ( VAR61 == 16'b0000000100000000 );
4'b0111 : VAR4 = ( VAR61 == 16'b0000000010000000 );
4'b1000 : VAR4 = ( VAR61 == 16'b0000000001000000 );
4'b1001 : VAR4 = ( VAR61 == 16'b0000000000100000 );
4'b1010 : VAR4 = ( VAR61 == 16'b0000000000010000 );
4'b1011 : VAR4 = ( VAR61 == 16'b0000000000001000 );
4'b1100 : VAR4 = ( VAR61 == 16'b0000000000000100 );
4'b1101 : VAR4 = ( VAR61 == 16'b0000000000000010 );
4'b1110 : VAR4 = ( VAR61 == 16'b0000000000000001 );
default: VAR4 = ( VAR61 == 16'b1000000000000000 );
endcase
end
end else begin:VAR6
assign VAR4 = '0;
end
if ( VAR2 ) begin:VAR45
assign VAR32 = ( VAR61 == 16'b0100000000000000 )
| ( VAR61 == 16'b0010000000000000 )
| ( VAR61 == 16'b0001000000000000 )
| ( VAR61 == 16'b0000100000000000 )
| ( VAR61 == 16'b0000010000000000 )
| ( VAR61 == 16'b0000001000000000 )
| ( VAR61 == 16'b0000000100000000 )
| ( VAR61 == 16'b0000000010000000 )
| ( VAR61 == 16'b0000000001000000 )
| ( VAR61 == 16'b0000000000100000 )
| ( VAR61 == 16'b0000000000010000 )
| ( VAR61 == 16'b0000000000001000 )
| ( VAR61 == 16'b0000000000000100 )
| ( VAR61 == 16'b0000000000000010 )
| ( VAR61 == 16'b0000000000000001 )
| ( VAR61 == 16'b1000000000000000 )
;
end else begin:VAR43
assign VAR32 = '0;
end
end: VAR42
assign VAR31 = | VAR61 ;
if(VAR54 == 1)
assign VAR23 = 1'b0;
end
else
begin
VAR47
if( VAR53 ) begin: VAR63
VAR59 = VAR4 ? VAR23 :
( VAR21 ? VAR36 : VAR23 );
end else if( VAR2 ) begin: VAR46
VAR59 = VAR32? (VAR54-2) :
( VAR21 ?VAR36 : VAR23 );
end else if( VAR33 ) begin: VAR52
VAR59 = VAR21 ? VAR36
: VAR31 ? ((~VAR64(VAR54) && VAR36 == '0) ? (VAR50)'(VAR54-1) : (VAR36-1'b1))
: VAR23;
end else
VAR59 = (VAR21 ? VAR36:VAR23);
VAR30 @(posedge VAR7)
VAR23 <= (VAR44) ? (VAR50)'(0):VAR59;
end
endmodule | bsd-3-clause |
litex-hub/pythondata-cpu-blackparrot | pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v | 2,987 | module MODULE1 #( parameter VAR40(VAR13 )
, parameter VAR40(VAR2 )
, parameter VAR23 = 0
)
( input VAR36
, input VAR35
, input VAR5
, output VAR7
, input [VAR13-1:0] VAR45
, output VAR31
, output [VAR13-1:0] VAR28
, input VAR1
);
wire VAR4 = VAR1;
wire VAR11;
assign VAR31 = VAR11;
wire VAR27;
logic VAR26;
if (VAR23)
begin: VAR15
assign VAR27 = VAR5;
end
else
begin: VAR44
assign VAR27 = VAR5 & VAR26;
end
localparam VAR24 = VAR9(VAR2);
logic [VAR24-1:0] VAR30, VAR12;
logic VAR39, VAR32;
VAR17 #(.VAR2(VAR2)
) VAR22
(.VAR36
,.VAR35
,.VAR19 (VAR27)
,.VAR43 (VAR4)
,.VAR34(VAR12)
,.VAR6(VAR30)
,.VAR41()
,.VAR3 (VAR39)
,.VAR25 (VAR32)
);
VAR18 #(.VAR13 (VAR13)
,.VAR2 (VAR2 )
,.VAR29(0)
) VAR21
(.VAR38 (VAR36 )
,.VAR10(VAR35)
,.VAR42 (VAR27 )
,.VAR16 (VAR12 )
,.VAR33 (VAR45 )
,.VAR8 (VAR11)
,.VAR20 (VAR30 )
,.VAR14 (VAR28 )
);
assign VAR26 = ~VAR39;
assign VAR7 = VAR26;
assign VAR11 = ~VAR32;
VAR37 @ (negedge VAR36)
begin
if (VAR23 & VAR39 & VAR5 & ~VAR35)
if (VAR32 & VAR1 & ~VAR35)
end
endmodule | bsd-3-clause |
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0 | cells/latrnq/gf180mcu_fd_sc_mcu7t5v0__latrnq_2.behavioral.pp.v | 2,914 | module MODULE1( VAR5, VAR3, VAR27, VAR12, VAR16, VAR18 );
input VAR3, VAR5, VAR27;
inout VAR16, VAR18;
output VAR12;
reg VAR23;
VAR2 VAR15(.VAR5(VAR5),.VAR3(VAR3),.VAR27(VAR27),.VAR12(VAR12),.VAR16(VAR16),.VAR18(VAR18),.VAR23(VAR23));
VAR2 VAR28(.VAR5(VAR5),.VAR3(VAR3),.VAR27(VAR27),.VAR12(VAR12),.VAR16(VAR16),.VAR18(VAR18),.VAR23(VAR23));
buf VAR26(VAR8,VAR27);
not VAR7(VAR14,VAR3);
and VAR17(VAR11,VAR27,VAR14);
and VAR10(VAR22,VAR27,VAR3);
not VAR20(VAR13,VAR3);
not VAR1(VAR4,VAR5);
and VAR25(VAR6,VAR4,VAR13);
not VAR9(VAR24,VAR5);
and VAR21(VAR19,VAR24,VAR3); | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/a211oi/sky130_fd_sc_ls__a211oi.behavioral.pp.v | 2,044 | module MODULE1 (
VAR5 ,
VAR9 ,
VAR1 ,
VAR2 ,
VAR11 ,
VAR3,
VAR16,
VAR7 ,
VAR4
);
output VAR5 ;
input VAR9 ;
input VAR1 ;
input VAR2 ;
input VAR11 ;
input VAR3;
input VAR16;
input VAR7 ;
input VAR4 ;
wire VAR15 ;
wire VAR6 ;
wire VAR14;
and VAR8 (VAR15 , VAR9, VAR1 );
nor VAR13 (VAR6 , VAR15, VAR2, VAR11 );
VAR17 VAR12 (VAR14, VAR6, VAR3, VAR16);
buf VAR10 (VAR5 , VAR14 );
endmodule | apache-2.0 |
jmahler/mips-cpu | cla_adder_4bit.v | 1,112 | module MODULE1(
input wire [3:0] VAR13,
input wire [3:0] VAR9,
input wire VAR3,
output wire [3:0] VAR12,
output wire VAR5);
wire [4:0] VAR11;
wire [3:0] VAR4, VAR2;
assign VAR11[0] = VAR3;
assign VAR5 = VAR11[4];
VAR1 VAR10(.VAR13(VAR13[0]), .VAR9(VAR9[0]), .VAR11(VAR11[0]),
.VAR4(VAR4[0]), .VAR2(VAR2[0]), .VAR12(VAR12[0]));
assign VAR11[1] = VAR4[0] | (VAR2[0] & VAR11[0]);
VAR1 VAR7(.VAR13(VAR13[1]), .VAR9(VAR9[1]), .VAR11(VAR11[1]),
.VAR4(VAR4[1]), .VAR2(VAR2[1]), .VAR12(VAR12[1]));
assign VAR11[2] = VAR4[1] | (VAR2[1] & (VAR4[0] | (VAR2[0] & VAR11[0])));
VAR1 VAR6(.VAR13(VAR13[2]), .VAR9(VAR9[2]), .VAR11(VAR11[2]),
.VAR4(VAR4[2]), .VAR2(VAR2[2]), .VAR12(VAR12[2]));
assign VAR11[3] = VAR4[2] | (VAR2[2] & (VAR4[1] | (VAR2[1] & (VAR4[0] | (VAR2[0] & VAR11[0])))));
VAR1 VAR8(.VAR13(VAR13[3]), .VAR9(VAR9[3]), .VAR11(VAR11[3]),
.VAR4(VAR4[3]), .VAR2(VAR2[3]), .VAR12(VAR12[3]));
assign VAR11[4] = VAR4[3] | (VAR2[3] &
(VAR4[2] | (VAR2[2] & (VAR4[1] | (VAR2[1] & (VAR4[0] | (VAR2[0] & VAR11[0])))))));
endmodule | gpl-3.0 |
qmn/riscv-invicta | hardware/src/memory_system.v | 5,775 | module MODULE1 (
input clk,
input reset,
output VAR77,
input VAR70,
input [31:0] VAR4,
input VAR21,
input [31:0] VAR45,
input VAR27,
output VAR18,
input [31:0] VAR73,
input [31:0] VAR80,
input [3:0] VAR35,
input VAR50,
input VAR64,
output VAR84,
output [31:0] VAR5
);
localparam VAR62 = 2'd0;
localparam VAR54 = 2'd1;
localparam VAR26 = 2'd2;
localparam VAR82 = 1'd1;
localparam VAR16 = 1'd0;
reg [1:0] state;
reg [1:0] VAR38;
always @ (posedge clk) begin
if (reset)
state <= VAR62;
end
else
state <= VAR38;
end
wire VAR48;
wire VAR40;
wire VAR33;
wire [31:0] VAR65;
wire [31:0] VAR34 = VAR80;
wire [3:0] VAR49 = VAR35;
wire [31:0] VAR71;
wire VAR66;
VAR22 VAR37(.clk(clk), .reset(reset),
.VAR5(VAR5), .VAR77(VAR48),
.VAR45(VAR45), .VAR27(VAR27), .VAR18(VAR18),
.VAR73(VAR73), .VAR80(VAR80), .VAR35(VAR35),
.VAR50(VAR50), .VAR64(VAR64), .VAR84(VAR84),
.VAR52(VAR65), .VAR3(VAR49), .VAR6(VAR40), .VAR7(VAR33),
.VAR15(VAR71), .VAR63(VAR34), .VAR41(VAR66));
wire VAR43;
wire VAR13 = VAR69;
wire [31:0] VAR28;
wire [31:0] VAR46 = VAR80;
wire [3:0] VAR25 = VAR35;
wire [31:0] VAR23;
wire VAR24;
wire [31:0] VAR14;
wire VAR60 = VAR70;
wire VAR51;
wire VAR55;
VAR83 VAR32(.clk(clk), .reset(reset),
.VAR70(VAR60), .VAR55(VAR55),
.VAR79(VAR14), .VAR39(VAR65),
.VAR51(VAR51), .VAR4(VAR4), .VAR21(VAR21),
.VAR74(VAR43),
.VAR2(VAR28), .VAR5(VAR23), .VAR53(VAR24));
wire VAR6;
wire VAR7;
wire [31:0] VAR52;
wire [31:0] VAR76;
wire [3:0] VAR61;
wire [31:0] VAR15;
wire VAR41;
wire VAR29;
VAR78 VAR30(.select(VAR29),
.VAR19(VAR40), .VAR68(VAR33), .VAR10(VAR65),
.VAR8(VAR34), .VAR58(VAR49),
.VAR44(VAR71),
.VAR56(VAR43), .VAR36(VAR13), .VAR75(VAR28),
.VAR67(VAR46), .VAR20(VAR25),
.VAR31(VAR23), .VAR17(VAR24),
.enable(VAR6), .VAR59(VAR7), .address(VAR52),
.VAR72(VAR76), .VAR47(VAR61),
.VAR42(VAR15), .valid(VAR41));
assign VAR5 = VAR29 ? VAR23 : VAR71;
always @ (*) begin
case (state)
VAR62:
if (VAR70 && VAR40)
VAR38 = VAR54;
end
else
VAR38 = VAR62;
VAR54:
if (VAR51)
VAR38 = VAR26;
else
VAR38 = VAR54;
VAR26:
if (VAR41)
VAR38 = VAR62;
else
VAR38 = VAR26;
default:
VAR38 = VAR62;
endcase
end
wire VAR12 = (state != VAR62);
assign VAR55 = (VAR38 == VAR54);
assign VAR29 = state == VAR54 ? VAR82 : VAR16;
assign VAR66 = VAR70 ? VAR41 : VAR51 && VAR41;
wire [31:0] VAR79 = (VAR70 && VAR51) ? VAR14 : VAR52;
assign VAR77 = VAR48 || VAR12;
VAR81 VAR57(.clk(clk), .reset(reset),
.addr(VAR79), .VAR11(VAR61), .enable(VAR6),
.VAR1(VAR7), .VAR9(VAR15), .VAR72(VAR76), .valid(VAR41));
endmodule | bsd-2-clause |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a211o/sky130_fd_sc_hdll__a211o.behavioral.v | 1,547 | module MODULE1 (
VAR3 ,
VAR9,
VAR6,
VAR10,
VAR5
);
output VAR3 ;
input VAR9;
input VAR6;
input VAR10;
input VAR5;
supply1 VAR11;
supply0 VAR4;
supply1 VAR8 ;
supply0 VAR7 ;
wire VAR12 ;
wire VAR1;
and VAR2 (VAR12 , VAR9, VAR6 );
or VAR13 (VAR1, VAR12, VAR5, VAR10);
buf VAR14 (VAR3 , VAR1 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/tapvpwrvgnd/sky130_fd_sc_lp__tapvpwrvgnd.pp.blackbox.v | 1,226 | module MODULE1 (
VAR3,
VAR2,
VAR4 ,
VAR1
);
input VAR3;
input VAR2;
input VAR4 ;
input VAR1 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/and4bb/sky130_fd_sc_hdll__and4bb.blackbox.v | 1,338 | module MODULE1 (
VAR7 ,
VAR2,
VAR3,
VAR9 ,
VAR1
);
output VAR7 ;
input VAR2;
input VAR3;
input VAR9 ;
input VAR1 ;
supply1 VAR5;
supply0 VAR8;
supply1 VAR6 ;
supply0 VAR4 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hvl | cells/sdfrtp/sky130_fd_sc_hvl__sdfrtp.functional.pp.v | 2,471 | module MODULE1 (
VAR19 ,
VAR13 ,
VAR15 ,
VAR9 ,
VAR20 ,
VAR1,
VAR6 ,
VAR3 ,
VAR14 ,
VAR16
);
output VAR19 ;
input VAR13 ;
input VAR15 ;
input VAR9 ;
input VAR20 ;
input VAR1;
input VAR6 ;
input VAR3 ;
input VAR14 ;
input VAR16 ;
wire VAR8 ;
wire VAR21 ;
wire VAR7 ;
wire VAR18;
not VAR23 (VAR21 , VAR1 );
VAR11 VAR2 (VAR7 , VAR15, VAR9, VAR20 );
VAR10 VAR4 VAR17 (VAR8 , VAR7, VAR13, VAR21, , VAR6, VAR3);
buf VAR5 (VAR18, VAR8 );
VAR22 VAR12 (VAR19 , VAR18, VAR6, VAR3 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o211a/sky130_fd_sc_ms__o211a_2.v | 2,348 | module MODULE1 (
VAR6 ,
VAR2 ,
VAR7 ,
VAR8 ,
VAR9 ,
VAR5,
VAR11,
VAR3 ,
VAR1
);
output VAR6 ;
input VAR2 ;
input VAR7 ;
input VAR8 ;
input VAR9 ;
input VAR5;
input VAR11;
input VAR3 ;
input VAR1 ;
VAR10 VAR4 (
.VAR6(VAR6),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR9(VAR9),
.VAR5(VAR5),
.VAR11(VAR11),
.VAR3(VAR3),
.VAR1(VAR1)
);
endmodule
module MODULE1 (
VAR6 ,
VAR2,
VAR7,
VAR8,
VAR9
);
output VAR6 ;
input VAR2;
input VAR7;
input VAR8;
input VAR9;
supply1 VAR5;
supply0 VAR11;
supply1 VAR3 ;
supply0 VAR1 ;
VAR10 VAR4 (
.VAR6(VAR6),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR8(VAR8),
.VAR9(VAR9)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o221a/sky130_fd_sc_ms__o221a.behavioral.pp.v | 2,199 | module MODULE1 (
VAR13 ,
VAR17 ,
VAR16 ,
VAR14 ,
VAR6 ,
VAR15 ,
VAR12,
VAR9,
VAR5 ,
VAR4
);
output VAR13 ;
input VAR17 ;
input VAR16 ;
input VAR14 ;
input VAR6 ;
input VAR15 ;
input VAR12;
input VAR9;
input VAR5 ;
input VAR4 ;
wire VAR7 ;
wire VAR1 ;
wire VAR18 ;
wire VAR11;
or VAR20 (VAR7 , VAR6, VAR14 );
or VAR19 (VAR1 , VAR16, VAR17 );
and VAR3 (VAR18 , VAR7, VAR1, VAR15 );
VAR2 VAR8 (VAR11, VAR18, VAR12, VAR9);
buf VAR10 (VAR13 , VAR11 );
endmodule | apache-2.0 |
tommythorn/yari | Icarus/rtl/toplevel.v | 10,262 | module MODULE1( input wire VAR61 ,output wire VAR59 ,input wire VAR57 ,input wire VAR51
,output wire [7:0] VAR16 ,output wire [7:0] VAR101 ,output wire [7:0] VAR112 ,output wire VAR36 ,output wire VAR97 ,output wire VAR82 ,output wire VAR18 ,output wire VAR74 ,output wire VAR33 ,output wire VAR19
,output VAR96 ,inout VAR77 ,inout VAR108 ,inout VAR95 ,inout VAR53 VAR30
,input wire [3:0] VAR111 ,output [7:0] VAR40 ,output wire [7:0] VAR39 ,output wire [7:0] VAR32
,output wire [22:0] VAR93 ,inout wire [31:0] VAR14 ,output wire VAR76 ,output wire VAR52 ,output wire VAR10 ,output wire [3:0] VAR45 ,output wire VAR17 ,output wire VAR98 VAR30
,output VAR9 ,output VAR72 ,input VAR67 ,input VAR84 ,output VAR47 ,input VAR68 ,output VAR89 ,output VAR100 VAR30
,output VAR11 ,input VAR23
,output [10:0] VAR24 ,inout [15:0] VAR66 ,input VAR55 ,input VAR37 ,output VAR81 ,output VAR13 ,output VAR49 ,output VAR38 ,output VAR3 ,input VAR8 VAR30
);
parameter VAR90 = 45454545; parameter VAR46 = 115200;
wire [ 7:0] VAR65;
wire VAR6;
wire VAR58;
wire [ 7:0] VAR70;
wire VAR41;
wire VAR94;
wire [1:0] VAR25;
wire [29:0] VAR86;
wire VAR2;
wire VAR92;
wire [31:0] VAR88;
wire [3:0] VAR104;
wire [31:0] VAR34;
wire [1:0] VAR1;
wire VAR85 VAR103;
wire VAR7 VAR12;
wire [22:0] VAR93; wire [31:0] VAR14; wire VAR76; wire VAR52; wire VAR10; wire [3:0] VAR45; wire VAR17; wire VAR98;
VAR105 VAR107(VAR14[15: 0], VAR93[19:2], VAR98, VAR17, VAR10,
VAR45[0], VAR45[1]); VAR105 VAR109(VAR14[31:16], VAR93[19:2], VAR98, VAR17, VAR10,
VAR45[2], VAR45[3]);
assign VAR76 = 1; assign VAR52 = 1;
reg clk = 0;
wire clk;
wire VAR27;
VAR99 VAR99(
.VAR91(VAR61), .VAR4(clk),
.VAR56(VAR27)
);
assign VAR40 = {VAR28[0],VAR28[1],VAR28[2],VAR28[3],VAR28[4],VAR28[5],VAR28[6],VAR28[7]};
reg [7:0] VAR28 = 0;
reg [ 3:0] VAR20 = 0;
wire rst = 0;
wire rst = ~VAR51 ;
always @(posedge clk)
if (~VAR51)
VAR20 <= 0;
else if (rst) begin
if (VAR20 == 14)
VAR20 <= VAR20 + 1'd1;
end
VAR48 VAR50(
.VAR35(clk)
,.rst(rst)
,.VAR94(VAR94)
,.VAR25(VAR25)
,.VAR86(VAR86)
,.VAR2(VAR2)
,.VAR92(VAR92)
,.VAR88(VAR88)
,.VAR104(VAR104)
,.VAR34(VAR34)
,.VAR1(VAR1)
,.VAR102(VAR103)
,.VAR106(VAR12)
);
VAR44 VAR42
(.VAR35(clk)
,.rst(rst)
,.VAR94(VAR94)
,.VAR25(VAR25)
,.VAR86(VAR86)
,.VAR2(VAR2)
,.VAR92(VAR92)
,.VAR88(VAR88)
,.VAR104(VAR104)
,.VAR34(VAR34)
,.VAR1(VAR1)
);
VAR43 VAR62
(.VAR35(clk)
,.rst(rst)
,.VAR94(VAR94)
,.VAR25(VAR25)
,.VAR86(VAR86)
,.VAR2(VAR2)
,.VAR92(VAR92)
,.VAR88(VAR88)
,.VAR104(VAR104)
,.VAR34(VAR34)
,.VAR1(VAR1)
,.VAR63(VAR93[19:2])
,.VAR83(VAR14)
,.VAR10(VAR10)
,.VAR45(VAR45)
,.VAR17(VAR17)
,.VAR98(VAR98)
);
VAR26 VAR80
(.VAR35(clk),
.VAR78(VAR11),
.VAR15(VAR65),
.VAR21(VAR6),
.VAR31(VAR58));
VAR80.VAR54 = VAR46;
VAR73 VAR110
(.VAR35(clk),
.VAR64(VAR23),
.VAR22(VAR70),
.VAR71(VAR41));
VAR110.VAR54 = VAR46;
VAR60 VAR75(.clk(clk),
.rst(rst),
.VAR103(VAR103),
.VAR12(VAR12),
.VAR41(VAR41),
.VAR70(VAR70),
.VAR58(VAR58),
.VAR6(VAR6),
.VAR65(VAR65));
always clk = ~clk;
VAR69 clk = 0;
endmodule | gpl-2.0 |
hakehuang/pycpld | ips/ip/i2c_master/I2C_MASTER.v | 2,775 | module MODULE1(clk,VAR12,VAR13,VAR7,VAR9,VAR16,VAR18
);
input clk;
input VAR12;
input VAR9;
input VAR16;
reg VAR1,VAR14;
output VAR7;
output VAR18;
inout VAR13;
reg VAR8;
reg VAR18;
reg[7:0] VAR6;
reg[7:0] VAR4;
wire[7:0] VAR2;
reg[7:0] VAR11;
wire ack;
reg[7:0] VAR15[31:0];
reg[7:0] VAR5[31:0];
always @(posedge clk or negedge VAR12)begin
if(!VAR12)begin
VAR8 <= 1'b0;
VAR6 <= 'h0;
VAR15[0] <= 8'd0;
VAR15[1] <= 8'd1;
VAR15[2] <= 8'd2;
VAR15[3] <= 8'd3;
VAR15[4] <= 8'd4;
VAR15[5] <= 8'd5;
VAR15[6] <= 8'd6;
VAR15[7] <= 8'd7;
VAR15[8] <= 8'd8;
VAR15[9] <= 8'd9;
VAR15[10] <= 8'd10;
VAR15[11] <= 8'd11;
VAR15[12] <= 8'd12;
VAR15[13] <= 8'd13;
VAR15[14] <= 8'd14;
VAR15[15] <= 8'd15;
VAR15[16] <= 8'd16;
VAR15[17] <= 8'd17;
VAR15[18] <= 8'd18;
VAR15[19] <= 8'd19;
VAR15[20] <= 8'd20;
VAR15[21] <= 8'd21;
VAR15[22] <= 8'd22;
VAR15[23] <= 8'd23;
VAR15[24] <= 8'd24;
VAR15[25] <= 8'd25;
VAR15[26] <= 8'd26;
VAR15[27] <= 8'd27;
VAR15[28] <= 8'd28;
VAR15[29] <= 8'd29;
VAR15[30] <= 8'd30;
VAR15[31] <= 8'd31;
end
else begin
if(VAR6 > 'd200)begin
VAR8 <= ~VAR8;
VAR6 <= 'h0;
end
else
VAR6 <= VAR6 + 1'b1;
end
end
always @(posedge ack or negedge VAR12)begin
if(!VAR12)begin
VAR4 <= 'h0;
end
else begin
if((VAR4 < 10'd32) && (ack))begin
VAR4 <= VAR4 + 1'b1;
VAR5[VAR4] <= VAR9 ? VAR2 : 8'h0;
end
else begin
VAR4 <= VAR4;
end
end
end
always @(posedge clk or negedge VAR12)begin
if(!VAR12)
VAR18 <= 1'b0;
end
else
VAR18 <=(VAR5[31]== 31) ? 1'b1 : 1'b0;
end
always @(posedge clk or negedge VAR12)begin
if(!VAR12)begin
VAR1 <= 1'b0;
VAR14 <= 1'b0;
VAR11 <= 'h0;
end
else begin
if(VAR4 == 8'd32)begin
VAR1 <= 1'b0;
VAR14 <= 1'b0;
end
else begin
if(VAR9)
VAR14 <= 1'b1;
end
else if(VAR16)begin
VAR1 <= 1'b1;
VAR11 <= VAR15[VAR4];
end
end
end
end
assign VAR2 = VAR16 ? VAR11 : 8'VAR3;
VAR17 VAR10(
.VAR13(VAR13),
.VAR7(VAR7),
.ack(ack),
.VAR12(VAR12),
.clk(VAR8),
.VAR1(VAR1),
.VAR14(VAR14),
.VAR2(VAR2)
);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/o41a/sky130_fd_sc_ms__o41a_2.v | 2,411 | module MODULE2 (
VAR6 ,
VAR11 ,
VAR8 ,
VAR7 ,
VAR9 ,
VAR4 ,
VAR3,
VAR10,
VAR12 ,
VAR1
);
output VAR6 ;
input VAR11 ;
input VAR8 ;
input VAR7 ;
input VAR9 ;
input VAR4 ;
input VAR3;
input VAR10;
input VAR12 ;
input VAR1 ;
VAR5 VAR2 (
.VAR6(VAR6),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR10(VAR10),
.VAR12(VAR12),
.VAR1(VAR1)
);
endmodule
module MODULE2 (
VAR6 ,
VAR11,
VAR8,
VAR7,
VAR9,
VAR4
);
output VAR6 ;
input VAR11;
input VAR8;
input VAR7;
input VAR9;
input VAR4;
supply1 VAR3;
supply0 VAR10;
supply1 VAR12 ;
supply0 VAR1 ;
VAR5 VAR2 (
.VAR6(VAR6),
.VAR11(VAR11),
.VAR8(VAR8),
.VAR7(VAR7),
.VAR9(VAR9),
.VAR4(VAR4)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/a2111oi/sky130_fd_sc_lp__a2111oi_m.v | 2,458 | module MODULE1 (
VAR3 ,
VAR12 ,
VAR8 ,
VAR10 ,
VAR6 ,
VAR2 ,
VAR9,
VAR11,
VAR1 ,
VAR5
);
output VAR3 ;
input VAR12 ;
input VAR8 ;
input VAR10 ;
input VAR6 ;
input VAR2 ;
input VAR9;
input VAR11;
input VAR1 ;
input VAR5 ;
VAR7 VAR4 (
.VAR3(VAR3),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR2(VAR2),
.VAR9(VAR9),
.VAR11(VAR11),
.VAR1(VAR1),
.VAR5(VAR5)
);
endmodule
module MODULE1 (
VAR3 ,
VAR12,
VAR8,
VAR10,
VAR6,
VAR2
);
output VAR3 ;
input VAR12;
input VAR8;
input VAR10;
input VAR6;
input VAR2;
supply1 VAR9;
supply0 VAR11;
supply1 VAR1 ;
supply0 VAR5 ;
VAR7 VAR4 (
.VAR3(VAR3),
.VAR12(VAR12),
.VAR8(VAR8),
.VAR10(VAR10),
.VAR6(VAR6),
.VAR2(VAR2)
);
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/sedfxtp/sky130_fd_sc_ls__sedfxtp.behavioral.v | 2,597 | module MODULE1 (
VAR8 ,
VAR17,
VAR25 ,
VAR16 ,
VAR2,
VAR28
);
output VAR8 ;
input VAR17;
input VAR25 ;
input VAR16 ;
input VAR2;
input VAR28;
supply1 VAR27;
supply0 VAR1;
supply1 VAR9 ;
supply0 VAR21 ;
wire VAR11 ;
reg VAR24 ;
wire VAR23 ;
wire VAR22 ;
wire VAR29;
wire VAR14;
wire VAR3;
wire VAR20 ;
wire VAR5 ;
wire VAR26 ;
wire VAR7 ;
wire VAR6 ;
wire VAR12 ;
VAR10 VAR15 (VAR20, VAR5, VAR29, VAR14 );
VAR10 VAR18 (VAR5 , VAR11, VAR23, VAR22 );
VAR19 VAR13 (VAR11 , VAR20, VAR3, VAR24, VAR27, VAR1);
assign VAR26 = ( VAR27 === 1'b1 );
assign VAR7 = ( VAR26 && ( VAR14 === 1'b0 ) && ( VAR22 === 1'b1 ) );
assign VAR6 = ( VAR26 && ( VAR14 === 1'b1 ) );
assign VAR12 = ( VAR26 && ( VAR22 === 1'b1 ) && ( VAR23 !== VAR29 ) );
buf VAR4 (VAR8 , VAR11 );
endmodule | apache-2.0 |
tmatsuya/milkymist-ml401 | cores/ac97/rtl/ac97_graycounter.v | 1,184 | module MODULE1
(output reg [VAR4-1:0] VAR6,
input wire VAR1, input wire VAR5,
input wire VAR3);
reg [VAR4-1:0] VAR2;
always @ (posedge VAR3)
if (VAR5) begin
VAR2 <= {VAR4{1'VAR7 0}} + 1; VAR6 <= {VAR4{1'VAR7 0}}; end
else if (VAR1) begin
VAR2 <= VAR2 + 1;
VAR6 <= {VAR2[VAR4-1],
VAR2[VAR4-2:0] ^ VAR2[VAR4-1:1]};
end
endmodule | lgpl-3.0 |
YuxuanLing/trunk | trunk/references/h265enc_v1.0/rtl/intra/ram_lcu_column_32x64.v | 4,128 | module MODULE1 (
VAR17 ,
VAR13 ,
VAR16 ,
VAR9 ,
VAR1 ,
VAR3 ,
VAR14 ,
VAR18 ,
VAR11 ,
VAR12 ,
VAR5 ,
VAR10 ,
VAR8 ,
VAR19
);
parameter VAR4=32;
parameter VAR2=6;
input VAR17; input VAR13; input VAR16; input VAR9; input [VAR2-1:0] VAR1; input [VAR4-1:0] VAR14; output [VAR4-1:0] VAR3;
input VAR18; input VAR11; input VAR12; input VAR5; input [VAR2-1:0] VAR10; input [VAR4-1:0] VAR19; output [VAR4-1:0] VAR8;
reg [VAR4-1:0] VAR7[(1<<VAR2)-1:0];
reg [VAR4-1:0] VAR20;
reg [VAR4-1:0] VAR21;
always @(posedge VAR17) begin
if(!VAR13 && !VAR9)
VAR7[VAR1] <= VAR14;
end
always @(posedge VAR17) begin
if (!VAR13 && VAR9)
VAR20 <= VAR7[VAR1];
end
else
VAR20 <= 'VAR6;
end
assign VAR3 = VAR16 ? 'VAR15 : VAR20;
always @(posedge VAR18) begin
if(!VAR11 && !VAR5)
VAR7[VAR10] <= VAR19;
end
always @(posedge VAR18) begin
if (!VAR11 && VAR5)
VAR21 <= VAR7[VAR10];
end
else
VAR21 <= 'VAR6;
end
assign VAR8 = VAR12 ? 'VAR15 : VAR21;
endmodule | gpl-3.0 |
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors- | DE4_network_processor_4cores_6monitors_release/lib/verilog/core/nf2/reference_core/src/nf2_reg_grp.v | 10,643 | module MODULE1 (
input VAR30, output reg VAR10,
input VAR23,
input [VAR64-1:0] VAR47,
input [VAR27-1:0] VAR39,
output reg [VAR27-1:0] VAR53,
output reg VAR43,
output wire VAR15,
output reg VAR61,
output reg VAR9,
output reg [VAR11-1:0] VAR48,
output reg [VAR27-1:0] VAR49,
input VAR36,
input [VAR27-1:0] VAR50,
output reg VAR44,
output reg VAR28,
output reg [VAR22-1:0] VAR19,
output reg [VAR27-1:0] VAR16,
input VAR34,
input [VAR27-1:0] VAR7,
output reg VAR32,
output reg VAR41,
output reg [VAR57-1:0] VAR63,
output reg [VAR27-1:0] VAR46,
input VAR58,
input [VAR27-1:0] VAR17,
output reg VAR55,
output reg VAR12,
output reg [VAR6 - 1:0] VAR62,
output reg [VAR27-1:0] VAR51,
input VAR20,
input [VAR27-1:0] VAR8,
input clk,
input reset);
localparam VAR24 = { {(VAR64 - 2 - VAR25 - VAR11){1'b0}},
{(VAR11) {1'VAR54?}}};
localparam VAR2 = { {(VAR64 - 2 - VAR60 - VAR22){1'b0}},
{(VAR22) {1'VAR54?}}};
localparam VAR31 = { {(VAR64 - 2 - VAR14 - VAR57){1'b0}},
{(VAR57) {1'VAR54?}}};
localparam VAR33 = { {(VAR64 - 2 - VAR13 - VAR6){1'b0}},
{(VAR6) {1'VAR54?}}};
localparam
VAR37 = 2'h0,
VAR52 = 2'h1,
VAR56 = 2'h2;
localparam
VAR45 = 9'd511;
reg VAR3, VAR29;
reg VAR35, VAR65;
reg [VAR64 -3: 0] VAR66, VAR1;
reg [VAR27 -1: 0] VAR40, VAR26;
reg VAR18;
reg [VAR27 -1: 0] VAR67;
reg [8:0] VAR4, VAR21;
reg [1:0] state, VAR42;
always @(*) begin
VAR10 = 1'VAR54 0;
VAR53 = {VAR27 {1'VAR54 0}};
VAR43 = 1'VAR54 0;
VAR29 = VAR3;
VAR65 = VAR35;
VAR1 = VAR66;
VAR26 = VAR40;
VAR21 = VAR4;
VAR42 = state;
case (state)
VAR37: begin
if (! VAR30) begin
VAR10 = 1'b1;
VAR42 = VAR52;
end end
VAR52: begin
VAR29 = 1'VAR54 1;
VAR1 = VAR47[VAR64-1:2];
VAR21 = VAR45;
VAR42 = VAR56;
if (VAR23) begin VAR26 = {VAR27 {1'VAR54 0}};
VAR65 = 1'VAR54 1;
end else begin VAR65 = 1'VAR54 0;
VAR26 = VAR39;
end
end
VAR56: begin
VAR21 = VAR4 - 1;
if (VAR18 || !(|VAR4)) begin
if(VAR4==0) begin
end
VAR65 = 1'VAR54 1;
if (VAR35) begin
VAR53 = (VAR18 === 1'b1) ? VAR67 : 'VAR38 VAR5;
VAR43 = 1'VAR54 1;
end
VAR29 = 1'VAR54 0;
if (! VAR30) begin
VAR10 = 1'VAR54 1;
VAR42 = VAR52;
end
else
VAR42 = VAR37;
end end
endcase
end
always @(posedge clk) begin
if (reset) begin
state <= VAR37;
VAR3 <= 1'VAR54 0;
VAR35 <= 1'VAR54 0;
VAR66 <= {(VAR64-2) {1'VAR54 0}};
VAR40 <= {VAR27 {1'VAR54 0}};
VAR4 <= 7'VAR38 0;
end else begin
state <= VAR42;
VAR3 <= VAR29;
VAR35 <= VAR65;
VAR66 <= VAR1;
VAR40 <= VAR26;
VAR4 <= VAR21;
end
end
always @(posedge clk) begin
if(reset) begin
VAR18 <= 1'VAR54 0;
VAR67 <= {VAR27 {1'VAR54 0}};
VAR61 <= 1'VAR54 0;
VAR9 <= 1'VAR54 1;
VAR48 <= {VAR11 {1'VAR54 0}};
VAR49 <= {VAR27 {1'VAR54 0}};
VAR32 <= 1'VAR54 0;
VAR41 <= 1'VAR54 1;
VAR63 <= {VAR57 {1'VAR54 0}};
VAR46 <= {VAR27 {1'VAR54 0}};
VAR44 <= 1'VAR54 0;
VAR28 <= 1'VAR54 1;
VAR19 <= {VAR22 {1'VAR54 0}};
VAR16 <= {VAR27 {1'VAR54 0}};
VAR55 <= 1'VAR54 0;
VAR12 <= 1'VAR54 1;
VAR62 <= {VAR6 {1'VAR54 0}};
VAR51 <= {VAR27 {1'VAR54 0}};
end else begin
casez (VAR66)
VAR24: begin
VAR18 <= VAR36;
VAR67 <= VAR50;
VAR61 <= VAR3;
VAR9 <= VAR35;
VAR48 <= VAR66[VAR11-1:0];
VAR49 <= VAR40;
end
VAR31: begin
VAR18 <= VAR58;
VAR67 <= VAR17;
VAR32 <= VAR3;
VAR41 <= VAR35;
VAR63 <= VAR66[VAR57-1:0];
VAR46 <= VAR40;
end
VAR2: begin
VAR18 <= VAR34;
VAR67 <= VAR7;
VAR44 <= VAR3;
VAR28 <= VAR35;
VAR19 <= VAR66[VAR22-1:0];
VAR16 <= VAR40;
end
VAR33: begin
VAR18 <= VAR20;
VAR67 <= VAR8;
VAR55 <= VAR3;
VAR12 <= VAR35;
VAR62 <= VAR66[VAR6-1:0];
VAR51 <= VAR40;
end
default: begin
VAR18 <= VAR3;
VAR67 <= 32'VAR38 VAR59;
end
endcase end
end
assign VAR15 = VAR18;
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/nor3/sky130_fd_sc_hs__nor3.blackbox.v | 1,252 | module MODULE1 (
VAR3,
VAR2,
VAR4,
VAR1
);
output VAR3;
input VAR2;
input VAR4;
input VAR1;
supply1 VAR5;
supply0 VAR6;
endmodule | apache-2.0 |
trivoldus28/pulsarch-verilog | design/sys/iop/sparc/tlu/rtl/tlu_prencoder16.v | 2,927 | module MODULE1 (din, dout);
input [14:0] din ;
output [3:0] dout ;
wire [14:0] VAR1 ;
assign VAR1[14] = din[14] ;
assign VAR1[13] = din[13] & ~din[14] ;
assign VAR1[12] = din[12] & ~(|din[14:13]) ;
assign VAR1[11] = din[11] & ~(|din[14:12]) ;
assign VAR1[10] = din[10] & ~(|din[14:11]) ;
assign VAR1[9] = din[9] & ~(|din[14:10]) ;
assign VAR1[8] = din[8] & ~(|din[14:9]) ;
assign VAR1[7] = din[7] & ~(|din[14:8]) ;
assign VAR1[6] = din[6] & ~(|din[14:7]) ;
assign VAR1[5] = din[5] & ~(|din[14:6]) ;
assign VAR1[4] = din[4] & ~(|din[14:5]) ;
assign VAR1[3] = din[3] & ~(|din[14:4]) ;
assign VAR1[2] = din[2] & ~(|din[14:3]) ;
assign VAR1[1] = din[1] & ~(|din[14:2]) ;
assign VAR1[0] = din[0] & ~(|din[14:1]) ;
assign dout[3] = |VAR1[14:7] ;
assign dout[2] = (|VAR1[6:3]) | (|VAR1[14:11]) ;
assign dout[1] = (|VAR1[2:1]) | (|VAR1[6:5]) |
(|VAR1[10:9]) | (|VAR1[14:13]) ;
assign dout[0] = VAR1[0] | VAR1[2] | VAR1[4] | VAR1[6] |
VAR1[8] | VAR1[10] | VAR1[12] | VAR1[14] ;
endmodule | gpl-2.0 |
stevenmburns/chisel-edit-distance | sw4d/top_diff.v | 5,516 | module MODULE2(
input [15:0] VAR17,
input [15:0] VAR2,
output [15:0] VAR5
);
assign VAR5 = ( VAR2 > VAR17) ? VAR2 : VAR17;
endmodule
module MODULE3(
input [7:0] VAR17,
input [7:0] VAR2,
output [7:0] VAR5
);
assign VAR5 = ( VAR2 > VAR17) ? VAR2 : VAR17;
endmodule
module MODULE6(
input [3:0] VAR17,
input [3:0] VAR2,
output [3:0] VAR5
);
assign VAR5 = ( VAR2 > VAR17) ? VAR2 : VAR17;
endmodule
module MODULE1(
input [3:0] VAR52,
output [3:0] VAR57
);
wire [4:0] VAR55;
assign VAR55 = 5'd5 - {1'b0,VAR52};
assign VAR57 = ( !VAR55[4]) ? VAR55[3:0] : 4'b0;
endmodule
module MODULE7(
input [1:0] VAR21,
input [3:0] VAR3,
input [3:0] VAR35,
input [3:0] VAR24,
input [3:0] VAR54,
output [3:0] VAR4,
output [3:0] VAR43,
output [3:0] VAR8,
output [3:0] VAR41
);
wire [3:0] VAR47;
MODULE1 MODULE2( .VAR52(VAR24), .VAR57(VAR47));
wire [3:0] VAR18;
MODULE1 MODULE1( .VAR52(VAR54), .VAR57(VAR18));
wire [3:0] VAR25;
assign VAR25 = ( VAR21 == 2'b00) ? 4'd2 : (( VAR21 == 2'b01) ? 4'd5 : 4'd7);
wire [3:0] VAR22;
wire [3:0] VAR48;
wire [3:0] VAR45;
wire [3:0] VAR44;
wire [3:0] VAR31;
wire VAR58;
wire VAR29;
assign VAR22 = VAR3 + VAR47;
assign VAR48 = VAR35 + VAR18;
assign VAR31 = ( VAR22 > VAR48) ? VAR22 : VAR48;
assign VAR45 = ( VAR31 > VAR25) ? VAR31 : VAR25;
assign VAR44 = VAR45 - 4'd6;
assign VAR4 = VAR44 - VAR35;
assign VAR43 = VAR44 - VAR3;
assign {VAR58,VAR8} = {VAR45[3],VAR45} - {VAR22[3],VAR22};
assign {VAR29,VAR41} = {VAR45[3],VAR45} - {VAR48[3],VAR48};
endmodule
module MODULE4(
input [1:0] VAR21,
input [7:0] VAR34,
input [7:0] VAR56,
input [7:0] VAR32,
input [7:0] VAR1,
input [7:0] VAR36,
output [7:0] VAR12,
output [7:0] VAR42,
output [7:0] VAR49
);
wire [7:0] VAR40;
wire [7:0] VAR50;
wire [7:0] VAR59;
wire [7:0] VAR7;
wire [7:0] VAR20;
wire [7:0] VAR33;
assign VAR33 = ( VAR21 == 2'b00) ? -8'd4 : (( VAR21 == 2'b01) ? -8'd1 : 8'd1);
assign VAR40 = VAR34 + VAR33;
assign VAR50 = VAR32 - 8'd6;
assign VAR59 = VAR1 - 8'd1;
assign VAR7 = VAR56 - 8'd6;
assign VAR20 = VAR36 - 8'd1;
wire [7:0] VAR39;
assign VAR39 = ( VAR42 > VAR49) ? VAR42 : VAR49;
assign VAR12 = ( VAR40 > VAR39) ? VAR40 : VAR39;
assign VAR42 = ( VAR50 > VAR59) ? VAR50 : VAR59;
assign VAR49 = ( VAR7 > VAR20) ? VAR7 : VAR20;
endmodule
module MODULE5(
input VAR46,
output [3:0] VAR5
);
wire clk;
VAR28 VAR28
( .VAR6(VAR46), .VAR37(clk));
reg [41:0] state;
assign VAR5 = state[27:24];
always @(posedge clk)
begin
state = state + 1;
end
wire [3:0] VAR3;
wire [3:0] VAR35;
wire [3:0] VAR24;
wire [3:0] VAR54;
wire [3:0] VAR4;
wire [3:0] VAR43;
wire [3:0] VAR8;
wire [3:0] VAR41;
wire [1:0] VAR21;
MODULE7 MODULE7( .VAR21(VAR21), .VAR3(VAR3), .VAR35(VAR35), .VAR24(VAR24), .VAR54(VAR54), .VAR4(VAR4), .VAR43(VAR43), .VAR8(VAR8), .VAR41(VAR41));
wire [35:0] VAR16;
wire [35:0] VAR14;
wire [31:0] VAR23;
wire [31:0] VAR27;
wire [31:0] VAR10;
wire [31:0] VAR53;
assign {VAR21,VAR3,VAR35,VAR24,VAR54} = VAR10[17:0];
assign VAR23 = {16'b0,VAR4,VAR43,VAR8,VAR41};
assign VAR27 = 32'b0;
VAR9 VAR9 (
.VAR16(VAR16), .VAR14(VAR14) );
VAR26 VAR51 (
.VAR30(VAR16), .VAR38(VAR23), .VAR19(VAR10) );
VAR26 VAR11 (
.VAR30(VAR14), .VAR38(VAR27), .VAR19(VAR53) );
endmodule | mit |
asicguy/gplgpu | hdl/altera_ddr3_128/alt_mem_ddrx_list.v | 7,579 | module MODULE1
parameter
VAR11 = 3, VAR3 = 8,
VAR10 = "VAR1", VAR8 = "VAR4" )
(
VAR9,
VAR12,
VAR2,
VAR5,
VAR14,
VAR13,
VAR19,
VAR21,
VAR16
);
input VAR9;
input VAR12;
input VAR5;
output VAR2;
output [VAR11-1:0] VAR14;
output [VAR3-1:0] VAR13;
output VAR21;
input VAR19;
input [VAR11-1:0] VAR16;
reg VAR2;
wire VAR5;
reg [VAR11-1:0] VAR14;
reg [VAR3-1:0] VAR13;
wire VAR19;
reg VAR21;
wire [VAR11-1:0] VAR16;
reg [VAR11-1:0] VAR7 [VAR3-1:0];
reg VAR20 [VAR3-1:0];
reg [VAR3-1:0] VAR18;
wire VAR15 = VAR2 & VAR5;
wire VAR6 = VAR19 & VAR21;
always @ (*)
begin
VAR2 = VAR20[0];
VAR14 = VAR7[0];
VAR13 = VAR18;
VAR21 = ~VAR20[VAR3-1];
end
integer VAR17;
always @ (posedge VAR9 or negedge VAR12)
begin
if (~VAR12)
begin
for (VAR17 = 0; VAR17 < VAR3; VAR17 = VAR17 + 1'b1)
begin
if (VAR10 == "VAR1")
begin
VAR7 [VAR17] <= VAR17;
end
else
begin
VAR7 [VAR17] <= {VAR11{1'b0}};
end
if (VAR8 == "VAR4")
begin
VAR20 [VAR17] <= 1'b1;
end
else
begin
VAR20 [VAR17] <= 1'b0;
end
end
VAR18 <= {VAR3{1'b0}};
end
else
begin
if (VAR15)
begin
for (VAR17 = 1; VAR17 < VAR3; VAR17 = VAR17 + 1'b1)
begin
VAR20 [VAR17-1] <= VAR20 [VAR17];
VAR7 [VAR17-1] <= VAR7 [VAR17];
end
VAR20 [VAR3-1] <= 0;
for (VAR17 = 0; VAR17 < VAR3;VAR17 = VAR17 + 1'b1)
begin
if (VAR17 == VAR7 [1])
begin
VAR18 [VAR17] <= 1'b1;
end
else
begin
VAR18 [VAR17] <= 1'b0;
end
end
end
if (VAR6)
begin
if (~VAR15)
begin
for (VAR17 = 1; VAR17 < VAR3; VAR17 = VAR17 + 1'b1)
begin
if ( VAR20[VAR17-1] & ~VAR20[VAR17])
begin
VAR20 [VAR17] <= 1'b1;
VAR7 [VAR17] <= VAR16;
end
end
if (~VAR20[0])
begin
VAR20 [0] <= 1'b1;
VAR7 [0] <= VAR16;
for (VAR17 = 0; VAR17 < VAR3;VAR17 = VAR17 + 1'b1)
begin
if (VAR17 == VAR16)
begin
VAR18 [VAR17] <= 1'b1;
end
else
begin
VAR18 [VAR17] <= 1'b0;
end
end
end
end
else
begin
for (VAR17 = 1; VAR17 < VAR3; VAR17 = VAR17 + 1'b1)
begin
if (VAR20[VAR17-1] & ~VAR20[VAR17])
begin
VAR20 [VAR17-1] <= 1'b1;
VAR7 [VAR17-1] <= VAR16;
end
end
for (VAR17 = 0; VAR17 < VAR3;VAR17 = VAR17 + 1'b1)
begin
if (VAR20[0] & ~VAR20[1])
begin
if (VAR17 == VAR16)
begin
VAR18 [VAR17] <= 1'b1;
end
else
begin
VAR18 [VAR17] <= 1'b0;
end
end
else
begin
if (VAR17 == VAR7 [1])
begin
VAR18 [VAR17] <= 1'b1;
end
else
begin
VAR18 [VAR17] <= 1'b0;
end
end
end
end
end
end
end
endmodule | gpl-3.0 |
PyLCARS/PythonUberHDL | PYNQLearn/FabricOnly/top.v | 1,650 | module MODULE1 (
clk,
VAR12
);
input clk;
output [3:0] VAR12;
wire [3:0] VAR12;
wire [7:0] VAR2;
reg [7:0] VAR11 = 0;
wire [7:0] VAR4;
reg [7:0] VAR13 = 0;
wire [7:0] VAR17;
reg [7:0] VAR5 = 0;
wire [7:0] VAR15;
reg [7:0] VAR6 = 0;
reg VAR9 [0:4-1];
VAR10 begin: VAR14
integer VAR3;
for(VAR3=0; VAR3<4; VAR3=VAR3+1) begin
VAR9[VAR3] = 0;
end
end
assign VAR2 = 8'd4;
assign VAR4 = 8'd16;
assign VAR17 = 8'd64;
assign VAR15 = 8'd255;
always @(posedge clk) begin: VAR8
VAR11 <= (VAR11 + 1);
VAR9[0] <= (VAR11 < VAR2);
end
always @(posedge clk) begin: VAR7
VAR13 <= (VAR13 + 1);
VAR9[1] <= (VAR13 < VAR4);
end
always @(posedge clk) begin: VAR1
VAR5 <= (VAR5 + 1);
VAR9[2] <= (VAR5 < VAR17);
end
always @(posedge clk) begin: VAR16
VAR6 <= (VAR6 + 1);
VAR9[3] <= (VAR6 < VAR15);
end
assign VAR12 = {VAR9[3], VAR9[2], VAR9[1], VAR9[0]};
endmodule | bsd-3-clause |
google/skywater-pdk-libs-sky130_fd_sc_hs | cells/dfxtp/sky130_fd_sc_hs__dfxtp_4.v | 2,001 | module MODULE2 (
VAR1 ,
VAR2 ,
VAR7 ,
VAR5,
VAR4
);
input VAR1 ;
input VAR2 ;
output VAR7 ;
input VAR5;
input VAR4;
VAR3 VAR6 (
.VAR1(VAR1),
.VAR2(VAR2),
.VAR7(VAR7),
.VAR5(VAR5),
.VAR4(VAR4)
);
endmodule
module MODULE2 (
VAR1,
VAR2 ,
VAR7
);
input VAR1;
input VAR2 ;
output VAR7 ;
supply1 VAR5;
supply0 VAR4;
VAR3 VAR6 (
.VAR1(VAR1),
.VAR2(VAR2),
.VAR7(VAR7)
);
endmodule | apache-2.0 |
ShepardSiegel/ocpi | coregen/dram_v6_mig39_2/mig_39_2/user_design/rtl/phy/phy_read.v | 10,010 | module MODULE1 #
(
parameter VAR30 = 100, parameter VAR36 = 2, parameter VAR17 = 3333, parameter VAR20 = 300.0, parameter VAR49 = 8, parameter VAR23 = 64, parameter VAR47 = 8, parameter VAR54 = "VAR46", parameter VAR14 = 4, parameter VAR44 = 4, parameter VAR33 = 0, parameter VAR9 = 0, parameter VAR40 = 32'h03020100, parameter VAR28 = 32'h07060504, parameter VAR19 = 0, parameter VAR48 = 0 )
(
input VAR31,
input clk,
input VAR26,
input rst,
input VAR24,
input [VAR49-1:0] VAR22,
input [VAR49-1:0] VAR41,
input VAR29,
input [3:0] VAR27,
input [3:0] VAR51,
output [VAR49-1:0] VAR10,
output [3:0] VAR3,
output [3:0] VAR53,
output VAR8,
input VAR43,
input [4:0] VAR15,
input [VAR23-1:0] VAR37,
input [VAR23-1:0] VAR4,
input [VAR23-1:0] VAR6,
input [VAR23-1:0] VAR50,
input [VAR49-1:0] VAR39,
input [VAR49-1:0] VAR52,
input [VAR49-1:0] VAR1,
input [VAR49-1:0] VAR32,
input VAR5,
input VAR12,
output VAR13,
output VAR18,
output [4*VAR23-1:0] VAR45,
output [4*VAR49-1:0] VAR11,
output [5*VAR49-1:0] VAR34, output [19:0] VAR16, output [255:0] VAR21 );
assign VAR21 = 'b0;
VAR2 #
(
.VAR30 (VAR30),
.VAR36 (VAR36),
.VAR17 (VAR17),
.VAR49 (VAR49),
.VAR20 (VAR20),
.VAR54 (VAR54),
.VAR14 (VAR14),
.VAR44 (VAR44),
.VAR33 (VAR33),
.VAR9 (VAR9)
)
VAR35
(
.VAR31 (VAR31),
.clk (clk),
.VAR26 (VAR26),
.rst (rst),
.VAR24 (VAR24),
.VAR22 (VAR22),
.VAR41 (VAR41),
.VAR29 (VAR29),
.VAR27 (VAR27),
.VAR51 (VAR51),
.VAR10 (VAR10),
.VAR3 (VAR3),
.VAR53 (VAR53),
.VAR34 (VAR34),
.VAR16 (VAR16)
);
VAR25 #
(
.VAR30 (VAR30)
)
VAR38
(
.clk (clk),
.VAR53 (VAR53[0]),
.VAR43 (VAR43),
.VAR15 (VAR15),
.VAR5 (VAR5),
.VAR12 (VAR12),
.VAR13 (VAR13),
.VAR18 (VAR18),
.VAR8 (VAR8)
);
VAR7 #
(
.VAR30 (VAR30),
.VAR23 (VAR23),
.VAR49 (VAR49),
.VAR47 (VAR47),
.VAR14 (VAR14),
.VAR44 (VAR44),
.VAR33 (VAR33),
.VAR9 (VAR9),
.VAR40 (VAR40),
.VAR28 (VAR28),
.VAR19 (VAR19),
.VAR48 (VAR48)
)
VAR42
(
.clk (clk),
.VAR3 (VAR3),
.VAR53 (VAR53),
.VAR37 (VAR37),
.VAR4 (VAR4),
.VAR6 (VAR6),
.VAR50 (VAR50),
.VAR39 (VAR39),
.VAR52 (VAR52),
.VAR1 (VAR1),
.VAR32 (VAR32),
.VAR45 (VAR45),
.VAR11 (VAR11)
);
endmodule | lgpl-3.0 |
lerwys/bpm-sw-old-backup | hdl/ip_cores/pcie/7k325ffg900/pcie_core/source/pcie_core_pipe_reset.v | 22,134 | module MODULE1 #
(
parameter VAR78 = "VAR16", parameter VAR61 = "VAR41",
parameter VAR79 = "VAR1", parameter VAR43 = "VAR71", parameter VAR2 = "VAR16", parameter VAR31 = 1, parameter VAR74 = 6'd63, parameter VAR28 = 1
)
(
input VAR76,
input VAR50,
input VAR58,
input VAR20,
input [VAR31-1:0] VAR37,
input [VAR31-1:0] VAR83,
input [VAR31-1:0] VAR9,
input VAR22,
input [VAR31-1:0] VAR84,
input [VAR31-1:0] VAR54,
input VAR8,
input [VAR31-1:0] VAR32,
input [VAR31-1:0] VAR17,
input [VAR31-1:0] VAR69,
output VAR13,
output VAR26,
output reg VAR64,
output reg VAR66,
output reg VAR72,
output VAR14,
output VAR7,
output VAR51,
output VAR62,
output VAR81,
output VAR53,
output [4:0] VAR47
);
reg [VAR31-1:0] VAR15;
reg [VAR31-1:0] VAR60;
reg [VAR31-1:0] VAR59;
reg VAR68;
reg [VAR31-1:0] VAR4;
reg [VAR31-1:0] VAR38;
reg VAR70;
reg [VAR31-1:0] VAR82;
reg [VAR31-1:0] VAR80;
reg [VAR31-1:0] VAR24;
reg [VAR31-1:0] VAR40;
reg [VAR31-1:0] VAR39;
reg [VAR31-1:0] VAR63;
reg VAR55;
reg [VAR31-1:0] VAR35;
reg [VAR31-1:0] VAR85;
reg VAR29;
reg [VAR31-1:0] VAR10;
reg [VAR31-1:0] VAR56;
reg [VAR31-1:0] VAR23;
reg [ 5:0] VAR77 = 6'd0;
reg VAR75 = 1'd0;
reg VAR25 = 1'd0;
reg VAR18 = 1'd0;
reg VAR3 = 1'd0;
reg VAR52 = 1'd0;
reg VAR30 = 1'd0;
reg VAR34 = 1'd0;
reg VAR73 = 1'd0;
reg [4:0] fsm = 5'h2;
localparam VAR12 = 5'h0;
localparam VAR11 = 5'h1;
localparam VAR5 = 5'h2;
localparam VAR49 = 5'h3;
localparam VAR46 = 5'h4;
localparam VAR45 = 5'h5;
localparam VAR44 = 5'h6;
localparam VAR48 = 5'h7;
localparam VAR65 = 5'h8;
localparam VAR67 = 5'h9;
localparam VAR42 = 5'hA;
localparam VAR21 = 5'hB;
localparam VAR36 = 5'hC;
localparam VAR6 = 5'hD;
localparam VAR57 = 5'hE;
localparam VAR19 = 5'hF;
localparam VAR33 = 5'h10;
always @ (posedge VAR76)
begin
if (!VAR20)
begin
VAR15 <= {VAR31{1'd0}};
VAR60 <= {VAR31{1'd0}};
VAR59 <= {VAR31{1'd0}};
VAR68 <= 1'd0;
VAR4 <= {VAR31{1'd0}};
VAR38 <= {VAR31{1'd0}};
VAR70 <= 1'd0;
VAR82 <= {VAR31{1'd0}};
VAR80 <= {VAR31{1'd0}};
VAR24 <= {VAR31{1'd0}};
VAR40 <= {VAR31{1'd0}};
VAR39 <= {VAR31{1'd0}};
VAR63 <= {VAR31{1'd0}};
VAR55 <= 1'd0;
VAR35 <= {VAR31{1'd0}};
VAR85 <= {VAR31{1'd0}};
VAR29 <= 1'd0;
VAR10 <= {VAR31{1'd0}};
VAR56 <= {VAR31{1'd0}};
VAR23 <= {VAR31{1'd0}};
end
else
begin
VAR15 <= VAR37;
VAR60 <= VAR83;
VAR59 <= VAR9;
VAR68 <= VAR22;
VAR4 <= VAR84;
VAR38 <= VAR54;
VAR70 <= VAR8;
VAR82 <= VAR32;
VAR80 <= VAR17;
VAR24 <= VAR69;
VAR40 <= VAR15;
VAR39 <= VAR60;
VAR63 <= VAR59;
VAR55 <= VAR68;
VAR35 <= VAR4;
VAR85 <= VAR38;
VAR29 <= VAR70;
VAR10 <= VAR82;
VAR56 <= VAR80;
VAR23 <= VAR24;
end
end
always @ (posedge VAR76)
begin
if (!VAR20)
VAR77 <= 6'd0;
end
else
if ((fsm == VAR11) && (VAR77 < VAR74))
VAR77 <= VAR77 + 6'd1;
else if ((fsm == VAR11) && (VAR77 == VAR74))
VAR77 <= VAR77;
else
VAR77 <= 6'd0;
end
always @ (posedge VAR76)
begin
if (!VAR20)
begin
fsm <= VAR11;
VAR75 <= 1'd0;
VAR25 <= 1'd0;
VAR34 <= 1'd0;
VAR73 <= 1'd0;
end
else
begin
case (fsm)
VAR12 :
begin
if (!VAR20)
begin
fsm <= VAR11;
VAR75 <= 1'd0;
VAR25 <= 1'd0;
VAR34 <= 1'd0;
VAR73 <= 1'd0;
end
else
begin
fsm <= VAR12;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
end
VAR11 :
begin
fsm <= ((VAR77 == VAR74) ? VAR5 : VAR11);
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR5 :
begin
fsm <= ((&(~VAR63) && (&(~VAR10))) ? VAR45 : VAR5);
VAR75 <= 1'd1;
VAR25 <= VAR25;
VAR34 <= 1'd1;
VAR73 <= VAR73;
end
VAR45 :
begin
fsm <= (&VAR63 ? VAR44 : VAR45);
VAR75 <= 1'd0;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR44 :
begin
fsm <= (&VAR35 ? ((VAR61 == "VAR41") ? VAR48 : VAR49) : VAR44);
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR49 :
begin
fsm <= &(~VAR40) ? VAR46 : VAR49;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR46 :
begin
fsm <= (&VAR40) ? VAR48 : VAR46;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR48 :
begin
fsm <= (VAR61 == "VAR41") ? VAR36 : VAR65;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= 1'b0;
VAR73 <= VAR73;
end
VAR65 :
begin
fsm <= (&VAR39 || (VAR78 == "VAR71")) ? VAR67 : VAR65;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR67 :
begin
fsm <= (&(~VAR39) || (VAR78 == "VAR71")) ? VAR42 : VAR67;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR42 :
begin
fsm <= &(~VAR40) ? VAR21 : VAR42;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR21 :
begin
fsm <= (&VAR40) ? VAR36 : VAR21;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR36 :
begin
if (VAR29 && (&VAR85 || (VAR28 == 1)) && (VAR55 || (VAR79 == "VAR1")))
begin
fsm <= VAR6;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= 1'd1;
end
else
begin
fsm <= VAR36;
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= 1'd0;
end
end
VAR6 :
begin
fsm <= (&VAR10 && (&(~VAR56)) ? VAR57 : VAR6);
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR57 :
begin
fsm <= ((VAR2 == "VAR71") ? VAR12 : VAR19);
VAR75 <= VAR75;
VAR25 <= (VAR79 == "VAR27");
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR19 :
begin
fsm <= (&(~VAR23) ? VAR33 : VAR19);
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
VAR33 :
begin
fsm <= (&VAR23 ? VAR12 : VAR33);
VAR75 <= VAR75;
VAR25 <= VAR25;
VAR34 <= VAR34;
VAR73 <= VAR73;
end
default :
begin
fsm <= VAR11;
VAR75 <= 1'd0;
VAR25 <= 1'd0;
VAR34 <= 1'd0;
VAR73 <= 1'd0;
end
endcase
end
end
always @ (posedge VAR50)
begin
if (VAR75)
begin
VAR18 <= 1'd1;
VAR3 <= 1'd1;
end
else
begin
VAR18 <= 1'd0;
VAR3 <= VAR18;
end
end
always @ (posedge VAR58)
begin
if (fsm == VAR11)
begin
VAR52 <= 1'd1;
VAR30 <= VAR52;
end
else
begin
VAR52 <= 1'd0;
VAR30 <= VAR52;
end
end
assign VAR13 = VAR75;
assign VAR26 = ((VAR43 == "VAR16") ? 1'd0 : VAR25);
assign VAR14 = VAR3;
assign VAR7 = VAR30;
assign VAR51 = VAR34;
assign VAR62 = VAR73;
assign VAR81 = (fsm == VAR19);
assign VAR53 = (fsm == VAR12);
assign VAR47 = fsm;
always @ (posedge VAR76)
begin
if (!VAR20)
begin
VAR64 <= 1'd0;
VAR66 <= 1'd0;
VAR72 <= 1'd0;
end
else
begin
VAR64 <= (fsm == VAR49) || (fsm == VAR42);
VAR66 <= (fsm == VAR49) || (fsm == VAR46) || (fsm == VAR42) || (fsm == VAR21);
VAR72 <= (fsm == VAR49) || (fsm == VAR46);
end
end
endmodule | lgpl-3.0 |
r2t2sdr/r2t2 | fpga/modules/cores/axis_oscilloscope_v1_0/src/axis_oscilloscope.v | 2,952 | module MODULE1 #
(
parameter integer VAR12 = 32,
parameter integer VAR13 = 12
)
(
input wire VAR17,
input wire VAR4,
input wire VAR1,
input wire VAR19,
input wire [VAR13-1:0] VAR11,
input wire [VAR13-1:0] VAR16,
output wire [VAR13:0] VAR18,
output wire VAR21,
input wire [VAR12-1:0] VAR2,
input wire VAR3,
output wire [VAR12-1:0] VAR6,
output wire VAR8
);
reg [VAR13-1:0] VAR9, VAR22;
reg [VAR13-1:0] VAR5, VAR14;
reg [1:0] VAR7, VAR15;
reg VAR20, VAR10;
always @(posedge VAR17)
begin
if(~VAR4)
begin
VAR9 <= {(VAR13){1'b0}};
VAR5 <= {(VAR13){1'b0}};
VAR7 <= 2'd0;
VAR20 <= 1'b0;
end
else
begin
VAR9 <= VAR22;
VAR5 <= VAR14;
VAR7 <= VAR15;
VAR20 <= VAR10;
end
end
always @*
begin
VAR22 = VAR9;
VAR14 = VAR5;
VAR15 = VAR7;
VAR10 = VAR20;
case(VAR7)
0:
begin
if(VAR1)
begin
VAR22 = {(VAR13){1'b0}};
VAR14 = {(VAR13){1'b0}};
VAR15 = 2'd1;
VAR10 = 1'b1;
end
end
1:
begin
if(VAR3)
begin
VAR14 = VAR5 + 1'b1;
if(VAR5 == VAR11)
begin
VAR15 = 2'd2;
end
end
end
2:
begin
if(VAR3)
begin
VAR14 = VAR5 + 1'b1;
if(VAR19)
begin
VAR22 = VAR5;
VAR14 = VAR11 + VAR5[5:0];
VAR15 = 2'd3;
end
end
end
3:
begin
if(VAR3)
begin
if(VAR5 < VAR16)
begin
VAR14 = VAR5 + 1'b1;
end
else
begin
VAR15 = 2'd0;
VAR10 = 1'b0;
end
end
end
endcase
end
assign VAR18 = {VAR9, VAR20};
assign VAR21 = 1'b1;
assign VAR6 = VAR2;
assign VAR8 = VAR20 & VAR3;
endmodule | gpl-3.0 |
mammenx/pegasus | wxp/dgn/rtl/l2/mac/peg_l2_mac_tx.v | 3,435 | module MODULE1 #(
parameter VAR15 = 8,
parameter VAR9 = 16
)
(
input clk,
input VAR2,
input VAR11,
VAR12(VAR10,,VAR15)
);
VAR6 #(
.VAR15(VAR15),
.VAR9(VAR9)
)
VAR7
(
.clk (clk),
.VAR2 (VAR2),
.VAR1 (),
.VAR13 (),
.VAR14 (),
.VAR4 (),
.VAR8 (),
.VAR5 (),
.VAR3 (),
.VAR11 (VAR11),
);
endmodule | gpl-3.0 |
SI-RISCV/e200_opensource | rtl/e203/perips/sirv_spigpioport_2.v | 5,329 | module MODULE1(
input VAR3,
input reset,
input VAR79,
output VAR45,
input VAR4,
input VAR59,
output VAR22,
input VAR23,
input VAR38,
output VAR30,
input VAR31,
input VAR70,
output VAR68,
input VAR54,
input VAR29,
input VAR64,
input VAR56,
output VAR42,
output VAR74,
output VAR77,
output VAR72,
output VAR5,
input VAR62,
output VAR61,
output VAR39,
output VAR63,
output VAR52,
output VAR6,
input VAR51,
output VAR73,
output VAR24,
output VAR27,
output VAR9,
output VAR13,
input VAR50,
output VAR11,
output VAR32,
output VAR60,
output VAR20,
output VAR19,
input VAR40,
output VAR16,
output VAR71,
output VAR78,
output VAR35,
output VAR57,
input VAR25,
output VAR43,
output VAR15,
output VAR12,
output VAR37,
output VAR44
);
wire VAR28;
reg VAR10;
reg [31:0] VAR33;
reg VAR69;
reg [31:0] VAR34;
reg VAR76;
reg [31:0] VAR41;
wire VAR67;
reg VAR21;
reg [31:0] VAR48;
reg VAR8;
reg [31:0] VAR75;
reg VAR14;
reg [31:0] VAR2;
wire VAR49;
reg VAR26;
reg [31:0] VAR36;
reg VAR1;
reg [31:0] VAR66;
reg VAR58;
reg [31:0] VAR47;
wire VAR18;
reg VAR7;
reg [31:0] VAR53;
reg VAR46;
reg [31:0] VAR65;
reg VAR55;
reg [31:0] VAR17;
assign VAR45 = VAR76;
assign VAR22 = VAR14;
assign VAR30 = VAR58;
assign VAR68 = VAR55;
assign VAR42 = VAR79;
assign VAR74 = 1'h1;
assign VAR77 = 1'h0;
assign VAR72 = 1'h0;
assign VAR5 = 1'h1;
assign VAR61 = VAR4;
assign VAR39 = VAR59;
assign VAR63 = VAR28;
assign VAR52 = 1'h1;
assign VAR6 = 1'h1;
assign VAR73 = VAR23;
assign VAR24 = VAR38;
assign VAR27 = VAR67;
assign VAR9 = 1'h1;
assign VAR13 = 1'h1;
assign VAR11 = VAR31;
assign VAR32 = VAR70;
assign VAR60 = VAR49;
assign VAR20 = 1'h1;
assign VAR19 = 1'h1;
assign VAR16 = VAR54;
assign VAR71 = VAR29;
assign VAR78 = VAR18;
assign VAR35 = 1'h1;
assign VAR57 = 1'h1;
assign VAR43 = VAR64;
assign VAR15 = 1'h1;
assign VAR12 = 1'h0;
assign VAR37 = 1'h0;
assign VAR44 = 1'h1;
assign VAR28 = ~ VAR59;
assign VAR67 = ~ VAR38;
assign VAR49 = ~ VAR70;
assign VAR18 = ~ VAR29;
always @(posedge VAR3 or posedge reset) begin
if(reset) begin
VAR10 <= 1'b0;
VAR69 <= 1'b0;
VAR76 <= 1'b0;
VAR21 <= 1'b0;
VAR8 <= 1'b0;
VAR14 <= 1'b0;
VAR26 <= 1'b0;
VAR1 <= 1'b0;
VAR58 <= 1'b0;
VAR7 <= 1'b0;
VAR46 <= 1'b0;
VAR55 <= 1'b0;
end
else begin
VAR10 <= VAR62;
VAR69 <= VAR10;
VAR76 <= VAR69;
VAR21 <= VAR51;
VAR8 <= VAR21;
VAR14 <= VAR8;
VAR26 <= VAR50;
VAR1 <= VAR26;
VAR58 <= VAR1;
VAR7 <= VAR40;
VAR46 <= VAR7;
VAR55 <= VAR46;
end
end
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o22a/sky130_fd_sc_lp__o22a.functional.v | 1,511 | module MODULE1 (
VAR11 ,
VAR7,
VAR8,
VAR6,
VAR1
);
output VAR11 ;
input VAR7;
input VAR8;
input VAR6;
input VAR1;
wire VAR3 ;
wire VAR4 ;
wire VAR9;
or VAR12 (VAR3 , VAR8, VAR7 );
or VAR10 (VAR4 , VAR1, VAR6 );
and VAR2 (VAR9, VAR3, VAR4);
buf VAR5 (VAR11 , VAR9 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/o2bb2a/sky130_fd_sc_lp__o2bb2a.symbol.v | 1,386 | module MODULE1 (
input VAR8,
input VAR9,
input VAR6 ,
input VAR7 ,
output VAR1
);
supply1 VAR5;
supply0 VAR4;
supply1 VAR3 ;
supply0 VAR2 ;
endmodule | apache-2.0 |
intelligenttoasters/CPC2.0 | FPGA/rtl/Altera/cache_d_bb.v | 8,645 | module MODULE1 (
VAR1,
VAR10,
VAR7,
VAR4,
VAR3,
VAR6,
VAR9,
VAR2,
VAR5,
VAR8);
input [11:0] VAR1;
input [10:0] VAR10;
input VAR7;
input VAR4;
input [7:0] VAR3;
input [15:0] VAR6;
input VAR9;
input VAR2;
output [7:0] VAR5;
output [15:0] VAR8;
tri1 VAR7;
tri0 VAR9;
tri0 VAR2;
endmodule | gpl-3.0 |
ssabogal/nocturnal | noc_dev/noc_dev.srcs/sources_1/bd/sys/ip/sys_auto_pc_0/synth/sys_auto_pc_0.v | 13,142 | module MODULE1 (
VAR27,
VAR5,
VAR51,
VAR39,
VAR2,
VAR49,
VAR71,
VAR48,
VAR54,
VAR14,
VAR6,
VAR89,
VAR38,
VAR86,
VAR73,
VAR43,
VAR55,
VAR63,
VAR41,
VAR79,
VAR15,
VAR52,
VAR9,
VAR77,
VAR56,
VAR7,
VAR84,
VAR24,
VAR20,
VAR34,
VAR96,
VAR112,
VAR35,
VAR110,
VAR81,
VAR66,
VAR18,
VAR67,
VAR107,
VAR80,
VAR85,
VAR13,
VAR65,
VAR26,
VAR70,
VAR58,
VAR28,
VAR19,
VAR44,
VAR47,
VAR61,
VAR29,
VAR109,
VAR102,
VAR40,
VAR101,
VAR114,
VAR64,
VAR22
);
input wire VAR27;
input wire VAR5;
input wire [11 : 0] VAR51;
input wire [31 : 0] VAR39;
input wire [3 : 0] VAR2;
input wire [2 : 0] VAR49;
input wire [1 : 0] VAR71;
input wire [1 : 0] VAR48;
input wire [3 : 0] VAR54;
input wire [2 : 0] VAR14;
input wire [3 : 0] VAR6;
input wire VAR89;
output wire VAR38;
input wire [11 : 0] VAR86;
input wire [31 : 0] VAR73;
input wire [3 : 0] VAR43;
input wire VAR55;
input wire VAR63;
output wire VAR41;
output wire [11 : 0] VAR79;
output wire [1 : 0] VAR15;
output wire VAR52;
input wire VAR9;
input wire [11 : 0] VAR77;
input wire [31 : 0] VAR56;
input wire [3 : 0] VAR7;
input wire [2 : 0] VAR84;
input wire [1 : 0] VAR24;
input wire [1 : 0] VAR20;
input wire [3 : 0] VAR34;
input wire [2 : 0] VAR96;
input wire [3 : 0] VAR112;
input wire VAR35;
output wire VAR110;
output wire [11 : 0] VAR81;
output wire [31 : 0] VAR66;
output wire [1 : 0] VAR18;
output wire VAR67;
output wire VAR107;
input wire VAR80;
output wire [31 : 0] VAR85;
output wire [2 : 0] VAR13;
output wire VAR65;
input wire VAR26;
output wire [31 : 0] VAR70;
output wire [3 : 0] VAR58;
output wire VAR28;
input wire VAR19;
input wire [1 : 0] VAR44;
input wire VAR47;
output wire VAR61;
output wire [31 : 0] VAR29;
output wire [2 : 0] VAR109;
output wire VAR102;
input wire VAR40;
input wire [31 : 0] VAR101;
input wire [1 : 0] VAR114;
input wire VAR64;
output wire VAR22;
VAR90 #(
.VAR104("VAR1"),
.VAR68(2),
.VAR100(1),
.VAR76(0),
.VAR83(12),
.VAR74(32),
.VAR106(32),
.VAR99(1),
.VAR75(1),
.VAR17(0),
.VAR62(1),
.VAR53(1),
.VAR91(1),
.VAR25(1),
.VAR16(1),
.VAR31(2)
) VAR95 (
.VAR27(VAR27),
.VAR5(VAR5),
.VAR51(VAR51),
.VAR39(VAR39),
.VAR2(VAR2),
.VAR49(VAR49),
.VAR71(VAR71),
.VAR48(VAR48),
.VAR54(VAR54),
.VAR14(VAR14),
.VAR37(4'VAR59),
.VAR6(VAR6),
.VAR113(1'VAR59),
.VAR89(VAR89),
.VAR38(VAR38),
.VAR86(VAR86),
.VAR73(VAR73),
.VAR43(VAR43),
.VAR55(VAR55),
.VAR32(1'VAR59),
.VAR63(VAR63),
.VAR41(VAR41),
.VAR79(VAR79),
.VAR15(VAR15),
.VAR4(),
.VAR52(VAR52),
.VAR9(VAR9),
.VAR77(VAR77),
.VAR56(VAR56),
.VAR7(VAR7),
.VAR84(VAR84),
.VAR24(VAR24),
.VAR20(VAR20),
.VAR34(VAR34),
.VAR96(VAR96),
.VAR21(4'VAR59),
.VAR112(VAR112),
.VAR92(1'VAR59),
.VAR35(VAR35),
.VAR110(VAR110),
.VAR81(VAR81),
.VAR66(VAR66),
.VAR18(VAR18),
.VAR67(VAR67),
.VAR33(),
.VAR107(VAR107),
.VAR80(VAR80),
.VAR45(),
.VAR85(VAR85),
.VAR69(),
.VAR72(),
.VAR93(),
.VAR105(),
.VAR57(),
.VAR13(VAR13),
.VAR87(),
.VAR94(),
.VAR42(),
.VAR65(VAR65),
.VAR26(VAR26),
.VAR50(),
.VAR70(VAR70),
.VAR58(VAR58),
.VAR60(),
.VAR82(),
.VAR28(VAR28),
.VAR19(VAR19),
.VAR108(12'VAR98),
.VAR44(VAR44),
.VAR12(1'VAR59),
.VAR47(VAR47),
.VAR61(VAR61),
.VAR111(),
.VAR29(VAR29),
.VAR36(),
.VAR103(),
.VAR78(),
.VAR8(),
.VAR10(),
.VAR109(VAR109),
.VAR88(),
.VAR23(),
.VAR3(),
.VAR102(VAR102),
.VAR40(VAR40),
.VAR46(12'VAR98),
.VAR101(VAR101),
.VAR114(VAR114),
.VAR11(1'VAR30),
.VAR97(1'VAR59),
.VAR64(VAR64),
.VAR22(VAR22)
);
endmodule | mit |
Darkin47/Zynq-TX-UTT | Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_dwidth_converter_v2_1/hdl/verilog/axi_dwidth_converter_v2_1_top.v | 52,187 | module MODULE1 #
(
parameter VAR134 = "VAR180",
parameter integer VAR39 = 0,
parameter integer VAR4 = 1,
parameter integer VAR115 = 0,
parameter integer VAR24 = 32,
parameter integer VAR7 = 32,
parameter integer VAR5 = 64,
parameter integer VAR57 = 1,
parameter integer VAR156 = 1,
parameter integer VAR148 = 0,
parameter integer VAR30 = 1, parameter integer VAR174 = 2, parameter integer VAR75 = 0, parameter integer VAR163 = 256,
parameter integer VAR62 = 1,
parameter integer VAR52 = 3
)
(
input wire VAR203,
input wire VAR204,
input wire [VAR4-1:0] VAR162,
input wire [VAR24-1:0] VAR41,
input wire [((VAR39 == 1) ? 4 : 8)-1:0] VAR136,
input wire [3-1:0] VAR161,
input wire [2-1:0] VAR133,
input wire [((VAR39 == 1) ? 2 : 1)-1:0] VAR142,
input wire [4-1:0] VAR179,
input wire [3-1:0] VAR74,
input wire [4-1:0] VAR69,
input wire [4-1:0] VAR56,
input wire VAR167,
output wire VAR158,
input wire [VAR7-1:0] VAR138,
input wire [VAR7/8-1:0] VAR53,
input wire VAR126,
input wire VAR66,
output wire VAR123,
output wire [VAR4-1:0] VAR108,
output wire [2-1:0] VAR22,
output wire VAR110,
input wire VAR13,
input wire [VAR4-1:0] VAR86,
input wire [VAR24-1:0] VAR146,
input wire [((VAR39 == 1) ? 4 : 8)-1:0] VAR91,
input wire [3-1:0] VAR67,
input wire [2-1:0] VAR175,
input wire [((VAR39 == 1) ? 2 : 1)-1:0] VAR135,
input wire [4-1:0] VAR177,
input wire [3-1:0] VAR149,
input wire [4-1:0] VAR95,
input wire [4-1:0] VAR16,
input wire VAR195,
output wire VAR183,
output wire [VAR4-1:0] VAR192,
output wire [VAR7-1:0] VAR164,
output wire [2-1:0] VAR141,
output wire VAR49,
output wire VAR59,
input wire VAR6,
input wire VAR106,
input wire VAR194,
output wire [VAR24-1:0] VAR173,
output wire [((VAR39 == 1) ? 4 : 8)-1:0] VAR116,
output wire [3-1:0] VAR117,
output wire [2-1:0] VAR178,
output wire [((VAR39 == 1) ? 2 : 1)-1:0] VAR98,
output wire [4-1:0] VAR97,
output wire [3-1:0] VAR159,
output wire [4-1:0] VAR44,
output wire [4-1:0] VAR82,
output wire VAR102,
input wire VAR48,
output wire [VAR5-1:0] VAR88,
output wire [VAR5/8-1:0] VAR89,
output wire VAR147,
output wire VAR157,
input wire VAR78,
input wire [2-1:0] VAR11,
input wire VAR196,
output wire VAR3,
output wire [VAR24-1:0] VAR15,
output wire [((VAR39 == 1) ? 4 : 8)-1:0] VAR43,
output wire [3-1:0] VAR29,
output wire [2-1:0] VAR127,
output wire [((VAR39 == 1) ? 2 : 1)-1:0] VAR155,
output wire [4-1:0] VAR184,
output wire [3-1:0] VAR206,
output wire [4-1:0] VAR202,
output wire [4-1:0] VAR143,
output wire VAR61,
input wire VAR1,
input wire [VAR5-1:0] VAR27,
input wire [2-1:0] VAR85,
input wire VAR42,
input wire VAR23,
output wire VAR84
);
wire VAR96 = VAR203;
wire VAR103 = VAR204;
function integer VAR73
(
input integer VAR125
);
integer VAR72;
begin
VAR72=0;
while ((2**VAR72) < VAR125)
VAR72 = VAR72 + 1;
VAR73 = VAR72;
end
endfunction
localparam integer VAR70 = VAR73(VAR7/8);
localparam integer VAR12 = VAR73(VAR5/8);
localparam integer VAR120 = VAR7 / VAR5;
localparam integer VAR28 = VAR73(VAR120);
localparam integer VAR34 = 0;
localparam integer VAR153 = 1;
localparam integer VAR90 = 2;
localparam integer VAR160 = 2;
localparam integer VAR193 = (VAR163 >= 16) ? VAR163 :
(VAR39 == VAR34) ? 256 : 16;
wire [8-1:0] VAR46;
wire [2-1:0] VAR181;
wire [8-1:0] VAR76;
wire [2-1:0] VAR93;
wire [8-1:0] VAR131;
wire [2-1:0] VAR14;
wire [8-1:0] VAR130;
wire [2-1:0] VAR199;
wire [4-1:0] VAR68;
wire [4-1:0] VAR47;
wire [4-1:0] VAR10;
wire [4-1:0] VAR128;
generate
if (VAR39 == VAR90) begin : VAR165
assign VAR108 = {VAR4{1'b0}} ;
assign VAR192 = {VAR4{1'b0}} ;
assign VAR49 = 1'b0 ;
assign VAR116 = 8'b0 ;
assign VAR117 = 3'b0 ;
assign VAR178 = 2'b0 ;
assign VAR98 = 1'b0 ;
assign VAR97 = 4'b0 ;
assign VAR44 = 4'b0 ;
assign VAR82 = 4'b0 ;
assign VAR147 = 1'b0 ;
assign VAR43 = 8'b0 ;
assign VAR29 = 3'b0 ;
assign VAR127 = 2'b0 ;
assign VAR155 = 1'b0 ;
assign VAR184 = 4'b0 ;
assign VAR202 = 4'b0 ;
assign VAR143 = 4'b0 ;
end else begin : VAR64
assign VAR46 = (VAR39 == VAR153) ? {4'b0000, VAR136}: VAR136;
assign VAR181 = (VAR39 == VAR153) ? VAR142 : {1'b0, VAR142};
assign VAR76 = (VAR39 == VAR153) ? {4'b0000, VAR91}: VAR91;
assign VAR93 = (VAR39 == VAR153) ? VAR135 : {1'b0, VAR135};
assign VAR116 = (VAR39 == VAR153) ? VAR131[3:0]: VAR131;
assign VAR98 = (VAR39 == VAR153) ? VAR14 : VAR14[0];
assign VAR43 = (VAR39 == VAR153) ? VAR130[3:0]: VAR130;
assign VAR155 = (VAR39 == VAR153) ? VAR199 : VAR199[0];
assign VAR68 = (VAR39 == VAR153) ? 4'b0 : VAR69;
assign VAR47 = (VAR39 == VAR153) ? 4'b0 : VAR95;
assign VAR44 = (VAR39 == VAR153) ? 4'b0 : VAR10;
assign VAR202 = (VAR39 == VAR153) ? 4'b0 : VAR128;
end
if (VAR7 > VAR5) begin : VAR37
if (VAR39 == VAR90) begin : VAR33
VAR35 #(
.VAR134 ( VAR134 ) ,
.VAR24 ( VAR24 ) ,
.VAR57 ( VAR57 ) ,
.VAR156 ( VAR156 )
)
VAR151
(
.VAR103 ( VAR103 ) ,
.VAR96 ( VAR96 ) ,
.VAR41 ( VAR41 ) ,
.VAR74 ( VAR74 ) ,
.VAR167 ( VAR167 ) ,
.VAR158 ( VAR158 ) ,
.VAR138 ( VAR138 ) ,
.VAR53 ( VAR53 ) ,
.VAR66 ( VAR66 ) ,
.VAR123 ( VAR123 ) ,
.VAR22 ( VAR22 ) ,
.VAR110 ( VAR110 ) ,
.VAR13 ( VAR13 ) ,
.VAR146 ( VAR146 ) ,
.VAR149 ( VAR149 ) ,
.VAR195 ( VAR195 ) ,
.VAR183 ( VAR183 ) ,
.VAR164 ( VAR164 ) ,
.VAR141 ( VAR141 ) ,
.VAR59 ( VAR59 ) ,
.VAR6 ( VAR6 ) ,
.VAR173 ( VAR173 ) ,
.VAR159 ( VAR159 ) ,
.VAR102 ( VAR102 ) ,
.VAR48 ( VAR48 ) ,
.VAR88 ( VAR88 ) ,
.VAR89 ( VAR89 ) ,
.VAR157 ( VAR157 ) ,
.VAR78 ( VAR78 ) ,
.VAR11 ( VAR11 ) ,
.VAR196 ( VAR196 ) ,
.VAR3 ( VAR3 ) ,
.VAR15 ( VAR15 ) ,
.VAR206 ( VAR206 ) ,
.VAR61 ( VAR61 ) ,
.VAR1 ( VAR1 ) ,
.VAR27 ( VAR27 ) ,
.VAR85 ( VAR85 ) ,
.VAR23 ( VAR23 ) ,
.VAR84 ( VAR84 )
);
end else if (((VAR39 == VAR153) && (VAR193 > 0)) || (VAR193 < 256) || (VAR120 > 16)) begin : VAR20
localparam integer VAR50 = (VAR120 > 16) ? 64 : VAR5;
wire [VAR24-1:0] VAR101 ;
wire [8-1:0] VAR188 ;
wire [3-1:0] VAR36 ;
wire [2-1:0] VAR121 ;
wire [2-1:0] VAR187 ;
wire [4-1:0] VAR21 ;
wire [3-1:0] VAR118 ;
wire [4-1:0] VAR172 ;
wire [4-1:0] VAR104 ;
wire VAR100 ;
wire VAR87 ;
wire [VAR50-1:0] VAR45 ;
wire [VAR50/8-1:0] VAR19 ;
wire VAR65 ;
wire VAR200 ;
wire VAR176 ;
wire [2-1:0] VAR26 ;
wire VAR124 ;
wire VAR190 ;
wire [VAR24-1:0] VAR197 ;
wire [8-1:0] VAR40 ;
wire [3-1:0] VAR201 ;
wire [2-1:0] VAR17 ;
wire [2-1:0] VAR122 ;
wire [4-1:0] VAR189 ;
wire [3-1:0] VAR9 ;
wire [4-1:0] VAR191 ;
wire [4-1:0] VAR25 ;
wire VAR38 ;
wire VAR83 ;
wire [VAR50-1:0] VAR18 ;
wire [2-1:0] VAR113 ;
wire VAR79 ;
wire VAR169 ;
wire VAR105 ;
wire [4-1:0] VAR144;
wire [4-1:0] VAR58;
wire [1-1:0] VAR109;
wire [1-1:0] VAR140;
VAR81 #(
.VAR134 ( VAR134 ) ,
.VAR39 ( VAR39 ) ,
.VAR4 ( VAR4 ) ,
.VAR115 ( VAR115 ),
.VAR24 ( VAR24 ) ,
.VAR7 ( VAR7 ) ,
.VAR5 ( VAR50 ) ,
.VAR57 ( VAR57 ) ,
.VAR156 ( VAR156 ) ,
.VAR163 ( 256 )
)
VAR152
(
.VAR103 ( VAR103 ) ,
.VAR96 ( VAR96 ) ,
.VAR162 ( VAR162 ) ,
.VAR41 ( VAR41 ) ,
.VAR136 ( VAR46 ) ,
.VAR161 ( VAR161 ) ,
.VAR133 ( VAR133 ) ,
.VAR142 ( VAR181 ) ,
.VAR179 ( VAR179 ) ,
.VAR74 ( VAR74 ) ,
.VAR69 ( VAR68) ,
.VAR56 ( VAR56 ) ,
.VAR167 ( VAR167 ) ,
.VAR158 ( VAR158 ) ,
.VAR138 ( VAR138 ) ,
.VAR53 ( VAR53 ) ,
.VAR126 ( VAR126 ) ,
.VAR66 ( VAR66 ) ,
.VAR123 ( VAR123 ) ,
.VAR108 ( VAR108 ) ,
.VAR22 ( VAR22 ) ,
.VAR110 ( VAR110 ) ,
.VAR13 ( VAR13 ) ,
.VAR86 ( VAR86 ) ,
.VAR146 ( VAR146 ) ,
.VAR91 ( VAR76 ) ,
.VAR67 ( VAR67 ) ,
.VAR175 ( VAR175 ) ,
.VAR135 ( VAR93 ) ,
.VAR177 ( VAR177 ) ,
.VAR149 ( VAR149 ) ,
.VAR95 ( VAR47) ,
.VAR16 ( VAR16 ) ,
.VAR195 ( VAR195 ) ,
.VAR183 ( VAR183 ) ,
.VAR192 ( VAR192 ) ,
.VAR164 ( VAR164 ) ,
.VAR141 ( VAR141 ) ,
.VAR49 ( VAR49 ) ,
.VAR59 ( VAR59 ) ,
.VAR6 ( VAR6 ) ,
.VAR173 ( VAR101 ) ,
.VAR116 ( VAR188 ) ,
.VAR117 ( VAR36 ) ,
.VAR178 ( VAR121 ) ,
.VAR98 ( VAR187 ) ,
.VAR97 ( VAR21 ) ,
.VAR159 ( VAR118 ) ,
.VAR44 ( VAR172 ) ,
.VAR82 ( VAR104 ) ,
.VAR102 ( VAR100 ) ,
.VAR48 ( VAR87 ) ,
.VAR88 ( VAR45 ) ,
.VAR89 ( VAR19 ) ,
.VAR147 ( VAR65 ) ,
.VAR157 ( VAR200 ) ,
.VAR78 ( VAR176 ) ,
.VAR11 ( VAR26 ) ,
.VAR196 ( VAR124 ) ,
.VAR3 ( VAR190 ) ,
.VAR15 ( VAR197 ) ,
.VAR43 ( VAR40 ) ,
.VAR29 ( VAR201 ) ,
.VAR127 ( VAR17 ) ,
.VAR155 ( VAR122 ) ,
.VAR184 ( VAR189 ) ,
.VAR206 ( VAR9 ) ,
.VAR202 ( VAR191 ) ,
.VAR143 ( VAR25 ) ,
.VAR61 ( VAR38 ) ,
.VAR1 ( VAR83 ) ,
.VAR27 ( VAR18 ) ,
.VAR85 ( VAR113 ) ,
.VAR42 ( VAR79 ) ,
.VAR23 ( VAR169 ) ,
.VAR84 ( VAR105 )
);
if (VAR120 > 16) begin : VAR182
VAR81 #(
.VAR134 ( VAR134 ) ,
.VAR39 ( VAR39 ) ,
.VAR4 ( 1 ) ,
.VAR115 ( 0 ),
.VAR24 ( VAR24 ) ,
.VAR7 ( VAR50 ) ,
.VAR5 ( VAR5 ) ,
.VAR57 ( VAR57 ) ,
.VAR156 ( VAR156 ) ,
.VAR163 ( VAR193 )
)
VAR77
(
.VAR103 ( VAR103 ) ,
.VAR96 ( VAR96 ) ,
.VAR162 ( 1'b0 ) ,
.VAR41 ( VAR101 ) ,
.VAR136 ( VAR188 ) ,
.VAR161 ( VAR36 ) ,
.VAR133 ( VAR121 ) ,
.VAR142 ( VAR187 ) ,
.VAR179 ( VAR21 ) ,
.VAR74 ( VAR118 ) ,
.VAR69 ( VAR172 ) ,
.VAR56 ( VAR104 ) ,
.VAR167 ( VAR100 ) ,
.VAR158 ( VAR87 ) ,
.VAR138 ( VAR45 ) ,
.VAR53 ( VAR19 ) ,
.VAR126 ( VAR65 ) ,
.VAR66 ( VAR200 ) ,
.VAR123 ( VAR176 ) ,
.VAR108 ( ) ,
.VAR22 ( VAR26 ) ,
.VAR110 ( VAR124 ) ,
.VAR13 ( VAR190 ) ,
.VAR86 ( 1'b0 ) ,
.VAR146 ( VAR197 ) ,
.VAR91 ( VAR40 ) ,
.VAR67 ( VAR201 ) ,
.VAR175 ( VAR17 ) ,
.VAR135 ( VAR122 ) ,
.VAR177 ( VAR189 ) ,
.VAR149 ( VAR9 ) ,
.VAR95 ( VAR191 ) ,
.VAR16 ( VAR25 ) ,
.VAR195 ( VAR38 ) ,
.VAR183 ( VAR83 ) ,
.VAR192 ( ) ,
.VAR164 ( VAR18 ) ,
.VAR141 ( VAR113 ) ,
.VAR49 ( VAR79 ) ,
.VAR59 ( VAR169 ) ,
.VAR6 ( VAR105 ) ,
.VAR173 ( VAR173 ) ,
.VAR116 ( VAR131 ) ,
.VAR117 ( VAR117 ) ,
.VAR178 ( VAR178 ) ,
.VAR98 ( VAR14 ) ,
.VAR97 ( VAR97 ) ,
.VAR159 ( VAR159 ) ,
.VAR44 ( VAR10) ,
.VAR82 ( VAR82 ) ,
.VAR102 ( VAR102 ) ,
.VAR48 ( VAR48 ) ,
.VAR88 ( VAR88 ) ,
.VAR89 ( VAR89 ) ,
.VAR147 ( VAR147 ) ,
.VAR157 ( VAR157 ) ,
.VAR78 ( VAR78 ) ,
.VAR11 ( VAR11 ) ,
.VAR196 ( VAR196 ) ,
.VAR3 ( VAR3 ) ,
.VAR15 ( VAR15 ) ,
.VAR43 ( VAR130 ) ,
.VAR29 ( VAR29 ) ,
.VAR127 ( VAR127 ) ,
.VAR155 ( VAR199 ) ,
.VAR184 ( VAR184 ) ,
.VAR206 ( VAR206 ) ,
.VAR202 ( VAR128) ,
.VAR143 ( VAR143 ) ,
.VAR61 ( VAR61 ) ,
.VAR1 ( VAR1 ) ,
.VAR27 ( VAR27 ) ,
.VAR85 ( VAR85 ) ,
.VAR42 ( VAR42 ) ,
.VAR23 ( VAR23 ) ,
.VAR84 ( VAR84 )
);
end else begin : VAR166
VAR2 #(
.VAR134 ( VAR134 ) ,
.VAR171 ( VAR34 ) ,
.VAR132 ( VAR153 ) ,
.VAR168 ( 1 ) ,
.VAR24 ( VAR24 ) ,
.VAR129 ( VAR5 ) ,
.VAR57 ( VAR57 ) ,
.VAR156 ( VAR156 ) ,
.VAR63 (0) ,
.VAR154 ( VAR160 )
)
VAR55
(
.VAR103 ( VAR103 ) ,
.VAR96 ( VAR96 ) ,
.VAR162 ( 1'b0 ) ,
.VAR41 ( VAR101 ) ,
.VAR136 ( VAR188 ) ,
.VAR161 ( VAR36 ) ,
.VAR133 ( VAR121 ) ,
.VAR142 ( VAR109 ) ,
.VAR179 ( VAR21 ) ,
.VAR74 ( VAR118 ) ,
.VAR69 ( VAR172 ) ,
.VAR56 ( VAR104 ) ,
.VAR167 ( VAR100 ) ,
.VAR158 ( VAR87 ) ,
.VAR138 ( VAR45 ) ,
.VAR53 ( VAR19 ) ,
.VAR126 ( VAR65 ) ,
.VAR66 ( VAR200 ) ,
.VAR123 ( VAR176 ) ,
.VAR108 ( ) ,
.VAR22 ( VAR26 ) ,
.VAR110 ( VAR124 ) ,
.VAR13 ( VAR190 ) ,
.VAR86 ( 1'b0 ) ,
.VAR146 ( VAR197 ) ,
.VAR91 ( VAR40 ) ,
.VAR67 ( VAR201 ) ,
.VAR175 ( VAR17 ) ,
.VAR135 ( VAR140 ) ,
.VAR177 ( VAR189 ) ,
.VAR149 ( VAR9 ) ,
.VAR95 ( VAR191 ) ,
.VAR16 ( VAR25 ) ,
.VAR195 ( VAR38 ) ,
.VAR183 ( VAR83 ) ,
.VAR192 ( ) ,
.VAR164 ( VAR18 ) ,
.VAR141 ( VAR113 ) ,
.VAR49 ( VAR79 ) ,
.VAR59 ( VAR169 ) ,
.VAR6 ( VAR105 ) ,
.VAR173 ( VAR173 ) ,
.VAR116 ( VAR144 ) ,
.VAR117 ( VAR117 ) ,
.VAR178 ( VAR178 ) ,
.VAR98 ( VAR14 ) ,
.VAR97 ( VAR97 ) ,
.VAR159 ( VAR159 ) ,
.VAR44 ( VAR10) ,
.VAR82 ( VAR82 ) ,
.VAR102 ( VAR102 ) ,
.VAR48 ( VAR48 ) ,
.VAR88 ( VAR88 ) ,
.VAR89 ( VAR89 ) ,
.VAR147 ( VAR147 ) ,
.VAR157 ( VAR157 ) ,
.VAR78 ( VAR78 ) ,
.VAR11 ( VAR11 ) ,
.VAR196 ( VAR196 ) ,
.VAR3 ( VAR3 ) ,
.VAR15 ( VAR15 ) ,
.VAR43 ( VAR58 ) ,
.VAR29 ( VAR29 ) ,
.VAR127 ( VAR127 ) ,
.VAR155 ( VAR199 ) ,
.VAR184 ( VAR184 ) ,
.VAR206 ( VAR206 ) ,
.VAR202 ( VAR128) ,
.VAR143 ( VAR143 ) ,
.VAR61 ( VAR61 ) ,
.VAR1 ( VAR1 ) ,
.VAR27 ( VAR27 ) ,
.VAR85 ( VAR85 ) ,
.VAR42 ( VAR42 ) ,
.VAR23 ( VAR23 ) ,
.VAR84 ( VAR84 ) ,
.VAR112 ( ) ,
.VAR92 ( ) ,
.VAR94 ( 1'b0 ) ,
.VAR170 ( ) ,
.VAR150 ( 1'b0 ) ,
.VAR80 ( 1'b0 ) ,
.VAR139 ( 1'b0 ) ,
.VAR137 ( 1'b0 ) ,
.VAR51 ( ) ,
.VAR185 ( 1'b0 ) ,
.VAR145 ( ) ,
.VAR119 ( ) ,
.VAR186 ( ) ,
.VAR60 ( 1'b0 ) ,
.VAR114 ( ) ,
.VAR205 ( 1'b0 )
);
assign VAR109 = VAR187[0];
assign VAR140 = VAR122[0];
assign VAR131 = {4'b0, VAR144};
assign VAR130 = {4'b0, VAR58};
end
end else begin : VAR8
VAR81 #(
.VAR134 ( VAR134 ) ,
.VAR39 ( VAR39 ) ,
.VAR4 ( VAR4 ) ,
.VAR115 ( VAR115 ),
.VAR24 ( VAR24 ) ,
.VAR7 ( VAR7 ) ,
.VAR5 ( VAR5 ) ,
.VAR57 ( VAR57 ) ,
.VAR156 ( VAR156 ) ,
.VAR163 ( VAR193 )
)
VAR54
(
.VAR103 ( VAR103 ) ,
.VAR96 ( VAR96 ) ,
.VAR162 ( VAR162 ) ,
.VAR41 ( VAR41 ) ,
.VAR136 ( VAR46 ) ,
.VAR161 ( VAR161 ) ,
.VAR133 ( VAR133 ) ,
.VAR142 ( VAR181 ) ,
.VAR179 ( VAR179 ) ,
.VAR74 ( VAR74 ) ,
.VAR69 ( VAR68) ,
.VAR56 ( VAR56 ) ,
.VAR167 ( VAR167 ) ,
.VAR158 ( VAR158 ) ,
.VAR138 ( VAR138 ) ,
.VAR53 ( VAR53 ) ,
.VAR126 ( VAR126 ) ,
.VAR66 ( VAR66 ) ,
.VAR123 ( VAR123 ) ,
.VAR108 ( VAR108 ) ,
.VAR22 ( VAR22 ) ,
.VAR110 ( VAR110 ) ,
.VAR13 ( VAR13 ) ,
.VAR86 ( VAR86 ) ,
.VAR146 ( VAR146 ) ,
.VAR91 ( VAR76 ) ,
.VAR67 ( VAR67 ) ,
.VAR175 ( VAR175 ) ,
.VAR135 ( VAR93 ) ,
.VAR177 ( VAR177 ) ,
.VAR149 ( VAR149 ) ,
.VAR95 ( VAR47) ,
.VAR16 ( VAR16 ) ,
.VAR195 ( VAR195 ) ,
.VAR183 ( VAR183 ) ,
.VAR192 ( VAR192 ) ,
.VAR164 ( VAR164 ) ,
.VAR141 ( VAR141 ) ,
.VAR49 ( VAR49 ) ,
.VAR59 ( VAR59 ) ,
.VAR6 ( VAR6 ) ,
.VAR173 ( VAR173 ) ,
.VAR116 ( VAR131 ) ,
.VAR117 ( VAR117 ) ,
.VAR178 ( VAR178 ) ,
.VAR98 ( VAR14 ) ,
.VAR97 ( VAR97 ) ,
.VAR159 ( VAR159 ) ,
.VAR44 ( VAR10) ,
.VAR82 ( VAR82 ) ,
.VAR102 ( VAR102 ) ,
.VAR48 ( VAR48 ) ,
.VAR88 ( VAR88 ) ,
.VAR89 ( VAR89 ) ,
.VAR147 ( VAR147 ) ,
.VAR157 ( VAR157 ) ,
.VAR78 ( VAR78 ) ,
.VAR11 ( VAR11 ) ,
.VAR196 ( VAR196 ) ,
.VAR3 ( VAR3 ) ,
.VAR15 ( VAR15 ) ,
.VAR43 ( VAR130 ) ,
.VAR29 ( VAR29 ) ,
.VAR127 ( VAR127 ) ,
.VAR155 ( VAR199 ) ,
.VAR184 ( VAR184 ) ,
.VAR206 ( VAR206 ) ,
.VAR202 ( VAR128) ,
.VAR143 ( VAR143 ) ,
.VAR61 ( VAR61 ) ,
.VAR1 ( VAR1 ) ,
.VAR27 ( VAR27 ) ,
.VAR85 ( VAR85 ) ,
.VAR42 ( VAR42 ) ,
.VAR23 ( VAR23 ) ,
.VAR84 ( VAR84 )
);
end
end else begin : VAR198
if (VAR39 == VAR90) begin : VAR31
VAR99 #(
.VAR134 ( VAR134 ) ,
.VAR24 ( VAR24 ) ,
.VAR57 ( VAR57 ) ,
.VAR156 ( VAR156 )
)
VAR111
(
.VAR103 ( VAR103 ) ,
.VAR96 ( VAR96 ) ,
.VAR41 ( VAR41 ) ,
.VAR74 ( VAR74 ) ,
.VAR167 ( VAR167 ) ,
.VAR158 ( VAR158 ) ,
.VAR138 ( VAR138 ) ,
.VAR53 ( VAR53 ) ,
.VAR66 ( VAR66 ) ,
.VAR123 ( VAR123 ) ,
.VAR22 ( VAR22 ) ,
.VAR110 ( VAR110 ) ,
.VAR13 ( VAR13 ) ,
.VAR146 ( VAR146 ) ,
.VAR149 ( VAR149 ) ,
.VAR195 ( VAR195 ) ,
.VAR183 ( VAR183 ) ,
.VAR164 ( VAR164 ) ,
.VAR141 ( VAR141 ) ,
.VAR59 ( VAR59 ) ,
.VAR6 ( VAR6 ) ,
.VAR173 ( VAR173 ) ,
.VAR159 ( VAR159 ) ,
.VAR102 ( VAR102 ) ,
.VAR48 ( VAR48 ) ,
.VAR88 ( VAR88 ) ,
.VAR89 ( VAR89 ) ,
.VAR157 ( VAR157 ) ,
.VAR78 ( VAR78 ) ,
.VAR11 ( VAR11 ) ,
.VAR196 ( VAR196 ) ,
.VAR3 ( VAR3 ) ,
.VAR15 ( VAR15 ) ,
.VAR206 ( VAR206 ) ,
.VAR61 ( VAR61 ) ,
.VAR1 ( VAR1 ) ,
.VAR27 ( VAR27 ) ,
.VAR85 ( VAR85 ) ,
.VAR23 ( VAR23 ) ,
.VAR84 ( VAR84 )
);
end else begin : VAR71
VAR107 #(
.VAR134 ( VAR134 ) ,
.VAR39 ( VAR39 ) ,
.VAR4 ( VAR4 ) ,
.VAR115 ( VAR115 ),
.VAR24 ( VAR24 ) ,
.VAR7 ( VAR7 ) ,
.VAR5 ( VAR5 ) ,
.VAR57 ( VAR57 ) ,
.VAR156 ( VAR156 ) ,
.VAR148 (VAR148),
.VAR30 (VAR30),
.VAR174 (VAR174),
.VAR75 (VAR75),
.VAR62 ( VAR62 ),
.VAR52 (VAR52)
)
VAR32
(
.VAR204 ( VAR204 ) ,
.VAR203 ( VAR203 ) ,
.VAR162 ( VAR162 ) ,
.VAR41 ( VAR41 ) ,
.VAR136 ( VAR46 ) ,
.VAR161 ( VAR161 ) ,
.VAR133 ( VAR133 ) ,
.VAR142 ( VAR181 ) ,
.VAR179 ( VAR179 ) ,
.VAR74 ( VAR74 ) ,
.VAR69 ( VAR68) ,
.VAR56 ( VAR56 ) ,
.VAR167 ( VAR167 ) ,
.VAR158 ( VAR158 ) ,
.VAR138 ( VAR138 ) ,
.VAR53 ( VAR53 ) ,
.VAR126 ( VAR126 ) ,
.VAR66 ( VAR66 ) ,
.VAR123 ( VAR123 ) ,
.VAR108 ( VAR108 ) ,
.VAR22 ( VAR22 ) ,
.VAR110 ( VAR110 ) ,
.VAR13 ( VAR13 ) ,
.VAR86 ( VAR86 ) ,
.VAR146 ( VAR146 ) ,
.VAR91 ( VAR76 ) ,
.VAR67 ( VAR67 ) ,
.VAR175 ( VAR175 ) ,
.VAR135 ( VAR93 ) ,
.VAR177 ( VAR177 ) ,
.VAR149 ( VAR149 ) ,
.VAR95 ( VAR47) ,
.VAR16 ( VAR16 ) ,
.VAR195 ( VAR195 ) ,
.VAR183 ( VAR183 ) ,
.VAR192 ( VAR192 ) ,
.VAR164 ( VAR164 ) ,
.VAR141 ( VAR141 ) ,
.VAR49 ( VAR49 ) ,
.VAR59 ( VAR59 ) ,
.VAR6 ( VAR6 ) ,
.VAR194 ( VAR194 ) ,
.VAR106 ( VAR106 ) ,
.VAR173 ( VAR173 ) ,
.VAR116 ( VAR131 ) ,
.VAR117 ( VAR117 ) ,
.VAR178 ( VAR178 ) ,
.VAR98 ( VAR14 ) ,
.VAR97 ( VAR97 ) ,
.VAR159 ( VAR159 ) ,
.VAR44 ( VAR10) ,
.VAR82 ( VAR82 ) ,
.VAR102 ( VAR102 ) ,
.VAR48 ( VAR48 ) ,
.VAR88 ( VAR88 ) ,
.VAR89 ( VAR89 ) ,
.VAR147 ( VAR147 ) ,
.VAR157 ( VAR157 ) ,
.VAR78 ( VAR78 ) ,
.VAR11 ( VAR11 ) ,
.VAR196 ( VAR196 ) ,
.VAR3 ( VAR3 ) ,
.VAR15 ( VAR15 ) ,
.VAR43 ( VAR130 ) ,
.VAR29 ( VAR29 ) ,
.VAR127 ( VAR127 ) ,
.VAR155 ( VAR199 ) ,
.VAR184 ( VAR184 ) ,
.VAR206 ( VAR206 ) ,
.VAR202 ( VAR128) ,
.VAR143 ( VAR143 ) ,
.VAR61 ( VAR61 ) ,
.VAR1 ( VAR1 ) ,
.VAR27 ( VAR27 ) ,
.VAR85 ( VAR85 ) ,
.VAR42 ( VAR42 ) ,
.VAR23 ( VAR23 ) ,
.VAR84 ( VAR84 )
);
end
end
endgenerate
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/sedfxbp/sky130_fd_sc_ls__sedfxbp.pp.blackbox.v | 1,455 | module MODULE1 (
VAR2 ,
VAR4 ,
VAR9 ,
VAR8 ,
VAR11 ,
VAR1 ,
VAR3 ,
VAR5,
VAR7,
VAR6 ,
VAR10
);
output VAR2 ;
output VAR4 ;
input VAR9 ;
input VAR8 ;
input VAR11 ;
input VAR1 ;
input VAR3 ;
input VAR5;
input VAR7;
input VAR6 ;
input VAR10 ;
endmodule | apache-2.0 |
grindars/bfcore | DRAM.v | 1,276 | module MODULE1 (
VAR5,
VAR10,
VAR11,
VAR1,
VAR3,
VAR8
);
parameter VAR9 = 11;
parameter VAR4 = 8;
parameter VAR6 = (1 << VAR9);
input VAR5;
input [VAR9 - 1:0] VAR10;
input [VAR4 - 1:0] VAR11;
output reg [VAR4 - 1:0] VAR1;
input VAR3;
input VAR8;
reg [7:0] VAR7 [0:VAR6 - 1];
always @ (posedge VAR5)
if(VAR3)
begin
if(VAR8)
VAR7[VAR10] <= VAR11;
VAR1 <= VAR7[VAR10];
end
integer VAR2; | gpl-3.0 |
AEW2015/PYNQ_PR_Overlay | Pynq-Z1/vivado/ip/Pmods/PmodR2R_v1_0/src/PmodR2R.v | 9,715 | module MODULE1
(VAR59,
VAR121,
VAR39,
VAR30,
VAR152,
VAR71,
VAR158,
VAR139,
VAR113,
VAR120,
VAR25,
VAR109,
VAR43,
VAR44,
VAR125,
VAR49,
VAR153,
VAR37,
VAR163,
VAR94,
VAR52,
VAR67,
VAR22,
VAR81,
VAR110,
VAR132,
VAR140,
VAR2,
VAR7,
VAR15,
VAR69,
VAR128,
VAR20,
VAR6,
VAR100,
VAR17,
VAR13,
VAR136,
VAR129,
VAR34,
VAR86,
VAR115,
VAR105);
input [8:0]VAR59;
output VAR121;
input VAR39;
input [8:0]VAR30;
output VAR152;
input VAR71;
input VAR158;
output [1:0]VAR139;
output VAR113;
output [31:0]VAR120;
input VAR25;
output [1:0]VAR109;
output VAR43;
input [31:0]VAR44;
output VAR125;
input [3:0]VAR49;
input VAR153;
input VAR37;
output VAR163;
output VAR94;
input VAR52;
output VAR67;
output VAR22;
input VAR81;
output VAR110;
output VAR132;
input VAR140;
output VAR2;
output VAR7;
input VAR15;
output VAR69;
output VAR128;
input VAR20;
output VAR6;
output VAR100;
input VAR17;
output VAR13;
output VAR136;
input VAR129;
output VAR34;
output VAR86;
input VAR115;
input VAR105;
wire [8:0]VAR72;
wire VAR66;
wire VAR118;
wire [8:0]VAR51;
wire VAR32;
wire VAR142;
wire VAR60;
wire [1:0]VAR107;
wire VAR159;
wire [31:0]VAR99;
wire VAR80;
wire [1:0]VAR88;
wire VAR122;
wire [31:0]VAR54;
wire VAR117;
wire [3:0]VAR85;
wire VAR42;
wire [7:0]VAR84;
wire [7:0]VAR38;
wire VAR27;
wire VAR141;
wire VAR18;
wire VAR36;
wire VAR138;
wire VAR23;
wire VAR3;
wire VAR83;
wire VAR147;
wire VAR127;
wire VAR106;
wire VAR155;
wire VAR10;
wire VAR9;
wire VAR156;
wire VAR112;
wire VAR130;
wire VAR64;
wire VAR160;
wire VAR131;
wire VAR55;
wire VAR63;
wire VAR29;
wire VAR53;
wire [3:0]VAR12;
wire [3:0]VAR91;
wire VAR151;
wire VAR45;
wire [7:0]VAR56;
wire [3:0]VAR134;
wire [3:0]VAR96;
wire [3:0]VAR149;
wire [3:0]VAR19;
assign VAR121 = VAR66;
assign VAR152 = VAR32;
assign VAR139[1:0] = VAR107;
assign VAR113 = VAR159;
assign VAR120[31:0] = VAR99;
assign VAR109[1:0] = VAR88;
assign VAR43 = VAR122;
assign VAR125 = VAR117;
assign VAR163 = VAR141;
assign VAR94 = VAR18;
assign VAR67 = VAR138;
assign VAR22 = VAR23;
assign VAR110 = VAR83;
assign VAR132 = VAR147;
assign VAR2 = VAR106;
assign VAR7 = VAR155;
assign VAR69 = VAR9;
assign VAR128 = VAR156;
assign VAR6 = VAR130;
assign VAR100 = VAR64;
assign VAR13 = VAR131;
assign VAR136 = VAR55;
assign VAR34 = VAR29;
assign VAR86 = VAR53;
assign VAR72 = VAR59[8:0];
assign VAR118 = VAR39;
assign VAR51 = VAR30[8:0];
assign VAR142 = VAR71;
assign VAR60 = VAR158;
assign VAR80 = VAR25;
assign VAR54 = VAR44[31:0];
assign VAR85 = VAR49[3:0];
assign VAR42 = VAR153;
assign VAR27 = VAR37;
assign VAR36 = VAR52;
assign VAR3 = VAR81;
assign VAR127 = VAR140;
assign VAR10 = VAR15;
assign VAR112 = VAR20;
assign VAR160 = VAR17;
assign VAR63 = VAR129;
assign VAR151 = VAR115;
assign VAR45 = VAR105;
VAR28 VAR98
(.VAR135(VAR56),
.VAR93(VAR84),
.VAR133(VAR38),
.VAR115(VAR151),
.VAR75(VAR72),
.VAR105(VAR45),
.VAR77(VAR66),
.VAR101(VAR118),
.VAR124(VAR51),
.VAR70(VAR32),
.VAR104(VAR142),
.VAR95(VAR60),
.VAR114(VAR107),
.VAR144(VAR159),
.VAR111(VAR99),
.VAR31(VAR80),
.VAR161(VAR88),
.VAR123(VAR122),
.VAR24(VAR54),
.VAR145(VAR117),
.VAR89(VAR85),
.VAR46(VAR42));
VAR8 VAR26
(.VAR14(VAR12),
.VAR137(VAR96),
.VAR5(VAR19),
.VAR73(VAR91),
.VAR74(VAR134),
.VAR78(VAR149),
.VAR82(VAR36),
.VAR35(VAR138),
.VAR97(VAR23),
.VAR87(VAR3),
.VAR1(VAR83),
.VAR108(VAR147),
.VAR76(VAR127),
.VAR61(VAR106),
.VAR58(VAR155),
.VAR68(VAR10),
.VAR47(VAR9),
.VAR4(VAR156),
.VAR102(VAR112),
.VAR40(VAR130),
.VAR65(VAR64),
.VAR92(VAR160),
.VAR126(VAR131),
.VAR157(VAR55),
.VAR116(VAR63),
.VAR62(VAR29),
.VAR148(VAR53),
.VAR79(VAR27),
.VAR146(VAR141),
.VAR103(VAR18));
VAR154 VAR21
(.VAR162(VAR91),
.VAR90(VAR12),
.dout(VAR56));
VAR11 VAR50
(.VAR57(VAR84),
.VAR143(VAR134));
VAR48 VAR16
(.VAR57(VAR84),
.VAR143(VAR96));
VAR33 VAR119
(.VAR57(VAR38),
.VAR143(VAR149));
VAR150 VAR41
(.VAR57(VAR38),
.VAR143(VAR19));
endmodule | bsd-3-clause |
Jam-G/MIPS | ID.v | 10,223 | module MODULE2(
input clk,
input [5:0] VAR5,
input [4:0] VAR41,
input [4:0] VAR28,
input [4:0] VAR49,
input [4:0] VAR43,
input [5:0] VAR33,
input [3:0] VAR46,
input [3:0] VAR27,
input [3:0] VAR31,
input [31:0] VAR8,
output [31:0] VAR47,
output [31:0] VAR1,
output [31:0] VAR30,
output [2:0] VAR29,
output VAR17,
output VAR7,
output VAR48,
output VAR3,
output VAR39,
output [1:0] VAR34,
output VAR13,
output VAR32,
output [3:0] VAR37,
output [1:0] VAR51,
output VAR10,
output [1:0] VAR35,
output VAR26,
output [31:0]VAR16, VAR24
);
wire [1:0] VAR6;
MODULE3 MODULE3(VAR41,VAR28,VAR49,VAR46,VAR8,clk,VAR16,VAR24);
MODULE1 MODULE1(VAR5,VAR33,VAR29,VAR17,VAR7,VAR48,VAR3,VAR39,VAR34,VAR13,VAR32,VAR37,VAR51,VAR10,VAR35,VAR26,VAR6);
VAR9 VAR9({VAR49,VAR43,VAR33},VAR6,VAR30);
VAR25 VAR38(VAR16[31:24],VAR8[31:24],VAR27[3],VAR47[31:24]);
VAR25 VAR11(VAR16[23:16],VAR8[23:16],VAR27[2],VAR47[23:16]);
VAR25 VAR50(VAR16[15:8],VAR8[15:8],VAR27[1],VAR47[15:8]);
VAR25 VAR42(VAR16[7:0],VAR8[7:0],VAR27[0],VAR47[7:0]);
VAR25 VAR45(VAR24[31:24],VAR8[31:24],VAR31[3],VAR1[31:24]);
VAR25 VAR2(VAR24[23:16],VAR8[23:16],VAR31[2],VAR1[23:16]);
VAR25 VAR23(VAR24[15:8],VAR8[15:8],VAR31[1],VAR1[15:8]);
VAR25 VAR12(VAR24[7:0],VAR8[7:0],VAR31[0],VAR1[7:0]);
endmodule
module MODULE1(
input [5:0] VAR5,
input [5:0] VAR33,
output reg [2:0] VAR29,
output reg VAR17,
output reg VAR7,
output reg VAR48,
output reg VAR3,
output reg [1:0] VAR39,
output reg [1:0] VAR34,
output reg VAR13,
output reg VAR32,
output reg [3:0] VAR37,
output reg [1:0] VAR51,
output reg VAR10,
output reg [1:0] VAR35,
output reg VAR26,
output reg [1:0] VAR6
);
always @begin
case(VAR6)
2'b00:VAR40={16'h0000,VAR21};
2'b01:VAR40 = {{16{VAR21[15]}}, VAR21};
2'b10:VAR40 = {VAR21, 16'h0000};
2'b11:VAR40 = {{16{VAR21[15]}}, VAR21} << 2;endcase
end
endmodule
module MODULE3(
input [4:0] VAR18,
input [4:0] VAR14,
input [4:0] VAR36,
input [3:0] VAR20,
input [31:0] VAR4,
input clk,
output reg [31:0] VAR52,
output reg [31:0] VAR44
);
integer VAR15;
reg [31:0] VAR19[31:0]; VAR22
begin
for(VAR15=0;VAR15<=31;VAR15=VAR15+1) VAR19[VAR15]=32'h8;
VAR19[0] = 32'h00000000; VAR19[1] = 32'h19946224;
VAR19[2] = 32'h20140603; VAR19[4] = 32'h20120901;
VAR19[5] = 32'hfedcba98; VAR19[7] = 32'h7baba789;
VAR19[8] = 32'h80acd568; VAR19[9] = 32'hea578709;
VAR19[10] = 32'h8ffafe72; VAR19[11] = 32'h8ff75616;
VAR19[12] = 32'h52027749;VAR19[16]=32'h23333333;
VAR19[23] = 32'h00000100; VAR19[24] = 32'h99999966;
VAR19[25] = 32'h867a69eb;
VAR19[29] = 32'h76543291;
VAR19[30] = 32'h00000080;
VAR19[31] = 32'h69696969;
end
always @(negedge clk) begin
VAR19[0]<=32'h00000000; if(VAR36!=00000) begin
if(VAR20[3])
VAR19[VAR36][31:24]<=VAR4[31:24];
if(VAR20[2])
VAR19[VAR36][23:16]<=VAR4[23:16];
if(VAR20[1])
VAR19[VAR36][15:8]<=VAR4[15:8];
if(VAR20[0])
VAR19[VAR36][7:0]<=VAR4[7:0];
end
end
always @(posedge clk)
begin VAR52<=VAR19[VAR18];
VAR44<=VAR19[VAR14];
end
endmodule | lgpl-3.0 |
golfit/QcmMasterController | stateEncoder.v | 8,879 | module MODULE1(clk, state, enable, out);
parameter VAR2=4'b0111; parameter VAR4=3'b110;
parameter VAR10=2'b11; parameter VAR9=2'b10;
input clk; input [VAR2-1:0] state; reg [VAR2-1:0] VAR11;
input enable;
output out; reg VAR6;
reg [4:0] VAR12; reg VAR1, VAR7, VAR8, VAR3; reg [3:0] VAR5; | mit |
ueliem/literate-broccoli | fpga_top.v | 3,084 | module MODULE1(
input clk,
input [VAR20*VAR25*VAR14*12-1:0] VAR1,
input [(VAR20-1)*(VAR25-1)*(VAR14*VAR14*12)-1:0] VAR38,
input [VAR25*VAR20*VAR10-1:0] VAR9,
input [VAR20*2*VAR14-1:0] VAR35,
input [VAR20*2*VAR14-1:0] VAR19,
input [VAR25*2*VAR14-1:0] VAR17,
input [VAR25*2*VAR14-1:0] VAR24,
inout [VAR20-1:0] VAR13, VAR41,
inout [VAR25-1:0] VAR6, VAR39
);
parameter VAR14 = 7;
parameter VAR10 = 18;
parameter VAR25 = 5;
parameter VAR20 = 5;
wire [VAR14-1:0] VAR32[VAR20-1:0];
wire [VAR14-1:0] VAR16[VAR20-1:0];
genvar VAR5;
generate
for (VAR5 = 0; VAR5 < VAR20; VAR5 = VAR5 + 1) begin
localparam VAR4 = VAR5*2*VAR14;
localparam VAR26 = VAR5*2*VAR14;
VAR8 #(VAR14) VAR3(
.select(VAR35[VAR4+2*VAR14-1:VAR4]),
.in(VAR32[VAR5]),
.VAR34(VAR13[VAR5])
);
VAR8 #(VAR14) VAR23(
.select(VAR19[VAR26+2*VAR14-1:VAR26]),
.in(VAR16[VAR5]),
.VAR34(VAR41[VAR5])
);
end
endgenerate
wire [VAR14*VAR25-1:0] VAR27;
wire [VAR14*VAR25-1:0] VAR22;
genvar VAR29;
generate
for (VAR29 = 0; VAR29 < VAR25; VAR29 = VAR29 + 1) begin
localparam VAR37 = VAR29*2*VAR14;
localparam VAR7 = VAR29*2*VAR14;
VAR8 #(VAR14) VAR28(
.select(VAR17[VAR37+2*VAR14-1:VAR37]),
.in(VAR27[VAR29+VAR14-1:VAR29]),
.VAR34(VAR6[VAR29])
);
VAR8 #(VAR14) VAR18(
.select(VAR24[VAR7+2*VAR14-1:VAR7]),
.in(VAR22[VAR29+VAR14-1:VAR29]),
.VAR34(VAR39[VAR29])
);
end
endgenerate
wire [VAR14*VAR25-1:0] VAR31[VAR20-2:0];
generate
for (VAR5 = 0; VAR5 < VAR20; VAR5 = VAR5 + 1) begin
localparam VAR40 = VAR5*VAR25*VAR14*12;
localparam VAR30 = (VAR5-1)*(VAR25-1)*VAR14*VAR14*12;
localparam VAR33 = (VAR25-1)*VAR14*VAR14*12;
localparam VAR11 = (VAR5-1)*(VAR25-1)*5;
localparam VAR36 = (VAR25-1)*5;
if (VAR5 == 0) begin
VAR15 #(VAR14,VAR25) VAR21(
.VAR1(VAR1[VAR40+VAR25*VAR14*12-1:VAR40]),
.VAR13(VAR32[VAR5]),
.VAR41(VAR16[VAR5]),
.VAR6(VAR31[VAR5]),
.VAR39(VAR22)
);
end
else if (VAR5 == VAR20-1) begin
VAR2 #(VAR14,VAR25,VAR10) VAR12(
.clk(clk),
.VAR1(VAR1[VAR40+VAR25*VAR14*12-1:VAR40]),
.VAR38(VAR38[VAR30+VAR33-1:VAR30]),
.VAR9(VAR9[VAR11+VAR36-1:VAR11]),
.VAR13(VAR32[VAR5]),
.VAR41(VAR16[VAR5]),
.VAR6(VAR27),
.VAR39(VAR31[VAR5-1])
);
end
else begin
VAR2 #(VAR14,VAR25,VAR10) VAR12(
.clk(clk),
.VAR1(VAR1[VAR40+VAR25*VAR14*12-1:VAR40]),
.VAR38(VAR38[VAR30+VAR33-1:VAR30]),
.VAR9(VAR9[VAR11+VAR36-1:VAR11]),
.VAR13(VAR32[VAR5]),
.VAR41(VAR16[VAR5]),
.VAR6(VAR31[VAR5]),
.VAR39(VAR31[VAR5-1])
);
end
end
endgenerate
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/inv/sky130_fd_sc_hdll__inv.symbol.v | 1,246 | module MODULE1 (
input VAR3,
output VAR6
);
supply1 VAR4;
supply0 VAR5;
supply1 VAR2 ;
supply0 VAR1 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a211o/sky130_fd_sc_hdll__a211o.blackbox.v | 1,368 | module MODULE1 (
VAR8 ,
VAR2,
VAR6,
VAR7,
VAR3
);
output VAR8 ;
input VAR2;
input VAR6;
input VAR7;
input VAR3;
supply1 VAR9;
supply0 VAR4;
supply1 VAR1 ;
supply0 VAR5 ;
endmodule | apache-2.0 |
ptracton/Picoblaze | PicoBlaze_GPIO_Example/PicoBlaze_GPIO_Example.srcs/sources_1/imports/PicoBlaze_GPIO_Example/basic.v | 3,497 | module MODULE1 (
VAR3, VAR4,
VAR21, VAR18
) ;
input VAR21;
input VAR18;
inout [7:0] VAR3;
inout [7:0] VAR4;
wire [7:0] VAR13;
wire [7:0] VAR4;
wire [7:0] VAR24;
wire [7:0] VAR2;
wire [7:0] VAR23;
wire [7:0] VAR16;
wire VAR9; wire VAR6;
VAR11 VAR20(
.VAR9 (VAR9),
.VAR6 (VAR6),
.VAR21 (VAR21),
.VAR18 (VAR18));
VAR10 VAR12(
.VAR24 (VAR24[7:0]),
.VAR2 (VAR2[7:0]),
.VAR26 (VAR26),
.VAR14 (VAR14),
.VAR15 (VAR15),
.clk (VAR9),
.VAR13 (VAR13[7:0]),
.interrupt (interrupt),
.VAR1 (VAR1),
.VAR28 (VAR6));
assign VAR13 = VAR16 | VAR23;
assign interrupt = VAR7;
assign VAR1 = 0;
VAR27 VAR29(
.VAR22(VAR16),
.interrupt(VAR19),
.VAR17(VAR4),
.clk(VAR9),
.reset(VAR6),
.VAR24(VAR24),
.VAR5(VAR2),
.VAR14(VAR14),
.VAR26(VAR26));
VAR27 #(.VAR25(8))
VAR8(
.VAR22(VAR23),
.interrupt(VAR7),
.VAR17(VAR3),
.clk(VAR9),
.reset(VAR6),
.VAR24(VAR24),
.VAR5(VAR2),
.VAR14(VAR14),
.VAR26(VAR26));
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlrtp/sky130_fd_sc_hd__dlrtp.behavioral.pp.v | 2,334 | module MODULE1 (
VAR1 ,
VAR6,
VAR9 ,
VAR3 ,
VAR14 ,
VAR4 ,
VAR12 ,
VAR5
);
output VAR1 ;
input VAR6;
input VAR9 ;
input VAR3 ;
input VAR14 ;
input VAR4 ;
input VAR12 ;
input VAR5 ;
wire VAR21 ;
reg VAR22 ;
wire VAR17 ;
wire VAR20 ;
wire VAR18 ;
wire VAR16;
wire VAR7 ;
wire VAR10 ;
wire VAR11 ;
wire VAR2 ;
not VAR13 (VAR21 , VAR16 );
VAR15 VAR8 (VAR7 , VAR17, VAR20, VAR21, VAR22, VAR14, VAR4);
assign VAR10 = ( VAR14 === 1'b1 );
assign VAR11 = ( VAR10 && ( VAR16 === 1'b1 ) );
assign VAR2 = ( VAR10 && ( VAR6 === 1'b1 ) );
buf VAR19 (VAR1 , VAR7 );
endmodule | apache-2.0 |
os-cillation/easyfpga-soc | easy_cores/gpio/gpio_top.v | 30,388 | module MODULE1(
VAR6, VAR28, VAR37, VAR50, VAR51, VAR92, VAR48, VAR61,
VAR41, VAR11, VAR64, VAR30,
VAR63,
VAR33, VAR66, VAR88
, VAR91
);
parameter VAR80 = 32;
parameter VAR46 = VAR2+1; parameter VAR77 = VAR16; input VAR6; input VAR28; input VAR37; input [VAR46-1:0] VAR50; input [VAR80-1:0] VAR51; input [3:0] VAR92; input VAR48; input VAR61; output [VAR80-1:0] VAR41; output VAR11; output VAR64; output VAR30;
input [VAR77-1:0] VAR63; VAR82
input [VAR77-1:0] VAR33; VAR87 VAR79
input VAR91; VAR82 output [VAR77-1:0] VAR66; output [VAR77-1:0] VAR88;
reg [VAR77-1:0] VAR27; else
wire [VAR77-1:0] VAR27; VAR82
reg [VAR77-1:0] VAR9; else
wire [VAR77-1:0] VAR9; VAR82
reg [VAR77-1:0] VAR56; else
wire [VAR77-1:0] VAR56; VAR82
reg [VAR77-1:0] VAR4; else
wire [VAR77-1:0] VAR4; VAR82
reg [VAR77-1:0] VAR32; else
wire [VAR77-1:0] VAR32; VAR82
reg [VAR77-1:0] VAR8; else
wire [VAR77-1:0] VAR8; VAR82
reg [1:0] VAR86; else
wire [1:0] VAR86; VAR82
reg [VAR77-1:0] VAR22; else
wire [VAR77-1:0] VAR22; VAR82
reg [VAR77-1:0] VAR19; else
wire [VAR77-1:0] VAR19; VAR82
reg [VAR77-1:0] VAR3; else
wire [VAR77-1:0] VAR3; VAR82
reg [VAR77-1:0] sync ,
VAR23 ;
wire [VAR77-1:0] VAR23 ;
wire VAR47; wire VAR94; wire VAR75; wire VAR10;wire VAR7; wire VAR55; wire VAR85; wire VAR39 ;
wire VAR35 ;
wire VAR71; wire [VAR77-1:0] VAR59; wire VAR24; wire VAR81; wire VAR20; reg [VAR80-1:0] VAR43; VAR87 VAR70
reg VAR11; reg VAR64; reg VAR30; reg [VAR80-1:0] VAR41; VAR82
wire [VAR77-1:0] VAR42; VAR87 VAR49
reg [VAR77-1:0] VAR66; VAR82
wire [VAR77-1:0] VAR95; wire [VAR77-1:0] VAR54; reg [VAR77-1:0] VAR36; VAR87 VAR84
reg [VAR77-1:0] VAR5; VAR82 else
reg [VAR77-1:0] VAR5; VAR82
assign VAR24 = VAR37 & VAR61 & !VAR64;
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR11 <= 1'b0;
else
VAR11 <= VAR24 & ~VAR11 & (!VAR81) ;
assign VAR11 = VAR24;
assign VAR81 = VAR37 & VAR61 & (!VAR71 | (VAR92 != 4'b1111));
assign VAR81 = VAR37 & VAR61 & !VAR71;
assign VAR81 = VAR37 & VAR61 & (VAR92 != 4'b1111);
assign VAR81 = 1'b0;
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR64 <= 1'b0;
else
VAR64 <= VAR81 & ~VAR64;
assign VAR64 = VAR81;
assign VAR71 = (VAR50[VAR2:VAR1] == {VAR2-VAR1+1{1'b0}}) &
(VAR50[VAR58:VAR13] == {VAR58-VAR13+1{1'b0}});
assign VAR71 = 1'b1;
assign VAR47 = VAR37 & VAR61 & (VAR50[VAR18] == VAR90) & VAR71;
assign VAR94 = VAR37 & VAR61 & (VAR50[VAR18] == VAR40) & VAR71;
assign VAR75 = VAR37 & VAR61 & (VAR50[VAR18] == VAR25) & VAR71;
assign VAR10 = VAR37 & VAR61 & (VAR50[VAR18] == VAR26) & VAR71;
assign VAR7 = VAR37 & VAR61 & (VAR50[VAR18] == VAR89) & VAR71;
assign VAR55 = VAR37 & VAR61 & (VAR50[VAR18] == VAR93) & VAR71;
assign VAR85 = VAR37 & VAR61 & (VAR50[VAR18] == VAR12) & VAR71;
assign VAR39 = VAR37 & VAR61 & (VAR50[VAR18] == VAR73) & VAR71;
assign VAR35 = VAR37 & VAR61 & (VAR50[VAR18] == VAR44) & VAR71;
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR86 <= 2'b0;
else if (VAR55 && VAR48)
VAR86 <= VAR51[1:0];
else if (VAR86[VAR29])
VAR86[VAR38] <= VAR86[VAR38] | VAR30;
assign VAR86 = 2'h01; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR9 <= {VAR77{1'b0}};
else if (VAR47 && VAR48)
begin
VAR9 <= VAR51[VAR77-1:0];
if ( VAR92 [3] == 1'b1 )
VAR9 [VAR77-1:24] <= VAR51 [VAR77-1:24] ;
if ( VAR92 [2] == 1'b1 )
VAR9 [23:16] <= VAR51 [23:16] ;
if ( VAR92 [1] == 1'b1 )
VAR9 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR9 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [2] == 1'b1 )
VAR9 [VAR77-1:16] <= VAR51 [VAR77-1:16] ;
if ( VAR92 [1] == 1'b1 )
VAR9 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR9 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [1] == 1'b1 )
VAR9 [VAR77-1:8] <= VAR51 [VAR77-1:8] ;
if ( VAR92 [0] == 1'b1 )
VAR9 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [0] == 1'b1 )
VAR9 [VAR77-1:0] <= VAR51 [VAR77-1:0] ;
end
assign VAR9 = VAR60; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR56 <= {VAR77{1'b0}};
else if (VAR94 && VAR48)
begin
VAR56 <= VAR51[VAR77-1:0];
if ( VAR92 [3] == 1'b1 )
VAR56 [VAR77-1:24] <= VAR51 [VAR77-1:24] ;
if ( VAR92 [2] == 1'b1 )
VAR56 [23:16] <= VAR51 [23:16] ;
if ( VAR92 [1] == 1'b1 )
VAR56 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR56 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [2] == 1'b1 )
VAR56 [VAR77-1:16] <= VAR51 [VAR77-1:16] ;
if ( VAR92 [1] == 1'b1 )
VAR56 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR56 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [1] == 1'b1 )
VAR56 [VAR77-1:8] <= VAR51 [VAR77-1:8] ;
if ( VAR92 [0] == 1'b1 )
VAR56 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [0] == 1'b1 )
VAR56 [VAR77-1:0] <= VAR51 [VAR77-1:0] ;
end
assign VAR56 = VAR65; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR4 <= {VAR77{1'b0}};
else if (VAR75 && VAR48)
begin
VAR4 <= VAR51[VAR77-1:0];
if ( VAR92 [3] == 1'b1 )
VAR4 [VAR77-1:24] <= VAR51 [VAR77-1:24] ;
if ( VAR92 [2] == 1'b1 )
VAR4 [23:16] <= VAR51 [23:16] ;
if ( VAR92 [1] == 1'b1 )
VAR4 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR4 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [2] == 1'b1 )
VAR4 [VAR77-1:16] <= VAR51 [VAR77-1:16] ;
if ( VAR92 [1] == 1'b1 )
VAR4 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR4 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [1] == 1'b1 )
VAR4 [VAR77-1:8] <= VAR51 [VAR77-1:8] ;
if ( VAR92 [0] == 1'b1 )
VAR4 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [0] == 1'b1 )
VAR4 [VAR77-1:0] <= VAR51 [VAR77-1:0] ;
end
assign VAR4 = VAR17; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR32 <= {VAR77{1'b0}};
else if (VAR10 && VAR48)
begin
VAR32 <= VAR51[VAR77-1:0];
if ( VAR92 [3] == 1'b1 )
VAR32 [VAR77-1:24] <= VAR51 [VAR77-1:24] ;
if ( VAR92 [2] == 1'b1 )
VAR32 [23:16] <= VAR51 [23:16] ;
if ( VAR92 [1] == 1'b1 )
VAR32 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR32 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [2] == 1'b1 )
VAR32 [VAR77-1:16] <= VAR51 [VAR77-1:16] ;
if ( VAR92 [1] == 1'b1 )
VAR32 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR32 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [1] == 1'b1 )
VAR32 [VAR77-1:8] <= VAR51 [VAR77-1:8] ;
if ( VAR92 [0] == 1'b1 )
VAR32 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [0] == 1'b1 )
VAR32 [VAR77-1:0] <= VAR51 [VAR77-1:0] ;
end
assign VAR32 = VAR74; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR8 <= {VAR77{1'b0}};
else if (VAR7 && VAR48)
begin
VAR8 <= VAR51[VAR77-1:0];
if ( VAR92 [3] == 1'b1 )
VAR8 [VAR77-1:24] <= VAR51 [VAR77-1:24] ;
if ( VAR92 [2] == 1'b1 )
VAR8 [23:16] <= VAR51 [23:16] ;
if ( VAR92 [1] == 1'b1 )
VAR8 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR8 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [2] == 1'b1 )
VAR8 [VAR77-1:16] <= VAR51 [VAR77-1:16] ;
if ( VAR92 [1] == 1'b1 )
VAR8 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR8 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [1] == 1'b1 )
VAR8 [VAR77-1:8] <= VAR51 [VAR77-1:8] ;
if ( VAR92 [0] == 1'b1 )
VAR8 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [0] == 1'b1 )
VAR8 [VAR77-1:0] <= VAR51 [VAR77-1:0] ;
end
assign VAR8 = VAR62; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR19 <= {VAR77{1'b0}};
else if (VAR39 && VAR48)
begin
VAR19 <= VAR51[VAR77-1:0];
if ( VAR92 [3] == 1'b1 )
VAR19 [VAR77-1:24] <= VAR51 [VAR77-1:24] ;
if ( VAR92 [2] == 1'b1 )
VAR19 [23:16] <= VAR51 [23:16] ;
if ( VAR92 [1] == 1'b1 )
VAR19 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR19 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [2] == 1'b1 )
VAR19 [VAR77-1:16] <= VAR51 [VAR77-1:16] ;
if ( VAR92 [1] == 1'b1 )
VAR19 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR19 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [1] == 1'b1 )
VAR19 [VAR77-1:8] <= VAR51 [VAR77-1:8] ;
if ( VAR92 [0] == 1'b1 )
VAR19 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [0] == 1'b1 )
VAR19 [VAR77-1:0] <= VAR51 [VAR77-1:0] ;
end
assign VAR19 = VAR69; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR3 <= {VAR77{1'b0}};
else if (VAR35 && VAR48)
begin
VAR3 <= VAR51[VAR77-1:0];
if ( VAR92 [3] == 1'b1 )
VAR3 [VAR77-1:24] <= VAR51 [VAR77-1:24] ;
if ( VAR92 [2] == 1'b1 )
VAR3 [23:16] <= VAR51 [23:16] ;
if ( VAR92 [1] == 1'b1 )
VAR3 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR3 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [2] == 1'b1 )
VAR3 [VAR77-1:16] <= VAR51 [VAR77-1:16] ;
if ( VAR92 [1] == 1'b1 )
VAR3 [15:8] <= VAR51 [15:8] ;
if ( VAR92 [0] == 1'b1 )
VAR3 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [1] == 1'b1 )
VAR3 [VAR77-1:8] <= VAR51 [VAR77-1:8] ;
if ( VAR92 [0] == 1'b1 )
VAR3 [7:0] <= VAR51 [7:0] ;
if ( VAR92 [0] == 1'b1 )
VAR3 [VAR77-1:0] <= VAR51 [VAR77-1:0] ;
end
assign VAR3 = VAR34; VAR82
always @(posedge VAR6 or posedge VAR28)
if (VAR28) begin
sync <= {VAR77{1'b0}} ;
VAR23 <= {VAR77{1'b0}} ;
end else begin
sync <= VAR33 ;
VAR23 <= sync ;
end
assign VAR23 = VAR33;
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR27 <= {VAR77{1'b0}};
end
else
VAR27 <= VAR59;
assign VAR27 = VAR59;
reg VAR83,
VAR68 ,
VAR53 ;
wire VAR57 ,
VAR97 ;
wire [VAR77-1:0] VAR21 ,
VAR78 ;
wire [VAR77-1:0] VAR31 ;
assign VAR57 = VAR68 & !VAR53 ;
assign VAR97 = !VAR68 & VAR53 ;
assign VAR21 = {VAR77{VAR57}} ;
assign VAR78 = {VAR77{VAR97}} ;
assign VAR31 = (~VAR3 & VAR21) | (VAR3 & VAR78) ;
assign VAR95 = (VAR31 & VAR23) | (~VAR31 & VAR36) ;
always @(posedge VAR6 or posedge VAR28)
if (VAR28) begin
VAR83 <= 1'b0 ;
VAR68 <= 1'b0 ;
VAR53 <= 1'b0 ;
end else begin
VAR83 <= VAR91 ;
VAR68 <= VAR83 ;
VAR53 <= VAR68 ;
end
always @(posedge VAR6 or posedge VAR28)
if (VAR28) begin
VAR36 <= {VAR77{1'b0}};
end else begin
VAR36 <= VAR95 ;
end
assign VAR59 = (VAR19 & VAR36) | (~VAR19 & VAR23) ;
reg [VAR77-1:0] VAR96 ,
VAR72 ;
always @(posedge VAR6 or posedge VAR28)
if (VAR28) begin
VAR96 <= {VAR77{1'b0}};
VAR72 <= {VAR77{1'b0}};
end else begin
VAR96 <= VAR95 ;
VAR72 <= VAR96;
end
wire [VAR77-1:0] VAR72 ;
assign VAR72 = VAR96 ;
reg [VAR77-1:0] VAR67 ,
VAR45 ;
always @(posedge VAR91 or posedge VAR28)
if (VAR28) begin
VAR67 <= {VAR77{1'b0}} ;
VAR45 <= {VAR77{1'b0}} ;
end else begin
VAR67 <= VAR33 ;
VAR45 <= VAR67 ;
end
wire [VAR77-1:0] VAR45 ;
assign VAR45 = VAR33 ;
always @(posedge VAR91 or posedge VAR28)
if (VAR28) begin
VAR36 <= {VAR77{1'b0}};
end else begin
VAR36 <= VAR45 ;
end
assign VAR95 = VAR36;
wire VAR52;
assign VAR52 = !VAR91;
reg [VAR77-1:0] VAR76 ,
VAR15 ;
always @(posedge VAR52 or posedge VAR28)
if (VAR28) begin
VAR76 <= {VAR77{1'b0}} ;
VAR15 <= {VAR77{1'b0}} ;
end else begin
VAR76 <= VAR33 ;
VAR15 <= VAR76 ;
end
wire [VAR77-1:0] VAR15 ;
assign VAR15 = VAR33 ;
always @(posedge VAR52 or posedge VAR28)
if (VAR28) begin
VAR5 <= {VAR77{1'b0}};
end else begin
VAR5 <= VAR15 ;
end
assign VAR95 = (~VAR3 & VAR36) | (VAR3 & VAR5) ;
reg [VAR77-1:0] VAR76 ,
VAR15 ;
always @(negedge VAR52 or posedge VAR28)
if (VAR28) begin
VAR76 <= {VAR77{1'b0}} ;
VAR15 <= {VAR77{1'b0}} ;
end else begin
VAR76 <= VAR33 ;
VAR15 <= VAR76 ;
end
wire [VAR77-1:0] VAR15 ;
assign VAR15 = VAR33 ;
always @(negedge VAR91 or posedge VAR28)
if (VAR28) begin
VAR5 <= {VAR77{1'b0}};
end else begin
VAR5 <= VAR15 ;
end
assign VAR95 = (~VAR3 & VAR36) | (VAR3 & VAR5) ;
assign VAR59 = (VAR19 & VAR72) | (~VAR19 & VAR23) ;
assign VAR59 = VAR23 ;
always @(VAR50 or VAR27 or VAR9 or VAR56 or VAR4 or
VAR32 or VAR8 or VAR86 or VAR22 or VAR19 or VAR3)
case (VAR50[VAR18]) VAR87 VAR14
VAR43[VAR80-1:0] = VAR9;
end
VAR43[VAR80-1:0] = VAR56;
end
VAR43[VAR80-1:0] = VAR4;
end
VAR43[VAR80-1:0] = VAR32;
end
VAR43[VAR80-1:0] = VAR3;
end
VAR43[VAR80-1:0] = VAR19;
end
VAR43[VAR80-1:0] = VAR8;
end
VAR43[1:0] = VAR86;
VAR43[VAR80-1:2] = {VAR80-2{1'b0}};
end
VAR43[VAR80-1:0] = VAR22;
end
default: begin
VAR43[VAR80-1:0] = VAR27;
end
endcase
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR41 <= {VAR80{1'b0}};
else
VAR41 <= VAR43;
assign VAR41 = VAR43;
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR22 <= {VAR77{1'b0}};
else if (VAR85 && VAR48)
VAR22 <= VAR51[VAR77-1:0];
else if (VAR86[VAR29])
VAR22 <= (VAR22 | ((VAR59 ^ VAR27) & ~(VAR59 ^ VAR32)) & VAR4);
assign VAR22 = (VAR22 | ((VAR59 ^ VAR27) & ~(VAR59 ^ VAR32)) & VAR4);
assign VAR20 = |VAR22 ? VAR86[VAR29] : 1'b0;
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR30 <= 1'b0;
else
VAR30 <= VAR20;
assign VAR30 = VAR20;
assign VAR88 = VAR56;
assign VAR42 = VAR9 & ~VAR8 | VAR63 & VAR8;
assign VAR42 = VAR9 ;
always @(posedge VAR6 or posedge VAR28)
if (VAR28)
VAR66 <= {VAR77{1'b0}};
else
VAR66 <= VAR42;
assign VAR66 = VAR42;
assign VAR30 = 1'b0;
assign VAR11 = 1'b0;
assign VAR64 = VAR37 & VAR61;
assign VAR88 = {VAR77{1'b1}};
assign VAR66 = {VAR77{1'b0}};
assign VAR41 = {VAR80{1'b0}};
endmodule | gpl-3.0 |
eda-globetrotter/PicenoDecoders | zhiyang_and_andrew/syn/src/noisegen.v | 1,632 | module MODULE1 (VAR3, VAR1,VAR2);
output [1:0] VAR1;
input [7:0] VAR3;
input [7:0] VAR2;
reg [1:0] VAR1;
always@(VAR3)
begin
if (VAR3 < VAR2)
VAR1 = VAR3[1:0];
end
else
VAR1 = 2'b00;
end
endmodule | mit |
iafnan/es2-hardwaresecurity | or1200/rtl/verilog/or1200/or1200_freeze.v | 8,294 | module MODULE1(
clk, rst,
VAR4, VAR5, VAR20, VAR8, VAR14,
VAR15, VAR16, VAR10,
VAR12,
VAR2, VAR3, VAR17, VAR1, VAR11,
VAR19, VAR18
);
input clk;
input rst;
input [VAR6-1:0] VAR4;
input VAR5;
input VAR20;
input VAR8;
input VAR14;
input VAR15;
input VAR12;
input VAR16;
input VAR10;
output VAR2;
output VAR3;
output VAR17;
output VAR1;
output VAR11;
input VAR19;
input VAR18;
wire VAR7;
reg [VAR6-1:0] VAR13;
reg VAR9;
assign VAR2 = VAR16 | VAR9;
assign VAR3 = VAR17 | VAR20;
assign VAR17 = (VAR8 | (~VAR15 & VAR14) | VAR7 ) | VAR16 | VAR10;
assign VAR1 = VAR11;
assign VAR11 = (VAR8 | (~VAR15 & VAR14) | VAR7) | VAR16 | VAR10 | VAR12;
always @(posedge clk or posedge rst)
if (rst)
VAR9 <= 1'b0;
else if (VAR19 | VAR18)
VAR9 <= VAR5;
else if (!VAR5)
VAR9 <= 1'b0;
assign VAR7 = |VAR13;
always @(posedge clk or posedge rst)
if (rst)
VAR13 <= 2'b00;
else if (|VAR13)
VAR13 <= VAR13 - 2'd1;
else if (|VAR4 & !VAR1)
VAR13 <= VAR4;
endmodule | gpl-3.0 |
GLADICOS/SPACEWIRESYSTEMC | altera_work/spw_jaxa/jaxa/synthesis/submodules/jaxa_controlFlagsIn.v | 2,193 | module MODULE1 (
address,
VAR6,
clk,
VAR3,
VAR7,
VAR9,
VAR5,
VAR1
)
;
output [ 1: 0] VAR5;
output [ 31: 0] VAR1;
input [ 1: 0] address;
input VAR6;
input clk;
input VAR3;
input VAR7;
input [ 31: 0] VAR9;
wire VAR8;
reg [ 1: 0] VAR4;
wire [ 1: 0] VAR5;
wire [ 1: 0] VAR2;
wire [ 31: 0] VAR1;
assign VAR8 = 1;
assign VAR2 = {2 {(address == 0)}} & VAR4;
always @(posedge clk or negedge VAR3)
begin
if (VAR3 == 0)
VAR4 <= 0;
end
else if (VAR6 && ~VAR7 && (address == 0))
VAR4 <= VAR9[1 : 0];
end
assign VAR1 = {32'b0 | VAR2};
assign VAR5 = VAR4;
endmodule | gpl-3.0 |
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors- | DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/cpci/cnet_dma_bus_master.v | 19,592 | module MODULE1
(
output reg [15:0] VAR93, input VAR15, input [3:0] VAR35,
output [31:0] VAR63, input VAR85,
output reg [15:0] VAR2,
output VAR32, output VAR71, output reg VAR79, output reg VAR92,
input VAR89,
input [31:0] VAR3, input VAR26, output VAR36,
output reg [1:0] VAR18,
output reg [3:0] VAR80,
input [1:0] VAR5,
input VAR21,
input [31:0] VAR73,
output reg VAR74,
output reg VAR47,
output reg [31:0] VAR14,
output reg VAR82,
input VAR91,
input reset,
input VAR53, input VAR59 );
wire VAR102;
wire VAR46;
wire [31:0] VAR78;
reg VAR20;
wire VAR87;
reg VAR62;
reg [31:0] VAR33;
reg VAR55;
reg [1:0] VAR28;
reg VAR27;
reg [31:0] VAR37;
reg VAR8;
reg [31:0] VAR10;
reg VAR72;
reg [1:0] VAR67;
reg [3:0] VAR84;
reg [15:0] VAR50, VAR86;
reg [15:0] VAR11, VAR4;
reg VAR70;
reg VAR57;
reg VAR64;
reg VAR66;
reg VAR96;
reg VAR29;
reg VAR41;
wire VAR95;
reg VAR61;
reg [3:0] VAR98;
reg VAR25;
reg [15:0] VAR54;
reg [15:0] VAR65;
reg VAR104;
reg [3:0] VAR34;
reg VAR12, VAR76, VAR90;
wire VAR88;
wire VAR58;
wire VAR107;
reg VAR83;
reg VAR9, VAR23;
reg VAR108, VAR100;
reg [2:0] VAR42;
wire VAR48, VAR16;
parameter VAR81 = 2'VAR1 00,
VAR38 = 2'VAR1 01,
VAR7 = 2'VAR1 10,
VAR94 = 2'VAR1 11;
reg [3:0] VAR101, VAR97;
parameter VAR44 = 3'VAR49 0,
VAR60 = 3'VAR49 1,
VAR52 = 3'VAR49 2,
VAR75 = 3'VAR49 3,
VAR103 = 3'VAR49 4,
VAR56 = 3'VAR49 5,
VAR99 = 3'VAR49 6;
assign VAR36 = !VAR46;
always @(posedge VAR59) begin
VAR28 <= VAR5;
VAR27 <= VAR21;
VAR37 <= VAR73;
VAR8 <= VAR91;
end
assign VAR95 = VAR48;
always @
begin
VAR39 = expect;
VAR24 = VAR105;
if (VAR23 || VAR88) begin
VAR39 = - 'h1;
VAR24 = 'h0;
end
else if (VAR64) begin
VAR39 = VAR37[10:2] +
(VAR37[1:0] != 'h0 ? 1 : 0);
VAR24 = 'h0;
end
else if (VAR66) begin
VAR39 = VAR78[10:2] +
(VAR78[1:0] != 'h0 ? 1 : 0);
VAR24 = 'h0;
end
else if (VAR96) begin
VAR24 = VAR105 + 'h1;
end
else if (VAR29) begin
VAR24 = VAR105 + 'h1;
end
end
assign VAR58 = (VAR105 == expect);
assign VAR107 = (VAR105 == expect - 'h1);
VAR6 VAR45 (
.VAR17 (reset || VAR89),
.VAR31 (VAR59),
.VAR68 (VAR3),
.VAR51 (VAR20),
.VAR22 (VAR26),
.VAR43 (VAR46),
.VAR19 (VAR87),
.VAR69 (VAR102),
.VAR13 (VAR78)
);
VAR30 VAR106 (
.VAR17 (reset || VAR89),
.VAR31 (VAR59),
.VAR68 (VAR10),
.VAR51 (VAR85),
.VAR22 (VAR72),
.VAR40 (VAR32),
.VAR43 (VAR48),
.VAR19 (VAR71),
.VAR69 (VAR16),
.VAR13 (VAR63)
);
always @(posedge VAR59)
begin
if (VAR23) begin
VAR108 <= 1'b0;
VAR42 <= 'h0;
end
else begin
if (VAR41) begin
VAR108 <= 1'b1;
VAR42 <= 'VAR49 3;
end
else if (VAR42 == 'VAR49 0) begin
VAR108 <= 1'b0;
end
else begin
VAR42 <= VAR42 - 'h1;
end
end
end
always @(posedge VAR53)
begin
VAR54 <= VAR86;
VAR93 <= VAR54;
VAR65 <= VAR4;
VAR2 <= VAR65;
end
always @(posedge VAR53)
begin
VAR79 <= VAR83;
VAR83 <= VAR58;
end
always @(posedge VAR53)
begin
if (reset || VAR89)
VAR104 <= 'h0;
end
else
VAR104 <= VAR104 ^ VAR15;
if (VAR15)
VAR34 <= VAR35;
end
always @(posedge VAR59)
begin
if (VAR23) begin
VAR12 <= 'VAR49 0;
VAR76 <= 'VAR49 0;
VAR90 <= 'VAR49 0;
end
else begin
VAR12 <= VAR104;
VAR76 <= VAR12;
VAR90 <= VAR76;
end
end
always @(posedge VAR53)
begin
if (reset || VAR89) begin
VAR92 <= 1'b0;
VAR100 <= 1'b0;
end
else begin
VAR92 <= VAR100;
VAR100 <= VAR108;
end
end
always @(posedge VAR59)
begin
if (VAR23 || VAR70)
VAR61 <= 4'h0;
end
else
VAR61 <= VAR61 | (VAR76 ^ VAR90);
end
always @(posedge VAR59)
begin
if (VAR23 || VAR57)
VAR25 <= 1'b0;
end
else if (VAR101 != VAR56 &&
VAR101 != VAR99 &&
!VAR87)
VAR25 <= 1'b1;
end
assign VAR88 = |(VAR76 ^ VAR90) ||
(VAR101 != VAR56 &&
VAR101 != VAR99 &&
!VAR87);
reg VAR77;
always @(posedge VAR59)
begin
if (VAR23 || VAR58)
VAR77 <= 1'b0;
end
else if (VAR64)
VAR77 <= 1'b1;
end
always @*
begin
if (VAR88 && VAR77)
end
always @(posedge VAR59)
begin
if (VAR41)
end
endmodule | mit |
alexforencich/verilog-wishbone | rtl/wb_async_reg.v | 7,789 | module MODULE1 #
(
parameter VAR55 = 32, parameter VAR36 = 32, parameter VAR19 = (VAR55/8) )
(
input wire VAR29,
input wire VAR46,
input wire [VAR36-1:0] VAR24, input wire [VAR55-1:0] VAR11, output wire [VAR55-1:0] VAR52, input wire VAR2, input wire [VAR19-1:0] VAR20, input wire VAR37, output wire VAR18, output wire VAR17, output wire VAR51, input wire VAR45,
input wire VAR12,
input wire VAR47,
output wire [VAR36-1:0] VAR15, input wire [VAR55-1:0] VAR50, output wire [VAR55-1:0] VAR32, output wire VAR27, output wire [VAR19-1:0] VAR25, output wire VAR3, input wire VAR1, input wire VAR39, input wire VAR23, output wire VAR4 );
reg [VAR36-1:0] VAR7 = 0;
reg [VAR55-1:0] VAR8 = 0;
reg [VAR55-1:0] VAR40 = 0;
reg VAR54 = 0;
reg [VAR19-1:0] VAR57 = 0;
reg VAR53 = 0;
reg VAR6 = 0;
reg VAR13 = 0;
reg VAR22 = 0;
reg VAR30 = 0;
reg VAR5 = 0;
reg VAR14 = 0;
reg VAR26 = 0;
reg [VAR36-1:0] VAR49 = 0;
reg [VAR55-1:0] VAR42 = 0;
reg [VAR55-1:0] VAR48 = 0;
reg VAR35 = 0;
reg [VAR19-1:0] VAR43 = 0;
reg VAR10 = 0;
reg VAR21 = 0;
reg VAR28 = 0;
reg VAR34 = 0;
reg VAR41 = 0;
reg VAR16 = 0;
reg VAR56 = 0;
reg VAR9 = 0;
reg VAR31 = 0;
reg VAR44 = 0;
reg VAR38 = 0;
reg VAR33 = 0;
assign VAR52 = VAR40;
assign VAR18 = VAR6;
assign VAR17 = VAR13;
assign VAR51 = VAR22;
assign VAR15 = VAR49;
assign VAR32 = VAR48;
assign VAR27 = VAR35;
assign VAR25 = VAR43;
assign VAR3 = VAR10;
assign VAR4 = VAR41;
always @(posedge VAR29) begin
if (VAR46) begin
VAR7 <= 0;
VAR8 <= 0;
VAR40 <= 0;
VAR54 <= 0;
VAR57 <= 0;
VAR53 <= 0;
VAR6 <= 0;
VAR13 <= 0;
VAR22 <= 0;
VAR30 <= 0;
end else begin
if (VAR30 & VAR53) begin
if (VAR14 & ~VAR26) begin
VAR40 <= VAR42;
VAR6 <= VAR21;
VAR13 <= VAR28;
VAR22 <= VAR34;
VAR54 <= 0;
VAR53 <= 0;
end
end else begin
VAR7 <= VAR24;
VAR8 <= VAR11;
VAR40 <= 0;
VAR54 <= VAR2 & ~(VAR18 | VAR17 | VAR51);
VAR57 <= VAR20;
VAR53 <= VAR37 & ~(VAR18 | VAR17 | VAR51);
VAR6 <= 0;
VAR13 <= 0;
VAR22 <= 0;
VAR30 <= VAR45;
end
end
VAR5 <= VAR33;
VAR14 <= VAR5;
VAR26 <= VAR14;
end
always @(posedge VAR12) begin
if (VAR47) begin
VAR49 <= 0;
VAR42 <= 0;
VAR48 <= 0;
VAR35 <= 0;
VAR43 <= 0;
VAR10 <= 0;
VAR21 <= 0;
VAR28 <= 0;
VAR34 <= 0;
VAR41 <= 0;
VAR33 <= 0;
end else begin
if (VAR1 | VAR39 | VAR23) begin
VAR42 <= VAR50;
VAR21 <= VAR1;
VAR28 <= VAR39;
VAR34 <= VAR23;
VAR35 <= 0;
VAR10 <= 0;
VAR33 <= 1;
end else if (VAR44 & ~VAR38) begin
VAR49 <= VAR7;
VAR42 <= 0;
VAR48 <= VAR8;
VAR35 <= VAR54;
VAR43 <= VAR57;
VAR10 <= VAR53;
VAR21 <= 0;
VAR28 <= 0;
VAR34 <= 0;
VAR41 <= VAR30;
VAR33 <= 0;
end else if (~VAR56 & VAR9) begin
VAR49 <= 0;
VAR42 <= 0;
VAR48 <= 0;
VAR35 <= 0;
VAR43 <= 0;
VAR10 <= 0;
VAR21 <= 0;
VAR28 <= 0;
VAR34 <= 0;
VAR41 <= 0;
VAR33 <= 0;
end
end
VAR16 <= VAR30;
VAR56 <= VAR16;
VAR9 <= VAR56;
VAR31 <= VAR53;
VAR44 <= VAR31;
VAR38 <= VAR44;
end
endmodule | mit |
olajep/oh | src/adi/hdl/library/common/ad_csc_RGB2CrYCb.v | 3,510 | module MODULE1 #(
parameter VAR9 = 16) (
input clk,
input [VAR6:0] VAR15,
input [23:0] VAR11,
output [VAR6:0] VAR8,
output [23:0] VAR5);
localparam VAR6 = VAR9 - 1;
VAR7 #(.VAR9(VAR9)) VAR4 (
.clk (clk),
.sync (VAR15),
.VAR1 (VAR11),
.VAR2 (17'h00707),
.VAR13 (17'h105e2),
.VAR10 (17'h10124),
.VAR14 (25'h0080000),
.VAR16 (VAR8),
.VAR12 (VAR5[23:16]));
VAR7 #(.VAR9(1)) VAR3 (
.clk (clk),
.sync (1'd0),
.VAR1 (VAR11),
.VAR2 (17'h0041b),
.VAR13 (17'h00810),
.VAR10 (17'h00191),
.VAR14 (25'h0010000),
.VAR16 (),
.VAR12 (VAR5[15:8]));
VAR7 #(.VAR9(1)) VAR17 (
.clk (clk),
.sync (1'd0),
.VAR1 (VAR11),
.VAR2 (17'h1025f),
.VAR13 (17'h104a7),
.VAR10 (17'h00707),
.VAR14 (25'h0080000),
.VAR16 (),
.VAR12 (VAR5[7:0]));
endmodule | mit |
mrehkopf/sd2snes | verilog/sd2snes_sa1/address.v | 4,318 | module MODULE1(
input VAR11,
input [15:0] VAR10, input [2:0] VAR14, input [23:0] VAR33, input [7:0] VAR12, input VAR1, output [23:0] VAR22, output VAR24, output VAR25, output VAR13, output VAR23, input [23:0] VAR6,
input [23:0] VAR3,
output VAR5,
input [4:0] VAR28,
input VAR34,
input [11:0] VAR2,
input [3:0] VAR30,
output VAR7,
output VAR16,
output VAR8,
output VAR35,
output VAR32,
output VAR4,
output VAR15,
output VAR9,
output VAR29
);
parameter [2:0]
VAR31 = 3,
VAR18 = 4,
VAR20 = 6
;
reg [23:0] VAR36 = 0;
reg [23:0] VAR17 = 0;
reg VAR27 = 0;
always @(posedge VAR11) begin
VAR36 <= VAR3;
VAR17 <= VAR6;
VAR27 <= ~VAR17[1] & VAR17[0];
end
wire [23:0] VAR19;
wire [2:0] VAR26[3:0];
assign {VAR26[3], VAR26[2], VAR26[1], VAR26[0]} = VAR2;
wire [3:0] VAR21 = VAR30;
assign VAR13 = ~VAR1;
assign VAR25 = VAR17[0]
& ( ( ~VAR33[23]
& VAR33[22]
& ~VAR33[21]
& ~VAR33[20]
& ~VAR34
)
| ( ~VAR33[22]
& ~VAR33[15]
& &VAR33[14:13]
)
| ( VAR27
& ~VAR33[22]
& ~VAR33[15]
& ~VAR33[14]
& VAR33[13]
& VAR33[12]
& ~VAR33[11]
)
);
assign VAR23 = VAR25;
assign VAR19 = (VAR25
? (24'hE00000 + (VAR27 ? VAR33[10:0] : ((VAR33[22] ? VAR33[19:0] : {VAR28,VAR33[12:0]}) & VAR17)))
: ((VAR33[22] ? {1'b0, VAR26[VAR33[21:20]], VAR33[19:0]} : {1'b0, (VAR21[{VAR33[23],VAR33[21]}] ? VAR26[{VAR33[23],VAR33[21]}] : {1'b0,VAR33[23],VAR33[21]}), VAR33[20:16], VAR33[14:0]}) & VAR36)
);
assign VAR22 = VAR19;
assign VAR24 = VAR13 | VAR23;
assign VAR5 = VAR10[VAR31] & (!VAR33[22] && ((VAR33[15:0] & 16'hfff8) == 16'h2000));
assign VAR7 = VAR10[VAR18] & (VAR12 == 8'h3f);
assign VAR16 = (VAR12 == 8'h00);
assign VAR8 = ({VAR33[22], VAR33[15:9]} == 8'b00010101);
assign VAR35 = (VAR33 == 24'h002BF2);
assign VAR32 = (VAR33 == 24'h002A6C);
assign VAR4 = (VAR33 == 24'h002A1F);
assign VAR15 = (VAR33 == 24'h002A59);
assign VAR9 = (VAR33 == 24'h002A5E);
endmodule | gpl-2.0 |
cafe-alpha/wascafe | v12/fpga_firmware/wasca/synthesis/submodules/wasca_jtag_uart_0.v | 16,670 | module MODULE5 (
clk,
VAR19,
VAR31,
VAR16,
VAR55,
VAR15,
VAR33
)
;
output VAR16;
output [ 7: 0] VAR55;
output VAR15;
output [ 5: 0] VAR33;
input clk;
input [ 7: 0] VAR19;
input VAR31;
wire VAR16;
wire [ 7: 0] VAR55;
wire VAR15;
wire [ 5: 0] VAR33;
always @(posedge clk)
begin
if (VAR31)
("%VAR1", VAR19);
end
assign VAR33 = {6{1'b0}};
assign VAR55 = {8{1'b0}};
assign VAR16 = 1'b0;
assign VAR15 = 1'b1;
endmodule
module MODULE4 (
clk,
VAR57,
VAR19,
VAR31,
VAR13,
VAR16,
VAR55,
VAR15,
VAR33
)
;
output VAR16;
output [ 7: 0] VAR55;
output VAR15;
output [ 5: 0] VAR33;
input clk;
input VAR57;
input [ 7: 0] VAR19;
input VAR31;
input VAR13;
wire VAR16;
wire [ 7: 0] VAR55;
wire VAR15;
wire [ 5: 0] VAR33;
MODULE5 MODULE2
(
.clk (clk),
.VAR16 (VAR16),
.VAR19 (VAR19),
.VAR31 (VAR31),
.VAR55 (VAR55),
.VAR15 (VAR15),
.VAR33 (VAR33)
);
endmodule
module MODULE1 (
clk,
VAR42,
VAR25,
VAR52,
VAR43,
VAR11,
VAR26
)
;
output VAR52;
output [ 7: 0] VAR43;
output VAR11;
output [ 5: 0] VAR26;
input clk;
input VAR42;
input VAR25;
reg [ 31: 0] VAR35;
wire VAR52;
reg VAR24;
wire [ 7: 0] VAR43;
wire VAR53;
wire [ 31: 0] VAR2;
wire [ 6: 0] VAR47;
wire VAR11;
wire [ 5: 0] VAR26;
always @(posedge clk or negedge VAR25)
begin
if (VAR25 == 0)
begin
VAR35 <= 32'h0;
VAR24 <= 1'b0;
end
else
begin
VAR24 <= VAR42;
if (VAR24)
VAR35 <= VAR35 - 1'b1;
if (VAR53)
VAR35 <= VAR2;
end
end
assign VAR52 = VAR35 == 32'b0;
assign VAR11 = VAR35 > 7'h40;
assign VAR47 = (VAR11) ? 7'h40 : VAR35;
assign VAR26 = VAR47[5 : 0];
assign VAR53 = 1'b0;
assign VAR2 = 32'b0;
assign VAR43 = 8'b0;
endmodule
module MODULE3 (
clk,
VAR57,
VAR42,
VAR25,
VAR3,
VAR32,
VAR52,
VAR43,
VAR11,
VAR26
)
;
output VAR52;
output [ 7: 0] VAR43;
output VAR11;
output [ 5: 0] VAR26;
input clk;
input VAR57;
input VAR42;
input VAR25;
input [ 7: 0] VAR3;
input VAR32;
wire VAR52;
wire [ 7: 0] VAR43;
wire VAR11;
wire [ 5: 0] VAR26;
MODULE1 MODULE1
(
.clk (clk),
.VAR52 (VAR52),
.VAR42 (VAR42),
.VAR43 (VAR43),
.VAR11 (VAR11),
.VAR26 (VAR26),
.VAR25 (VAR25)
);
endmodule
module MODULE2 (
VAR10,
VAR51,
VAR5,
VAR23,
VAR49,
clk,
VAR25,
VAR45,
VAR38,
VAR20,
VAR54,
VAR17
)
;
output VAR45;
output [ 31: 0] VAR38;
output VAR20;
output VAR54;
output VAR17;
input VAR10;
input VAR51;
input VAR5;
input VAR23;
input [ 31: 0] VAR49;
input clk;
input VAR25;
reg VAR9;
wire VAR7;
wire VAR45;
wire [ 31: 0] VAR38;
reg VAR20;
reg VAR54;
reg VAR29;
reg VAR39;
wire VAR52;
wire VAR16;
wire VAR57;
wire VAR42;
wire [ 7: 0] VAR43;
wire [ 7: 0] VAR19;
reg VAR31;
reg VAR44;
reg VAR37;
wire VAR6;
wire VAR21;
reg VAR56;
wire [ 7: 0] VAR55;
wire VAR40;
reg VAR27;
wire VAR13;
reg VAR30;
reg VAR17;
wire VAR11;
wire [ 5: 0] VAR26;
reg VAR4;
reg VAR36;
reg VAR50;
reg VAR12;
reg VAR48;
wire [ 7: 0] VAR3;
reg VAR28;
wire VAR14;
wire VAR18;
wire VAR15;
wire [ 5: 0] VAR33;
reg VAR22;
wire VAR32;
assign VAR13 = VAR40 & ~VAR15;
assign VAR32 = VAR14 & ~VAR11;
assign VAR57 = ~VAR25;
MODULE4 MODULE3
(
.clk (clk),
.VAR16 (VAR16),
.VAR57 (VAR57),
.VAR19 (VAR19),
.VAR31 (VAR31),
.VAR55 (VAR55),
.VAR13 (VAR13),
.VAR15 (VAR15),
.VAR33 (VAR33)
);
MODULE3 MODULE4
(
.clk (clk),
.VAR52 (VAR52),
.VAR57 (VAR57),
.VAR42 (VAR42),
.VAR43 (VAR43),
.VAR11 (VAR11),
.VAR26 (VAR26),
.VAR25 (VAR25),
.VAR3 (VAR3),
.VAR32 (VAR32)
);
assign VAR6 = VAR44 & VAR29;
assign VAR21 = VAR37 & (VAR56 | VAR39);
assign VAR45 = VAR6 | VAR21;
assign VAR7 = VAR18 | VAR14;
always @(posedge clk or negedge VAR25)
begin
if (VAR25 == 0)
VAR56 <= 1'b0;
end
else if (VAR18 & ~VAR52)
VAR56 <= 1'b1;
else if (VAR30)
VAR56 <= 1'b0;
end
always @(posedge clk or negedge VAR25)
begin
if (VAR25 == 0)
begin
VAR27 <= 1'b0;
VAR28 <= 1'b1;
end
else
begin
VAR27 <= VAR40 & ~VAR15;
VAR28 <= ~VAR11;
end
end
always @(posedge clk or negedge VAR25)
begin
if (VAR25 == 0)
begin
VAR29 <= 1'b0;
VAR39 <= 1'b0;
VAR31 <= 1'b0;
VAR4 <= 1'b0;
VAR30 <= 1'b0;
VAR44 <= 1'b0;
VAR37 <= 1'b0;
VAR9 <= 1'b0;
VAR22 <= 1'b0;
VAR20 <= 1'b1;
end
else
begin
VAR29 <= {VAR16,VAR33} <= 8;
VAR39 <= (7'h40 - {VAR11,VAR26}) <= 8;
VAR31 <= 1'b0;
VAR30 <= 1'b0;
VAR20 <= ~(VAR51 & (~VAR23 | ~VAR5) & VAR20);
if (VAR7)
VAR9 <= 1'b1;
if (VAR51 & ~VAR23 & VAR20)
if (VAR10)
begin
VAR37 <= VAR49[0];
VAR44 <= VAR49[1];
if (VAR49[10] & ~VAR7)
VAR9 <= 1'b0;
end
else
begin
VAR31 <= ~VAR16;
VAR22 <= VAR16;
end
if (VAR51 & ~VAR5 & VAR20)
begin
if (~VAR10)
VAR4 <= ~VAR52;
VAR30 <= ~VAR10;
end
end
end
assign VAR19 = VAR49[7 : 0];
assign VAR42 = (VAR51 & ~VAR5 & VAR20 & ~VAR10) ? ~VAR52 : 1'b0;
assign VAR38 = VAR30 ? { {9{1'b0}},VAR11,VAR26,VAR4,VAR22,~VAR16,~VAR52,1'b0,VAR9,VAR6,VAR21,VAR43 } : { {9{1'b0}},(7'h40 - {VAR16,VAR33}),VAR4,VAR22,~VAR16,~VAR52,1'b0,VAR9,VAR6,VAR21,{6{1'b0}},VAR44,VAR37 };
always @(posedge clk or negedge VAR25)
begin
if (VAR25 == 0)
VAR17 <= 0;
end
else
VAR17 <= ~VAR16;
end
always @(posedge clk)
begin
VAR48 <= 1'b0;
VAR12 <= 1'b0;
VAR50 <= VAR28 ? VAR55 : {8{VAR27}};
VAR36 <= 1'b0;
end
assign VAR40 = VAR36;
assign VAR14 = VAR12;
assign VAR3 = VAR50;
assign VAR18 = VAR48;
always @(VAR52)
begin
VAR54 = ~VAR52;
end
endmodule | gpl-2.0 |
jotego/jt12 | hdl/adpcm/jt10_cen_burst.v | 1,640 | module MODULE1 #(parameter VAR2=3'd6, VAR4=3)(
input VAR10,
input clk,
input VAR1, input VAR3,
input VAR9,
output VAR11
);
reg [VAR4-1:0] VAR7;
reg VAR8;
reg VAR6;
always @(posedge clk or negedge VAR10)
if( !VAR10 ) begin
VAR7 <= {VAR4{1'b1}};
VAR6 <= 1'b0;
end else if(VAR1) begin
VAR8 <= VAR3;
if( VAR3 && VAR9 ) begin
VAR7 <= 'd0;
VAR6 <= 1'b1;
end else begin
if(VAR7 != VAR2 ) VAR7 <= VAR7+1;
end
else VAR6 <= 1'b0;
end
end
reg VAR5;
assign VAR11 = VAR1 & VAR5;
always @(negedge clk) begin
VAR5 <= VAR6;
end
endmodule MODULE1 | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/sdfxtp/sky130_fd_sc_ms__sdfxtp.functional.v | 1,752 | module MODULE1 (
VAR2 ,
VAR5,
VAR7 ,
VAR9,
VAR10
);
output VAR2 ;
input VAR5;
input VAR7 ;
input VAR9;
input VAR10;
wire VAR3 ;
wire VAR12;
VAR6 VAR8 (VAR12, VAR7, VAR9, VAR10 );
VAR11 VAR13 VAR1 (VAR3 , VAR12, VAR5 );
buf VAR4 (VAR2 , VAR3 );
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/clkbuf/sky130_fd_sc_ms__clkbuf_1.v | 2,034 | module MODULE2 (
VAR2 ,
VAR5 ,
VAR4,
VAR1,
VAR7 ,
VAR3
);
output VAR2 ;
input VAR5 ;
input VAR4;
input VAR1;
input VAR7 ;
input VAR3 ;
VAR6 VAR8 (
.VAR2(VAR2),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR1(VAR1),
.VAR7(VAR7),
.VAR3(VAR3)
);
endmodule
module MODULE2 (
VAR2,
VAR5
);
output VAR2;
input VAR5;
supply1 VAR4;
supply0 VAR1;
supply1 VAR7 ;
supply0 VAR3 ;
VAR6 VAR8 (
.VAR2(VAR2),
.VAR5(VAR5)
);
endmodule | apache-2.0 |
litex-hub/pythondata-cpu-lm32 | pythondata_cpu_lm32/verilog/rtl/lm32_debug.v | 12,303 | module MODULE1 (
VAR53,
VAR49,
VAR35,
VAR59,
VAR24,
VAR2,
VAR61,
VAR21,
VAR14,
VAR46,
VAR45,
VAR6,
VAR5,
VAR25,
VAR18,
VAR36,
VAR41,
VAR12,
VAR50,
VAR19,
VAR48,
VAR38
);
parameter VAR1 = 0; parameter VAR42 = 0;
input VAR53; input VAR49;
input [VAR44] VAR35; input VAR59; input VAR24; input [VAR3] VAR2; input VAR61; input [VAR3] VAR21; input [VAR29] VAR14; VAR23 VAR28
input VAR46; input [VAR3] VAR45; input [VAR29] VAR6; VAR9
input VAR5; input VAR25; input VAR18; input VAR36; input VAR41; VAR23 VAR60
input VAR12; VAR9
output VAR50; reg VAR50;
output VAR19; reg VAR19;
output VAR48; wire VAR48;
output VAR38; wire VAR38;
genvar VAR7;
reg [VAR44] VAR37[0:VAR1-1]; reg VAR54[0:VAR1-1]; wire [0:VAR1-1]VAR43;
reg [VAR16] VAR13[0:VAR42-1]; reg [VAR3] VAR30[0:VAR42-1]; wire [0:VAR42-1]VAR34;
wire VAR31; wire [VAR3] VAR4; wire [VAR29] VAR40;
integer state; VAR9
generate
for (VAR7 = 0; VAR7 < VAR1; VAR7 = VAR7 + 1)
begin : VAR58
assign VAR43[VAR7] = ((VAR37[VAR7] == VAR35) && (VAR54[VAR7] == VAR52));
end
endgenerate
generate
if (VAR1 > 0)
assign VAR48 = (|VAR43) || (state == VAR11);
else
assign VAR48 = state == VAR11;
if (VAR1 > 0)
assign VAR48 = |VAR43;
else
assign VAR48 = VAR8;
endgenerate
generate
for (VAR7 = 0; VAR7 < VAR42; VAR7 = VAR7 + 1)
begin : VAR26
assign VAR34[VAR7] = (VAR30[VAR7] == VAR2) && ((VAR59 & VAR13[VAR7][0]) | (VAR24 & VAR13[VAR7][1]));
end
endgenerate
generate
if (VAR42 > 0)
assign VAR38 = |VAR34;
else
assign VAR38 = VAR8;
endgenerate
assign VAR31 = (VAR61 == VAR52) || (VAR46 == VAR52);
assign VAR4 = VAR46 == VAR52 ? VAR45 : VAR21;
assign VAR40 = VAR46 == VAR52 ? VAR6 : VAR14;
assign VAR31 = VAR61;
assign VAR4 = VAR21;
assign VAR40 = VAR14;
generate
for (VAR7 = 0; VAR7 < VAR1; VAR7 = VAR7 + 1)
begin : VAR17
always @(posedge VAR53 VAR57)
begin
if (VAR49 == VAR52)
begin
VAR37[VAR7] <= {VAR47{1'VAR15}};
VAR54[VAR7] <= VAR8;
end
else
begin
if ((VAR31 == VAR52) && (VAR40 == VAR20 + VAR7))
begin
VAR37[VAR7] <= VAR4[VAR44];
VAR54[VAR7] <= VAR4[0];
end
end
end
end
endgenerate
generate
for (VAR7 = 0; VAR7 < VAR42; VAR7 = VAR7 + 1)
begin : VAR55
always @(posedge VAR53 VAR57)
begin
if (VAR49 == VAR52)
begin
VAR30[VAR7] <= {VAR22{1'VAR15}};
VAR13[VAR7] <= VAR10;
end
else
begin
if (VAR31 == VAR52)
begin
if (VAR40 == VAR51)
VAR13[VAR7] <= VAR4[3+VAR7*2:2+VAR7*2];
if (VAR40 == VAR32 + VAR7)
VAR30[VAR7] <= VAR4;
end
end
end
end
endgenerate
always @(posedge VAR53 VAR57)
begin
if (VAR49 == VAR52)
VAR19 <= VAR8;
end
else
begin
if ((VAR31 == VAR52) && (VAR40 == VAR51))
VAR19 <= VAR4[1];
end
end
always @(posedge VAR53 VAR57)
begin
if (VAR49 == VAR52)
begin
state <= VAR27;
VAR50 <= VAR8;
end
else
begin
if ((VAR31 == VAR52) && (VAR40 == VAR51))
begin
VAR50 <= VAR4[0];
if (VAR4[0] == VAR8)
state <= VAR27;
end
else
state <= VAR33;
end
case (state)
begin
if ( ( (VAR5 == VAR52)
|| (VAR25 == VAR52)
)
&& (VAR18 == VAR8)
)
state <= VAR56;
end
begin
if ((VAR41 == VAR52) && (VAR18 == VAR8))
state <= VAR11;
end
begin
if (VAR12 == VAR52)
state <= VAR56;
end
else
if ((VAR36 == VAR52) && (VAR41 == VAR52) && (VAR18 == VAR8))
begin
VAR50 <= VAR8;
state <= VAR39;
end
end
begin
if (VAR12 == VAR52)
state <= VAR56;
end
else
state <= VAR27;
end
endcase
end
end
endmodule | epl-1.0 |
tnsrb93/G1_RealTimeDCTSteganography | src/ips/stream_encoder_ip_prj/stream_encoder_ip_prj.ip_user_files/ip/mult_gen_0/mult_gen_0_stub.v | 1,247 | module MODULE1(VAR3, VAR2, VAR1)
;
input [32:0]VAR3;
input [13:0]VAR2;
output [53:0]VAR1;
endmodule | gpl-3.0 |
scalable-networks/ext | uhd/fpga/usrp2/fifo/packet_dispatcher36_x4.v | 12,000 | module MODULE1
parameter VAR56 = 0
)
(
input clk, input rst, input VAR25,
input VAR92, input [7:0] VAR81, input [31:0] VAR83,
input [35:0] VAR54, input VAR79, output VAR31,
output [35:0] VAR66, output VAR2, input VAR95,
output [35:0] VAR57, output VAR3, input VAR77,
output [35:0] VAR17, output VAR70, input VAR5,
output [35:0] VAR69, output VAR64, input VAR101
);
wire [31:0] VAR48;
VAR82 #(.VAR34(VAR56+0)) VAR72(
.clk(clk),.rst(rst),
.VAR63(VAR92),.addr(VAR81),.in(VAR83),
.out(VAR48),.VAR14()
);
wire [15:0] VAR67, VAR59;
VAR82 #(.VAR34(VAR56+1), .VAR84(32)) VAR43(
.clk(clk),.rst(rst),
.VAR63(VAR92),.addr(VAR81),.in(VAR83),
.out({VAR59, VAR67}),.VAR14()
);
localparam VAR24 = 0;
localparam VAR16 = 1;
localparam VAR11 = 2;
localparam VAR65 = 3;
localparam VAR75 = 0;
localparam VAR90 = 1;
localparam VAR28 = 2;
localparam VAR55 = 3;
localparam VAR23 = 4;
localparam VAR33 = 13; localparam VAR53 = 11;
wire [35:0] VAR51;
wire VAR94;
wire VAR36;
wire [35:0] VAR7;
wire VAR27;
wire VAR35;
wire [35:0] VAR18;
wire VAR93;
wire VAR99;
wire [35:0] VAR21;
wire VAR96;
wire VAR37;
wire [35:0] VAR76;
wire VAR4;
wire VAR8;
reg [1:0] VAR80;
reg [2:0] VAR71;
reg [3:0] VAR9; wire [3:0] VAR41 = VAR9 + 1'b1;
wire VAR12 = (VAR41 == VAR33)? 1'b1 : 1'b0;
reg [35:0] VAR20 [VAR33-1:0];
reg VAR15;
reg VAR39;
reg VAR100;
reg VAR40;
reg VAR26;
reg VAR97;
wire VAR46 = (VAR54[15:0] == 16'h0);
wire [3:0] VAR22 = (
(VAR9 == VAR53) &&
(VAR71 == VAR75)
)? 4'b0001 : VAR20[VAR9][35:32];
wire [35:0] VAR6 = (VAR80 == VAR11)?
{VAR22, VAR20[VAR9][31:0]} : VAR54
;
wire VAR10 =
(VAR80 == VAR11)? 1'b1 : (
(VAR80 == VAR65)? VAR79 : (
1'b0));
wire VAR62 =
(VAR71 == VAR75)? VAR36 : (
(VAR71 == VAR90)? VAR35 : (
(VAR71 == VAR28)? VAR99 : (
(VAR71 == VAR55)? VAR37 : (
(VAR71 == VAR23)? VAR8 : (
1'b0)))));
assign VAR51 = VAR6;
assign VAR7 = VAR6;
assign VAR18 = VAR6;
assign VAR21 = VAR6;
assign VAR76 = VAR6;
assign VAR94 = (VAR71 == VAR75)? VAR10 : 1'b0;
assign VAR27 = (VAR71 == VAR90)? VAR10 : 1'b0;
assign VAR93 = (VAR71 == VAR28)? VAR10 : 1'b0;
assign VAR96 = (VAR71 == VAR55)? VAR10 : 1'b0;
assign VAR4 = (VAR71 == VAR23)? VAR10 : 1'b0;
assign VAR31 =
(VAR80 == VAR24) ? 1'b1 : (
(VAR80 == VAR16) ? 1'b1 : (
(VAR80 == VAR65) ? VAR62 : (
1'b0)));
always @(posedge clk)
if (VAR31 & VAR79) begin
case(VAR9)
0: begin
VAR15 <= (VAR54[15:0] == 16'hffff);
end
1: begin
VAR15 <= VAR15 && (VAR54[31:0] == 32'hffffffff);
end
3: begin
VAR39 <= (VAR54[15:0] == 16'h800);
end
6: begin
VAR100 <= (VAR54[23:16] == 8'h11);
end
8: begin
VAR40 <= (VAR54[31:0] == VAR48);
end
9: begin
VAR26 <= (VAR54[15:0] == VAR67);
VAR97 <= (VAR54[15:0] == VAR59);
end
endcase end
always @(posedge clk)
if(rst | VAR25) begin
VAR80 <= VAR24;
VAR9 <= 0;
end
else begin
case(VAR80)
VAR24: begin
if (VAR31 & VAR79 & VAR54[32]) begin
VAR80 <= VAR16;
VAR9 <= VAR41;
VAR20[VAR9] <= VAR54;
end
end
VAR16: begin
if (VAR31 & VAR79) begin
VAR20[VAR9] <= VAR54;
if (VAR12 | VAR54[33]) begin
VAR80 <= VAR11;
VAR9 <= 0;
if (
VAR54[33] || VAR15 ||
~VAR39 || ~VAR100
) begin
VAR71 <= VAR55;
end
else if (~VAR40) begin
VAR71 <= VAR90;
end
else if (VAR97 && ~VAR46) begin
VAR71 <= VAR23;
VAR9 <= VAR53;
end
else if (VAR26 && ~VAR46) begin
VAR71 <= VAR75;
VAR9 <= VAR53;
end
else begin
VAR71 <= VAR28;
end
end
else begin
VAR9 <= VAR41;
end
end
end
VAR11: begin
if (VAR62 & VAR10) begin
if (VAR6[33]) begin
VAR80 <= VAR24;
VAR9 <= 0;
end
else if (VAR12) begin
VAR80 <= VAR65;
VAR9 <= 0;
end
else begin
VAR9 <= VAR41;
end
end
end
VAR65: begin
if (VAR62 & VAR10 & VAR6[33]) begin
VAR80 <= VAR24;
end
end
endcase end
assign VAR57 = VAR51;
assign VAR3 = VAR94;
assign VAR36 = VAR77;
assign VAR17 = VAR76;
assign VAR70 = VAR4;
assign VAR8 = VAR5;
wire [35:0] VAR44, VAR73;
wire VAR52, VAR89;
wire VAR78, VAR13;
VAR58 VAR29(
.clk(clk), .rst(rst), .VAR25(VAR25),
.VAR38(VAR21), .VAR87(VAR96), .VAR19(VAR37),
.VAR98(VAR44), .VAR47(VAR52), .VAR49(VAR78),
.VAR85(VAR73), .VAR60(VAR89), .VAR50(VAR13)
);
VAR86 VAR103(
.clk(clk), .reset(rst), .VAR91(VAR25),
.VAR102(VAR7), .VAR42(VAR27), .VAR68(VAR35),
.VAR1(VAR44), .VAR32(VAR52), .VAR88(VAR78),
.VAR30(VAR66), .VAR61(VAR2), .VAR45(VAR95)
);
VAR86 VAR74(
.clk(clk), .reset(rst), .VAR91(VAR25),
.VAR102(VAR18), .VAR42(VAR93), .VAR68(VAR99),
.VAR1(VAR73), .VAR32(VAR89), .VAR88(VAR13),
.VAR30(VAR69), .VAR61(VAR64), .VAR45(VAR101)
);
endmodule | gpl-2.0 |
Ribeiro/sd2snes | verilog/sd2snes/upd77c25.v | 18,652 | module MODULE1(
input [7:0] VAR43,
output [7:0] VAR40,
input VAR21,
input enable,
input VAR59,
input VAR22,
input VAR105,
input VAR70,
input VAR11,
input VAR74,
input [23:0] VAR52,
input [10:0] VAR90,
input VAR103,
input [15:0] VAR108,
input [10:0] VAR48,
input VAR78,
input [10:0] VAR28,
input [15:0] VAR15,
output [15:0] VAR20,
output [15:0] VAR64,
output [10:0] VAR77,
output [15:0] VAR97,
output [15:0] VAR8,
output [5:0] VAR47,
output [5:0] VAR39
);
parameter VAR6 = 8'b00000001;
parameter VAR91 = 8'b00000010;
parameter VAR14 = 8'b00000100;
parameter VAR75 = 8'b00001000;
parameter VAR50 = 8'b00010000;
parameter VAR106 = 8'b00100000;
parameter VAR35 = 8'b01000000;
parameter VAR88 = 8'b10000000;
parameter VAR7 = 2'b00;
parameter VAR83 = 2'b01;
parameter VAR62 = 2'b10;
parameter VAR42 = 2'b11;
parameter VAR102 = 15;
parameter VAR53 = 12;
parameter VAR66 = 10;
reg [1:0] VAR32;
reg [1:0] VAR89;
reg [1:0] VAR1;
reg [1:0] VAR24;
reg [1:0] VAR82;
reg [1:0] VAR49;
reg [10:0] VAR26;
reg [7:0] VAR19;
reg [1:0] VAR29;
reg [3:0] VAR31;
reg [3:0] VAR34;
reg [10:0] VAR111;
wire [15:0] VAR73;
reg [15:0] VAR92;
assign VAR73 = VAR92;
wire [23:0] VAR46;
VAR10 VAR86 (
.VAR58(VAR11), .VAR54(VAR74), .VAR23(VAR90), .VAR25(VAR52), .VAR94(VAR11), .VAR36(VAR26), .VAR2(VAR46) );
wire [23:0] VAR72 = VAR46;
reg [1:0] VAR4;
reg [1:0] VAR69;
reg [3:0] VAR55;
reg VAR104;
reg [1:0] VAR87;
reg [3:0] VAR101;
reg VAR95;
reg [3:0] VAR51;
reg [3:0] VAR84;
wire [15:0] VAR37;
VAR96 VAR17 (
.VAR58(VAR11), .VAR54(VAR103), .VAR23(VAR48), .VAR25(VAR108), .VAR94(VAR11), .VAR36(VAR111), .VAR2(VAR37) );
wire [15:0] VAR85;
wire [9:0] VAR5;
reg [7:0] VAR63;
wire [7:0] VAR81;
wire [7:0] VAR33;
wire VAR30 = VAR105 & VAR78;
VAR56 VAR44 (
.VAR58(VAR11), .VAR54(VAR16), .VAR23(VAR5), .VAR25(VAR73), .VAR109(VAR85), .VAR94(VAR11), .VAR98(VAR30), .VAR36(VAR28), .VAR110(VAR43), .VAR2(VAR81) );
assign VAR16 = ((VAR4 != VAR62) && VAR84 == 4'b1111 && VAR19 == VAR106);
assign VAR5 = {VAR29,
VAR31 | ((|(VAR19 & (VAR14 | VAR75)) && VAR84 == 4'b1100)
? 4'b0100
: 4'b0000),
VAR34};
reg signed [15:0] VAR60;
reg signed [15:0] VAR67;
reg [15:0] VAR3;
reg [15:0] VAR13;
reg [15:0] VAR93;
reg [15:0] VAR61;
reg [3:0] VAR79;
reg VAR41;
reg [8:0] VAR68;
reg [10:0] VAR18;
reg [15:0] VAR99;
reg [3:0] VAR38;
wire [31:0] VAR80 = VAR60 * VAR67;
reg [15:0] VAR45;
reg [15:0] VAR107;
reg [15:0] VAR71;
reg [15:0] VAR9;
reg [15:0] VAR12;
reg [1:0] VAR57;
reg [10:0] VAR65 [15:0];
reg [15:0] VAR27;
reg [15:0] VAR76 [1:0];
reg [3:0] VAR100 = 0;
assign VAR20 = VAR93;
assign VAR64 = VAR61;
assign VAR77 = VAR26;
assign VAR97 = VAR76[0];
assign VAR8 = VAR76[1];
assign VAR47 = {VAR49[0],VAR82[0],VAR24[0],VAR1[0],VAR89[0],VAR32[0]};
assign VAR39 = {VAR49[1],VAR82[1],VAR24[1],VAR1[1],VAR89[1],VAR32[1]}; | gpl-2.0 |
benreynwar/fpga-sdrlib | verilog/flter/qa_filterbank.v | 1,127 | module MODULE1
parameter VAR8 = 32,
parameter VAR17 = 1
)
(
input wire clk,
input wire VAR11,
input wire [VAR8-1:0] VAR7,
input wire VAR4,
input wire [VAR17-1:0] VAR14,
input wire [VAR3-1:0] VAR2,
input wire VAR6,
output wire [VAR8-1:0] VAR13,
output wire VAR1,
output wire [VAR17-1:0] VAR16,
output wire [VAR3-1:0] VAR10,
output wire VAR12,
output wire VAR15
);
VAR9
VAR5
(
.clk(clk),
.VAR11(VAR11),
.VAR7(VAR7),
.VAR4(VAR4),
.VAR14(VAR14),
.VAR2(VAR2),
.VAR6(VAR6),
.VAR13(VAR13),
.VAR1(VAR1),
.VAR16(VAR16),
.VAR10(VAR10),
.VAR12(VAR12),
.VAR15(VAR15)
);
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o211a/sky130_fd_sc_ls__o211a.pp.blackbox.v | 1,389 | module MODULE1 (
VAR6 ,
VAR1 ,
VAR8 ,
VAR4 ,
VAR9 ,
VAR3,
VAR2,
VAR7 ,
VAR5
);
output VAR6 ;
input VAR1 ;
input VAR8 ;
input VAR4 ;
input VAR9 ;
input VAR3;
input VAR2;
input VAR7 ;
input VAR5 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_ls | cells/o2111ai/sky130_fd_sc_ls__o2111ai.functional.v | 1,514 | module MODULE1 (
VAR7 ,
VAR5,
VAR11,
VAR6,
VAR2,
VAR9
);
output VAR7 ;
input VAR5;
input VAR11;
input VAR6;
input VAR2;
input VAR9;
wire VAR1 ;
wire VAR10;
or VAR4 (VAR1 , VAR11, VAR5 );
nand VAR3 (VAR10, VAR2, VAR6, VAR9, VAR1);
buf VAR8 (VAR7 , VAR10 );
endmodule | apache-2.0 |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/clock_board_config_v1_05_a/hdl/verilog/clock_board_config.v | 30,283 | module MODULE1 (
VAR28,
VAR92,
VAR33,
VAR63,
VAR21,
VAR25,
VAR27,
VAR70,
VAR44,
VAR12,
VAR56,
VAR83,
VAR22
);
parameter VAR75 = 120000000;
parameter VAR49 = 1'b0;
parameter VAR20 = 1'b0;
parameter VAR68 = 1'b0;
parameter VAR64 = 1'b0;
parameter VAR88 = 16'h01ff; parameter VAR19 = 16'h1eff; parameter VAR71 = 16'h1eff; parameter VAR30 = 16'h01ff;
parameter VAR84 = 16'h0BFF;
parameter VAR87 = 16'h02ff; parameter VAR17 = 16'h02ff; parameter VAR46 = 16'h08ff; parameter VAR74 = 16'h08ff;
parameter VAR72 = 16'h1FFF;
input VAR28;
input VAR92;
output VAR33; reg VAR33 = 1'b1;
output VAR63; reg VAR63 = 1'b1;
output VAR21; reg VAR21 = 1'b1;
output VAR25; reg VAR25 = 1'b1;
output VAR27; reg VAR27 = 1'b1;
output VAR70; reg VAR70 = 1'b1;
output VAR44; reg VAR44 = 1'b1;
output VAR12; reg VAR12 = 1'b1;
input VAR56;
input VAR83;
wire VAR67;
wire VAR73;
wire VAR37;
wire VAR77;
wire VAR5;
wire VAR36;
output VAR22;
parameter VAR48 = 2500000;
parameter VAR86 = ((VAR75 + VAR48 - 1) / VAR48);
parameter VAR50 = (VAR86 < 2) ? 2 : VAR86;
parameter VAR76 = VAR50;
reg [3:0] VAR26 = 4'b0000; reg [7:0] VAR24 = 8'b00000000; reg VAR54 = 1'b0; reg VAR60 = 1'b0; reg VAR2 = 1'b0;
always @ (posedge VAR28)
begin
VAR26 [3:0] <= {1'b1,VAR26 [3:1]};
if (~VAR26 [0])
begin
VAR24 [7:0] <= 8'b00000000;
VAR54 <= 1'b0;
VAR60 <= 1'b0;
VAR2 <= 1'b0;
end
else
begin
if (~VAR54) VAR24 [7:0] <= VAR24 [7:0] + 1;
end
else VAR24 [7:0] <= 8'b00000000;
VAR54 <= (VAR24 [7:0] == ((VAR76 + 0) - 2));
VAR60 <= (VAR24 [7:0] == 0 );
VAR2 <= (VAR24 [7:0] == ((VAR76 + 1) / 2));
end
end
reg [3:0] VAR39 = 4'b1111;
reg [2:0] VAR80 = 3'b111;
always @ (posedge VAR28 or posedge VAR92)
begin
if (VAR92) VAR39 [3] <= 1'b1;
end
else VAR39 [3] <= 1'b0;
end
always @ (posedge VAR28 or posedge VAR39 [3])
begin
if (VAR39 [3]) VAR39 [2:0] <= 3'b111;
end
else VAR39 [2:0] <= {1'b0,VAR39 [2:1]};
end
always @ (posedge VAR28)
begin
VAR80 [2:0] <= {VAR39 [0],VAR80 [2:1]};
end
reg [9:0] VAR35 = 10'b0000000000;
reg VAR40 = 1'b1;
reg VAR53 = 1'b0;
reg VAR15 = 1'b0;
reg VAR43 = 1'b0;
reg VAR29 = 1'b1;
always @ (posedge VAR28)
begin
VAR29 <= VAR40;
end
always @ (posedge VAR28)
begin
if (~VAR2)
begin
VAR35 [9:0] <= VAR35 [9:0];
VAR40 <= VAR40;
end
else
begin
if (VAR40)
begin
VAR35 [9:0] <= 10'b0000000000;
VAR40 <= ~VAR53;
end
else
begin
VAR35 [9:0] <= VAR35 [9:0] + 1;
VAR40 <= (VAR35 [9:0] == 10'b1111111111);
end
end
VAR53 <= ~VAR53 & VAR40 & (VAR80 [1:0] == 2'b01)
| VAR53 & VAR40 & ~VAR2;
VAR15 <= ~VAR40 & VAR60;
VAR43 <= ~VAR40 & VAR2;
end
wire VAR14;
wire [63:0] VAR23;
wire [63:0] VAR89;
wire [63:0] VAR32;
wire [63:0] VAR10;
assign VAR14 = VAR15;
assign VAR23 [63:0] = {VAR89[0], VAR89[63:12], VAR5, VAR89[10:1]};
assign VAR32 [63:0] = {VAR10[0], VAR10[63:12], VAR36, VAR10[10:1]};
assign VAR5 = ( (VAR49 & VAR56) | (~VAR49 & VAR68) ) ? VAR67 : VAR73;
assign VAR36 = ( (VAR20 & VAR83) | (~VAR20 & VAR64) ) ? VAR37 : VAR77;
reg VAR22 = 1'b1;
always @(posedge VAR28)
begin
if(VAR40 & ~VAR29)
VAR22 <= 1'b0;
end
else if(VAR53)
VAR22 <= 1'b1;
end
genvar VAR82;
generate
for (VAR82 = 0 ; VAR82 < 64 ; VAR82 = VAR82 + 1)
begin : VAR52
VAR90 VAR11 (
.VAR13 (VAR89 [VAR82]),
.VAR31 (1'b1 ),
.VAR91 (1'b1 ),
.VAR6 (1'b1 ),
.VAR7 (1'b1 ),
.VAR47 (VAR14 ),
.VAR79 (VAR28 ),
.VAR3 (VAR23 [VAR82])
);
VAR90 VAR16 (
.VAR13 (VAR10 [VAR82]),
.VAR31 (1'b1 ),
.VAR91 (1'b1 ),
.VAR6 (1'b1 ),
.VAR7 (1'b1 ),
.VAR47 (VAR14 ),
.VAR79 (VAR28 ),
.VAR3 (VAR32 [VAR82])
);
end
endgenerate
VAR90 VAR55 (
.VAR13 (VAR67),
.VAR31 (1'b1 ),
.VAR91 (1'b1 ),
.VAR6 (1'b1 ),
.VAR7 (1'b1 ),
.VAR47 (VAR14 ),
.VAR79 (VAR28 ),
.VAR3 (VAR23 [11])
);
VAR90 VAR34 (
.VAR13 (VAR73),
.VAR31 (1'b1 ),
.VAR91 (1'b1 ),
.VAR6 (1'b1 ),
.VAR7 (1'b1 ),
.VAR47 (VAR14 ),
.VAR79 (VAR28 ),
.VAR3 (VAR23 [11])
);
VAR90 VAR69 (
.VAR13 (VAR37),
.VAR31 (1'b1 ),
.VAR91 (1'b1 ),
.VAR6 (1'b1 ),
.VAR7 (1'b1 ),
.VAR47 (VAR14 ),
.VAR79 (VAR28 ),
.VAR3 (VAR32 [11])
);
VAR90 VAR61 (
.VAR13 (VAR77),
.VAR31 (1'b1 ),
.VAR91 (1'b1 ),
.VAR6 (1'b1 ),
.VAR7 (1'b1 ),
.VAR47 (VAR14 ),
.VAR79 (VAR28 ),
.VAR3 (VAR32 [11])
);
reg VAR57 = 1'b0;
reg VAR1 = 1'b0;
reg VAR81 = 1'b0;
reg VAR42 = 1'b0;
reg VAR45 = 1'b0;
reg VAR59 = 1'b0;
reg VAR62 = 1'b0;
reg VAR8 = 1'b0;
always @ (posedge VAR28)
begin
if (~VAR60)
begin
VAR57 <= 1'b0;
VAR1 <= 1'b0;
VAR81 <= 1'b0;
VAR42 <= 1'b0;
VAR45 <= 1'b0;
VAR59 <= 1'b0;
VAR62 <= 1'b0;
VAR8 <= 1'b0;
end
else
begin
if (VAR40)
begin
VAR57 <= 1'b0;
VAR1 <= 1'b1;
VAR81 <= 1'b0;
VAR42 <= 1'b1;
VAR45 <= 1'b0;
VAR59 <= 1'b1;
VAR62 <= 1'b0;
VAR8 <= 1'b1;
end
else
begin
VAR57 <= VAR41;
VAR1 <= VAR51;
VAR81 <= VAR78;
VAR42 <= VAR38;
VAR45 <= VAR65;
VAR59 <= VAR9;
VAR62 <= VAR4;
VAR8 <= VAR18;
end
end
end
always @ (posedge VAR28)
begin
if (VAR14) VAR33 <= VAR89 [0];
end
else VAR33 <= VAR33;
VAR63 <= VAR63 & ~VAR57
| ~VAR63 & VAR1;
VAR21 <= VAR21 & ~VAR81
| ~VAR21 & VAR42;
VAR25 <= VAR25 & ~VAR15
| ~VAR25 & VAR43;
if (VAR14) VAR27 <= VAR10 [0];
else VAR27 <= VAR27;
VAR70 <= VAR70 & ~VAR45
| ~VAR70 & VAR59;
VAR44 <= VAR44 & ~VAR62
| ~VAR44 & VAR8;
VAR12 <= VAR12 & ~VAR15
| ~VAR12 & VAR43;
end
endmodule | bsd-2-clause |
KorotkiyEugene/Netmaker_vc_router_syn_quartus | NW_route.v | 2,919 | function automatic bit VAR2;
input integer VAR6;
input integer VAR1;
bit valid;
begin
valid=1'b1;
if (VAR6==VAR28) begin
if (VAR1>=VAR9) valid=1'b0;
end
VAR2=valid;
end
endfunction
function automatic bit VAR22;
input VAR29 VAR7;
input VAR29 VAR26;
bit valid;
begin
valid=1'b1;
if (VAR7==VAR26) valid=1'b0;
if (((VAR7==VAR18)||(VAR7==VAR25))&&((VAR26==VAR5)||(VAR26==VAR27))) valid=1'b0;
VAR22=valid;
end
endfunction
module MODULE1 (VAR16, VAR12, clk, VAR11);
input VAR4 VAR16;
output VAR4 VAR12;
input clk, VAR11;
function VAR4 VAR23;
input VAR4 VAR16;
logic [4:0] VAR8;
VAR4 VAR17;
begin
VAR17=VAR16;
if (VAR16.VAR20.VAR14!=0) begin
if (VAR16.VAR20.VAR14>0) begin
VAR8 = VAR21;
VAR17.VAR20.VAR14--;
end else begin
VAR8 = VAR13;
VAR17.VAR20.VAR14++;
end
end else begin
if (VAR16.VAR20.VAR3==0) begin
VAR8=VAR24;
end else if (VAR16.VAR20.VAR3>0) begin
VAR8=VAR19;
VAR17.VAR20.VAR3--;
end else begin
VAR8=VAR15;
VAR17.VAR20.VAR3++;
end
end
VAR17.VAR20.VAR10 = VAR8;
VAR23 = VAR17;
end
endfunction
assign VAR12=VAR23(VAR16);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/dlclkp/sky130_fd_sc_hd__dlclkp.blackbox.v | 1,259 | module MODULE1 (
VAR4,
VAR1,
VAR2
);
output VAR4;
input VAR1;
input VAR2 ;
supply1 VAR3;
supply0 VAR7;
supply1 VAR5 ;
supply0 VAR6 ;
endmodule | apache-2.0 |
asicguy/gplgpu | hdl/de/ded_pix_cache.v | 36,589 | module MODULE1
(
input VAR225, input VAR174, input VAR227, input [27:0] VAR254, input [27:0] VAR22, input [27:0] VAR229, input [11:0] VAR72, input [11:0] VAR60, input [11:0] VAR66, input VAR91,
input [3:0] VAR173, input VAR243,
input VAR210, input VAR256, input VAR7, input [31:0] VAR125, input [31:0] VAR115, input VAR31, input VAR23,
input VAR11, input VAR40, input [15:0] VAR2, input [15:0] VAR238, input VAR136, input VAR114, input VAR218, input VAR120, input VAR98, input [15:0] VAR81, input [15:0] VAR212, input VAR246, input VAR180, input [31:0] VAR160, input [31:0] VAR59, input [7:0] VAR68, input [2:0] VAR3, input VAR247, input VAR104, input VAR64, input VAR166, input VAR255, input [15:0] VAR28, input [15:0] VAR170, input [15:0] VAR250, input [15:0] VAR79, input VAR156, input VAR37, input [3:0] VAR137,
input [1:0] VAR205,
input [1:0] VAR198,
input [1:0] VAR8,
input VAR179,
input [2:0] VAR53,
input [3:0] VAR124,
input [2:0] VAR76,
input [1:0] VAR145,
input [3:0] VAR89, input [2:0] VAR249, input VAR106, input [1:0] VAR139, input [7:0] VAR169, input [7:0] VAR224, input [3:0] VAR92, input [31:0] VAR108, input [2:0] VAR222, input [6:0] VAR112,
input [6:0] VAR69,
input [11:0] VAR188, input [11:0] VAR148, input [3:0] VAR196,
input [3:0] VAR61,
input VAR134,
input VAR207,
input VAR259,
input VAR30,
input [2:0] VAR110,
output reg VAR70,
output reg [(VAR126*8)-1:0] VAR257, output reg [VAR126-1:0] VAR26, output reg [(VAR126*4)-1:0] VAR155, output reg [31:0] VAR129,
output reg VAR158,
output reg VAR82,
output reg [3:0] VAR36,
output reg VAR159, output reg VAR213, output reg VAR152, output reg VAR214, output VAR163,
output reg [31:0] VAR88, output reg [31:0] VAR67, output reg VAR58,
output reg VAR244, VAR50,
output reg VAR141,
output reg VAR65,
output reg VAR62,
output reg [1:0] VAR94,
output reg [1:0] VAR234,
output reg VAR204,
output reg [1:0] VAR113,
output reg [3:0] VAR182,
output VAR245,
output [2:0] VAR184,
output [3:0] VAR168,
output [2:0] VAR144,
output reg [2:0] VAR178,
output reg [3:0] VAR153,
output reg [2:0] VAR176,
output reg [1:0] VAR208,
output reg [3:0] VAR228, output reg [2:0] VAR233, output reg VAR177, output reg [1:0] VAR209, output reg [7:0] VAR262, output reg [7:0] VAR183, output reg [3:0] VAR95, output reg [31:0] VAR242, output reg [2:0] VAR117, output reg [6:0] VAR260,
output reg [6:0] VAR6,
output reg [11:0] VAR32, output reg [11:0] VAR19, output reg [3:0] VAR239,
output reg [3:0] VAR42,
output reg [13:0] VAR86, output reg VAR216,
output VAR151,
output reg VAR219,
output VAR172,
output VAR248,
output VAR127,
output reg [2:0] VAR102,
output reg VAR199,
output reg VAR154,
output reg [2:0] VAR63, output reg VAR192, output reg VAR230, output reg [31:0] VAR138, output reg [(VAR126*8)-1:0] VAR157 );
reg VAR241;
reg [2:0] VAR187;
reg [31:0] VAR1; reg [31:0] VAR146; reg [7:0] VAR123;
reg VAR12; reg [15:0] VAR161, VAR17; reg [1:0] VAR190; reg [127:0] VAR75;
reg [15:0] VAR140;
reg [3:0] VAR116;
reg [15:0] VAR189;
reg [13:0] VAR52;
reg [27:0] VAR203;
reg [11:0] VAR99;
reg VAR80; reg VAR87;
reg VAR211;
reg VAR10;
reg VAR45;
reg VAR185;
reg [15:0] VAR121;
reg [127:0] VAR49;
reg VAR181;
reg [31:0] VAR130;
reg [1:0] VAR96;
reg [3:0] VAR39;
reg [2:0] VAR43;
reg VAR149;
reg [1:0] VAR21;
reg [7:0] VAR215;
reg [7:0] VAR195;
reg [3:0] VAR131;
reg [2:0] VAR217;
reg [27:0] VAR20;
reg VAR33;
reg [31:0] VAR226, VAR85;
reg [31:0] VAR27, VAR111;
reg VAR73;
reg [270:0] VAR165; reg [128:0] VAR71; reg VAR128;
reg [1:0] VAR206;
reg [31:0] VAR35;
reg [31:0] VAR194;
reg [127:0] VAR109, VAR171;
reg [63:0] VAR5;
reg [165:0] VAR100;
reg VAR232;
reg VAR202;
reg VAR103;
wire [6:0] VAR261;
wire VAR34;
wire [270:0] VAR24; wire [165:0] VAR14; wire VAR251;
wire [127:0] VAR143;
wire VAR47 = VAR11 | VAR120; wire VAR90 = (~VAR120 & VAR114) | (VAR120 & VAR98); wire VAR193 = (~VAR120 & VAR218) | (VAR120 & VAR180); wire [15:0] VAR15 = ({16{~VAR120}} & VAR2) | ({16{VAR120}} & VAR81); wire [15:0] VAR74 = ({16{~VAR120}} & VAR238) | ({16{VAR120}} & VAR212); wire VAR9 = (~VAR120 & VAR136) | (VAR120 & VAR246); wire VAR38 = (~VAR120) ? VAR40 : 1'b0;
always @* begin
casex({VAR12,VAR156})
2'VAR201:begin VAR203 = VAR254;
VAR99 = VAR72;
if (VAR126 == 16) VAR52 = {{2{VAR161[15]}}, VAR161[15:4]};
end
else if (VAR126 == 8) VAR52 = {VAR161[15], VAR161[15:3]};
end
else VAR52 = VAR161[15:2];
VAR189 = VAR17;
end
2'b01:begin VAR203 = VAR22;
VAR99 = VAR60;
if (VAR126 == 16) VAR52 = {{2{VAR28[15]}}, VAR28[15:4]};
end
else if (VAR126 == 8) VAR52 = {VAR28[15], VAR28[15:4], 1'b0};
else VAR52 = {VAR28[15:4], 2'b0};
VAR189 = VAR170;
end
2'b00:begin VAR203 = VAR254;
VAR99 = VAR72;
if (VAR126 == 16) VAR52 = {{2{VAR250[15]}}, VAR250[15:4]};
end
else if (VAR126 == 8) VAR52 = {VAR250[15], VAR250[15:4], 1'b0};
else VAR52 = {VAR250[15:4], 2'b0};
VAR189 = VAR79;
end
endcase
end
always @*
if (VAR126 == 16) VAR35 = VAR226 + VAR85;
else if (VAR126 == 8) VAR35 = {VAR226, 1'b0} + VAR85;
else VAR35 = {VAR226, 2'b0} + VAR85;
always @*
if (VAR126 == 16) VAR194 = VAR27 + VAR111;
else if (VAR126 == 8) VAR194 = {VAR27, 1'b0} + VAR111;
else VAR194 = {VAR27, 2'b0} + VAR111;
always @ (posedge VAR225) begin
if (VAR12 || VAR37) begin
VAR226 <= (VAR189 * {{4{VAR99[11]}}, VAR99});
VAR27 <= (VAR189 * {{4{VAR66[11]}}, VAR66});
VAR45 <= (VAR243 | VAR106);
VAR130 <= VAR108;
VAR96 <= VAR145;
VAR39 <= VAR89;
VAR43 <= VAR249;
VAR149 <= VAR106;
VAR21 <= VAR139;
VAR215 <= VAR169;
VAR195 <= VAR224;
VAR131 <= VAR92;
VAR217 <= VAR222;
VAR20[6:0] <= VAR112;
VAR20[13:7] <= VAR69;
VAR20[25:14] <= VAR250[15:4];
VAR20[26] <= VAR30;
end
if (VAR12) begin
VAR49[7:0] <= ~VAR140[0] ? VAR75[7:0] : VAR49[7:0];
VAR49[15:8] <= ~VAR140[1] ? VAR75[15:8] : VAR49[15:8];
VAR49[23:16] <= ~VAR140[2] ? VAR75[23:16] : VAR49[23:16];
VAR49[31:24] <= ~VAR140[3] ? VAR75[31:24] : VAR49[31:24];
VAR49[39:32] <= ~VAR140[4] ? VAR75[39:32] : VAR49[39:32];
VAR49[47:40] <= ~VAR140[5] ? VAR75[47:40] : VAR49[47:40];
VAR49[55:48] <= ~VAR140[6] ? VAR75[55:48] : VAR49[55:48];
VAR49[63:56] <= ~VAR140[7] ? VAR75[63:56] : VAR49[63:56];
VAR49[71:64] <= ~VAR140[8] ? VAR75[71:64] : VAR49[71:64];
VAR49[79:72] <= ~VAR140[9] ? VAR75[79:72] : VAR49[79:72];
VAR49[87:80] <= ~VAR140[10] ? VAR75[87:80] : VAR49[87:80];
VAR49[95:88] <= ~VAR140[11] ? VAR75[95:88] : VAR49[95:88];
VAR49[103:96] <= ~VAR140[12] ? VAR75[103:96] : VAR49[103:96];
VAR49[111:104] <= ~VAR140[13] ? VAR75[111:104] : VAR49[111:104];
VAR49[119:112] <= ~VAR140[14] ? VAR75[119:112] : VAR49[119:112];
VAR49[127:120] <= ~VAR140[15] ? VAR75[127:120] : VAR49[127:120];
VAR109[7:0] <= ~VAR140[0] ? VAR171[7:0] : VAR109[7:0];
VAR109[15:8] <= ~VAR140[1] ? VAR171[15:8] : VAR109[15:8];
VAR109[23:16] <= ~VAR140[2] ? VAR171[23:16] : VAR109[23:16];
VAR109[31:24] <= ~VAR140[3] ? VAR171[31:24] : VAR109[31:24];
VAR109[39:32] <= ~VAR140[4] ? VAR171[39:32] : VAR109[39:32];
VAR109[47:40] <= ~VAR140[5] ? VAR171[47:40] : VAR109[47:40];
VAR109[55:48] <= ~VAR140[6] ? VAR171[55:48] : VAR109[55:48];
VAR109[63:56] <= ~VAR140[7] ? VAR171[63:56] : VAR109[63:56];
VAR109[71:64] <= ~VAR140[8] ? VAR171[71:64] : VAR109[71:64];
VAR109[79:72] <= ~VAR140[9] ? VAR171[79:72] : VAR109[79:72];
VAR109[87:80] <= ~VAR140[10] ? VAR171[87:80] : VAR109[87:80];
VAR109[95:88] <= ~VAR140[11] ? VAR171[95:88] : VAR109[95:88];
VAR109[103:96] <= ~VAR140[12] ? VAR171[103:96] : VAR109[103:96];
VAR109[111:104] <= ~VAR140[13] ? VAR171[111:104] : VAR109[111:104];
VAR109[119:112] <= ~VAR140[14] ? VAR171[119:112] : VAR109[119:112];
VAR109[127:120] <= ~VAR140[15] ? VAR171[127:120] : VAR109[127:120];
VAR5[7:0] <= ~VAR140[0] ? VAR123 : VAR5[7:0];
VAR5[15:8] <= ~VAR140[2] ? VAR123 : VAR5[15:8];
VAR5[23:16] <= ~VAR140[4] ? VAR123 : VAR5[23:16];
VAR5[31:24] <= ~VAR140[6] ? VAR123 : VAR5[31:24];
VAR5[39:32] <= ~VAR140[8] ? VAR123 : VAR5[39:32];
VAR5[47:40] <= ~VAR140[10] ? VAR123 : VAR5[47:40];
VAR5[55:48] <= ~VAR140[12] ? VAR123 : VAR5[55:48];
VAR5[63:56] <= ~VAR140[14] ? VAR123 : VAR5[63:56];
VAR121 <= ~VAR80 ? VAR140 : VAR140 & VAR121;
VAR185 <= 1'b0; VAR20[27] <= VAR207;
end else if (VAR37) begin
VAR20[27] <= ~VAR156 ? VAR207 : VAR20[27];
VAR49[31:0] <= VAR125;
VAR49[63:32] <= VAR115;
VAR49[67:64] <= VAR137;
VAR49[68] <= VAR210;
VAR49[69] <= VAR256;
VAR49[70] <= VAR7;
VAR49[72:71] <= VAR205;
VAR49[73] <= VAR23;
VAR49[75:74] <= VAR8;
VAR49[77:76] <= VAR198;
VAR49[78] <= VAR31;
VAR49[79] <= VAR156;
VAR49[80] <= VAR179;
VAR49[83:81] <= VAR53;
VAR49[87:84] <= VAR124;
VAR49[90:88] <= VAR76;
VAR49[93:91] <= VAR110;
VAR49[105:94] <= VAR188;
VAR49[117:106] <= VAR148;
VAR49[121:118] <= VAR196;
VAR49[125:122] <= VAR61;
VAR49[126] <= VAR134;
VAR49[127] <= VAR259;
VAR121 <= {12'b0, VAR116};
VAR185 <= 1'b1; end
end
always @(posedge VAR225 or negedge VAR227)
if (!VAR227) begin
VAR1 <= 32'b0;
VAR146 <= 32'b0;
VAR123 <= 8'b0;
VAR12 <= 1'b0;
VAR159 <= 1'b0;
VAR213 <= 1'b0;
VAR161 <= 16'h0;
VAR17 <= 16'h0;
VAR190 <= 2'b0;
VAR152 <= 1'b0;
VAR214 <= 1'b0;
VAR116 <= 4'b0;
VAR87 <= 1'b1;
VAR80 <= 1'b0;
VAR211 <= 1'b0;
VAR241 <= 1'b0;
VAR10 <= 1'b0;
VAR181 <= 1'b0;
VAR33 <= 1'b0;
VAR165 <= 271'b0;
VAR71 <= 128'b0;
VAR100 <= 166'h0;
VAR73 <= 1'b0;
VAR128 <= 1'b0;
VAR206<= 2'b0;
VAR199 <= 1'b0;
VAR232 <= 1'b0;
VAR202 <= 1'b0;
VAR103 <= 1'b0;
end else begin
VAR103 <= VAR64;
VAR199 <= VAR34;
VAR128 <= VAR12;
VAR206 <= {VAR206[0], VAR193};
VAR73 <= VAR181 | VAR211 | VAR10;
VAR71 <= {VAR21, VAR5};
VAR165 <= {
VAR20, VAR96, VAR217, VAR149, VAR39, VAR43, VAR131, VAR215, VAR195, VAR130, VAR45, VAR185, VAR35, VAR121, VAR49 };
VAR100 <= {
VAR103 | VAR202, VAR247, VAR104, VAR3, VAR194, VAR109 };
if (VAR128 && ~VAR211) VAR33 <= 1'b1;
end
else if (VAR128 && VAR211 || VAR241) VAR33 <= 1'b0;
VAR211 <= 1'b0;
VAR241 <= 1'b0;
VAR10 <= VAR241;
VAR181 <= VAR37;
if (VAR91) VAR116 <= VAR173[3:0] - 1'b1;
VAR214 <= (VAR261 > 96);
VAR152 <= (VAR261 > 120);
VAR12 <= 1'b0;
if (VAR47 && ~VAR38 && ~VAR152) VAR159 <= 1'b1;
end
else if (VAR34) VAR159 <= 1'b0;
if (VAR193) VAR213 <= 1'b0;
end
else if (VAR47 && ~VAR152) VAR213 <= 1'b1;
VAR241 <= ~VAR232 & (VAR33 & VAR206[1] & ~VAR206[0]) |
VAR47 & ~VAR152 & VAR193 & ~VAR9 & ~(VAR23 & ~VAR90);
VAR154 <= (VAR206[1] & ~VAR206[0]);
if (VAR47 && ~VAR193) VAR232 <= 1'b0;
else if (VAR241) VAR232 <= 1'b1;
if (VAR47 && ~VAR193) VAR202 <= VAR64;
if (VAR47 && ~VAR38 && ~VAR152) begin
if (VAR193) VAR87 <= 1'b1;
end
else if ((~VAR23 | VAR23 & VAR90 | VAR31) &
~(VAR193 & VAR9)) VAR87 <= 1'b0;
if (VAR193) VAR87 <= 1'b1;
VAR1 <= (VAR31) ? VAR125 :
(VAR120 & VAR98) ? VAR160 :
(VAR90) ? VAR125 : VAR115;
VAR146 <= VAR59;
VAR123 <= VAR68;
if ((~VAR23 | VAR23 & VAR90 | VAR31) &
~(VAR193 & VAR9)) begin
VAR12 <= 1'b1;
if (VAR126 == 16) begin
VAR211 <= ~VAR87 & ((VAR161[15:4] != VAR15[15:4]) |
(VAR17 != VAR74));
VAR80 <= ~(VAR87 | VAR161[15:4] != VAR15[15:4] |
VAR17 != VAR74);
end else if (VAR126 == 8) begin
VAR211 <= ~VAR87 & ((VAR161[15:3] != VAR15[15:3]) |
(VAR17 != VAR74));
VAR80 <= ~(VAR87 | VAR161[15:3] != VAR15[15:3] |
VAR17 != VAR74);
end else begin
VAR211 <= ~VAR87 & ((VAR161[15:2] != VAR15[15:2]) |
(VAR17 != VAR74));
VAR80 <= ~(VAR87 | VAR161[15:2] != VAR15[15:2] |
VAR17 != VAR74);
end
end
VAR161 <= VAR15;
VAR17 <= VAR74;
VAR190 <= {VAR7, VAR256};
end
end
always @*
case (VAR190)
2'b00: VAR75 = {16{VAR1[7:0]}};
2'b01: VAR75 = {8{VAR1[15:0]}};
default: VAR75 = {4{VAR1}};
endcase
always @*
case (VAR190)
2'b00: VAR171 = {16{VAR146[7:0]}};
2'b01: VAR171 = {8{VAR146[15:0]}};
default: VAR171 = {4{VAR146}};
endcase
always @*
if (VAR126 == 16)
casex ({VAR190, VAR161[3:0]})
6'b000000: VAR140 = 16'hFFFE;
6'b000001: VAR140 = 16'hFFFD;
6'b000010: VAR140 = 16'hFFFB;
6'b000011: VAR140 = 16'hFFF7;
6'b000100: VAR140 = 16'hFFEF;
6'b000101: VAR140 = 16'hFFDF;
6'b000110: VAR140 = 16'hFFBF;
6'b000111: VAR140 = 16'hFF7F;
6'b001000: VAR140 = 16'hFEFF;
6'b001001: VAR140 = 16'hFDFF;
6'b001010: VAR140 = 16'hFBFF;
6'b001011: VAR140 = 16'hF7FF;
6'b001100: VAR140 = 16'hEFFF;
6'b001101: VAR140 = 16'hDFFF;
6'b001110: VAR140 = 16'hBFFF;
6'b001111: VAR140 = 16'h7FFF;
6'VAR135: VAR140 = 16'hFFFC;
6'VAR162: VAR140 = 16'hFFF3;
6'VAR13: VAR140 = 16'hFFCF;
6'VAR236: VAR140 = 16'hFF3F;
6'VAR18: VAR140 = 16'hFCFF;
6'VAR237: VAR140 = 16'hF3FF;
6'VAR25: VAR140 = 16'hCFFF;
6'VAR101: VAR140 = 16'h3FFF;
6'VAR231: VAR140 = 16'hFFF0;
6'VAR93: VAR140 = 16'hFF0F;
6'VAR57: VAR140 = 16'hF0FF;
default: VAR140 = 16'h0FFF;
endcase else if (VAR126 == 8)
casex ({VAR190, VAR161[3:0]})
6'VAR191: VAR140 = 16'hFFFE;
6'VAR55: VAR140 = 16'hFFFD;
6'VAR142: VAR140 = 16'hFFFB;
6'VAR4: VAR140 = 16'hFFF7;
6'VAR56: VAR140 = 16'hFFEF;
6'VAR186: VAR140 = 16'hFFDF;
6'VAR197: VAR140 = 16'hFFBF;
6'VAR175: VAR140 = 16'hFF7F;
6'VAR105: VAR140 = 16'hFFFC;
6'VAR83: VAR140 = 16'hFFF3;
6'VAR78: VAR140 = 16'hFFCF;
6'VAR29: VAR140 = 16'hFF3F;
6'VAR97: VAR140 = 16'hFFF0;
default: VAR140 = 16'hFF0F;
endcase else
casex ({VAR190, VAR161[3:0]})
6'VAR51: VAR140 = 16'hFFFE;
6'VAR84: VAR140 = 16'hFFFD;
6'VAR132: VAR140 = 16'hFFFB;
6'VAR223: VAR140 = 16'hFFF7;
6'VAR253: VAR140 = 16'hFFFC;
6'VAR235: VAR140 = 16'hFFF3;
default: VAR140 = 16'hFFF0;
endcase
VAR107
(
.VAR167 (271),
.VAR77 (128),
.VAR48 (7)
) VAR164
(
.VAR122 (VAR227),
.VAR44 (VAR225),
.VAR118 (VAR73),
.VAR240 (VAR165),
.VAR221 (VAR34),
.VAR261 (VAR261),
.VAR46 (VAR174),
.VAR41 (VAR166),
.VAR147 (VAR24),
.VAR252 (VAR163)
);
VAR107
(
.VAR167 (166),
.VAR77 (128),
.VAR48 (7)
) VAR16
(
.VAR122 (VAR227),
.VAR44 (VAR225),
.VAR118 (VAR73),
.VAR240 (VAR100),
.VAR221 (),
.VAR261 (),
.VAR46 (VAR174),
.VAR41 (VAR166),
.VAR147 (VAR14),
.VAR252 ()
);
VAR150 VAR258
(
.VAR122 (~VAR227),
.VAR240 (VAR165[127:0]),
.VAR46 (VAR174),
.VAR41 (VAR70), .VAR44 (VAR225),
.VAR118 (VAR73),
.VAR147 (VAR24[127:0]),
.VAR252 (VAR163),
.VAR221 (VAR34),
.VAR261 (VAR261)
);
VAR220 VAR119
(
.VAR122 (~VAR227),
.VAR240 ({1'b0, VAR165[270:128]}),
.VAR46 (VAR174),
.VAR41 (VAR70), .VAR44 (VAR225),
.VAR118 (VAR73),
.VAR147 ({VAR251, VAR24[270:128]})
);
VAR200 VAR54
(
.VAR122 (~VAR227),
.VAR240 (VAR100),
.VAR46 (VAR174),
.VAR41 (VAR70), .VAR44 (VAR225),
.VAR118 (VAR73),
.VAR147 (VAR14)
);
VAR150 VAR133
(
.VAR122 (~VAR227),
.VAR240 (VAR71),
.VAR46 (VAR174),
.VAR41 (VAR70), .VAR44 (VAR225),
.VAR118 (VAR73),
.VAR147 (VAR143[127:0])
);
always @(posedge VAR174 or negedge VAR227)
if (!VAR227) VAR70 <= 1'b0;
else VAR70 <= VAR166;
always @(posedge VAR174 or negedge VAR227)
if (!VAR227) begin
VAR257 <= 128'b0;
VAR155 <= 64'b0;
VAR26 <= 16'b0;
VAR129<= 32'b0;
VAR82 <= 1'b0;
VAR158 <= 1'b0;
VAR36 <= 4'b0;
VAR58 <= 1'b0;
VAR204 <= 1'b0;
VAR242 <= 32'b0;
VAR183 <= 8'b0;
VAR262 <= 8'b0;
VAR95 <= 4'b0;
VAR233 <= 3'b0;
VAR228 <= 4'b0;
VAR177 <= 1'b0;
VAR117 <= 3'b0;
VAR208 <= 2'b0;
VAR260 <= 7'b0;
VAR6 <= 7'b0;
VAR32 <= 12'b0;
VAR19 <= 12'b0;
VAR239 <= 4'b0;
VAR42 <= 4'b0;
VAR216 <= 1'b0;
VAR219 <= 1'b0;
VAR88 <= 32'b0;
VAR67 <= 32'b0;
VAR182 <= 4'b0;
VAR141 <= 1'b0;
VAR65 <= 1'b0;
VAR62 <= 1'b0;
VAR94 <= 2'b0;
VAR244 <= 1'b0;
VAR234 <= 2'b0;
VAR113 <= 2'b0;
VAR50 <= 1'b0;
VAR178 <= 3'b0;
VAR153 <= 4'b0;
VAR176 <= 3'b0;
VAR102 <= 3'b0;
VAR192 <= 1'b0;
VAR230 <= 1'b0;
VAR63 <= 3'b0;
VAR138 <= 32'b0;
VAR157 <= 128'b0;
end else begin
if (VAR70) begin
VAR192 <= (&VAR14[165:164]);
VAR230 <= VAR14[163];
VAR63 <= VAR14[162:160];
VAR138 <= VAR14[159:128];
VAR157 <= VAR14[127:0];
VAR155 <= VAR143[63:0];
VAR209<= VAR143[65:64];
VAR257 <= VAR24[127:0];
VAR26 <= VAR24[143:128];
VAR129<= VAR24[175:144];
VAR82 <= VAR24[177];
VAR158 <= VAR24[176] & VAR24[79];
VAR36 <= ~VAR24[176] ? 4'b0 : VAR24[131:128];
VAR58 <= VAR24[176];
VAR204 <= ~VAR24[176];
VAR242 <= VAR24[209:178];
VAR183 <= VAR24[217:210];
VAR262 <= VAR24[225:218];
VAR95 <= VAR24[229:226];
VAR233 <= VAR24[232:230];
VAR228 <= VAR24[236:233];
VAR177 <= VAR24[237];
VAR117 <= VAR24[240:238];
VAR208 <= VAR24[242:241];
VAR260 <= VAR24[249:243];
VAR6 <= VAR24[256:250];
VAR32 <= VAR24[105:94];
VAR19 <= VAR24[117:106];
VAR239 <= VAR24[121:118];
VAR42 <= VAR24[125:122];
VAR216 <= VAR24[126];
VAR219 <= VAR24[127];
if (VAR24[176]) begin
VAR88 <= VAR24[31:0];
VAR67 <= VAR24[63:32];
VAR182 <= VAR24[67:64];
VAR141 <= VAR24[68];
VAR65 <= VAR24[69];
VAR62 <= VAR24[70];
VAR94 <= VAR24[72:71];
VAR244 <= VAR24[73];
VAR234 <= VAR24[75:74];
VAR113 <= VAR24[77:76];
VAR50 <= VAR24[78];
if (VAR24[80]) begin
VAR178 <= VAR24[83:81];
VAR153 <= VAR24[87:84];
VAR176 <= VAR24[90:88];
end
VAR102 <= VAR24[93:91];
end else begin VAR182 <= 4'hF; end
end
end
always @(posedge VAR174)
if (VAR70 && (VAR126 == 16)) VAR86 <= {2'b00, VAR24[268:257]};
end
else if (VAR70 && (VAR126 == 8)) VAR86 <= {1'b0, VAR24[268:257], 1'b0};
end
else if (VAR70) VAR86 <= {VAR24[268:257], 2'b00};
end
else if (VAR255) VAR86 <= VAR86 + 14'h1;
always @(posedge VAR174)
if (VAR70) VAR187 <= VAR24[270];
else if (VAR255) VAR187 <= 1'b0;
assign VAR151 = VAR187[0];
always @(posedge VAR174)
if (VAR70) VAR187 <= {1'b0, VAR24[270], 1'b0};
else if (VAR255) VAR187 <= VAR187 - |VAR187;
assign VAR151 = |VAR187;
always @(posedge VAR174)
if (VAR70) VAR187 <= {VAR24[270], 2'b0};
else if (VAR255) VAR187 <= VAR187 - |VAR187;
assign VAR151 = |VAR187;
assign VAR172 = VAR24[269];
assign VAR245 = VAR24[80];
assign VAR127 = VAR24[270];
assign VAR248 = VAR24[172] & VAR24[79];
assign VAR184 = VAR24[80] ? VAR24[83:81] : VAR178;
assign VAR168 = VAR24[80] ? VAR24[87:84] : VAR153;
assign VAR144 = VAR24[80] ? VAR24[90:88] : VAR176;
endmodule | gpl-3.0 |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/pcieCore/source/pcieCore_pipe_drp.v | 38,878 | module MODULE1 #
(
parameter VAR49 = "VAR139", parameter VAR170 = "3.0", parameter VAR30 = "VAR50", parameter VAR14 = "VAR154", parameter VAR34 = "VAR161", parameter VAR108 = "VAR50", parameter VAR99 = "VAR161", parameter VAR78 = 0, parameter VAR142 = 0, parameter VAR88 = 2'd1, parameter VAR131 = 5'd21
)
(
input VAR55,
input VAR98,
input VAR18,
input [ 1:0] VAR2,
input VAR68,
input VAR194,
input VAR7,
input [15:0] VAR33,
input VAR11,
output [ 8:0] VAR190,
output VAR93,
output [15:0] VAR124,
output VAR138,
output VAR4,
output [ 2:0] VAR130
);
reg VAR120;
reg [ 1:0] VAR183;
reg VAR193;
reg VAR85;
reg VAR177;
reg [15:0] VAR119;
reg VAR133;
reg VAR195;
reg [ 1:0] VAR84;
reg VAR136;
reg VAR91;
reg VAR180;
reg [15:0] VAR134;
reg VAR110;
reg [ 1:0] VAR94 = 2'd0;
reg [ 4:0] VAR150 = 5'd0;
reg VAR44 = 1'd0;
reg [ 8:0] VAR5 = 9'd0;
reg [15:0] VAR148 = 16'd0;
reg VAR163 = 1'd0;
reg [ 2:0] fsm = 0;
localparam VAR112 = 9'h06F;
localparam VAR191 = 9'h088;
localparam VAR102 = 9'h088;
localparam VAR149 = 9'h06B;
localparam VAR122 = 9'h06B;
localparam VAR65 = 9'h011;
localparam VAR56 = 9'h011;
localparam VAR199 = 9'h01C;
localparam VAR64 = 9'h09D;
localparam VAR42 = 9'h059;
localparam VAR3 = 9'h059;
localparam VAR77 = 9'h044;
localparam VAR113 = 9'h019;
localparam VAR123 = 9'h0A7;
localparam VAR46 = 9'h01E;
localparam VAR29 = 9'h099;
localparam VAR48 = 9'h09A;
localparam VAR36 = 9'h0A8;
localparam VAR90 = 9'h0A9;
localparam VAR80 = 9'h0AA;
localparam VAR53 = 9'h0AB;
localparam VAR169 = 9'h0AC;
localparam VAR174 = 9'h0AD;
localparam VAR39 = 16'b1111111111111001; localparam VAR17 = 16'b1111111110001111; localparam VAR43 = 16'b1111111111111000; localparam VAR128 = 16'b1111111111111000; localparam VAR107 = 16'b1111111111101111; localparam VAR187 = 16'b1100011111111111; localparam VAR100 = 16'b1111011111111111; localparam VAR137 = 16'b1011111111111111; localparam VAR96 = 16'b1011111111111111; localparam VAR82 = 16'b1111111111111101; localparam VAR1 = 16'b1111111101111111; localparam VAR95 = 16'b1111111110111111; localparam VAR76 = 16'b1011111111111111; localparam VAR168 = 16'b1111111111100000; localparam VAR51 = 16'b1111011111111111; localparam VAR35 = 16'b1011111111111111; localparam VAR186 = 16'b0000000000000000; localparam VAR15 = 16'b0000000000000000; localparam VAR9 = 16'b0000000000000000; localparam VAR63 = 16'b0000000000000000; localparam VAR66 = 16'b0000000000000000; localparam VAR111 = 16'b0000000000000000; localparam VAR151 = 16'b1111111100000000; localparam VAR196 = 16'b0000000000000000; localparam VAR141 = 16'b1111111111111111; localparam VAR83 = 16'b1111111111111000;
localparam VAR87 = (VAR14 == "VAR19") ? 16'b0000000000100000 : 16'b0000000000010000; localparam VAR20 = (VAR14 == "VAR19") ? 16'b0000000000000010 : 16'b0000000000000001; localparam VAR118 = 16'b0000000000000011; localparam VAR200 = 16'b0000000000000000; localparam VAR62 = 16'b0001100000000000; localparam VAR121 = 16'b0000000000000000; localparam VAR173 = 16'b0100000000000000; localparam VAR206 = 16'b0000000000000010; localparam VAR101 = 16'b0000000000000000; localparam VAR117 = 16'b0000000000000000; localparam VAR31 = 16'b0100000000000000; localparam VAR69 = 16'b0000000000000001; localparam VAR92 = 16'b0000100000000000; localparam VAR106 = 16'b0100000000000000; localparam VAR116 = 16'b1000010010000000; localparam VAR188 = 16'b0000000000000001; localparam VAR132 = 16'b0000000000001000; localparam VAR197 = 16'b0000000000000000; localparam VAR70 = 16'h0020; localparam VAR104 = 16'h1020; localparam VAR72 = 16'h23FF; localparam VAR140 = 16'h0000; localparam VAR165 = 16'h8000; localparam VAR115 = 16'h0003; localparam VAR21 = 16'h0000; localparam VAR189 = 16'h0018; localparam VAR143 = 16'h8018; localparam VAR41 = 16'hC208; localparam VAR176 = 16'h2000; localparam VAR61 = 16'h07FE; localparam VAR22 = 16'h0020; localparam VAR146 = 16'h0000;
localparam VAR178 = 16'b0000000000000000; localparam VAR125 = 16'b0000000000000000; localparam VAR204 = 16'b0000000000000100; localparam VAR24 = 16'b0000000000010000; localparam VAR156 = 16'b0010000000000000; localparam VAR60 = 16'b0100000000000000; localparam VAR129 = 16'b0000000000000000; localparam VAR6 = 16'b0000000000000000; localparam VAR59 = 16'b0000000010000000; localparam VAR145 = 16'b0000000001000000; localparam VAR155 = 16'b0000000000000000; localparam VAR12 = 16'b0000000000000010; localparam VAR147 = 16'b0000000000000000; localparam VAR75 = 16'b0000000000000000; localparam VAR198 = 16'b0111000010000000; localparam VAR40 = 16'b0000000000011110; localparam VAR79 = 16'b0000000000001000; localparam VAR202 = 16'b0000000000000000; localparam VAR172 = 16'h0080; localparam VAR97 = 16'h1010; localparam VAR109 = 16'h0BFF; localparam VAR71 = 16'h0000; localparam VAR16 = 16'h8000; localparam VAR26 = 16'h000B; localparam VAR205 = 16'h0000; localparam VAR179 = 16'h0018; localparam VAR166 = 16'h8018; localparam VAR175 = 16'hC848; localparam VAR158 = 16'h1000; localparam VAR10 = 16'h07FE; localparam VAR58 = 16'h0FFE; localparam VAR162 = 16'h0010; localparam VAR144 = 16'h0000; localparam VAR67 = 16'h0002;
localparam VAR73 = 16'b0000000000000000; localparam VAR38 = 16'b0000000000000010; localparam VAR57 = 16'b0000000000000100;
localparam VAR13 = 16'b0000000000000000;
localparam VAR105 = 16'b0000100000000000;
wire [15:0] VAR127;
wire [15:0] VAR103;
wire [15:0] VAR126;
wire [15:0] VAR81;
wire [15:0] VAR164;
wire [15:0] VAR159;
wire [15:0] VAR25;
wire [15:0] VAR181;
wire [15:0] VAR184;
wire [15:0] VAR157;
wire [15:0] VAR37;
wire [15:0] VAR135;
wire [15:0] VAR182;
wire [15:0] VAR86;
wire [15:0] VAR201;
wire [15:0] VAR171;
wire [15:0] VAR28;
wire [15:0] VAR74;
wire [15:0] VAR52;
wire [15:0] VAR185;
wire [15:0] VAR152;
wire [15:0] VAR27;
wire [15:0] VAR167;
wire [15:0] VAR114;
wire [15:0] VAR153;
wire [15:0] VAR23;
wire [15:0] VAR54;
localparam VAR45 = 0;
localparam VAR8 = 1;
localparam VAR192 = 2;
localparam VAR160 = 3;
localparam VAR89 = 4;
localparam VAR203 = 5;
localparam VAR47 = 6;
always @ (posedge VAR55)
begin
if (!VAR98)
begin
VAR120 <= 1'd0;
VAR183 <= 2'd0;
VAR193 <= 1'd0;
VAR85 <= 1'd0;
VAR119 <= 16'd0;
VAR133 <= 1'd0;
VAR177 <= 1'd0;
VAR195 <= 1'd0;
VAR84 <= 2'd0;
VAR136 <= 1'd0;
VAR91 <= 1'd0;
VAR134 <= 16'd0;
VAR110 <= 1'd0;
VAR180 <= 1'd0;
end
else
begin
VAR120 <= VAR18;
VAR183 <= VAR2;
VAR193 <= VAR68;
VAR85 <= VAR194;
VAR119 <= VAR33;
VAR133 <= VAR11;
VAR177 <= VAR7;
VAR195 <= VAR120;
VAR84 <= VAR183;
VAR136 <= VAR193;
VAR91 <= VAR85;
VAR134 <= VAR119;
VAR110 <= VAR133;
VAR180 <= VAR177;
end
end
assign VAR127 = (VAR84 == 2'd2) ? VAR178 : VAR87;
assign VAR103 = (VAR84 == 2'd2) ? VAR125 : VAR20;
assign VAR126 = (VAR84 == 2'd2) ? VAR204 : VAR118;
assign VAR81 = (VAR84 == 2'd2) ? VAR24 : VAR200;
assign VAR164 = (VAR84 == 2'd2) ? VAR156 : VAR62;
assign VAR159 = (VAR84 == 2'd2) ? VAR60 : VAR121;
assign VAR25 = ((VAR84 == 2'd2) || (VAR108 == "VAR50")) ? VAR129 : VAR173;
assign VAR181 = ((VAR84 == 2'd2) && (VAR99 == "VAR50")) ? VAR6 : VAR206;
assign VAR184 = ((VAR84 == 2'd2) || (VAR108 == "VAR50")) ? VAR59 : VAR101;
assign VAR157 = ((VAR84 == 2'd2) && (VAR99 == "VAR50")) ? VAR145 : VAR117;
assign VAR37 = (VAR84 == 2'd2) ? VAR155 : VAR31;
assign VAR135 = (VAR84 == 2'd2) ? VAR12 : VAR69;
assign VAR182 = (VAR84 == 2'd2) ? VAR147 : VAR92;
assign VAR86 = (VAR84 == 2'd2) ? VAR75 : VAR106;
assign VAR201 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? VAR79 : VAR198) :
((VAR49 == "VAR32") ? VAR132 : VAR116);
assign VAR171 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? VAR202 : VAR40) :
((VAR49 == "VAR32") ? VAR197 : VAR188);
assign VAR28 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? ((VAR30 == "VAR161") ? VAR166 : VAR179) : VAR172) :
((VAR49 == "VAR32") ? ((VAR30 == "VAR161") ? VAR143 : VAR189) : VAR70);
assign VAR74 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? VAR175 : VAR97) :
((VAR49 == "VAR32") ? VAR41 : VAR104);
assign VAR52 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? VAR158 : VAR109) :
((VAR49 == "VAR32") ? VAR176 : VAR72);
assign VAR185 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? ((VAR34 == "VAR161") ? VAR58 : VAR10) : ((VAR30 == "VAR161") ? VAR16 : VAR71)) :
((VAR49 == "VAR32") ? VAR61 : ((VAR30 == "VAR161") ? VAR16 : VAR71));
assign VAR152 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? VAR162 : VAR26) :
((VAR49 == "VAR32") ? VAR22 : VAR115);
assign VAR27 = (VAR84 == 2'd2) ? ((VAR49 == "VAR32") ? ((VAR34 == "VAR161") ? VAR67 : VAR144) : VAR205) :
((VAR49 == "VAR32") ? VAR146 : VAR21);
assign VAR167 = VAR73;
assign VAR114 = VAR78 ? VAR73 : VAR38;
assign VAR153 = VAR142 ? VAR73 : VAR57;
assign VAR23 = VAR114 | VAR153;
assign VAR54 = VAR91 ? VAR13 : VAR105;
always @ (posedge VAR55)
begin
if (!VAR98)
VAR94 <= 2'd0;
end
else
if ((fsm == VAR8) && (VAR94 < VAR88))
VAR94 <= VAR94 + 2'd1;
else if ((fsm == VAR8) && (VAR94 == VAR88))
VAR94 <= VAR94;
else
VAR94 <= 2'd0;
end
always @ (posedge VAR55)
begin
if (!VAR98)
begin
VAR5 <= 9'd0;
VAR148 <= 16'd0;
end
else
begin
case (VAR150)
5'd0:
begin
VAR5 <= VAR44 ? VAR112 :
VAR136 ? VAR65 : VAR191;
VAR148 <= VAR44 ? ((VAR134 & VAR39) | VAR167) :
VAR136 ? ((VAR134 & VAR100) | VAR54) :
((VAR134 & VAR17) | VAR127);
end
5'd1:
begin
VAR5 <= VAR44 ? VAR112 : VAR102;
VAR148 <= VAR44 ? ((VAR134 & VAR39) | VAR23) :
((VAR134 & VAR43) | VAR103);
end
5'd2 :
begin
VAR5 <= VAR149;
VAR148 <= (VAR134 & VAR128) | VAR126;
end
5'd3 :
begin
VAR5 <= VAR122;
VAR148 <= (VAR134 & VAR107) | VAR81;
end
5'd4 :
begin
VAR5 <= VAR65;
VAR148 <= (VAR134 & VAR187) | VAR164;
end
5'd5 :
begin
VAR5 <= VAR56;
VAR148 <= (VAR134 & VAR137) | VAR159;
end
5'd6 :
begin
VAR5 <= VAR199;
VAR148 <= (VAR134 & VAR96) | VAR25;
end
5'd7 :
begin
VAR5 <= VAR64;
VAR148 <= (VAR134 & VAR82) | VAR181;
end
5'd8 :
begin
VAR5 <= VAR42;
VAR148 <= (VAR134 & VAR1) | VAR184;
end
5'd9 :
begin
VAR5 <= VAR3;
VAR148 <= (VAR134 & VAR95) | VAR157;
end
5'd10 :
begin
VAR5 <= VAR77;
VAR148 <= (VAR134 & VAR76) | VAR37;
end
5'd11 :
begin
VAR5 <= VAR113;
VAR148 <= (VAR134 & VAR168) | VAR135;
end
5'd12 :
begin
VAR5 <= VAR123;
VAR148 <= (VAR134 & VAR51) | VAR182;
end
5'd13 :
begin
VAR5 <= VAR46;
VAR148 <= (VAR134 & VAR35) | VAR86;
end
5'd14 :
begin
VAR5 <= VAR29;
VAR148 <= (VAR134 & VAR186) | VAR201;
end
5'd15 :
begin
VAR5 <= VAR48;
VAR148 <= (VAR134 & VAR15) | VAR171;
end
5'd16 :
begin
VAR5 <= VAR36;
VAR148 <= (VAR134 & VAR9) | VAR28;
end
5'd17 :
begin
VAR5 <= VAR90;
VAR148 <= (VAR134 & VAR63) | VAR74;
end
5'd18 :
begin
VAR5 <= VAR80;
VAR148 <= (VAR134 & VAR66) | VAR52;
end
5'd19 :
begin
VAR5 <= VAR53;
VAR148 <= (VAR134 & VAR111) | VAR185;
end
5'd20 :
begin
VAR5 <= VAR169;
VAR148 <= (VAR134 & ((VAR49 == "VAR32") ? VAR196 : VAR151)) | VAR152;
end
5'd21 :
begin
VAR5 <= VAR174;
VAR148 <= (VAR134 & ((VAR49 == "VAR32") ? VAR83 : VAR141)) | VAR27;
end
default :
begin
VAR5 <= 9'd0;
VAR148 <= 16'd0;
end
endcase
end
end
always @ (posedge VAR55)
begin
if (!VAR98)
begin
fsm <= VAR45;
VAR150 <= 5'd0;
VAR44 <= 1'd0;
VAR163 <= 1'd0;
end
else
begin
case (fsm)
VAR45 :
begin
if (VAR180)
begin
fsm <= VAR8;
VAR150 <= 5'd0;
VAR44 <= 1'd0;
VAR163 <= 1'd0;
end
else if ((VAR195 && !VAR120) && ((VAR78 == 0) || (VAR142 == 0)) && (VAR170 == "1.0"))
begin
fsm <= VAR8;
VAR150 <= 5'd0;
VAR44 <= 1'd1;
VAR163 <= 1'd0;
end
else
begin
fsm <= VAR45;
VAR150 <= 5'd0;
VAR44 <= 1'd0;
VAR163 <= 1'd1;
end
end
VAR8 :
begin
fsm <= (VAR94 == VAR88) ? VAR192 : VAR8;
VAR150 <= VAR150;
VAR44 <= VAR44;
VAR163 <= 1'd0;
end
VAR192 :
begin
fsm <= VAR160;
VAR150 <= VAR150;
VAR44 <= VAR44;
VAR163 <= 1'd0;
end
VAR160 :
begin
fsm <= VAR110 ? VAR89 : VAR160;
VAR150 <= VAR150;
VAR44 <= VAR44;
VAR163 <= 1'd0;
end
VAR89 :
begin
fsm <= VAR203;
VAR150 <= VAR150;
VAR44 <= VAR44;
VAR163 <= 1'd0;
end
VAR203 :
begin
fsm <= VAR110 ? VAR47 : VAR203;
VAR150 <= VAR150;
VAR44 <= VAR44;
VAR163 <= 1'd0;
end
VAR47 :
begin
if ((VAR150 == VAR131) || (VAR44 && (VAR150 == 5'd1)) || (VAR136 && (VAR150 == 5'd0)))
begin
fsm <= VAR45;
VAR150 <= 5'd0;
VAR44 <= 1'd0;
VAR163 <= 1'd0;
end
else
begin
fsm <= VAR8;
VAR150 <= VAR150 + 5'd1;
VAR44 <= VAR44;
VAR163 <= 1'd0;
end
end
default :
begin
fsm <= VAR45;
VAR150 <= 5'd0;
VAR44 <= 1'd0;
VAR163 <= 1'd0;
end
endcase
end
end
assign VAR190 = VAR5;
assign VAR93 = (fsm == VAR192) || (fsm == VAR89);
assign VAR124 = VAR148;
assign VAR138 = (fsm == VAR89);
assign VAR4 = VAR163;
assign VAR130 = fsm;
endmodule | gpl-2.0 |
tmatsuya/milkymist-ml401 | cores/hpdmc_ddr32/rtl/hpdmc_banktimer.v | 1,552 | module MODULE1(
input VAR3,
input VAR4,
input VAR5,
input [1:0] VAR2,
input read,
input write,
output reg VAR1
);
reg [2:0] counter;
always @(posedge VAR3) begin
if(VAR4) begin
counter <= 3'd0;
VAR1 <= 1'b1;
end else begin
if(read) begin
counter <= 3'd4;
VAR1 <= 1'b0;
end else if(write) begin
counter <= {1'b1, VAR2};
VAR1 <= 1'b0;
end else begin
if(counter == 3'b1)
VAR1 <= 1'b1;
if(~VAR1)
counter <= counter - 3'b1;
end
end
end
endmodule | lgpl-3.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-digilent-nexys4/project_1/project_1.srcs/sources_1/imports/sources/usbf/usbf_pd.v | 15,824 | module MODULE1( clk, rst,
VAR73, VAR12, VAR42, VAR15,
VAR48, VAR5, VAR6, VAR7,
VAR29, VAR55, VAR10, VAR18,
VAR30, VAR71, VAR26, VAR36,
VAR57, VAR87, VAR86, VAR84,
VAR81,
VAR39, VAR11, VAR66, VAR83,
VAR34,
VAR23, VAR65, VAR8, VAR9,
VAR67
);
input clk, rst;
input [7:0] VAR73;
input VAR12, VAR42, VAR15;
output VAR48, VAR5, VAR6, VAR7;
output VAR29, VAR55, VAR10, VAR18;
output VAR30, VAR71, VAR26, VAR36;
output VAR57, VAR87, VAR86, VAR84;
output VAR81;
output [6:0] VAR39; output [3:0] VAR11; output VAR66; output VAR83; output [10:0] VAR34;
output [7:0] VAR23; output VAR65; output VAR8; output VAR9;
output VAR67;
parameter [3:0]
VAR41 = 4'b0001,
VAR68 = 4'b0010,
VAR69 = 4'b0100,
VAR37 = 4'b1000;
reg [3:0] state, VAR80;
reg [7:0] VAR17; reg VAR85; wire VAR16; wire VAR81;
wire VAR48, VAR5, VAR6, VAR7;
wire VAR29, VAR55, VAR10, VAR18;
wire VAR30, VAR71, VAR26, VAR36;
wire VAR57, VAR87, VAR86, VAR84, VAR13;
wire VAR61; wire VAR45;
reg [7:0] VAR50, VAR46; reg VAR51, VAR60; wire [4:0] VAR70;
reg [7:0] VAR21, VAR54, VAR1; reg VAR76; reg VAR22; reg VAR74; reg VAR43;
reg VAR40;
reg VAR67;
reg VAR27;
reg VAR64;
reg VAR78;
reg VAR33;
wire [4:0] VAR38;
wire [4:0] VAR75;
wire VAR19;
reg [15:0] VAR63;
wire [15:0] VAR82;
assign VAR16 = VAR85 & VAR42 & VAR12;
always @(posedge clk or negedge rst)
always @(posedge clk)
if(rst) VAR17 <= 8'hf0;
else
if(VAR16) VAR17 <= VAR73;
assign VAR81 = (VAR17[3:0] != ~VAR17[7:4]);
assign VAR48 = VAR17[3:0] == VAR56;
assign VAR5 = VAR17[3:0] == VAR59;
assign VAR6 = VAR17[3:0] == VAR52;
assign VAR7 = VAR17[3:0] == VAR14;
assign VAR29 = VAR17[3:0] == VAR62;
assign VAR55 = VAR17[3:0] == VAR31;
assign VAR10 = VAR17[3:0] == VAR2;
assign VAR18 = VAR17[3:0] == VAR77;
assign VAR30 = VAR17[3:0] == VAR20;
assign VAR71 = VAR17[3:0] == VAR72;
assign VAR26 = VAR17[3:0] == VAR47;
assign VAR36 = VAR17[3:0] == VAR79;
assign VAR57 = VAR17[3:0] == VAR53;
assign VAR87 = VAR17[3:0] == VAR28;
assign VAR86 = VAR17[3:0] == VAR25;
assign VAR84 = VAR17[3:0] == VAR24;
assign VAR13 = VAR17[3:0] == VAR3;
assign VAR61 = VAR48 | VAR5 | VAR6 | VAR7 | VAR84;
assign VAR45 = VAR29 | VAR55 | VAR10 | VAR18;
always @(posedge clk)
if(VAR51) VAR50 <= VAR73;
always @(posedge clk)
if(VAR60) VAR46 <= VAR73;
always @(posedge clk)
VAR64 <= VAR60;
always @(posedge clk)
VAR78 <= VAR64 | VAR27;
assign VAR66 = VAR78;
assign VAR83 = VAR66 & (VAR75 != VAR70);
VAR32 VAR4(
.VAR49( 5'h1f ),
.din( { VAR39[0],
VAR39[1],
VAR39[2],
VAR39[3],
VAR39[4],
VAR39[5],
VAR39[6],
VAR11[0],
VAR11[1],
VAR11[2],
VAR11[3] } ),
.VAR58( VAR38 ) );
assign VAR75 = ~{VAR38[0], VAR38[1], VAR38[2], VAR38[3],
VAR38[4]};
assign VAR34 = { VAR46[2:0], VAR50};
assign VAR39 = VAR50[6:0];
assign VAR11 = {VAR46[2:0], VAR50[7]};
assign VAR70 = VAR46[7:3];
always @(posedge clk or negedge rst)
always @(posedge clk)
if(rst) VAR43 <= 1'b0;
else
if(VAR76) VAR43 <= 1'b1;
else
if(VAR22) VAR43 <= 1'b0;
always @(posedge clk or negedge rst)
always @(posedge clk)
if(rst) VAR40 <= 1'b0;
else
if(VAR43 && VAR76)VAR40 <= 1'b1;
else
if(VAR22) VAR40 <= 1'b0;
always @(posedge clk)
VAR74 <= VAR40 & VAR76;
always @(posedge clk)
begin
if(VAR76) VAR21 <= VAR73;
if(VAR76) VAR54 <= VAR21;
if(VAR76) VAR1 <= VAR54;
end
assign VAR23 = VAR1;
assign VAR65 = VAR74;
assign VAR8 = VAR22;
always @(posedge clk)
VAR33 <= VAR42;
assign VAR19 = VAR42 & !VAR33;
always @(posedge clk)
if(VAR19) VAR63 <= 16'hffff;
else
if(VAR76) VAR63 <= VAR82;
VAR35 VAR44(
.VAR49( VAR63 ),
.din( {VAR73[0], VAR73[1], VAR73[2], VAR73[3],
VAR73[4], VAR73[5], VAR73[6], VAR73[7]} ),
.VAR58( VAR82 ) );
assign VAR9 = VAR22 & (VAR63 != 16'h800d);
always @(posedge clk or negedge rst)
always @(posedge clk)
if(rst) state <= VAR41;
else state <= VAR80;
always @(state or VAR12 or VAR42 or VAR15 or VAR30 or VAR61
or VAR45)
begin
VAR80 = state; VAR85 = 1'b0;
VAR51 = 1'b0;
VAR60 = 1'b0;
VAR76 = 1'b0;
VAR22 = 1'b0;
VAR67 = 1'b0;
VAR27 = 1'b0;
case(state) VAR41:
begin
VAR85 = 1'b1;
if(VAR12 && VAR42) VAR80 = VAR68;
end
VAR68:
begin
if(VAR30 && !VAR15)
begin
VAR27 = 1'b1;
if(!VAR42) VAR80 = VAR41;
end
else
if(VAR61 && VAR12 && VAR42 && !VAR15)
begin
VAR51 = 1'b1;
VAR80 = VAR69;
end
else
if(VAR45 && VAR12 && VAR42 && !VAR15)
begin
VAR76 = 1'b1;
VAR80 = VAR37;
end
else
if( !VAR42 || VAR15 ||
(VAR12 && !(VAR61 || VAR45)) )
begin
VAR67 = !VAR15;
if(!VAR42) VAR80 = VAR41;
end
end
VAR69:
begin
if(VAR12 && VAR42 && !VAR15)
begin
VAR60 = 1'b1;
VAR80 = VAR41;
end
else
if(!VAR42 || VAR15)
begin
VAR67 = !VAR15;
if(!VAR42) VAR80 = VAR41;
end
end
VAR37:
begin
if(VAR12 && VAR42 && !VAR15) VAR76 = 1'b1;
if(!VAR42 || VAR15)
begin
VAR22 = 1'b1;
if(!VAR42) VAR80 = VAR41;
end
end
endcase
end
endmodule | gpl-2.0 |
zhangly/azpr_cpu | rtl/cpu/rtl/if_reg.v | 2,142 | module MODULE1 (
input wire clk, input wire reset,
input wire [VAR17] VAR13,
input wire VAR4, input wire VAR1, input wire [VAR7] VAR12, input wire VAR9, input wire [VAR7] VAR5,
output reg [VAR7] VAR6, output reg [VAR17] VAR16, output reg VAR2 );
always @(posedge clk or VAR14 reset) begin
if (reset == VAR11) begin
VAR6 <= VAR3;
VAR16 <= VAR15;
VAR2 <= VAR10;
end else begin
if (VAR4 == VAR10) begin
if (VAR1 == VAR8) begin VAR6 <= VAR12;
VAR16 <= VAR15;
VAR2 <= VAR10;
end else if (VAR9 == VAR8) begin VAR6 <= VAR5;
VAR16 <= VAR13;
VAR2 <= VAR8;
end else begin VAR6 <= VAR6 + 1'd1;
VAR16 <= VAR13;
VAR2 <= VAR8;
end
end
end
end
endmodule | mit |
SymbiFlow/yosys | techlibs/intel/common/brams_map_m9k.v | 4,319 | module \VAR39 (VAR30, VAR73, VAR7, VAR38, VAR36, VAR44, VAR56, VAR5);
parameter VAR41 = 8;
parameter VAR66 = 36;
parameter VAR19 = 1;
parameter VAR3 = 1;
parameter VAR64 = 1;
parameter VAR1 = 1;
input VAR30;
input VAR73;
output [VAR66-1:0] VAR38;
input [VAR41-1:0] VAR7;
input VAR36;
output [VAR66-1:0] VAR56;
input [VAR41-1:0] VAR44;
input VAR5;
wire [VAR66-1:0] VAR10;
localparam VAR60 = VAR66 == 1 ? 1:
VAR66 == 2 ? 2:
VAR66 == 4 ? 3:
VAR66 == 8 ? 4:
VAR66 == 9 ? 5:
VAR66 == 16 ? 6:
VAR66 == 18 ? 7:
VAR66 == 32 ? 8:
VAR66 == 36 ? 9:
'VAR25;
localparam VAR22 = VAR66 == 1 ? 8192:
VAR66 == 2 ? 4096:
VAR66 == 4 ? 2048:
VAR66 == 8 ? 1024:
VAR66 == 9 ? 1024:
VAR66 == 16 ? 512:
VAR66 == 18 ? 512:
VAR66 == 32 ? 256:
VAR66 == 36 ? 256:
'VAR25;
VAR33 #(.VAR58 ("VAR34" ),
.VAR67 ("VAR34" ),
.VAR70 ("VAR50" ),
.VAR51 ("VAR50" ),
.VAR27 ("VAR40" ),
.VAR62 ("VAR40" ),
.VAR6 ("VAR40" ),
.VAR28 ("VAR40" ),
.VAR77 ("VAR23"),
.VAR69 ("VAR47" ),
.VAR11 ("VAR35 VAR15" ),
.VAR77 ("VAR23"),
.VAR71 ("VAR33" ),
.VAR74 ("VAR75" ),
.VAR12 ("VAR46" ),
.VAR13 ("VAR21=VAR16"), .VAR20 ("VAR68"),
.VAR18 ("VAR2"), .VAR54 (1), .VAR76 ( VAR22 ),
.VAR78 ( VAR22 ),
.VAR32 ( VAR66 ),
.VAR57 ( VAR41 ),
.VAR42 ( VAR66 ),
.VAR61 ( VAR41 )
) VAR9 (
.VAR45(VAR56),
.VAR49(VAR44),
.VAR52(VAR5),
.VAR29(VAR36),
.VAR17(VAR38),
.VAR8(VAR56),
.VAR24(0),
.VAR53(1'b0),
.VAR31(1'b0),
.VAR72(),
.VAR48(VAR30),
.VAR26(1'b1), .VAR14(1'b1),
.VAR55(1'b1),
.VAR59(1'b1),
.VAR65(1'b1),
.VAR4(1'b0),
.VAR63(1'b0),
.VAR43(1'b0),
.VAR37(1'b0));
endmodule | isc |
vipinkmenon/scas | hw/fpga/source/pcie_if/pcie_7x_v1_8_gt_rx_valid_filter_7x.v | 9,131 | module MODULE1 #(
parameter VAR9 = 28,
parameter VAR11 = 1
)
(
output [1:0] VAR5,
output [15:0] VAR6,
output VAR21,
output VAR3,
output [ 2:0] VAR30,
output VAR43,
input [1:0] VAR41,
input [15:0] VAR45,
input VAR14,
input VAR15,
input [ 2:0] VAR19,
input VAR31,
input VAR38,
input VAR18,
input VAR44,
input VAR2
);
localparam VAR46 = 5'b00001;
localparam VAR26 = 5'b00010;
localparam VAR33 = 5'b00100;
localparam VAR17 = 5'b01000;
localparam VAR28 = 5'b10000;
localparam VAR22 = 8'hBC;
localparam VAR32 = 8'h7C;
localparam VAR42 = 8'hBC;
localparam VAR20 = 8'h3C;
reg [4:0] VAR35;
wire [4:0] VAR1;
reg VAR40;
wire VAR4;
reg VAR12;
wire VAR37;
localparam VAR25 = 4'b0001;
localparam VAR16 = 4'b0010;
localparam VAR27 = 4'b0100;
localparam VAR36 = 4'b1000;
reg [1:0] VAR8;
reg [15:0] VAR23;
reg VAR7;
reg VAR10;
reg [ 2:0] VAR34;
reg VAR13;
reg VAR24;
reg VAR29;
always @(posedge VAR44) begin
if (VAR2) begin
end else begin
if(((VAR35 == 5'b10000)) && (VAR38)
) begin
end
else if (VAR15 && !VAR7) begin
end
else begin
VAR7 <= VAR14;
end
if (VAR7) begin
end
else if (!VAR7 && VAR38) begin
end
else begin
end
if (VAR41[0] && VAR45[7:0] == VAR20)
end
else
if (VAR41[1] && VAR45[15:8] == VAR20)
end
else
case ( VAR1 )
VAR46 : begin
if ((VAR8[0]) && (VAR23[7:0] == VAR22) &&
(VAR8[1]) && (VAR23[15:8] == VAR32)) begin
end else if ((VAR8[1]) && (VAR23[15:8] == VAR22))
end
else
end
VAR26 : begin
if ((VAR8[0] && (VAR23[7:0] == VAR32)) &&
(VAR8[1] && (VAR23[15:8] == VAR32)))
begin
end
else if (VAR8[0] && (VAR23[7:0] == VAR32)) begin
end
else
end
VAR33 : begin
if ((VAR8[0] && (VAR23[7:0] == VAR32)) &&
(VAR8[1] && (VAR23[15:8] == VAR32))) begin
end else
end
VAR17 : begin
if ((VAR8[0]) && (VAR23[7:0] == VAR32))
begin
end
else
end
VAR28 : begin
end
endcase
end
end
assign VAR1 = VAR35;
assign VAR4 = VAR40;
assign VAR37 = VAR12;
wire VAR39 = ~VAR2;
assign VAR21 = VAR7;
assign VAR5[0] = VAR7 ? VAR8[0] : 1'b0;
assign VAR5[1] = (VAR7 && !VAR37) ? VAR8[1] : 1'b0;
assign VAR6[7:0] = VAR23[7:0];
assign VAR6[15:8] = VAR23[15:8];
assign VAR30 = VAR34;
assign VAR43 = VAR13;
assign VAR3 = VAR10;
endmodule | mit |
gigglesninja/digital-system-design | newuart/uart.v | 2,867 | module MODULE1(
input clk,
input reset,
input VAR27,
output VAR25,
output [7:0] VAR22
);
wire [7:0] VAR2;
wire [1:0] VAR25;
reg [2:0] addr, VAR11, VAR18;
reg [1:0] VAR33;
reg [3:0] din;
reg [7:0] VAR35, VAR10;
reg VAR28, VAR24, VAR17, VAR16, VAR12, VAR5;
VAR38 #(.VAR9(8'h0C)) VAR6(
.clk (clk),
.reset (reset),
.VAR23 (VAR28),
.VAR32 (VAR24),
.din (1'b1),
.dout (VAR2),
.VAR27 (VAR27),
.addr (addr)
);
VAR4 #(.VAR9(8'h0C)) VAR14(
.clk (clk),
.reset (reset),
.VAR23 (VAR17),
.VAR32 (VAR16),
.din (VAR35),
.dout (VAR25),
.VAR25 (VAR25),
.addr (addr)
);
assign VAR22 = VAR10;
always @* begin
addr = 3'b010;
case(VAR33)
addr = VAR26;
addr = VAR29;
addr = VAR34;
addr = VAR15;
endcase
end
always @(posedge clk or posedge reset) begin
if(reset) begin
VAR35 <= 8'b11111111;
VAR10 <= 8'b11111111;
end
else begin
if(VAR12)
VAR35 <= VAR2 + 1;
if(VAR5) begin
VAR35 <= 8'b00000000;
VAR10 <= VAR35;
end
end
end
always @(posedge clk or posedge reset) begin
if(reset)
VAR11 <= VAR39;
end
else begin
VAR11 <= VAR18;
end
end
always @* begin
VAR28 = 0; VAR24 = 0;
VAR17 = 0; VAR16 = 0;
VAR12 = 0; VAR5 = 0;
VAR33 = VAR8;
VAR18 = VAR11;
case(VAR11)
VAR28 = 1;
VAR18 = VAR19;
end
VAR24 = 1;
if(VAR36)
VAR18 = VAR7;
end
VAR24 = 1;
VAR33 = VAR41;
VAR12 = 1;
VAR18 = VAR31;
end
VAR16 = 1;
VAR33 = VAR37;
if(~VAR40)
VAR18 = VAR3;
end
VAR17 = 1;
VAR33 = VAR30;
VAR18 = VAR13;
end
VAR16 = 1;
VAR33 = VAR37;
if(VAR21) begin
VAR5 = 1;
VAR18 = VAR20;
end
end
VAR17 = 1;
VAR33 = VAR37;
VAR18 = VAR19;
end
VAR18 = VAR1;
end
endcase
end
endmodule | gpl-2.0 |
EmbeddedANT/XILINX_Spartan3AN-StarterKit | Spartan3AN_PicoBlaze_Leds/picoblze/embedded_kcpsm3.v | 4,140 | module MODULE1(
VAR6,
VAR5,
VAR3,
VAR4,
VAR7,
interrupt,
VAR2,
reset,
clk);
output[7:0] VAR6;
output VAR5;
output VAR3;
output[7:0] VAR4;
input[7:0] VAR7;
input interrupt;
output VAR2;
input reset;
input clk;
wire [7:0] VAR6;
wire VAR5;
wire VAR3;
wire [7:0] VAR4;
wire [7:0] VAR7;
wire interrupt;
wire VAR2;
wire reset;
wire clk;
wire [9:0] address;
wire [17:0] VAR1;
VAR8 VAR10
( .address(address),
.VAR1(VAR1),
.VAR6(VAR6),
.VAR5(VAR5),
.VAR4(VAR4),
.VAR3(VAR3),
.VAR7(VAR7),
.interrupt(interrupt),
.VAR2(VAR2),
.reset(reset),
.clk(clk));
VAR9 program
( .address(address),
.VAR1(VAR1),
.clk(clk));
endmodule | gpl-3.0 |
google/skywater-pdk-libs-sky130_fd_sc_hd | cells/nor3b/sky130_fd_sc_hd__nor3b.pp.blackbox.v | 1,348 | module MODULE1 (
VAR8 ,
VAR1 ,
VAR3 ,
VAR5 ,
VAR4,
VAR7,
VAR2 ,
VAR6
);
output VAR8 ;
input VAR1 ;
input VAR3 ;
input VAR5 ;
input VAR4;
input VAR7;
input VAR2 ;
input VAR6 ;
endmodule | apache-2.0 |
andygikling/BBot | Source Code/BBotFPGA/BBot HDL Source Code/BBotFPGA_TopLevel.v | 18,824 | module MODULE1(
input VAR4,
input VAR71,
output VAR23,
output VAR170,
output VAR95,
output VAR112,
output VAR51,
output VAR117,
output VAR21,
output VAR149,
input VAR12,
input VAR27,
input VAR141,
input VAR44,
input VAR114,
input VAR62,
input VAR172,
input VAR162,
input VAR50, output VAR96, input VAR32, input VAR40, input VAR43, input VAR29, output VAR118, input VAR154, output VAR87, input VAR8, output VAR123,
input VAR167, output VAR74, input VAR33, input VAR145,
input VAR46, input VAR148, input VAR13, input VAR142, output VAR45, output VAR15, output VAR19, output VAR107,
input VAR11,
input VAR89,
input VAR138,
input VAR92,
output VAR98, output VAR56, output VAR25, output VAR137,
output VAR78,
output VAR48,
output VAR18
);
parameter VAR88 = 32'd50000;
parameter VAR165 = 32'd75000;
parameter VAR70 = 32'd250;
reg[7:0] VAR168;
reg VAR66, VAR90, VAR64;
wire VAR164;
wire VAR134;
wire VAR10, VAR47, VAR79;
wire VAR39, VAR85;
wire VAR124, VAR77;
wire VAR58, VAR113;
wire VAR108, VAR60;
wire VAR103, VAR28;
wire VAR115, VAR55, VAR126, VAR2;
wire VAR73, VAR3, VAR155, VAR122;
wire[127:0]VAR132, VAR67;
reg [127:0]VAR24, VAR111;
reg VAR26;
reg [31:0]VAR102;
wire VAR83, VAR38, VAR144, VAR63;
wire VAR129, VAR34, VAR173, VAR72;
wire VAR116;
wire VAR76, VAR37;
reg[7:0] VAR146, VAR160;
reg[31:0] VAR151, VAR81;
wire VAR152;
wire VAR16, VAR100;
reg[31:0] VAR131, VAR68;
reg[31:0] VAR22, VAR158;
wire VAR153, VAR104;
wire VAR140, VAR59;
reg [31:0] VAR5, VAR82;
wire [31:0] VAR127, VAR171;
wire VAR80, VAR31;
reg VAR130;
reg [3:0] VAR121;
reg [31:0] VAR120;
reg VAR36;
always @(posedge VAR4)
begin
if (VAR134 == 0)
begin
VAR168[7:0] <= 8'h00;
VAR5[31:0] <= 32'h80000000; VAR82[31:0] <= 32'h80000000;
VAR146[7:0] <= 8'd100;
VAR160[7:0] <= 8'd100;
VAR131[31:0] <= 32'd100;
VAR68[31:0] <= 32'd100;
VAR151 <= VAR165;
VAR81 <= VAR165;
VAR22 <= VAR165;
VAR158 <= VAR165;
VAR26 <= 1'h0;
VAR102 <= 32'd0;
VAR120 <= 32'd25000000;
end
else
begin
if (VAR162 == 1'h0 && VAR172 == 1'h0 && VAR62 == 1'h0 && VAR114 == 1'h0)
begin
VAR168[5:0] <= VAR5[12:7];
VAR168[6] <= VAR153;
VAR168[7] <= VAR104;
end
else if (VAR162 == 1'h0 && VAR172 == 1'h0 && VAR62 == 1'h0 && VAR114 == 1'h1)
begin
VAR168[5:0] <= VAR82[12:7];
VAR168[6] <= VAR140;
VAR168[7] <= VAR59;
end
else if (VAR162 == 1'h0 && VAR172 == 1'h0 && VAR62 == 1'h1 && VAR114 == 1'h0)
begin
VAR168[2:0] <= {VAR79, VAR47, VAR10};
VAR168[7:3] <= 5'h0;
end
else if(VAR162 == 1'h0 && VAR172 == 1'h1 && VAR62 == 1'h1 && VAR114 == 1'h1)
begin
if (VAR120 == 32'h0)
begin
VAR168[7:0] <= ~VAR168[7:0];
VAR120 <= 32'd25000000;
end
else
begin
VAR120 <= VAR120 - 1;
end
end
else if (VAR162 == 1'h1 && VAR172 == 1'h0 && VAR62 == 1'h0 && VAR114 == 1'h0)
begin
VAR168[7:0] <= VAR82[7:0];
end
else if (VAR162 == 1'h1 && VAR172 == 1'h0 && VAR62 == 1'h0 && VAR114 == 1'h1)
begin
VAR168[7:0] <= VAR82[15:8];
end
else if (VAR162 == 1'h1 && VAR172 == 1'h0 && VAR62 == 1'h1 && VAR114 == 1'h0)
begin
VAR168[7:0] <= VAR82[23:16];
end
else if (VAR162 == 1'h1 && VAR172 == 1'h0 && VAR62 == 1'h1 && VAR114 == 1'h1)
begin
VAR168[7:0] <= VAR82[31:24];
end
else if (VAR162 == 1'h1 && VAR172 == 1'h1 && VAR62 == 1'h0 && VAR114 == 1'h0)
begin
VAR168[7:0] <= VAR5[7:0];
end
else if (VAR162 == 1'h1 && VAR172 == 1'h1 && VAR62 == 1'h0 && VAR114 == 1'h1)
begin
VAR168[7:0] <= VAR5[15:8];
end
else if (VAR162 == 1'h1 && VAR172 == 1'h1 && VAR62 == 1'h1 && VAR114 == 1'h0)
begin
VAR168[7:0] <= VAR5[23:16];
end
else if (VAR162 == 1'h1 && VAR172 == 1'h1 && VAR62 == 1'h1 && VAR114 == 1'h1)
begin
VAR168[7:0] <= VAR5[31:24];
end
if (VAR121 == 4'h0)
begin
VAR36 <= ~VAR36;
VAR121 <= 4'h3;
VAR5[31:0] <= VAR127[31:0];
VAR82[31:0] <= VAR171[31:0];
end
else
begin
VAR121 <= VAR121 - 1;
end
VAR64 <= VAR36;
if(~VAR145)
begin
VAR26 <= 1'h1;
end
else
begin
VAR26 <= 1'h0;
end
if(VAR122)
begin
VAR111[7:0] <= VAR67[127:120];
VAR111[15:8] <= VAR67[119:112];
VAR111[23:16] <= VAR67[111:104];
VAR111[31:24] <= VAR67[103:96];
VAR111[39:32] <= VAR67[95:88];
VAR111[47:40] <= VAR67[87:80];
VAR111[55:48] <= VAR67[79:72];
VAR111[63:56] <= VAR67[71:64];
VAR111[71:64] <= VAR67[63:56];
VAR111[79:72] <= VAR67[55:48];
VAR111[87:80] <= VAR67[47:40];
VAR111[95:88] <= VAR67[39:32];
VAR111[103:96] <= VAR67[31:24];
VAR111[111:104] <= VAR67[23:16];
VAR111[119:112] <= VAR67[15:8];
VAR111[127:120] <= VAR67[7:0];
VAR160[7:0] <= VAR111[15:8];
VAR146[7:0] <= VAR111[23:16];
VAR131[7:0] <= VAR111[31:24];
VAR68[7:0] <= VAR111[39:32];
VAR130 <= VAR111[41];
end
VAR151 <= VAR88 + (VAR146 * VAR70);
VAR81 <= VAR88 + (VAR160 * VAR70);
VAR22 <= VAR88 + (VAR131 * VAR70);
VAR158 <= VAR88 + (VAR68 * VAR70);
if(VAR145 == 1'h1)
begin
VAR102 <= VAR102 + 1;
end
else
begin
VAR102 <= 32'd0;
end
end
end
always @(negedge VAR145)
begin
if( VAR134 == 1)
begin
VAR24[31:0] <= VAR102[31:0];
VAR24[63:32] <= VAR5[31:0];
VAR24[95:64] <= VAR82[31:0];
VAR24[127:96] <= 32'hDEADBEEF;
end
end
assign VAR134 = VAR44 && VAR71;
assign VAR103 = VAR50; assign VAR96 = VAR28; assign VAR10 = VAR32;
assign VAR47 = VAR40;
assign VAR79 = VAR43;
assign VAR7 = 1'h0;
assign VAR164 = VAR93;
assign VAR124 = VAR29;
assign VAR118 = VAR77;
assign VAR58 = VAR154;
assign VAR87 = VAR113;
assign VAR108 = VAR8;
assign VAR123 = VAR60;
assign VAR115 = VAR167;
assign VAR74 = VAR55;
assign VAR126 = VAR33;
assign VAR2 = VAR145;
assign VAR116 = VAR111[0];
assign VAR152 = VAR111[1];
assign VAR132[7:0] = VAR24[127:120];
assign VAR132[15:8] = VAR24[119:112];
assign VAR132[23:16] = VAR24[111:104];
assign VAR132[31:24] = VAR24[103:96];
assign VAR132[39:32] = VAR24[95:88];
assign VAR132[47:40] = VAR24[87:80];
assign VAR132[55:48] = VAR24[79:72];
assign VAR132[63:56] = VAR24[71:64];
assign VAR132[71:64] = VAR24[63:56];
assign VAR132[79:72] = VAR24[55:48];
assign VAR132[87:80] = VAR24[47:40];
assign VAR132[95:88] = VAR24[39:32];
assign VAR132[103:96] = VAR24[31:24];
assign VAR132[111:104] = VAR24[23:16];
assign VAR132[119:112] = VAR24[15:8];
assign VAR132[127:120] = VAR24[7:0];
assign VAR3 = VAR26;
assign VAR144 = VAR46;
assign VAR63 = VAR148;
assign VAR38 = VAR13;
assign VAR83 = VAR142;
assign VAR45 = VAR129;
assign VAR15 = VAR34;
assign VAR19 = VAR72;
assign VAR107 = VAR173;
assign VAR34 = VAR116 ? VAR76 : VAR38;
assign VAR129 = VAR116 ? VAR37 : VAR83;
assign VAR173 = VAR152 ? VAR16 : VAR144;
assign VAR72 = VAR152 ? VAR100 : VAR63;
assign VAR153 = VAR92;
assign VAR104 = VAR138;
assign VAR140 = VAR11;
assign VAR59 = VAR89;
assign VAR23 = VAR168[0];
assign VAR170 = VAR168[1];
assign VAR95 = VAR168[2];
assign VAR112 = VAR168[3];
assign VAR51 = VAR168[4];
assign VAR117 = VAR168[5];
assign VAR21 = VAR168[6];
assign VAR149 = VAR168[7];
assign VAR98 = 1'h0;
assign VAR56 = VAR130;
assign VAR25 = 1'h0;
assign VAR137 = 1'h0;
assign VAR78 = VAR66;
assign VAR48 = VAR90;
assign VAR18 = VAR64;
VAR20 VAR101(
.VAR54(VAR4),
.VAR97(VAR134),
.VAR75({VAR79, VAR47, VAR10}),
.VAR1( ),
.VAR14( VAR7 ),
.VAR61( VAR103 ),
.VAR109( VAR108 ),
.VAR133( ),
.VAR157( VAR93 ),
.VAR128( VAR28 ),
.VAR136( VAR60 )
);
VAR156(
.VAR69(VAR4),
.VAR9(VAR2),
.VAR110(VAR115),
.VAR84(VAR126),
.VAR99(VAR55),
.VAR119(VAR73),
.VAR41(VAR132),
.VAR94(VAR3),
.VAR161(VAR52),
.VAR166(VAR122),
.VAR147(VAR67),
.VAR30(),
.VAR143(),
.VAR163(),
.VAR159(),
.VAR35()
);
VAR49 VAR42(
.clk(VAR4),
.rst(~VAR134),
.VAR139(32'd1100000),
.VAR65(VAR151),
.VAR91(1'h1),
.VAR125(VAR76)
);
VAR49 VAR6(
.clk(VAR4),
.rst(~VAR134),
.VAR139(32'd1100000),
.VAR65(VAR81),
.VAR91(1'h1),
.VAR125(VAR37)
);
VAR49 VAR86(
.clk(VAR4),
.rst(~VAR134),
.VAR139(32'd1100000),
.VAR65(VAR22),
.VAR91(1'h1),
.VAR125(VAR16)
);
VAR49 VAR150(
.clk(VAR4),
.rst(~VAR134),
.VAR139(32'd1100000),
.VAR65(VAR158),
.VAR91(1'h1),
.VAR125(VAR100)
);
VAR17 VAR105(
.VAR54(VAR36),
.VAR97(VAR134),
.VAR53(VAR153),
.VAR135(VAR104),
.VAR169(VAR127),
.VAR106(VAR80)
);
VAR17 VAR57(
.VAR54(VAR36),
.VAR97(VAR134),
.VAR53(VAR140),
.VAR135(VAR59),
.VAR169(VAR171),
.VAR106(VAR31)
);
endmodule | gpl-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_lp | cells/inputisolatch/sky130_fd_sc_lp__inputisolatch.symbol.v | 1,381 | module MODULE1 (
input VAR1 ,
output VAR6 ,
input VAR7
);
supply1 VAR5;
supply0 VAR4;
supply1 VAR2 ;
supply0 VAR3 ;
endmodule | apache-2.0 |
Apo45ty/ArquiCourseCPUVerilog | VerilogSource/ALLBUTCU/BarrelShifter.v | 4,748 | module MODULE1(input [31:0] VAR1,VAR7,VAR4,input VAR12,output VAR10,output [31:0] VAR5);
reg [31:0] VAR8,VAR11;
reg [1:0] VAR9;
always@(VAR4 or VAR7 or VAR1 or VAR12)
begin
case(VAR4[27:25])
3'b000:
begin
if(!VAR4[4]) begin
VAR8 = VAR7;
VAR11 = VAR4[11:7];
VAR9 = VAR4[6:5];
end
else
begin
VAR8 = VAR7;
VAR11 = VAR1[5:0];
VAR9 = VAR4[6:5];
end
end
3'b001:
begin
VAR8 = VAR4[7:0];
VAR11 = VAR4[11:8]*2;
VAR9 = 2'b11;
end
3'b010:
begin
VAR5 = {VAR4[11],VAR4[11],VAR4[11],VAR4[11],VAR4[11],
VAR4[11],VAR4[11],VAR4[11],VAR4[11],VAR4[11],
VAR4[11],VAR4[11],VAR4[11],VAR4[11],VAR4[11],
VAR4[11],VAR4[11],VAR4[11],VAR4[11],VAR4[11],
VAR4[11:0]};
end
3'b011:
begin
VAR8 = VAR7;
VAR11 = VAR4[11:7];
VAR9 = VAR4[6:5];
end
3'b101:
begin
VAR5 = 4*{VAR4[23],VAR4[23],VAR4[23],VAR4[23],VAR4[23],VAR4[23],VAR4[23],VAR4[23],VAR4[23],VAR4[23:0]};
end
endcase
end
reg [32:0] VAR3,VAR2;
reg [31:0] VAR5;
reg VAR10;
integer VAR6=0;
assign VAR5 = VAR5;
assign VAR10 = VAR10;
always@(*)
begin
case(VAR9)
2'b00:
begin
if(VAR11==32)
VAR5 = 0 ;
end
else if (VAR11>=33)
{VAR10,VAR5} = 0 ;
end
else begin
VAR3 = {VAR12,VAR8 };
VAR2 = 0;
for(VAR6 = 0; VAR6 <= 32 - VAR11[4:0] ;VAR6 = VAR6 + 1) begin
VAR2[32-VAR6] = VAR3[32-VAR6-VAR11[4:0] ] ;
end
{VAR10,VAR5} = VAR2;
end
end
2'b01:
begin
if(VAR11==32)
VAR5 = 0 ;
end
else if (VAR11>=33)
{VAR10,VAR5} = 0 ;
else begin
VAR3 = {VAR8,VAR12};
VAR2 = 0;
for(VAR6 = 0; VAR6 <= 32-VAR11[4:0] ;VAR6 = VAR6 + 1) begin
VAR2[VAR6] = VAR3[VAR6+VAR11[4:0] ] ;
end
{VAR5,VAR10} = VAR2;
end
end
2'b10:
begin
if(VAR11==32)
begin
VAR5 = {VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31]};
end
else if (VAR11>=33)
begin
{VAR10,VAR5} = {VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31]};
end
else begin
VAR3 = {VAR8,VAR12 };
VAR2 = {VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31],VAR8[31],VAR8[31],VAR8[31],
VAR8[31],VAR8[31]};
for(VAR6 = 0; VAR6 <= 32-VAR11[4:0] ;VAR6 = VAR6 + 1) begin
VAR2[VAR6] = VAR3[VAR6+VAR11[4:0] ] ;
end
{VAR5,VAR10} = VAR2;
end
end
2'b11:
begin
VAR3 = {VAR8,VAR12};
VAR2 = VAR3;
for(VAR6 = 0; VAR6 <= 32 ;VAR6 = VAR6 + 1) begin
VAR2[VAR6] = VAR3[(VAR6+VAR11[4:0])%33] ;
%33);
end
{VAR5,VAR10} = VAR2;
end
endcase
end
endmodule | apache-2.0 |
eda-globetrotter/MarcheProcessor | processor/syn/src/prog_counter2a.v | 1,202 | module MODULE1 (VAR1,rst,clk);
output [0:31] VAR1;
input clk;
input rst;
reg [0:31] VAR1;
always @(posedge clk)
begin
if(rst)
begin
VAR1<=32'd0;
end
else
begin
VAR1<=VAR1+32'd4;
end
end
endmodule | mit |
google/skywater-pdk-libs-sky130_fd_sc_ms | cells/dfrtn/sky130_fd_sc_ms__dfrtn.pp.blackbox.v | 1,401 | module MODULE1 (
VAR8 ,
VAR4 ,
VAR5 ,
VAR3,
VAR6 ,
VAR7 ,
VAR2 ,
VAR1
);
output VAR8 ;
input VAR4 ;
input VAR5 ;
input VAR3;
input VAR6 ;
input VAR7 ;
input VAR2 ;
input VAR1 ;
endmodule | apache-2.0 |
google/skywater-pdk-libs-sky130_fd_sc_hdll | cells/a21oi/sky130_fd_sc_hdll__a21oi_1.v | 2,277 | module MODULE1 (
VAR6 ,
VAR4 ,
VAR10 ,
VAR1 ,
VAR3,
VAR5,
VAR9 ,
VAR8
);
output VAR6 ;
input VAR4 ;
input VAR10 ;
input VAR1 ;
input VAR3;
input VAR5;
input VAR9 ;
input VAR8 ;
VAR2 VAR7 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR1(VAR1),
.VAR3(VAR3),
.VAR5(VAR5),
.VAR9(VAR9),
.VAR8(VAR8)
);
endmodule
module MODULE1 (
VAR6 ,
VAR4,
VAR10,
VAR1
);
output VAR6 ;
input VAR4;
input VAR10;
input VAR1;
supply1 VAR3;
supply0 VAR5;
supply1 VAR9 ;
supply0 VAR8 ;
VAR2 VAR7 (
.VAR6(VAR6),
.VAR4(VAR4),
.VAR10(VAR10),
.VAR1(VAR1)
);
endmodule | apache-2.0 |
m-labs/milkymist | cores/tmu2/rtl/tmu2.v | 18,802 | module MODULE1 #(
parameter VAR188 = 4'h0,
parameter VAR38 = 26,
parameter VAR159 = 15,
parameter VAR104 = 5,
parameter VAR235 = 4,
parameter VAR167 = 4
) (
input VAR58,
input VAR263,
input [13:0] VAR72,
input VAR198,
input [31:0] VAR130,
output [31:0] VAR259,
output irq,
output [31:0] VAR224,
output [2:0] VAR122,
output VAR83,
output VAR84,
input VAR14,
input [31:0] VAR6,
output [VAR38-1:0] VAR120,
output VAR61,
input VAR227,
input [63:0] VAR275,
output [VAR38-1:0] VAR101,
output VAR33,
input VAR244,
input [63:0] VAR160,
output [VAR38-1:0] VAR87,
output VAR73,
input VAR203,
output [7:0] VAR206,
output [63:0] VAR242
);
wire VAR175;
reg VAR4;
wire [6:0] VAR19;
wire [6:0] VAR181;
wire [5:0] VAR56;
wire VAR5;
wire VAR59;
wire [15:0] VAR64;
wire [28:0] VAR34;
wire [VAR38-1-1:0] VAR178;
wire [10:0] VAR51;
wire [10:0] VAR172;
wire [17:0] VAR145;
wire [17:0] VAR67;
wire [VAR38-1-1:0] VAR210;
wire [10:0] VAR63;
wire [10:0] VAR105;
wire signed [11:0] VAR13;
wire signed [11:0] VAR184;
wire [10:0] VAR211;
wire [10:0] VAR77;
wire VAR110;
wire [5:0] VAR153;
VAR1 #(
.VAR188(VAR188),
.VAR38(VAR38)
) VAR217 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR72(VAR72),
.VAR198(VAR198),
.VAR130(VAR130),
.VAR259(VAR259),
.irq(irq),
.VAR175(VAR175),
.VAR4(VAR4),
.VAR19(VAR19),
.VAR181(VAR181),
.VAR56(VAR56),
.VAR5(VAR5),
.VAR59(VAR59),
.VAR64(VAR64),
.VAR34(VAR34),
.VAR178(VAR178),
.VAR51(VAR51),
.VAR172(VAR172),
.VAR145(VAR145),
.VAR67(VAR67),
.VAR210(VAR210),
.VAR63(VAR63),
.VAR105(VAR105),
.VAR13(VAR13),
.VAR184(VAR184),
.VAR211(VAR211),
.VAR77(VAR77),
.VAR110(VAR110),
.VAR153(VAR153)
);
wire VAR190;
wire VAR90;
wire VAR266;
wire signed [17:0] VAR157;
wire signed [17:0] VAR156;
wire signed [17:0] VAR187;
wire signed [17:0] VAR231;
wire signed [17:0] VAR216;
wire signed [17:0] VAR86;
wire signed [17:0] VAR165;
wire signed [17:0] VAR127;
wire signed [11:0] VAR69;
wire signed [11:0] VAR36;
VAR138 VAR20(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR175(VAR175),
.VAR4(VAR190),
.VAR224(VAR224),
.VAR122(VAR122),
.VAR83(VAR83),
.VAR84(VAR84),
.VAR14(VAR14),
.VAR6(VAR6),
.VAR19(VAR19),
.VAR181(VAR181),
.VAR34(VAR34),
.VAR13(VAR13),
.VAR184(VAR184),
.VAR211(VAR211),
.VAR77(VAR77),
.VAR234(VAR90),
.VAR163(VAR266),
.VAR157(VAR157),
.VAR156(VAR156),
.VAR187(VAR187),
.VAR231(VAR231),
.VAR216(VAR216),
.VAR86(VAR86),
.VAR165(VAR165),
.VAR127(VAR127),
.VAR69(VAR69),
.VAR36(VAR36)
);
wire VAR74;
wire VAR47;
wire VAR12;
wire signed [17:0] VAR16;
wire signed [17:0] VAR248;
wire signed [17:0] VAR215;
wire signed [17:0] VAR17;
wire VAR133;
wire [16:0] VAR24;
wire VAR171;
wire [16:0] VAR166;
wire VAR232;
wire [16:0] VAR233;
wire VAR50;
wire [16:0] VAR136;
wire signed [11:0] VAR274;
wire signed [11:0] VAR37;
VAR52 VAR270(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR74),
.VAR85(VAR90),
.VAR177(VAR266),
.VAR157(VAR157),
.VAR156(VAR156),
.VAR187(VAR187),
.VAR231(VAR231),
.VAR216(VAR216),
.VAR86(VAR86),
.VAR165(VAR165),
.VAR127(VAR127),
.VAR69(VAR69),
.VAR36(VAR36),
.VAR234(VAR47),
.VAR163(VAR12),
.VAR16(VAR16),
.VAR248(VAR248),
.VAR215(VAR215),
.VAR17(VAR17),
.VAR133(VAR133),
.VAR24(VAR24),
.VAR171(VAR171),
.VAR166(VAR166),
.VAR232(VAR232),
.VAR233(VAR233),
.VAR50(VAR50),
.VAR136(VAR136),
.VAR274(VAR274),
.VAR37(VAR37)
);
wire VAR111;
wire VAR124;
wire VAR209;
wire signed [17:0] VAR265;
wire signed [17:0] VAR208;
wire signed [17:0] VAR134;
wire signed [17:0] VAR243;
wire VAR199;
wire [16:0] VAR9;
wire [16:0] VAR255;
wire VAR128;
wire [16:0] VAR140;
wire [16:0] VAR132;
wire VAR252;
wire [16:0] VAR79;
wire [16:0] VAR204;
wire VAR139;
wire [16:0] VAR191;
wire [16:0] VAR219;
wire signed [11:0] VAR40;
wire signed [11:0] VAR57;
VAR267 VAR223(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR111),
.VAR85(VAR47),
.VAR177(VAR12),
.VAR157(VAR16),
.VAR156(VAR248),
.VAR187(VAR215),
.VAR231(VAR17),
.VAR133(VAR133),
.VAR24(VAR24),
.VAR171(VAR171),
.VAR166(VAR166),
.VAR232(VAR232),
.VAR233(VAR233),
.VAR50(VAR50),
.VAR136(VAR136),
.VAR69(VAR274),
.VAR36(VAR37),
.VAR77(VAR77),
.VAR234(VAR124),
.VAR163(VAR209),
.VAR16(VAR265),
.VAR248(VAR208),
.VAR215(VAR134),
.VAR17(VAR243),
.VAR199(VAR199),
.VAR9(VAR9),
.VAR255(VAR255),
.VAR128(VAR128),
.VAR140(VAR140),
.VAR132(VAR132),
.VAR252(VAR252),
.VAR79(VAR79),
.VAR204(VAR204),
.VAR139(VAR139),
.VAR191(VAR191),
.VAR219(VAR219),
.VAR274(VAR40),
.VAR37(VAR57)
);
wire VAR150;
wire VAR201;
wire VAR137;
wire signed [11:0] VAR55;
wire signed [11:0] VAR15;
wire signed [17:0] VAR257;
wire signed [17:0] VAR25;
wire signed [17:0] VAR264;
wire signed [17:0] VAR99;
VAR144 VAR229(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR150),
.VAR85(VAR124),
.VAR177(VAR209),
.VAR157(VAR265),
.VAR156(VAR208),
.VAR187(VAR134),
.VAR231(VAR243),
.VAR133(VAR199),
.VAR9(VAR9),
.VAR255(VAR255),
.VAR171(VAR128),
.VAR140(VAR140),
.VAR132(VAR132),
.VAR232(VAR252),
.VAR79(VAR79),
.VAR204(VAR204),
.VAR50(VAR139),
.VAR191(VAR191),
.VAR219(VAR219),
.VAR69(VAR40),
.VAR36(VAR57),
.VAR77(VAR77),
.VAR234(VAR201),
.VAR163(VAR137),
.VAR174(VAR55),
.VAR114(VAR15),
.VAR257(VAR257),
.VAR25(VAR25),
.VAR264(VAR264),
.VAR99(VAR99)
);
wire VAR185;
wire VAR161;
wire VAR225;
wire signed [11:0] VAR146;
wire signed [11:0] VAR226;
wire signed [17:0] VAR222;
wire signed [17:0] VAR82;
wire VAR247;
wire [16:0] VAR106;
wire VAR46;
wire [16:0] VAR276;
VAR123 VAR18(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR185),
.VAR85(VAR201),
.VAR177(VAR137),
.VAR174(VAR55),
.VAR114(VAR15),
.VAR257(VAR257),
.VAR25(VAR25),
.VAR264(VAR264),
.VAR99(VAR99),
.VAR234(VAR161),
.VAR163(VAR225),
.VAR213(VAR146),
.VAR31(VAR226),
.VAR222(VAR222),
.VAR82(VAR82),
.VAR247(VAR247),
.VAR106(VAR106),
.VAR46(VAR46),
.VAR276(VAR276)
);
wire VAR92;
wire VAR141;
wire VAR49;
wire signed [11:0] VAR89;
wire signed [11:0] VAR66;
wire signed [17:0] VAR207;
wire signed [17:0] VAR68;
wire VAR214;
wire [16:0] VAR169;
wire [16:0] VAR54;
wire VAR121;
wire [16:0] VAR268;
wire [16:0] VAR195;
VAR48 VAR21(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR92),
.VAR85(VAR161),
.VAR177(VAR225),
.VAR174(VAR146),
.VAR114(VAR226),
.VAR257(VAR222),
.VAR25(VAR82),
.VAR247(VAR247),
.VAR106(VAR106),
.VAR46(VAR46),
.VAR276(VAR276),
.VAR211(VAR211),
.VAR234(VAR141),
.VAR163(VAR49),
.VAR213(VAR89),
.VAR31(VAR66),
.VAR222(VAR207),
.VAR82(VAR68),
.VAR214(VAR214),
.VAR169(VAR169),
.VAR54(VAR54),
.VAR121(VAR121),
.VAR268(VAR268),
.VAR195(VAR195)
);
wire VAR116;
wire VAR197;
wire VAR135;
wire signed [11:0] VAR176;
wire signed [11:0] VAR246;
wire signed [17:0] VAR245;
wire signed [17:0] VAR256;
VAR258 VAR202(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR116),
.VAR85(VAR141),
.VAR177(VAR49),
.VAR174(VAR89),
.VAR114(VAR66),
.VAR257(VAR207),
.VAR25(VAR68),
.VAR247(VAR214),
.VAR169(VAR169),
.VAR54(VAR54),
.VAR46(VAR121),
.VAR268(VAR268),
.VAR195(VAR195),
.VAR211(VAR211),
.VAR234(VAR197),
.VAR163(VAR135),
.VAR165(VAR176),
.VAR127(VAR246),
.VAR245(VAR245),
.VAR256(VAR256)
);
wire VAR269;
wire VAR179;
wire VAR108;
wire signed [11:0] VAR239;
wire signed [11:0] VAR249;
wire signed [17:0] VAR3;
wire signed [17:0] VAR100;
VAR75 VAR143(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR269),
.VAR85(VAR197),
.VAR177(VAR135),
.VAR165(VAR176),
.VAR127(VAR246),
.VAR245(VAR245),
.VAR256(VAR256),
.VAR145(VAR145),
.VAR67(VAR67),
.VAR234(VAR179),
.VAR163(VAR108),
.VAR189(VAR239),
.VAR27(VAR249),
.VAR3(VAR3),
.VAR100(VAR100)
);
wire VAR109;
wire VAR95;
wire VAR180;
wire [10:0] VAR129;
wire [10:0] VAR103;
wire [16:0] VAR112;
wire [16:0] VAR32;
VAR80 VAR220(
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR109),
.VAR85(VAR179),
.VAR177(VAR108),
.VAR165(VAR239),
.VAR127(VAR249),
.VAR245(VAR3),
.VAR256(VAR100),
.VAR51(VAR51),
.VAR172(VAR172),
.VAR63(VAR63),
.VAR105(VAR105),
.VAR234(VAR95),
.VAR163(VAR180),
.VAR221(VAR129),
.VAR230(VAR103),
.VAR112(VAR112),
.VAR32(VAR32)
);
wire VAR170;
wire VAR28;
wire VAR94;
wire [VAR38-1-1:0] VAR125;
wire [VAR38-1-1:0] VAR168;
wire [VAR38-1-1:0] VAR8;
wire [VAR38-1-1:0] VAR253;
wire [VAR38-1-1:0] VAR131;
wire [5:0] VAR65;
wire [5:0] VAR39;
VAR93 #(
.VAR38(VAR38)
) VAR218 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR170),
.VAR85(VAR95),
.VAR177(VAR180),
.VAR221(VAR129),
.VAR230(VAR103),
.VAR112(VAR112),
.VAR32(VAR32),
.VAR210(VAR210),
.VAR63(VAR63),
.VAR178(VAR178),
.VAR51(VAR51),
.VAR234(VAR28),
.VAR163(VAR94),
.VAR125(VAR125),
.VAR168(VAR168),
.VAR8(VAR8),
.VAR253(VAR253),
.VAR131(VAR131),
.VAR65(VAR65),
.VAR39(VAR39)
);
wire VAR29;
wire VAR205;
wire VAR44;
wire [VAR38-1-1:0] VAR102;
wire [15:0] VAR23;
wire [15:0] VAR237;
wire [15:0] VAR238;
wire [15:0] VAR155;
wire [5:0] VAR2;
wire [5:0] VAR41;
VAR115 #(
.VAR119(VAR159),
.VAR104(VAR104),
.VAR235(VAR235),
.VAR167(VAR167),
.VAR38(VAR38)
) VAR96 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR154(VAR120),
.VAR98(VAR61),
.VAR70(VAR227),
.VAR117(VAR275),
.VAR151(VAR175),
.VAR4(VAR29),
.VAR85(VAR28),
.VAR177(VAR94),
.VAR125(VAR125),
.VAR168(VAR168),
.VAR8(VAR8),
.VAR253(VAR253),
.VAR131(VAR131),
.VAR65(VAR65),
.VAR39(VAR39),
.VAR234(VAR205),
.VAR163(VAR44),
.VAR102(VAR102),
.VAR23(VAR23),
.VAR237(VAR237),
.VAR238(VAR238),
.VAR155(VAR155),
.VAR2(VAR2),
.VAR41(VAR41)
);
wire VAR261;
wire VAR194;
wire VAR7;
wire [VAR38-1-1:0] VAR158;
wire [15:0] VAR78;
VAR43 #(
.VAR38(VAR38)
) VAR26 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR261),
.VAR85(VAR205),
.VAR177(VAR44),
.VAR125(VAR102),
.VAR212(VAR23),
.VAR240(VAR237),
.VAR107(VAR238),
.VAR262(VAR155),
.VAR65(VAR2),
.VAR39(VAR41),
.VAR234(VAR194),
.VAR163(VAR7),
.VAR102(VAR158),
.VAR78(VAR78)
);
wire VAR113;
wire VAR196;
wire VAR152;
wire [15:0] VAR262;
wire [VAR38-1-1:0] VAR71;
VAR186 #(
.VAR38(VAR38)
) VAR260 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR113),
.VAR56(VAR56),
.VAR5(VAR5),
.VAR64(VAR64),
.VAR85(VAR194),
.VAR177(VAR7),
.VAR78(VAR78),
.VAR125(VAR158),
.VAR234(VAR196),
.VAR163(VAR152),
.VAR262(VAR262),
.VAR102(VAR71)
);
wire VAR147;
wire VAR164;
wire VAR193;
wire [15:0] VAR200;
wire [VAR38-1-1:0] VAR35;
wire [15:0] VAR126;
VAR81 #(
.VAR38(VAR38)
) VAR241 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR154(VAR101),
.VAR98(VAR33),
.VAR70(VAR244),
.VAR117(VAR160),
.VAR151(VAR175),
.VAR4(VAR147),
.VAR250(VAR110|VAR59),
.VAR85(VAR196),
.VAR177(VAR152),
.VAR78(VAR262),
.VAR125(VAR71),
.VAR234(VAR164),
.VAR163(VAR193),
.VAR236(VAR200),
.VAR102(VAR35),
.VAR126(VAR126)
);
wire VAR53;
wire VAR183;
wire VAR60;
wire [VAR38-1-1:0] VAR273;
wire [15:0] VAR271;
VAR10 #(
.VAR38(VAR38)
) VAR228 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR53),
.VAR153(VAR153),
.VAR173(VAR59),
.VAR85(VAR164),
.VAR177(VAR193),
.VAR78(VAR200),
.VAR125(VAR35),
.VAR126(VAR126),
.VAR234(VAR183),
.VAR163(VAR60),
.VAR102(VAR273),
.VAR271(VAR271)
);
assign VAR101 = {VAR38{1'VAR187}};
assign VAR33 = 1'b0;
reg VAR42;
wire VAR142;
wire VAR62;
wire VAR118;
wire [VAR38-5-1:0] VAR148;
wire [15:0] VAR97;
wire [255:0] VAR88;
VAR272 #(
.VAR38(VAR38)
) VAR182 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR151(VAR42),
.VAR4(VAR142),
.VAR85(VAR183),
.VAR177(VAR60),
.VAR78(VAR271),
.VAR125(VAR273),
.VAR85(VAR196),
.VAR177(VAR152),
.VAR78(VAR262),
.VAR125(VAR71),
.VAR234(VAR62),
.VAR163(VAR118),
.VAR148(VAR148),
.VAR97(VAR97),
.VAR88(VAR88)
);
wire VAR91;
VAR30 #(
.VAR38(VAR38)
) VAR11 (
.VAR58(VAR58),
.VAR263(VAR263),
.VAR4(VAR91),
.VAR85(VAR62),
.VAR177(VAR118),
.VAR148(VAR148),
.VAR97(VAR97),
.VAR88(VAR88),
.VAR154(VAR87),
.VAR98(VAR73),
.VAR70(VAR203),
.VAR192(VAR206),
.VAR162(VAR242)
);
wire VAR254 = VAR190
|VAR74|VAR111|VAR150
|VAR185|VAR92|VAR116
|VAR269|VAR170|VAR109
|VAR29
|VAR261|VAR113
|VAR147|VAR53
|VAR142|VAR91;
parameter VAR22 = 2'd0;
parameter VAR149 = 2'd1;
parameter VAR76 = 2'd2;
parameter VAR251 = 2'd3;
reg [1:0] state;
reg [1:0] VAR45;
always @(posedge VAR58) begin
if(VAR263)
state <= VAR22;
end
else
state <= VAR45;
end
always @(*) begin
VAR45 = state;
VAR4 = 1'b1;
VAR42 = 1'b0;
case(state)
VAR22: begin
VAR4 = 1'b0;
if(VAR175)
VAR45 = VAR149;
end
VAR149: begin
if(~VAR254)
VAR45 = VAR76;
end
VAR76: begin
VAR42 = 1'b1;
VAR45 = VAR251;
end
VAR251: begin
if(~VAR254)
VAR45 = VAR22;
end
endcase
end
endmodule | lgpl-3.0 |
aj-michael/Digital-Systems | Lab6-Part2/ShiftRegisterI2C2015fall.v | 1,224 | module MODULE1(VAR12,VAR6,VAR9,VAR11,VAR7,VAR8,VAR10,VAR1,VAR5);
input [7:0] VAR12;
input VAR6;
input VAR5;
input VAR9;
input VAR11;
input VAR7;
input VAR8;
output reg [7:0] VAR10;
output reg VAR1;
wire VAR3;
VAR2 VAR4(VAR5, VAR3, VAR9, VAR6) ;
always @ (posedge VAR6)
if (VAR9 == 1) begin VAR1 <= 0; VAR10 <= 8'b0; end
else if (VAR8) begin
VAR10 <= VAR12;
VAR1 <= VAR12[7];
end
else case ({VAR3, VAR7})
2'b01: begin
VAR10 <={VAR10[6:0],VAR11};
VAR1 <= VAR10[6];
end
endcase
endmodule | mit |
sergev/vak-opensource | hardware/s3esk-openrisc/uart16550/uart_tfifo.v | 9,182 | module MODULE1 (clk,
VAR27, VAR16, VAR3,
VAR26, VAR23, VAR7,
VAR13,
VAR4,
VAR1
);
parameter VAR18 = VAR15;
parameter VAR19 = VAR17;
parameter VAR6 = VAR5;
parameter VAR20 = VAR22;
input clk;
input VAR27;
input VAR26;
input VAR23;
input [VAR18-1:0] VAR16;
input VAR4;
input VAR1;
output [VAR18-1:0] VAR3;
output VAR7;
output [VAR20-1:0] VAR13;
wire [VAR18-1:0] VAR3;
reg [VAR6-1:0] VAR21;
reg [VAR6-1:0] VAR8;
reg [VAR20-1:0] VAR13;
reg VAR7;
wire [VAR6-1:0] VAR12 = VAR21 + 1'b1;
VAR9 #(VAR6,VAR18,VAR19) VAR2
(.clk(clk),
.VAR10(VAR26),
.VAR14(VAR21),
.VAR25(VAR8),
.VAR11(VAR16),
.VAR24(VAR3)
);
always @(posedge clk or posedge VAR27) begin
if (VAR27)
begin
VAR21 <= 0;
VAR8 <= 1'b0;
VAR13 <= 0;
end
else
if (VAR4) begin
VAR21 <= 0;
VAR8 <= 1'b0;
VAR13 <= 0;
end
else
begin
case ({VAR26, VAR23})
2'b10 : if (VAR13<VAR19) begin
VAR21 <= VAR12;
VAR13 <= VAR13 + 1'b1;
end
2'b01 : if(VAR13>0)
begin
VAR8 <= VAR8 + 1'b1;
VAR13 <= VAR13 - 1'b1;
end
2'b11 : begin
VAR8 <= VAR8 + 1'b1;
VAR21 <= VAR12;
end
default: ;
endcase
end
end
always @(posedge clk or posedge VAR27) begin
if (VAR27)
VAR7 <= 1'b0;
end
else
if(VAR4 | VAR1)
VAR7 <= 1'b0;
else
if(VAR26 & (VAR13==VAR19))
VAR7 <= 1'b1;
end
endmodule | apache-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.