repo_name
stringlengths
6
79
path
stringlengths
4
249
size
int64
1.02k
768k
content
stringlengths
15
207k
license
stringclasses
14 values
YoelRP/PROYECTO
bin/enpoint/transaction/CRC16_D72.v
4,904
module MODULE1( VAR6, VAR2, VAR1 ); output reg [15:0] VAR6; input wire [71:0] VAR2; input wire [15:0] VAR1; reg [71:0] VAR4; reg [15:0] VAR3; reg [15:0] VAR5; always @ (*) begin VAR4 = VAR2; VAR3 = VAR1; VAR5[0] = VAR4[71] ^ VAR4[69] ^ VAR4[68] ^ VAR4[67] ^ VAR4[66] ^ VAR4[65] ^ VAR4[64] ^ VAR4[63] ^ VAR4[62] ^ VAR4[61] ^ VAR4[60] ^ VAR4[55] ^ VAR4[54] ^ VAR4[53] ^ VAR4[52] ^ VAR4[51] ^ VAR4[50] ^ VAR4[49] ^ VAR4[48] ^ VAR4[47] ^ VAR4[46] ^ VAR4[45] ^ VAR4[43] ^ VAR4[41] ^ VAR4[40] ^ VAR4[39] ^ VAR4[38] ^ VAR4[37] ^ VAR4[36] ^ VAR4[35] ^ VAR4[34] ^ VAR4[33] ^ VAR4[32] ^ VAR4[31] ^ VAR4[30] ^ VAR4[27] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[23] ^ VAR4[22] ^ VAR4[21] ^ VAR4[20] ^ VAR4[19] ^ VAR4[18] ^ VAR4[17] ^ VAR4[16] ^ VAR4[15] ^ VAR4[13] ^ VAR4[12] ^ VAR4[11] ^ VAR4[10] ^ VAR4[9] ^ VAR4[8] ^ VAR4[7] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4] ^ VAR4[3] ^ VAR4[2] ^ VAR4[1] ^ VAR4[0] ^ VAR3[4] ^ VAR3[5] ^ VAR3[6] ^ VAR3[7] ^ VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[15]; VAR5[1] = VAR4[70] ^ VAR4[69] ^ VAR4[68] ^ VAR4[67] ^ VAR4[66] ^ VAR4[65] ^ VAR4[64] ^ VAR4[63] ^ VAR4[62] ^ VAR4[61] ^ VAR4[56] ^ VAR4[55] ^ VAR4[54] ^ VAR4[53] ^ VAR4[52] ^ VAR4[51] ^ VAR4[50] ^ VAR4[49] ^ VAR4[48] ^ VAR4[47] ^ VAR4[46] ^ VAR4[44] ^ VAR4[42] ^ VAR4[41] ^ VAR4[40] ^ VAR4[39] ^ VAR4[38] ^ VAR4[37] ^ VAR4[36] ^ VAR4[35] ^ VAR4[34] ^ VAR4[33] ^ VAR4[32] ^ VAR4[31] ^ VAR4[28] ^ VAR4[27] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[23] ^ VAR4[22] ^ VAR4[21] ^ VAR4[20] ^ VAR4[19] ^ VAR4[18] ^ VAR4[17] ^ VAR4[16] ^ VAR4[14] ^ VAR4[13] ^ VAR4[12] ^ VAR4[11] ^ VAR4[10] ^ VAR4[9] ^ VAR4[8] ^ VAR4[7] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4] ^ VAR4[3] ^ VAR4[2] ^ VAR4[1] ^ VAR3[0] ^ VAR3[5] ^ VAR3[6] ^ VAR3[7] ^ VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[13] ^ VAR3[14]; VAR5[2] = VAR4[70] ^ VAR4[61] ^ VAR4[60] ^ VAR4[57] ^ VAR4[56] ^ VAR4[46] ^ VAR4[42] ^ VAR4[31] ^ VAR4[30] ^ VAR4[29] ^ VAR4[28] ^ VAR4[16] ^ VAR4[14] ^ VAR4[1] ^ VAR4[0] ^ VAR3[0] ^ VAR3[1] ^ VAR3[4] ^ VAR3[5] ^ VAR3[14]; VAR5[3] = VAR4[71] ^ VAR4[62] ^ VAR4[61] ^ VAR4[58] ^ VAR4[57] ^ VAR4[47] ^ VAR4[43] ^ VAR4[32] ^ VAR4[31] ^ VAR4[30] ^ VAR4[29] ^ VAR4[17] ^ VAR4[15] ^ VAR4[2] ^ VAR4[1] ^ VAR3[1] ^ VAR3[2] ^ VAR3[5] ^ VAR3[6] ^ VAR3[15]; VAR5[4] = VAR4[63] ^ VAR4[62] ^ VAR4[59] ^ VAR4[58] ^ VAR4[48] ^ VAR4[44] ^ VAR4[33] ^ VAR4[32] ^ VAR4[31] ^ VAR4[30] ^ VAR4[18] ^ VAR4[16] ^ VAR4[3] ^ VAR4[2] ^ VAR3[2] ^ VAR3[3] ^ VAR3[6] ^ VAR3[7]; VAR5[5] = VAR4[64] ^ VAR4[63] ^ VAR4[60] ^ VAR4[59] ^ VAR4[49] ^ VAR4[45] ^ VAR4[34] ^ VAR4[33] ^ VAR4[32] ^ VAR4[31] ^ VAR4[19] ^ VAR4[17] ^ VAR4[4] ^ VAR4[3] ^ VAR3[3] ^ VAR3[4] ^ VAR3[7] ^ VAR3[8]; VAR5[6] = VAR4[65] ^ VAR4[64] ^ VAR4[61] ^ VAR4[60] ^ VAR4[50] ^ VAR4[46] ^ VAR4[35] ^ VAR4[34] ^ VAR4[33] ^ VAR4[32] ^ VAR4[20] ^ VAR4[18] ^ VAR4[5] ^ VAR4[4] ^ VAR3[4] ^ VAR3[5] ^ VAR3[8] ^ VAR3[9]; VAR5[7] = VAR4[66] ^ VAR4[65] ^ VAR4[62] ^ VAR4[61] ^ VAR4[51] ^ VAR4[47] ^ VAR4[36] ^ VAR4[35] ^ VAR4[34] ^ VAR4[33] ^ VAR4[21] ^ VAR4[19] ^ VAR4[6] ^ VAR4[5] ^ VAR3[5] ^ VAR3[6] ^ VAR3[9] ^ VAR3[10]; VAR5[8] = VAR4[67] ^ VAR4[66] ^ VAR4[63] ^ VAR4[62] ^ VAR4[52] ^ VAR4[48] ^ VAR4[37] ^ VAR4[36] ^ VAR4[35] ^ VAR4[34] ^ VAR4[22] ^ VAR4[20] ^ VAR4[7] ^ VAR4[6] ^ VAR3[6] ^ VAR3[7] ^ VAR3[10] ^ VAR3[11]; VAR5[9] = VAR4[68] ^ VAR4[67] ^ VAR4[64] ^ VAR4[63] ^ VAR4[53] ^ VAR4[49] ^ VAR4[38] ^ VAR4[37] ^ VAR4[36] ^ VAR4[35] ^ VAR4[23] ^ VAR4[21] ^ VAR4[8] ^ VAR4[7] ^ VAR3[7] ^ VAR3[8] ^ VAR3[11] ^ VAR3[12]; VAR5[10] = VAR4[69] ^ VAR4[68] ^ VAR4[65] ^ VAR4[64] ^ VAR4[54] ^ VAR4[50] ^ VAR4[39] ^ VAR4[38] ^ VAR4[37] ^ VAR4[36] ^ VAR4[24] ^ VAR4[22] ^ VAR4[9] ^ VAR4[8] ^ VAR3[8] ^ VAR3[9] ^ VAR3[12] ^ VAR3[13]; VAR5[11] = VAR4[70] ^ VAR4[69] ^ VAR4[66] ^ VAR4[65] ^ VAR4[55] ^ VAR4[51] ^ VAR4[40] ^ VAR4[39] ^ VAR4[38] ^ VAR4[37] ^ VAR4[25] ^ VAR4[23] ^ VAR4[10] ^ VAR4[9] ^ VAR3[9] ^ VAR3[10] ^ VAR3[13] ^ VAR3[14]; VAR5[12] = VAR4[71] ^ VAR4[70] ^ VAR4[67] ^ VAR4[66] ^ VAR4[56] ^ VAR4[52] ^ VAR4[41] ^ VAR4[40] ^ VAR4[39] ^ VAR4[38] ^ VAR4[26] ^ VAR4[24] ^ VAR4[11] ^ VAR4[10] ^ VAR3[0] ^ VAR3[10] ^ VAR3[11] ^ VAR3[14] ^ VAR3[15]; VAR5[13] = VAR4[71] ^ VAR4[68] ^ VAR4[67] ^ VAR4[57] ^ VAR4[53] ^ VAR4[42] ^ VAR4[41] ^ VAR4[40] ^ VAR4[39] ^ VAR4[27] ^ VAR4[25] ^ VAR4[12] ^ VAR4[11] ^ VAR3[1] ^ VAR3[11] ^ VAR3[12] ^ VAR3[15]; VAR5[14] = VAR4[69] ^ VAR4[68] ^ VAR4[58] ^ VAR4[54] ^ VAR4[43] ^ VAR4[42] ^ VAR4[41] ^ VAR4[40] ^ VAR4[28] ^ VAR4[26] ^ VAR4[13] ^ VAR4[12] ^ VAR3[2] ^ VAR3[12] ^ VAR3[13]; VAR5[15] = VAR4[71] ^ VAR4[70] ^ VAR4[68] ^ VAR4[67] ^ VAR4[66] ^ VAR4[65] ^ VAR4[64] ^ VAR4[63] ^ VAR4[62] ^ VAR4[61] ^ VAR4[60] ^ VAR4[59] ^ VAR4[54] ^ VAR4[53] ^ VAR4[52] ^ VAR4[51] ^ VAR4[50] ^ VAR4[49] ^ VAR4[48] ^ VAR4[47] ^ VAR4[46] ^ VAR4[45] ^ VAR4[44] ^ VAR4[42] ^ VAR4[40] ^ VAR4[39] ^ VAR4[38] ^ VAR4[37] ^ VAR4[36] ^ VAR4[35] ^ VAR4[34] ^ VAR4[33] ^ VAR4[32] ^ VAR4[31] ^ VAR4[30] ^ VAR4[29] ^ VAR4[26] ^ VAR4[25] ^ VAR4[24] ^ VAR4[23] ^ VAR4[22] ^ VAR4[21] ^ VAR4[20] ^ VAR4[19] ^ VAR4[18] ^ VAR4[17] ^ VAR4[16] ^ VAR4[15] ^ VAR4[14] ^ VAR4[12] ^ VAR4[11] ^ VAR4[10] ^ VAR4[9] ^ VAR4[8] ^ VAR4[7] ^ VAR4[6] ^ VAR4[5] ^ VAR4[4] ^ VAR4[3] ^ VAR4[2] ^ VAR4[1] ^ VAR4[0] ^ VAR3[3] ^ VAR3[4] ^ VAR3[5] ^ VAR3[6] ^ VAR3[7] ^ VAR3[8] ^ VAR3[9] ^ VAR3[10] ^ VAR3[11] ^ VAR3[12] ^ VAR3[14] ^ VAR3[15]; VAR6 = VAR5; end endmodule
gpl-3.0
devinacker/sd2snes
verilog/sd2snes/mcu_cmd.v
16,286
module MODULE1( input clk, input VAR22, input VAR50, input [7:0] VAR52, input [7:0] VAR7, output [2:0] VAR66, output VAR10, output VAR4, output VAR6, input VAR62, output [7:0] VAR29, input [7:0] VAR36, output [7:0] VAR48, input [31:0] VAR37, input [2:0] VAR5, output [23:0] VAR1, output [23:0] VAR56, output [23:0] VAR23, output VAR46, input VAR11, input VAR67, input [7:0] VAR14, input VAR30, output [1:0] VAR34, output VAR61, output [10:0] VAR32, output [10:0] VAR65, output reg VAR9, output reg VAR59, output [10:0] VAR8, input VAR38, output reg VAR13 = 0, output reg VAR49 = 0, output reg [2:0] VAR51 = 3'b000, output reg VAR28 = 0, output [13:0] VAR39, input [7:0] VAR16, output [5:0] VAR54, output [5:0] VAR47, output VAR33, input [31:0] VAR60, input [15:0] VAR35, input [7:0] VAR55, output [13:0] VAR40, output VAR26, output [7:0] VAR25, output [7:0] VAR45, output VAR17, output [55:0] VAR18, output VAR68, output VAR21, output reg [23:0] VAR27, output reg [10:0] VAR63, output reg VAR58, output reg [15:0] VAR53, output reg [10:0] VAR57, output reg VAR31, output reg VAR3, output reg [7:0] VAR20, output reg VAR15, input VAR42, input [7:0] VAR24, output reg [7:0] VAR43, output reg [8:0] VAR44, output reg VAR12, output reg [7:0] VAR64, output reg [31:0] VAR19, output reg VAR2, output reg [15:0] VAR41 = 16'h0000 );
gpl-2.0
lokisz/openzcore
pippo-0.9/rtl/verilog/pippo_pipectrl.v
5,854
module MODULE1( VAR10, VAR6, VAR5, VAR9, VAR3, VAR4, VAR1, VAR13, VAR2, VAR11, VAR8, VAR12 ); input VAR9; input VAR3; input VAR1; input [1:0] VAR4; output VAR13; output VAR2; output VAR11; output VAR8; output VAR12; input VAR10; input VAR6; output VAR5; wire VAR7; assign VAR5 = VAR10 | VAR6; assign VAR13 = VAR5; assign VAR7 = |VAR4; assign VAR2 = VAR9 | VAR11; assign VAR11 = VAR8; assign VAR8 = VAR12 | VAR1; assign VAR12 = VAR3 | VAR7; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_io
cells/top_sio_macro/sky130_fd_io__top_sio_macro.functional.pp.v
10,516
module MODULE1 ( VAR24, VAR51, VAR15, VAR20, VAR62, VAR11, VAR41, VAR40, VAR14, VAR63, VAR1, VAR46, VAR27, VAR18, VAR77, VAR13, VAR5, VAR53, VAR64, VAR55, VAR48, VAR35, VAR7, VAR29, VAR75, VAR74, VAR69, VAR25, VAR23, VAR59, VAR57, VAR36, VAR80, VAR79, VAR32, VAR58, VAR37, VAR19, VAR17, VAR34, VAR72, VAR31 ); wire VAR38; wire VAR61; wire VAR65; inout VAR24; inout VAR51; inout VAR15; inout VAR20; inout VAR62; inout VAR11; inout VAR41; inout VAR40; inout VAR14; inout VAR63; inout VAR18; inout VAR77; inout VAR55; inout VAR48; input VAR35; input VAR74; input VAR23; input VAR36; input VAR57; input VAR37; input VAR34; input VAR31; output [1:0] VAR27; output [1:0] VAR46; output [1:0] VAR1; inout [1:0] VAR64; inout [1:0] VAR13; inout [1:0] VAR53; inout [1:0] VAR5; input [1:0] VAR32; input [1:0] VAR72; input [1:0] VAR75; input [1:0] VAR17; input [2:0] VAR58; input [1:0] VAR59; input [1:0] VAR69; input [1:0] VAR80; input [1:0] VAR19; input [1:0] VAR25; input [2:0] VAR7; input [2:0] VAR29; input [1:0] VAR79; reg VAR71, VAR39, VAR8, VAR47, VAR56, VAR3, VAR76, VAR54; reg VAR67; reg VAR60; reg VAR49; reg VAR22; reg VAR26; reg VAR73; reg VAR50; reg VAR43; reg VAR44; reg VAR52; reg VAR70; reg VAR45; reg VAR4; reg VAR10; reg VAR68; reg VAR33; reg VAR78; reg VAR42; reg VAR30; reg VAR21; wire VAR28 = VAR36==1'b1 && VAR57==1'b1; VAR16 VAR9 ( .VAR14 (VAR14), .VAR40 (VAR40), .VAR62 (VAR62), .VAR41 (VAR41), .VAR11 (VAR11), .VAR51 (VAR51), .VAR20 (VAR20), .VAR24 (VAR24), .VAR15 (VAR15), .VAR63 (VAR63), .VAR58 (VAR58[2:0]), .VAR19 (VAR19[1:0]), .VAR37 (VAR37), .VAR55 (VAR55), .VAR48 (VAR48), .VAR35 (VAR35), .VAR18 (VAR18), .VAR77 (VAR77), .VAR38 (VAR38), .VAR17 (VAR34), .VAR25 (VAR23), .VAR61 (VAR61), .VAR72 (VAR31), .VAR57 (VAR57), .VAR36 (VAR36), .VAR75 (VAR74), .VAR65 (VAR65) ); VAR66 VAR2 ( .VAR20 (VAR20), .VAR24 (VAR24), .VAR62 (VAR62), .VAR51 (VAR51), .VAR41 (VAR41), .VAR40 (VAR40), .VAR11 (VAR11), .VAR13 (VAR13[1]), .VAR46 (VAR46[1]), .VAR6 (VAR29[2:0]), .VAR75 (VAR75[1]), .VAR53 (VAR53[1]), .VAR5 (VAR5[1]), .VAR57 (VAR57), .VAR79 (VAR79[1]), .VAR80 (VAR80[1]), .VAR32 (VAR32[1]), .VAR72 (VAR72[1]), .VAR59 (VAR59[1]), .VAR27 (VAR27[1]), .VAR1 (VAR1[1]), .VAR61 (VAR61), .VAR38 (VAR38), .VAR65 (VAR65), .VAR64 (VAR64[1]), .VAR17 (VAR17[1]), .VAR25 (VAR25[1]), .VAR69 (VAR69[1]) ); VAR66 VAR12 ( .VAR20 (VAR20), .VAR24 (VAR24), .VAR62 (VAR62), .VAR51 (VAR51), .VAR41 (VAR41), .VAR40 (VAR40), .VAR11 (VAR11), .VAR13 (VAR13[0]), .VAR46 (VAR46[0]), .VAR6 (VAR7[2:0]), .VAR75 (VAR75[0]), .VAR53 (VAR53[0]), .VAR5 (VAR5[0]), .VAR57 (VAR57), .VAR79 (VAR79[0]), .VAR80 (VAR80[0]), .VAR32 (VAR32[0]), .VAR72 (VAR72[0]), .VAR59 (VAR59[0]), .VAR27 (VAR27[0]), .VAR1 (VAR1[0]), .VAR61 (VAR61), .VAR38 (VAR38), .VAR65 (VAR65), .VAR64 (VAR64[0]), .VAR17 (VAR17[0]), .VAR25 (VAR25[0]), .VAR69 (VAR69[0]) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sregrbp/sky130_fd_sc_lp__sregrbp.behavioral.v
2,858
module MODULE1 ( VAR3 , VAR28 , VAR4 , VAR29 , VAR18 , VAR9 , VAR14 ); output VAR3 ; output VAR28 ; input VAR4 ; input VAR29 ; input VAR18 ; input VAR9 ; input VAR14; supply1 VAR13; supply0 VAR10; supply1 VAR26 ; supply0 VAR15 ; wire VAR24 ; wire reset ; wire VAR1 ; reg VAR12 ; wire VAR2 ; wire VAR30 ; wire VAR21 ; wire VAR31; wire VAR7 ; wire VAR17 ; wire VAR23 ; wire VAR27 ; wire VAR8 ; wire VAR25 ; wire VAR19 ; not VAR20 (reset , VAR31 ); VAR6 VAR32 (VAR1, VAR2, VAR30, VAR21 ); VAR5 VAR11 (VAR24 , VAR1, VAR7, reset, VAR12, VAR13, VAR10); assign VAR17 = ( VAR13 === 1'b1 ); assign VAR23 = ( ( VAR31 === 1'b1 ) && VAR17 ); assign VAR27 = ( ( VAR21 === 1'b0 ) && VAR23 ); assign VAR8 = ( ( VAR21 === 1'b1 ) && VAR23 ); assign VAR25 = ( ( VAR2 !== VAR30 ) && VAR23 ); assign VAR19 = ( ( VAR14 === 1'b1 ) && VAR17 ); buf VAR22 (VAR3 , VAR24 ); not VAR16 (VAR28 , VAR24 ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/ddr3_s4_uniphy/ddr3_s4_uniphy/ddr3_s4_uniphy_p0_afi_mux.v
6,100
module MODULE1( VAR35, VAR30, VAR41, VAR21, VAR54, VAR33, VAR40, VAR19, VAR16, VAR7, VAR45, VAR25, VAR43, VAR63, VAR51, VAR5, VAR3, VAR57, VAR9, VAR37, VAR14, VAR62, VAR55, VAR60, VAR53, VAR32, VAR23, VAR50, VAR10, VAR28, VAR27, VAR42, VAR24, VAR11, VAR58, VAR17, VAR44, VAR1, VAR49, VAR36, VAR47, VAR38, VAR31, VAR8, VAR20, VAR56, VAR6, VAR59, VAR2, VAR52, VAR39, VAR4, VAR18 ); parameter VAR15 = ""; parameter VAR13 = ""; parameter VAR48 = ""; parameter VAR46 = ""; parameter VAR26 = ""; parameter VAR12 = ""; parameter VAR34 = ""; parameter VAR29 = ""; parameter VAR22 = ""; parameter VAR61 = ""; input VAR35; input [VAR15-1:0] VAR30; input [VAR13-1:0] VAR41; input [VAR29-1:0] VAR19; input [VAR46-1:0] VAR54; input [VAR48-1:0] VAR21; input [VAR26-1:0] VAR33; input [VAR29-1:0] VAR40; input [VAR29-1:0] VAR16; input [VAR29-1:0] VAR7; input [VAR61-1:0] VAR45; input [VAR22-1:0] VAR25; input [VAR61-1:0] VAR43; input [VAR34-1:0] VAR63; input VAR51; input VAR5; output [VAR22-1:0] VAR3; output VAR57; input [VAR15-1:0] VAR9; input [VAR13-1:0] VAR37; input [VAR48-1:0] VAR14; input [VAR46-1:0] VAR62; input [VAR26-1:0] VAR55; input [VAR29-1:0] VAR60; input [VAR29-1:0] VAR53; input [VAR29-1:0] VAR32; input [VAR29-1:0] VAR23; input [VAR61-1:0] VAR50; input [VAR22-1:0] VAR10; input [VAR61-1:0] VAR28; input [VAR34-1:0] VAR27; input VAR42; output [VAR22-1:0] VAR24; output [VAR22-1:0] VAR11; output VAR58; output [VAR15-1:0] VAR17; output [VAR13-1:0] VAR44; output [VAR48-1:0] VAR1; output [VAR46-1:0] VAR49; output [VAR26-1:0] VAR36; output [VAR29-1:0] VAR47; output [VAR29-1:0] VAR38; output [VAR29-1:0] VAR31; output [VAR29-1:0] VAR8; output [VAR61-1:0] VAR20; output [VAR22-1:0] VAR56; output [VAR61-1:0] VAR6; output [VAR34-1:0] VAR59; output VAR2; output VAR52; input [VAR22-1:0] VAR39; input [VAR22-1:0] VAR4; input VAR18; assign VAR3 = VAR39; assign VAR57 = VAR35 ? 1'b0 : VAR18; assign VAR24 = VAR39; assign VAR11 = VAR4; assign VAR58 = VAR18; assign VAR17 = VAR35 ? VAR9 : VAR30; assign VAR44 = VAR35 ? VAR37 : VAR41; assign VAR1 = VAR35 ? VAR14 : VAR21; assign VAR49 = VAR35 ? VAR62 : VAR54; assign VAR36 = VAR35 ? VAR55 : VAR33; assign VAR47 = VAR35 ? VAR60 : VAR40; assign VAR38 = VAR35 ? VAR53 : VAR19; assign VAR31 = VAR35 ? VAR32 : VAR16; assign VAR8 = VAR35 ? VAR23 : VAR7; assign VAR20 = VAR35 ? VAR50 : VAR45; assign VAR56 = VAR35 ? VAR10 : VAR25; assign VAR6 = VAR35 ? VAR28 : VAR43; assign VAR59 = VAR35 ? VAR27 : VAR63; assign VAR2 = VAR35 ? VAR42 : VAR51; assign VAR52 = VAR35 ? VAR42 : VAR5; endmodule
lgpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/nor4bb/sky130_fd_sc_hs__nor4bb.behavioral.v
1,892
module MODULE1 ( VAR13 , VAR2 , VAR7 , VAR11 , VAR12 , VAR15, VAR4 ); output VAR13 ; input VAR2 ; input VAR7 ; input VAR11 ; input VAR12 ; input VAR15; input VAR4; wire VAR12 VAR14 ; wire VAR9 ; wire VAR6; nor VAR1 (VAR14 , VAR2, VAR7 ); and VAR5 (VAR9 , VAR14, VAR11, VAR12 ); VAR8 VAR10 (VAR6, VAR9, VAR15, VAR4); buf VAR3 (VAR13 , VAR6 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nor3b/sky130_fd_sc_hd__nor3b.behavioral.v
1,513
module MODULE1 ( VAR10 , VAR12 , VAR6 , VAR2 ); output VAR10 ; input VAR12 ; input VAR6 ; input VAR2; supply1 VAR7; supply0 VAR1; supply1 VAR9 ; supply0 VAR8 ; wire VAR3 ; wire VAR11; nor VAR13 (VAR3 , VAR12, VAR6 ); and VAR4 (VAR11, VAR2, VAR3 ); buf VAR5 (VAR10 , VAR11 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/a21o/sky130_fd_sc_lp__a21o.symbol.v
1,341
module MODULE1 ( input VAR4, input VAR8, input VAR6, output VAR7 ); supply1 VAR3; supply0 VAR2; supply1 VAR1 ; supply0 VAR5 ; endmodule
apache-2.0
CospanDesign/nysa-verilog
verilog/axi/slave/axi_nes/rtl/ppu/ppu_ri.v
14,173
module MODULE1 ( input wire VAR40, input wire VAR7, input wire [ 2:0] VAR24, input wire VAR46, input wire VAR57, input wire [ 7:0] VAR45, input wire [13:0] VAR55, input wire [ 7:0] VAR79, input wire [ 7:0] VAR54, input wire VAR37, input wire [ 7:0] VAR15, input wire VAR64, input wire VAR36, output wire [ 7:0] VAR44, output reg [ 7:0] VAR35, output reg VAR61, output reg VAR33, output wire [ 2:0] VAR68, output wire [ 4:0] VAR62, output wire VAR83, output wire [ 2:0] VAR53, output wire [ 4:0] VAR51, output wire VAR66, output wire VAR18, output reg VAR41, output wire VAR52, output wire VAR75, output wire VAR29, output wire VAR22, output wire VAR72, output wire VAR31, output wire VAR78, output wire VAR39, output wire VAR81, output wire VAR47, output wire [ 7:0] VAR28, output reg [ 7:0] VAR60, output reg VAR1 ); reg [2:0] VAR58, VAR4; reg [4:0] VAR74, VAR10; reg VAR56, VAR49; reg [2:0] VAR12, VAR77; reg [4:0] VAR14, VAR26; reg VAR6, VAR3; reg VAR59, VAR32; reg [7:0] VAR27, VAR23; reg VAR9, VAR16; reg VAR13, VAR42; reg VAR63, VAR69; reg VAR84, VAR19; reg VAR21, VAR73; reg VAR5, VAR71; reg VAR50, VAR38; reg VAR34, VAR48; reg VAR80, VAR8; reg VAR17, VAR70; reg VAR82, VAR67; reg [7:0] VAR2, VAR65; reg VAR30, VAR76; reg [7:0] VAR11, VAR20; reg VAR25; reg VAR43; always @(posedge VAR40) begin if (VAR7) begin VAR58 <= 2'h0; VAR74 <= 5'h00; VAR56 <= 1'h0; VAR12 <= 3'h0; VAR14 <= 5'h00; VAR6 <= 1'h0; VAR59 <= 1'h0; VAR27 <= 8'h00; VAR9 <= 1'h0; VAR13 <= 1'h0; VAR63 <= 1'h0; VAR84 <= 1'h0; VAR21 <= 1'h0; VAR5 <= 1'h0; VAR50 <= 1'h0; VAR34 <= 1'h0; VAR80 <= 1'h0; VAR17 <= 1'h0; VAR82 <= 1'h0; VAR2 <= 8'h00; VAR30 <= 1'h0; VAR11 <= 8'h00; VAR25 <= 1'h1; VAR43 <= 1'h0; end else begin VAR58 <= VAR4; VAR74 <= VAR10; VAR56 <= VAR49; VAR12 <= VAR77; VAR14 <= VAR26; VAR6 <= VAR3; VAR59 <= VAR32; VAR27 <= VAR23; VAR9 <= VAR16; VAR13 <= VAR42; VAR63 <= VAR69; VAR84 <= VAR19; VAR21 <= VAR73; VAR5 <= VAR71; VAR50 <= VAR38; VAR34 <= VAR48; VAR80 <= VAR8; VAR17 <= VAR70; VAR82 <= VAR67; VAR2 <= VAR65; VAR30 <= VAR76; VAR11 <= VAR20; VAR25 <= VAR46; VAR43 <= VAR37; end end always @* begin VAR4 = VAR58; VAR10 = VAR74; VAR49 = VAR56; VAR77 = VAR12; VAR26 = VAR14; VAR3 = VAR6; VAR32 = VAR59; VAR23 = VAR27; VAR42 = VAR13; VAR69 = VAR63; VAR19 = VAR84; VAR73 = VAR21; VAR71 = VAR5; VAR38 = VAR50; VAR48 = VAR34; VAR8 = VAR80; VAR67 = VAR82; VAR20 = VAR11; VAR65 = (VAR30) ? VAR79 : VAR2; VAR76 = 1'b0; VAR16 = 1'b0; VAR70 = (~VAR43 & VAR37) ? 1'b1 : (~VAR37) ? 1'b0 : VAR17; VAR61 = 1'b0; VAR35 = 8'h00; VAR33 = 1'b0; VAR41 = 1'b0; VAR60 = 8'h00; VAR1 = 1'b0; if (VAR25 & ~VAR46) begin if (VAR57) begin case (VAR24) 3'h2: begin VAR23 = { VAR17, VAR36, VAR64, 5'b00000 }; VAR67 = 1'b0; VAR70 = 1'b0; end 3'h4: begin VAR23 = VAR15; end 3'h7: begin VAR23 = (VAR55[13:8] == 6'h3F) ? VAR54 : VAR2; VAR76 = 1'b1; VAR41 = 1'b1; end endcase end else begin case (VAR24) 3'h0: begin VAR42 = VAR45[7]; VAR69 = VAR45[5]; VAR32 = VAR45[4]; VAR19 = VAR45[3]; VAR73 = VAR45[2]; VAR49 = VAR45[1]; VAR3 = VAR45[0]; end 3'h1: begin VAR71 = VAR45[4]; VAR38 = VAR45[3]; VAR48 = ~VAR45[2]; VAR8 = ~VAR45[1]; end 3'h3: begin VAR20 = VAR45; end 3'h4: begin VAR60 = VAR45; VAR1 = 1'b1; VAR20 = VAR11 + 8'h01; end 3'h5: begin VAR67 = ~VAR82; if (~VAR82) begin VAR77 = VAR45[2:0]; VAR26 = VAR45[7:3]; end else begin VAR4 = VAR45[2:0]; VAR10 = VAR45[7:3]; end end 3'h6: begin VAR67 = ~VAR82; if (~VAR82) begin VAR4 = { 1'b0, VAR45[5:4] }; VAR49 = VAR45[3]; VAR3 = VAR45[2]; VAR10[4:3] = VAR45[1:0]; end else begin VAR10[2:0] = VAR45[7:5]; VAR26 = VAR45[4:0]; VAR16 = 1'b1; end end 3'h7: begin if (VAR55[13:8] == 6'h3F) VAR33 = 1'b1; end else VAR61 = 1'b1; VAR35 = VAR45; VAR41 = 1'b1; end endcase end end end assign VAR44 = (~VAR46 & VAR57) ? VAR27 : 8'h00; assign VAR68 = VAR58; assign VAR62 = VAR74; assign VAR83 = VAR56; assign VAR53 = VAR12; assign VAR51 = VAR14; assign VAR66 = VAR6; assign VAR18 = VAR59; assign VAR52 = VAR21; assign VAR75 = VAR13; assign VAR29 = VAR17; assign VAR22 = VAR50; assign VAR72 = VAR5; assign VAR31 = VAR80; assign VAR78 = VAR34; assign VAR39 = VAR63; assign VAR81 = VAR84; assign VAR47 = VAR9; assign VAR28 = VAR11; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/einvp/sky130_fd_sc_hs__einvp.functional.pp.v
1,764
module MODULE1 ( VAR2, VAR6, VAR4 , VAR11 , VAR9 ); input VAR2; input VAR6; output VAR4 ; input VAR11 ; input VAR9 ; wire VAR5 ; wire VAR1; VAR3 VAR10 (VAR5 , VAR11, VAR2, VAR6 ); VAR3 VAR7 (VAR1, VAR9, VAR2, VAR6 ); notif1 VAR8 (VAR4 , VAR5, VAR1); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/or3b/sky130_fd_sc_lp__or3b.behavioral.v
1,467
module MODULE1 ( VAR4 , VAR3 , VAR7 , VAR8 ); output VAR4 ; input VAR3 ; input VAR7 ; input VAR8; supply1 VAR6; supply0 VAR10; supply1 VAR2 ; supply0 VAR9 ; wire VAR5 ; wire VAR13; not VAR12 (VAR5 , VAR8 ); or VAR1 (VAR13, VAR7, VAR3, VAR5 ); buf VAR11 (VAR4 , VAR13 ); endmodule
apache-2.0
andrewandrepowell/axiplasma
hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_mig_7series_0_0/mig_wrap_mig_7series_0_0/user_design/rtl/phy/mig_7series_v4_0_ddr_phy_rdlvl.v
147,465
module MODULE1 # ( parameter VAR96 = 100, parameter VAR123 = 2, parameter VAR89 = 3333, parameter VAR289 = 64, parameter VAR23 = 3, parameter VAR175 = 8, parameter VAR260 = 8, parameter VAR180 = 1, parameter VAR320 = "VAR88", parameter VAR93 = "VAR329", parameter VAR218 = "VAR343", parameter VAR256 = "VAR361", parameter VAR115 = "VAR88", parameter VAR125 = "VAR88", parameter VAR429 = "VAR345" ) ( input clk, input rst, input VAR258, output VAR261, output reg VAR413, output VAR358, input VAR204, output VAR38 , output VAR244, output reg VAR207, output VAR159, output VAR366, output reg VAR426, output VAR282, output reg VAR253, input VAR112, input VAR178, input [4:0] VAR219, input [2*VAR123*VAR289-1:0] VAR13, input VAR43, input [5:0] VAR187, output reg VAR334, output reg VAR52, output reg VAR270, output reg VAR353, output reg [5:0] VAR408, output [VAR23:0] VAR277, output VAR381, output VAR97, input VAR380, input [VAR23:0] VAR333, output reg [5*VAR180*VAR289-1:0] VAR387, output reg VAR212, output [6*VAR175*VAR180-1:0] VAR86, output [6*VAR175*VAR180-1:0] VAR349, output [6*VAR175*VAR180-1:0] VAR285, output [5*VAR175*VAR180-1:0] VAR378, input VAR402, input VAR317, input VAR228, input VAR5, input [VAR23-1:0] VAR389, input VAR417, output [255:0] VAR121 ); localparam VAR412 = 16; localparam VAR303 = 8; localparam VAR242 = VAR303 / (2*VAR123); localparam VAR407 = 5; localparam VAR77 = (2 * VAR242) + VAR407 + 1; localparam VAR396 = (VAR123 == 2) ? 31 : (VAR77 < 8) ? 16 : (VAR77 + 8); localparam [11:0] VAR273 = 12'h001; localparam [11:0] VAR247 = 12'h001; localparam [5:0] VAR133 = 6'h00; localparam [5:0] VAR347 = 6'h01; localparam [5:0] VAR339 = 6'h02; localparam [5:0] VAR250 = 6'h03; localparam [5:0] VAR10 = 6'h04; localparam [5:0] VAR424 = 6'h05; localparam [5:0] VAR149 = 6'h06; localparam [5:0] VAR237 = 6'h07; localparam [5:0] VAR122 = 6'h08; localparam [5:0] VAR205 = 6'h09; localparam [5:0] VAR292 = 6'h0A; localparam [5:0] VAR286 = 6'h0B; localparam [5:0] VAR373 = 6'h0C; localparam [5:0] VAR306 = 6'h0D; localparam [5:0] VAR134 = 6'h0E; localparam [5:0] VAR246 = 6'h0F; localparam [5:0] VAR251 = 6'h10; localparam [5:0] VAR190 = 6'h11; localparam [5:0] VAR225 = 6'h12; localparam [5:0] VAR370 = 6'h13; localparam [5:0] VAR50 = 6'h14; localparam [5:0] VAR117 = 6'h15; localparam [5:0] VAR338 = 6'h16; localparam [5:0] VAR305 = 6'h17; localparam [5:0] VAR141 = 6'h18; localparam [5:0] VAR326 = 6'h19; localparam [5:0] VAR31 = 6'h1A; localparam [5:0] VAR248 = 6'h1B; localparam [5:0] VAR249 = 6'h1C; localparam [5:0] VAR7 = 6'h1D; localparam [5:0] VAR436 = 6'h1E; localparam [5:0] VAR325 = 6'h1F; localparam [5:0] VAR20 = 6'h20; localparam [5:0] VAR304 = 6'h21; localparam [5:0] VAR191 = 6'h22; integer VAR272; integer VAR269; integer VAR348; integer VAR28; integer VAR352; integer VAR46; integer VAR185; integer VAR252; integer VAR418; integer VAR351; integer VAR355; integer VAR99; integer VAR143; integer VAR233; integer VAR160; integer VAR103; integer VAR60; integer VAR433; integer VAR430; integer VAR295; integer VAR406; integer VAR367; integer VAR29; integer VAR375; integer VAR58; integer VAR239; genvar VAR216; genvar VAR363; reg [VAR23:0] VAR224; wire [VAR23+2:0]VAR368; reg [VAR23:0] VAR236; reg VAR416; reg VAR105; reg VAR422; reg VAR183; reg VAR100; reg VAR113; reg VAR371; reg [4:0] VAR364; reg VAR199; reg [VAR289-1:0] VAR47; reg VAR64; reg [4:0] VAR428 [0:VAR180-1][0:VAR289-1]; reg VAR235; reg [5:0] VAR15; reg [5:0] VAR245; reg [5:0] VAR220; reg [5:0] VAR435; reg [5:0] VAR311; reg [3:0] VAR34; reg VAR209; reg [5:0] VAR275; reg [5:0] VAR377; reg VAR165; reg VAR176; reg VAR135; reg VAR310; reg VAR94; reg VAR192; reg [5:0] VAR24; reg VAR71; reg [4:0] VAR17; reg VAR109; reg [VAR260-1:0] VAR360; reg [VAR260-1:0] VAR234; reg [VAR260-1:0] VAR211; reg [VAR260-1:0] VAR145; reg [VAR260-1:0] VAR33; reg [VAR260-1:0] VAR184; reg [VAR260-1:0] VAR208; reg [VAR260-1:0] VAR394; reg VAR6; reg VAR101; reg [VAR242-1:0] VAR354 [VAR260-1:0]; reg [VAR242-1:0] VAR150 [VAR260-1:0]; reg [VAR242-1:0] VAR55 [VAR260-1:0]; reg [VAR242-1:0] VAR84 [VAR260-1:0]; reg [VAR242-1:0] VAR291 [VAR260-1:0]; reg [VAR242-1:0] VAR328 [VAR260-1:0]; reg [VAR242-1:0] VAR30 [VAR260-1:0]; reg [VAR242-1:0] VAR116 [VAR260-1:0]; reg [VAR260-1:0] VAR59; reg [VAR260-1:0] VAR51; reg [VAR260-1:0] VAR374; reg [VAR260-1:0] VAR128; reg [VAR260-1:0] VAR18; reg [VAR260-1:0] VAR281; reg [VAR260-1:0] VAR127; reg [VAR260-1:0] VAR362; reg [4:0] VAR1 [VAR260-1:0]; reg [VAR260-1:0] VAR91; reg [VAR260-1:0] VAR342; reg [VAR260-1:0] VAR79; reg [VAR260-1:0] VAR427; reg [VAR260-1:0] VAR383; reg [VAR260-1:0] VAR266; reg VAR14; reg VAR162; reg VAR404; reg [5:0] VAR399; reg [VAR260-1:0] VAR372; reg [VAR242-1:0] VAR106 [VAR260-1:0]; reg [VAR242-1:0] VAR296 [VAR260-1:0]; reg [VAR242-1:0] VAR98 [VAR260-1:0]; reg [VAR242-1:0] VAR227 [VAR260-1:0]; reg [VAR242-1:0] VAR177 [VAR260-1:0]; reg [VAR242-1:0] VAR322 [VAR260-1:0]; reg [VAR242-1:0] VAR8 [VAR260-1:0]; reg [VAR242-1:0] VAR157 [VAR260-1:0]; reg [VAR260-1:0] VAR40; reg [VAR260-1:0] VAR265; reg [VAR260-1:0] VAR155; reg [VAR260-1:0] VAR410; reg [VAR260-1:0] VAR217; reg [VAR260-1:0] VAR142; reg [VAR260-1:0] VAR108; reg [VAR260-1:0] VAR21; reg [VAR260-1:0] VAR186; wire [VAR289-1:0] VAR331; wire [VAR289-1:0] VAR25; wire [VAR289-1:0] VAR104; wire [VAR289-1:0] VAR136; wire [VAR289-1:0] VAR226; wire [VAR289-1:0] VAR12; wire [VAR289-1:0] VAR161; wire [VAR289-1:0] VAR11; reg VAR170; reg VAR196; reg [11:0] VAR298; reg VAR293; reg [11:0] VAR182; reg [VAR23:0] VAR290; reg [5:0] VAR41; reg [VAR242-1:0] VAR369 [VAR260-1:0]; reg [VAR242-1:0] VAR288 [VAR260-1:0]; reg [VAR242-1:0] VAR87 [VAR260-1:0]; reg [VAR242-1:0] VAR401 [VAR260-1:0]; reg [VAR242-1:0] VAR332 [VAR260-1:0]; reg [VAR242-1:0] VAR202 [VAR260-1:0]; reg [VAR242-1:0] VAR119 [VAR260-1:0]; reg [VAR242-1:0] VAR284 [VAR260-1:0]; reg VAR340; reg VAR120; reg VAR316; reg VAR200; reg VAR390; reg VAR241; reg [VAR260-1:0] VAR48; reg [VAR260-1:0] VAR287; reg VAR148; reg VAR107; wire VAR9; wire [VAR242-1:0] VAR114 [3:0]; wire [VAR242-1:0] VAR384 [3:0]; wire [VAR242-1:0] VAR411 [3:0]; wire [VAR242-1:0] VAR391 [3:0]; wire [VAR242-1:0] VAR278 [3:0]; wire [VAR242-1:0] VAR434 [3:0]; wire [VAR242-1:0] VAR138 [3:0]; wire [VAR242-1:0] VAR379 [3:0]; reg [VAR260-1:0] VAR301; reg VAR382; reg [VAR260-1:0] VAR243; reg VAR131; reg [VAR260-1:0] VAR68; reg VAR400; reg [VAR260-1:0] VAR213; reg VAR203; reg [VAR260-1:0] VAR172; reg VAR169; reg [VAR260-1:0] VAR297; reg VAR66; reg [VAR260-1:0] VAR80; reg VAR221; reg [VAR260-1:0] VAR393; reg VAR45; reg [VAR260-1:0] VAR327; reg VAR37; reg [VAR260-1:0] VAR267; reg VAR65; reg [VAR260-1:0] VAR22; reg VAR308; reg [VAR260-1:0] VAR124; reg VAR166; reg [VAR260-1:0] VAR195; reg VAR146; reg [VAR260-1:0] VAR69; reg VAR392; reg [VAR260-1:0] VAR35; reg VAR300; reg [VAR260-1:0] VAR232; reg VAR118; reg [4:0] VAR189 [0:VAR180-1][0:VAR175-1]; reg [5*VAR175*VAR180-1:0] VAR254; reg [4:0] VAR54; reg VAR32; wire [VAR242-1:0] VAR61 [3:0]; wire [VAR242-1:0] VAR26 [3:0]; wire [VAR242-1:0] VAR144 [3:0]; wire [VAR242-1:0] VAR173 [3:0]; wire [VAR242-1:0] VAR336 [3:0]; wire [VAR242-1:0] VAR222 [3:0]; wire [VAR242-1:0] VAR2 [3:0]; wire [VAR242-1:0] VAR73 [3:0]; wire [VAR242-1:0] VAR403 [3:0]; wire [VAR242-1:0] VAR154 [3:0]; wire [VAR242-1:0] VAR57 [3:0]; wire [VAR242-1:0] VAR158 [3:0]; wire [VAR242-1:0] VAR397 [3:0]; wire [VAR242-1:0] VAR341 [3:0]; wire [VAR242-1:0] VAR62 [3:0]; wire [VAR242-1:0] VAR63 [3:0]; wire [VAR242-1:0] VAR388 [3:0]; wire [VAR242-1:0] VAR16 [3:0]; wire [VAR242-1:0] VAR421 [3:0]; wire [VAR242-1:0] VAR302 [3:0]; wire [VAR242-1:0] VAR110 [3:0]; wire [VAR242-1:0] VAR72 [3:0]; wire [VAR242-1:0] VAR67 [3:0]; wire [VAR242-1:0] VAR335 [3:0]; reg [VAR260-1:0] VAR164; reg [VAR260-1:0] VAR167; reg [VAR260-1:0] VAR279; reg [VAR260-1:0] VAR268; reg [VAR260-1:0] VAR330; reg [VAR260-1:0] VAR420; reg [VAR260-1:0] VAR315; reg [VAR260-1:0] VAR313; reg [VAR260-1:0] VAR126; reg [VAR260-1:0] VAR432; reg [VAR260-1:0] VAR194; reg [VAR260-1:0] VAR81; reg [VAR260-1:0] VAR137; reg [VAR260-1:0] VAR231; reg [VAR260-1:0] VAR76; reg [VAR260-1:0] VAR153; reg VAR376; reg VAR171; reg VAR294; reg VAR4; reg VAR356; reg VAR70; reg VAR129; reg VAR337; reg VAR230; reg VAR229; reg VAR179; reg VAR139; reg VAR415; reg VAR309; reg VAR314; reg VAR82; reg VAR271; reg VAR19; reg VAR197; reg VAR395; reg [4:0] VAR255; reg [5:0] VAR359 [0:VAR180-1][0:VAR175-1]; reg [1:0] VAR409; reg VAR240; reg [3:0] VAR215; reg [1:0] VAR151; reg [VAR23:0] VAR75; reg [VAR23:0] VAR357; wire [VAR23+2:0]VAR324; reg VAR264; reg VAR238; reg VAR385; reg VAR419; reg VAR111; reg VAR423; reg VAR398; reg VAR405; reg [3:0] VAR283; reg [5:0] VAR152; reg VAR27; reg VAR257; reg VAR102; reg VAR140; reg VAR319; reg VAR132; reg VAR276; reg VAR210; reg VAR53; reg VAR49; reg VAR85; reg VAR344; reg VAR259; reg VAR350; reg VAR307; reg VAR181; reg VAR425; reg [2:0] VAR42; reg VAR312; reg VAR90; reg VAR274; reg VAR163; reg VAR92; reg VAR346; wire [1:0] VAR3; wire VAR36; wire VAR299; reg [6*VAR175-1:0] VAR74; reg [6*VAR175-1:0] VAR414; reg [6*VAR175*VAR180-1:0] VAR39; reg VAR130; reg VAR280, VAR83, VAR386; reg VAR156; reg VAR223, VAR263, VAR318; assign VAR3 = (VAR125 == "VAR88") ? 2'b10: 2'b00; always @ VAR19; always @(posedge clk) always @(posedge clk) begin VAR382 && VAR66 && VAR131 && VAR221 && VAR400 && VAR45 && VAR203); end always @(posedge clk) begin VAR37 && VAR392 && VAR65 && VAR300 && VAR308 && VAR118 && VAR166); end assign VAR9 = VAR148 | VAR107; end else if (VAR123 == 2) begin: VAR198 for (VAR56 = 0; VAR56 < VAR260; VAR56 = VAR56 + 1) begin: VAR201 always @(posedge clk) begin if (VAR87[VAR56] == VAR403[VAR56%4]) end else if (VAR369[VAR56] == VAR154[VAR56%4]) end else if (VAR401[VAR56] == VAR57[VAR56%4]) end else if (VAR288[VAR56] == VAR158[VAR56%4]) else end always @(posedge clk) begin if (VAR87[VAR56] == VAR388[VAR56%4]) end else if (VAR369[VAR56] == VAR16[VAR56%4]) else if (VAR401[VAR56] == VAR421[VAR56%4]) else if (VAR288[VAR56] == VAR302[VAR56%4]) else end always @(posedge clk) begin if (VAR87[VAR56] == VAR61[VAR56%4]) end else if (VAR369[VAR56] == VAR114[VAR56%4]) else if (VAR401[VAR56] == VAR26[VAR56%4]) else if (VAR288[VAR56] == VAR384[VAR56%4]) else end always @(posedge clk) begin if (VAR87[VAR56] == VAR336[VAR56%4]) end else if (VAR369[VAR56] == VAR278[VAR56%4]) else if (VAR401[VAR56] == VAR222[VAR56%4]) else if (VAR288[VAR56] == VAR434[VAR56%4]) else end end always @(posedge clk) begin VAR171 && VAR294 && VAR4); end always @(posedge clk) begin VAR229 && VAR179 && VAR139); end always @(posedge clk) begin if (VAR241) VAR19; end always @(posedge clk) always @(posedge clk) begin VAR382 && VAR66 && VAR131); end always @(posedge clk) begin VAR37 && VAR392 && VAR65); end assign VAR9 = VAR148 | VAR107; end endgenerate always @(posedge clk) begin end always @(posedge clk) if (rst || (VAR259 && ~VAR204)) begin end else begin if (VAR6 && VAR258 && ~VAR259) begin if (VAR34 == 'b0) end else begin end end else if (VAR6 && VAR204) begin if (VAR34 == VAR242-1) begin end else begin end end else end always @(posedge clk) if (rst) end else begin if (VAR316) end else if ((VAR200 || VAR257) && VAR340) end generate if (VAR123 == 4) begin: VAR323 for (VAR363 = 0; VAR363 < VAR260; VAR363 = VAR363 + 1) begin: VAR168 always @(posedge clk) begin if (VAR200 || VAR257) begin end if ((VAR200 || VAR257) && VAR340) begin end end end end else if (VAR123 == 2) begin: VAR193 for (VAR363 = 0; VAR363 < VAR260; VAR363 = VAR363 + 1) begin: VAR168 always @(posedge clk) begin if (VAR200 || VAR257) begin end if ((VAR200 || VAR257) && VAR340) begin end end end end endgenerate always @(posedge clk) begin end generate if (VAR123 == 4) begin: VAR431 for (VAR363 = 0; VAR363 < VAR260; VAR363 = VAR363 + 1) begin: VAR44 always @(posedge clk) begin if ((VAR9 || VAR102) && (VAR87[VAR363] == VAR55[VAR363])) end else if (~VAR102 && VAR258 && ~VAR259) end else if ((VAR9 || VAR102) && (VAR369[VAR363] == VAR354[VAR363])) end else if (~VAR102 && VAR258 && ~VAR259) end else if ((VAR9 || VAR102) && (VAR401[VAR363] == VAR84[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR288[VAR363] == VAR150[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR119[VAR363] == VAR30[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR332[VAR363] == VAR291[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR284[VAR363] == VAR116[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR202[VAR363] == VAR328[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR87[VAR363] == VAR98[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR369[VAR363] == VAR106[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR401[VAR363] == VAR227[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR288[VAR363] == VAR296[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR119[VAR363] == VAR8[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR332[VAR363] == VAR177[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR284[VAR363] == VAR157[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR9 || VAR102) && (VAR202[VAR363] == VAR322[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else VAR374[VAR363] & VAR59[VAR363] & VAR128[VAR363] & VAR51[VAR363] & VAR127[VAR363] & VAR18[VAR363] & VAR362[VAR363] & VAR281[VAR363]; VAR410[VAR363] & VAR265[VAR363] & VAR217[VAR363] & VAR155[VAR363] & VAR21[VAR363] & VAR142[VAR363] & VAR186[VAR363] & VAR108[VAR363]; if (VAR241 || VAR140) begin end else begin end end end end if (VAR123 == 2) begin: VAR174 for (VAR363 = 0; VAR363 < VAR260; VAR363 = VAR363 + 1) begin: VAR44 always @(posedge clk) begin if ((VAR200 || VAR102) && (VAR87[VAR363] == VAR55[VAR363])) end else if (~VAR102 && VAR258 && ~VAR259) end else if ((VAR200 || VAR102) && (VAR369[VAR363] == VAR354[VAR363])) end else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR200 || VAR102) && (VAR401[VAR363] == VAR84[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR200 || VAR102) && (VAR288[VAR363] == VAR150[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR200 || VAR102) && (VAR87[VAR363] == VAR98[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR200 || VAR102) && (VAR369[VAR363] == VAR106[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR200 || VAR102) && (VAR401[VAR363] == VAR227[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else if ((VAR200 || VAR102) && (VAR288[VAR363] == VAR296[VAR363])) else if (~VAR102 && VAR258 && ~VAR259) else VAR374[VAR363] & VAR59[VAR363] & VAR128[VAR363] & VAR51[VAR363]; VAR410[VAR363] & VAR265[VAR363] & VAR217[VAR363] & VAR155[VAR363]; if (VAR241 || VAR140) begin end else begin end end end end endgenerate always @(posedge clk) (VAR15 == VAR250) || (VAR15 == VAR122) || (VAR15 == VAR190) || (VAR15 == VAR338); always @(posedge clk) if (rst) else begin if (!VAR196) end else if (VAR241 || VAR140) end always @(posedge clk) if (rst) else begin if ((VAR298 == VAR273) && (VAR241 || VAR140)) end else end always @(posedge clk) if (rst) else if (!VAR196) else if (VAR293) always @(posedge clk) if (rst) else begin if (!VAR196) end else if ((VAR93 == "VAR78") || (VAR93 == "VAR365")) begin if (VAR298 == VAR77-1) end else begin if (VAR182 == VAR247) end end assign VAR36 = (VAR15 == VAR339) || (VAR15 == VAR251) || (VAR15 == VAR117); assign VAR299 = (VAR15 == VAR250) || (VAR15 == VAR122) || (VAR15 == VAR190) || (VAR15 == VAR338); generate for (VAR363 = 0; VAR363 < VAR260; VAR363 = VAR363 + 1) begin: VAR188 always @(posedge clk) begin if (VAR36) begin end else if (VAR299) begin if (!VAR91[VAR363]) begin if (VAR170) begin if (!VAR79[VAR363] && !VAR266[VAR363]) begin if (VAR1[VAR363] != VAR412-1) end end else begin end end else if (VAR372[VAR363]) begin end else if (VAR48[VAR363] || VAR266[VAR363]) begin end end end else begin end end end endgenerate always @(posedge clk) begin end always @(posedge clk) if (VAR36) end else if (VAR209) always @(posedge clk) if (rst) VAR54 <= 5'h0; end else VAR54 <= VAR189[VAR409][VAR368]; always @(posedge clk) if (rst || (VAR93 == "VAR95")) begin for (VAR60 = 0; VAR60 < VAR180; VAR60 = VAR60 + 1) begin for (VAR433 = 0; VAR433 < VAR175; VAR433 = VAR433 + 1) begin end end end else if (VAR93 == "VAR78") begin for (VAR430 = 0; VAR430 < VAR180; VAR430 = VAR430 + 1) begin for (VAR295 = 0; VAR295 < VAR175; VAR295 = VAR295 + 1) begin if (VAR416) begin if (VAR105) end else end end end end else if ((VAR409 == VAR180-1) && (VAR180 == 2) && VAR240 && (VAR15 == VAR133)) begin for (VAR352 = 0; VAR352 < VAR175; VAR352 = VAR352 + 1) begin end end else if (VAR416) begin if (VAR105) end else end else if (VAR380) always @(posedge clk) if (rst || VAR101) end else if (VAR189[VAR409][VAR224] == 'd31) always @(posedge clk) if (rst || VAR101 || (VAR350 && ~VAR307)) end else if (VAR422) begin if (VAR183) end else if (VAR24 != 'd0) end always @(posedge clk) if (rst || VAR101 || (VAR245 == VAR10) || (VAR350 && ~VAR307)) end else if (VAR24 == 6'd63) always @(posedge clk) assign VAR368 = {2'b00, VAR224}; always @(posedge clk) begin if (rst) begin for (VAR272 = 0; VAR272 < VAR180; VAR272 = VAR272 + 1) begin: VAR262 for (VAR269 = 0; VAR269 < VAR175; VAR269 = VAR269 + 1) end end else if ((VAR93 == "VAR78") & (VAR245 == VAR134)) begin for (VAR160 = 0; VAR160 < VAR180; VAR160 = VAR160 +1) begin: VAR147 for(VAR103 = 0; VAR103 < VAR175; VAR103 = VAR103 +1) begin: VAR206 end end end else if (VAR93 == "VAR95") begin for (VAR418 = 0; VAR418 < VAR180; VAR418 = VAR418 +1) begin: VAR214 for(VAR252 = 0; VAR252 < VAR175; VAR252 = VAR252 +1) begin: VAR321 end end end else if (VAR245 == VAR134) begin end end always @(posedge clk) if (rst) begin end else if (VAR101) begin end else if (|VAR100) begin if (VAR113) end else if (VAR17 == 31) end else end always @(posedge clk) begin end always @(posedge clk) if (rst) begin if (VAR115 == "VAR88") end else end else begin case (VAR15) VAR133: begin if (VAR258 && ~VAR181) begin end else begin if (VAR204 && ~VAR238) begin if (VAR93 == "VAR95") end else if (VAR93 == "VAR78") end else begin end end end end VAR7: begin if (!VAR199 && VAR257) end VAR347: begin if (VAR320 == "VAR343") end else if (VAR320 == "VAR88") end else VAR251: if (!VAR199) VAR190: if (VAR209) begin if (VAR310) begin end else begin if (!VAR71) begin end else begin end end end VAR225: begin end VAR370: begin if (!VAR199) begin end end VAR50: if (VAR311 == 6'b000000) end else begin end VAR117: if (!VAR199) VAR338: if (VAR209) if (VAR192) begin end else if (!VAR109) end else begin end VAR305: begin end VAR141: if (!VAR199) VAR326: if (VAR311 == 6'b000000) else begin end VAR31: if (!VAR199) begin end VAR339: begin if (!VAR199) end VAR436: begin if (!VAR199) end VAR325: begin if (VAR90 == 1'b0) begin end else if (VAR90 && VAR274) begin end else if (!VAR32) end else end VAR250: begin if (VAR209) begin if (VAR197) begin case (VAR3) 2'b01: begin VAR15 <= VAR10; end end default: begin end endcase end else if (!VAR32) begin end else begin end end end VAR10: begin end VAR424: begin if (!VAR199) begin if (VAR346) end else if (~VAR259 & (VAR256 == "VAR361")) end else end end VAR149: begin if (VAR255 >= 'd0) end else if (VAR255 > 'd0) else end VAR237: begin if (!VAR199) begin if ((VAR255 > 'd0) || (VAR152 > 'd0)) end else if (VAR92) end else end end VAR122: begin if (!VAR120) begin end else begin end if (VAR209) begin if (VAR71) end else if (VAR165) begin if (!VAR176 && VAR94) begin if (VAR24 == 'd0) end else end if (VAR176 && VAR94) begin end else begin end end else end end VAR304: begin if (!VAR199) end VAR205: begin if (~VAR71) begin end else begin end end VAR292: begin if (!VAR199) begin end VAR286: begin if (VAR135) VAR311 VAR377)>>1) + 1; end else if (VAR275 > 6'd0) VAR311 end else if (VAR176) VAR311 else VAR311 end VAR191: begin if(!VAR199) end VAR373: begin if (VAR311 == 6'b000001) begin if (VAR92) begin if (|VAR189[VAR409][VAR368]) begin end else end else end else end VAR306: begin if (!VAR199) end VAR134: begin if ((VAR93 == "VAR78") || (VAR224 >= VAR175-1)) begin if (VAR259) begin end else begin end end if (VAR112) begin if (VAR93 == "VAR78") begin if (~VAR259) begin end else begin if (VAR409 == VAR180-1) begin end else begin end end end else begin end end end VAR20: begin if (!VAR199) begin if (~VAR259 & (VAR256 == "VAR361")) end else end end VAR248: begin if ((VAR151 == VAR180-1) && ((VAR75 == VAR175-1) && (VAR215 == 4'd1))) begin end else end VAR249: begin end VAR246: begin if (~VAR259 && (VAR115=="VAR88") && (VAR256 == "VAR361")) begin end else end endcase end endmodule
mit
OpticalMeasurementsSystems/2DImageProcessing
2d_image_processing.srcs/sources_1/bd/image_processing_2d_design/ipshared/xilinx.com/axi_crossbar_v2_1/hdl/verilog/axi_crossbar_v2_1_wdata_mux.v
6,888
module MODULE1 # ( parameter VAR6 = "none", parameter integer VAR25 = 1, parameter integer VAR29 = 1, parameter integer VAR44 = 1, parameter integer VAR19 = 0 ) ( input wire VAR11, input wire VAR31, input wire [VAR29*VAR25-1:0] VAR22, input wire [VAR29-1:0] VAR37, input wire [VAR29-1:0] VAR20, output wire [VAR29-1:0] VAR42, output wire [VAR25-1:0] VAR26, output wire VAR32, output wire VAR2, input wire VAR47, input wire [VAR44-1:0] VAR27, input wire VAR5, output wire VAR28 ); localparam integer VAR8 = (VAR19 <= 5) ? VAR19 : 5; function [VAR29-1:0] VAR21 ( input [VAR44-1:0] sel ); integer VAR45; begin for (VAR45=0; VAR45<VAR29; VAR45=VAR45+1) begin VAR21[VAR45] = (sel == VAR45); end end endfunction wire VAR30; wire VAR12; wire [VAR29-1:0] VAR4; wire [VAR44-1:0] VAR7; wire VAR10; wire VAR46; generate if (VAR29>1) begin : VAR18 VAR48 # ( .VAR6 (VAR6), .VAR9 (VAR44), .VAR19 (VAR8), .VAR15 (0) ) VAR24 ( .VAR11 (VAR11), .VAR31 (VAR31), .VAR3 (VAR27), .VAR1 (VAR5), .VAR41 (VAR28), .VAR36 (VAR7), .VAR16 (VAR10), .VAR38 (VAR46) ); assign VAR4 = VAR21(VAR7); VAR23 # ( .VAR6 ("VAR34"), .VAR17 (VAR29), .VAR49 (VAR44), .VAR33 (VAR25) ) VAR43 ( .VAR40 (VAR7), .VAR35 (VAR22), .VAR39 (VAR26), .VAR13 (1'b1) ); assign VAR12 = |(VAR37 & VAR4); assign VAR30 = |(VAR20 & VAR4); assign VAR46 = VAR30 & VAR10 & VAR12 & VAR47; assign VAR32 = VAR12; assign VAR2 = VAR30 & VAR10; assign VAR42 = VAR4 & {VAR29{VAR10 & VAR47}}; end else begin : VAR14 assign VAR28 = 1'b1; assign VAR2 = VAR20; assign VAR42 = VAR47; assign VAR32 = VAR37; assign VAR26 = VAR22; end endgenerate endmodule
gpl-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v
4,775
module MODULE1 ,parameter VAR10(VAR13) ,parameter VAR10(VAR5) ,parameter VAR10(VAR58) ,parameter VAR29 = 1 ,parameter VAR4 = 0 ) (input VAR24 ,input VAR71 ,input [VAR29-1:0][VAR35(VAR41)-1:0] VAR31 ,output [VAR29-1:0][VAR35(VAR41)-1:0] VAR46 ,input [VAR35(VAR41)-1:0] VAR60 ,output [VAR35(VAR41)-1:0] VAR19 ); VAR59 [VAR29-1:0] VAR15, VAR72; VAR59 VAR33, VAR48; assign VAR15 = VAR31; assign VAR46 = VAR72; assign VAR33 = VAR60; assign VAR19 = VAR48; genvar VAR21,VAR2; for (VAR21 = 0; VAR21 < VAR29; VAR21++) begin : VAR12 assign VAR72[VAR21].VAR14 = 1'b0; end assign VAR48.VAR32 = 1'b0; assign VAR48.VAR20 = 1'b0; wire [VAR41-1:0] VAR54; wire VAR23; wire VAR68; wire [VAR29-1:0] VAR50; wire [VAR29-1:0] VAR37; wire VAR67 = | VAR37; VAR56 #(.VAR65(VAR41)) VAR70 (.VAR24 ,.VAR71 ,.VAR3(VAR48.VAR14) ,.VAR27 (VAR33.VAR20) ,.VAR36 (VAR33.VAR32) ,.VAR26 (VAR23) ,.VAR62 (VAR54 ) ,.VAR45 (VAR67) ); VAR44 VAR34; assign VAR34 = VAR54[VAR22(VAR44)-1:0]; wire [VAR29-1:0] VAR9; VAR49 #(.VAR47(VAR29)) VAR73 (.VAR21(VAR34.VAR55[0+:VAR57(VAR29)]) ,.VAR18(VAR9) ); VAR61 #(.VAR66(VAR29), .VAR28(VAR22(VAR34.VAR11))) VAR16 (.VAR24 ,.VAR71 ,.VAR40 (VAR23) ,.VAR6 (VAR67) ,.VAR25(VAR9) ,.VAR7 (VAR34.VAR11) ,.VAR52 (VAR50) ,.VAR42 (VAR68) ,.VAR30 () ); for (VAR21 = 0; VAR21 < VAR29; VAR21=VAR21+1) begin: VAR1 VAR43 #(.VAR17(1)) VAR69 (.VAR24 ,.VAR71 ,.VAR38 (VAR50[VAR21] ) ,.VAR64 (VAR68) ,.VAR51 (VAR23) ,.VAR63 (VAR37[VAR21]) ,.VAR8 (VAR15[VAR21].VAR14) ,.VAR39 (VAR72[VAR21].VAR32) ,.VAR53() ); assign VAR72[VAR21].VAR20 = VAR54; end endmodule
bsd-3-clause
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfsbp/sky130_fd_sc_ls__dfsbp.symbol.v
1,413
module MODULE1 ( input VAR5 , output VAR7 , output VAR3 , input VAR9, input VAR6 ); supply1 VAR1; supply0 VAR4; supply1 VAR2 ; supply0 VAR8 ; endmodule
apache-2.0
intelligenttoasters/CPC2.0
FPGA/rtl/Altera/bidirbuf_bb.v
3,486
module MODULE1 ( VAR3, VAR2, VAR4, VAR1); input [15:0] VAR3; input [15:0] VAR2; inout [15:0] VAR4; output [15:0] VAR1; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/diode/sky130_fd_sc_hdll__diode_4.v
1,994
module MODULE1 ( VAR7, VAR6 , VAR2 , VAR3 , VAR4 ); input VAR7; input VAR6 ; input VAR2 ; input VAR3 ; input VAR4 ; VAR5 VAR1 ( .VAR7(VAR7), .VAR6(VAR6), .VAR2(VAR2), .VAR3(VAR3), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR7 ); input VAR7; supply1 VAR6; supply0 VAR2; supply1 VAR3 ; supply0 VAR4 ; VAR5 VAR1 ( .VAR7(VAR7) ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/dram_v6_mig34/mig_v3_4/user_design/rtl/controller/arb_mux.v
17,640
module MODULE1 # ( parameter VAR15 = 100, parameter VAR8 = "VAR89", parameter VAR93 = 11, parameter VAR58 = 3, parameter VAR92 = "8", parameter VAR71 = 4, parameter VAR40 = 31, parameter VAR14 = 8, parameter VAR20 = "VAR37", parameter VAR42 = "VAR69", parameter VAR76 = "VAR69", parameter VAR4 = 4, parameter VAR73 = 2, parameter VAR65 = 1, parameter VAR16 = 2, parameter VAR31 = 2, parameter VAR55 = 15, parameter VAR94 = 2, parameter VAR22 = 63, parameter VAR81 = 16, parameter VAR51 = "40", parameter VAR11 = "120", parameter VAR91 = 8'b00000101, parameter VAR75 = 8'b00001010 ) ( VAR64, VAR45, VAR34, VAR19, VAR62, VAR77, VAR49, VAR84, VAR52, VAR30, VAR78, VAR74, VAR18, VAR63, VAR48, VAR68, VAR2, VAR46, VAR3, VAR27, VAR24, VAR25, VAR54, VAR60, VAR67, VAR59, VAR57, VAR47, VAR6, VAR66, VAR72, VAR90, VAR56, VAR28, VAR36, VAR43, VAR79, VAR95, VAR1, VAR53, VAR29, VAR13, VAR41, VAR80, VAR85, VAR87, VAR9, VAR5, VAR38, VAR21, VAR50, VAR23, VAR10, VAR44, VAR35, VAR17, clk, rst ); input [VAR22:0] VAR17; input [VAR4-1:0] VAR35; input VAR44; input VAR10; input [VAR94-1:0] VAR23; input VAR50; input [VAR4-1:0] VAR21; input [VAR93:0] VAR38; input [VAR4-1:0] VAR5; input [VAR40:0] VAR9; input [VAR4-1:0] VAR87; input [VAR55:0] VAR85; input [VAR4-1:0] VAR80; input [VAR22:0] VAR41; input [VAR4-1:0] VAR13; input [VAR4-1:0] VAR29; input [VAR22:0] VAR53; input [VAR4-1:0] VAR1; input [VAR4-1:0] VAR95; input [VAR4-1:0] VAR79; input [VAR4-1:0] VAR43; input [7:0] VAR36; input [7:0] VAR28; output [VAR81-1:0] VAR66; output [VAR58-1:0] VAR6; output [VAR14-1:0] VAR47; output VAR57; output [VAR94-1:0] VAR59; output VAR67; output [VAR81-1:0] VAR60; output VAR54; output [VAR14-1:0] VAR25; output [VAR81-1:0] VAR24; output [VAR81-1:0] VAR27; output [VAR58-1:0] VAR3; output [VAR58-1:0] VAR46; output VAR2; output VAR68; output [(VAR71*VAR65)-1:0] VAR48; output [(VAR71*VAR65)-1:0] VAR63; output [(VAR31*VAR65)-1:0] VAR18; output [(VAR31*VAR65)-1:0] VAR74; output [(VAR31*VAR65)-1:0] VAR78; output [(VAR31*VAR65)-1:0] VAR30; output VAR52; output VAR84; output VAR49; output VAR77; output [VAR94:0] VAR62; output VAR19; output [VAR4-1:0] VAR34; output VAR45; output VAR64; wire VAR32; wire VAR33; wire VAR39; wire [VAR4-1:0] VAR83; wire [VAR4-1:0] VAR82; wire [VAR4-1:0] VAR7; wire [VAR4-1:0] VAR12; wire VAR61; wire VAR86; input clk; input rst; output [VAR4-1:0] VAR72; output VAR90; output VAR56; VAR96 # ( .VAR15 (VAR15), .VAR8 (VAR8), .VAR42 (VAR42), .VAR4 (VAR4), .VAR73 (VAR73), .VAR16 (VAR16)) VAR26 ( .VAR12 (VAR12[VAR4-1:0]), .VAR64 (VAR64), .VAR34 (VAR34[VAR4-1:0]), .VAR7 (VAR7[VAR4-1:0]), .VAR90 (VAR90), .VAR39 (VAR39), .VAR19 (VAR19), .VAR83 (VAR83[VAR4-1:0]), .VAR72 (VAR72[VAR4-1:0]), .VAR45 (VAR45), .VAR82 (VAR82[VAR4-1:0]), .VAR61 (VAR61), .VAR86 (VAR86), .VAR32 (VAR32), .VAR33 (VAR33), .VAR56 (VAR56), .clk (clk), .rst (rst), .VAR43 (VAR43[VAR4-1:0]), .VAR10 (VAR10), .VAR79 (VAR79[VAR4-1:0]), .VAR95 (VAR95[VAR4-1:0]), .VAR44 (VAR44), .VAR35 (VAR35[VAR4-1:0])); VAR88 # ( .VAR15 (VAR15), .VAR8 (VAR8), .VAR93 (VAR93), .VAR58 (VAR58), .VAR92 (VAR92), .VAR71 (VAR71), .VAR40 (VAR40), .VAR14 (VAR14), .VAR20 (VAR20), .VAR42 (VAR42), .VAR76 (VAR76), .VAR4 (VAR4), .VAR73 (VAR73), .VAR65 (VAR65), .VAR31 (VAR31), .VAR55 (VAR55), .VAR94 (VAR94), .VAR22 (VAR22), .VAR81 (VAR81), .VAR51 (VAR51), .VAR11 (VAR11), .VAR91 (VAR91), .VAR75 (VAR75)) VAR70 ( .VAR57 (VAR57), .VAR59 (VAR59[VAR94-1:0]), .VAR6 (VAR6[VAR58-1:0]), .VAR66 (VAR66[VAR81-1:0]), .VAR67 (VAR67), .VAR54 (VAR54), .VAR60 (VAR60[VAR81-1:0]), .VAR47 (VAR47[VAR14-1:0]), .VAR25 (VAR25[VAR14-1:0]), .VAR3 (VAR3[VAR58-1:0]), .VAR24 (VAR24[VAR81-1:0]), .VAR52 (VAR52), .VAR2 (VAR2), .VAR49 (VAR49), .VAR46 (VAR46[VAR58-1:0]), .VAR27 (VAR27[VAR81-1:0]), .VAR84 (VAR84), .VAR68 (VAR68), .VAR77 (VAR77), .VAR48 (VAR48[(VAR71*VAR65)-1:0]), .VAR63 (VAR63[(VAR71*VAR65)-1:0]), .VAR62 (VAR62[VAR94:0]), .VAR18 (VAR18[(VAR31*VAR65)-1:0]), .VAR78 (VAR78[(VAR31*VAR65)-1:0]), .VAR74 (VAR74[(VAR31*VAR65)-1:0]), .VAR30 (VAR30[(VAR31*VAR65)-1:0]), .clk (clk), .rst (rst), .VAR85 (VAR85[VAR55:0]), .VAR38 (VAR38[VAR93:0]), .VAR80 (VAR80[VAR4-1:0]), .VAR5 (VAR5[VAR4-1:0]), .VAR29 (VAR29[VAR4-1:0]), .VAR12 (VAR12[VAR4-1:0]), .VAR53 (VAR53[VAR22:0]), .VAR1 (VAR1[VAR4-1:0]), .VAR56 (VAR56), .VAR50 (VAR50), .VAR23 (VAR23[VAR94-1:0]), .VAR87 (VAR87[VAR4-1:0]), .VAR13 (VAR13[VAR4-1:0]), .VAR21 (VAR21[VAR4-1:0]), .VAR41 (VAR41[VAR22:0]), .VAR17 (VAR17[VAR22:0]), .VAR9 (VAR9[VAR40:0]), .VAR83 (VAR83[VAR4-1:0]), .VAR82 (VAR82[VAR4-1:0]), .VAR61 (VAR61), .VAR86 (VAR86), .VAR32 (VAR32), .VAR33 (VAR33), .VAR39 (VAR39), .VAR7 (VAR7[VAR4-1:0]), .VAR90 (VAR90), .VAR36 (VAR36[7:0]), .VAR28 (VAR28[7:0])); endmodule
lgpl-3.0
alexforencich/verilog-ethernet
example/S10MX_DK/fpga_10g/rtl/fpga.v
11,105
module MODULE1 ( input wire VAR185, input wire VAR212, output wire [3:0] VAR94, output wire [3:0] VAR69, input wire [3:0] VAR150, input wire VAR72, output wire VAR96, output wire VAR57, input wire VAR148, output wire VAR61, input wire VAR103, output wire [3:0] VAR129, input wire [3:0] VAR32, input wire VAR26, output wire VAR22, output wire VAR139, input wire VAR89, output wire VAR38, input wire VAR98 ); wire VAR76; VAR122 VAR107 ( .VAR76 (VAR76) ); wire VAR166 = VAR185; wire VAR35; VAR182 #( .VAR126(4) ) VAR133 ( .clk(VAR166), .rst(~VAR212 || VAR76), .out(VAR35) ); wire VAR214; wire VAR120; assign VAR96 = 1'b0; assign VAR57 = 1'b1; assign VAR61 = 1'b0; wire VAR21; wire VAR163; wire [63:0] VAR189; wire [7:0] VAR192; wire VAR184; wire VAR169; wire [63:0] VAR115; wire [7:0] VAR111; wire VAR92; wire VAR168; wire [63:0] VAR112; wire [7:0] VAR204; wire VAR113; wire VAR3; wire [63:0] VAR31; wire [7:0] VAR79; wire VAR66; wire VAR104; wire [63:0] VAR63; wire [7:0] VAR75; wire VAR13; wire VAR88; wire [63:0] VAR188; wire [7:0] VAR27; wire VAR128; wire VAR70; wire [63:0] VAR151; wire [7:0] VAR137; wire VAR44; wire VAR215; wire [63:0] VAR180; wire [7:0] VAR91; assign VAR214 = VAR21; assign VAR120 = VAR163; wire VAR211; wire VAR154; wire VAR36; wire VAR9; VAR84 VAR12 ( .VAR119(VAR166), .VAR47(VAR35), .VAR54(VAR72), .VAR199(VAR69), .VAR46(VAR150), .VAR86(VAR21), .VAR14(VAR163), .VAR1(VAR189), .VAR53(VAR192), .VAR176(VAR184), .VAR164(VAR169), .VAR24(VAR115), .VAR195(VAR111), .VAR201(VAR211), .VAR40(), .VAR117(VAR92), .VAR123(VAR168), .VAR73(VAR112), .VAR142(VAR204), .VAR118(VAR113), .VAR95(VAR3), .VAR121(VAR31), .VAR141(VAR79), .VAR68(VAR154), .VAR58(), .VAR64(VAR66), .VAR181(VAR104), .VAR7(VAR63), .VAR187(VAR75), .VAR132(VAR13), .VAR177(VAR88), .VAR99(VAR188), .VAR55(VAR27), .VAR131(VAR36), .VAR135(), .VAR174(VAR128), .VAR5(VAR70), .VAR82(VAR151), .VAR153(VAR137), .VAR213(VAR44), .VAR15(VAR215), .VAR178(VAR180), .VAR93(VAR91), .VAR29(VAR9), .VAR56() ); assign VAR22 = 1'b0; assign VAR139 = 1'b1; assign VAR38 = 1'b0; wire VAR30; wire VAR161; wire [63:0] VAR127; wire [7:0] VAR171; wire VAR48; wire VAR43; wire [63:0] VAR196; wire [7:0] VAR37; wire VAR143; wire VAR17; wire [63:0] VAR209; wire [7:0] VAR39; wire VAR78; wire VAR155; wire [63:0] VAR125; wire [7:0] VAR205; wire VAR116; wire VAR156; wire [63:0] VAR124; wire [7:0] VAR52; wire VAR197; wire VAR77; wire [63:0] VAR108; wire [7:0] VAR74; wire VAR28; wire VAR149; wire [63:0] VAR106; wire [7:0] VAR183; wire VAR130; wire VAR105; wire [63:0] VAR11; wire [7:0] VAR51; wire VAR179; wire VAR165; wire VAR190; wire VAR157; VAR84 VAR203 ( .VAR119(VAR166), .VAR47(VAR35), .VAR54(VAR26), .VAR199(VAR129), .VAR46(VAR32), .VAR86(VAR30), .VAR14(VAR161), .VAR1(VAR127), .VAR53(VAR171), .VAR176(VAR48), .VAR164(VAR43), .VAR24(VAR196), .VAR195(VAR37), .VAR201(VAR179), .VAR40(), .VAR117(VAR143), .VAR123(VAR17), .VAR73(VAR209), .VAR142(VAR39), .VAR118(VAR78), .VAR95(VAR155), .VAR121(VAR125), .VAR141(VAR205), .VAR68(VAR165), .VAR58(), .VAR64(VAR116), .VAR181(VAR156), .VAR7(VAR124), .VAR187(VAR52), .VAR132(VAR197), .VAR177(VAR77), .VAR99(VAR108), .VAR55(VAR74), .VAR131(VAR190), .VAR135(), .VAR174(VAR28), .VAR5(VAR149), .VAR82(VAR106), .VAR153(VAR183), .VAR213(VAR130), .VAR15(VAR105), .VAR178(VAR11), .VAR93(VAR51), .VAR29(VAR157), .VAR56() ); VAR147 VAR109 ( .clk(VAR214), .rst(VAR120), .VAR94(VAR94), .VAR198(VAR21), .VAR144(VAR163), .VAR146(VAR189), .VAR138(VAR192), .VAR50(VAR184), .VAR158(VAR169), .VAR193(VAR115), .VAR191(VAR111), .VAR83(VAR92), .VAR140(VAR168), .VAR206(VAR112), .VAR2(VAR204), .VAR34(VAR113), .VAR10(VAR3), .VAR97(VAR31), .VAR59(VAR79), .VAR4(VAR66), .VAR18(VAR104), .VAR152(VAR63), .VAR167(VAR75), .VAR81(VAR13), .VAR25(VAR88), .VAR194(VAR188), .VAR210(VAR27), .VAR42(VAR128), .VAR8(VAR70), .VAR33(VAR151), .VAR85(VAR137), .VAR145(VAR44), .VAR90(VAR215), .VAR159(VAR180), .VAR162(VAR91), .VAR160(VAR30), .VAR175(VAR161), .VAR100(VAR127), .VAR41(VAR171), .VAR67(VAR48), .VAR20(VAR43), .VAR71(VAR196), .VAR16(VAR37), .VAR114(VAR143), .VAR110(VAR17), .VAR207(VAR209), .VAR208(VAR39), .VAR62(VAR78), .VAR136(VAR155), .VAR23(VAR125), .VAR49(VAR205), .VAR202(VAR116), .VAR200(VAR156), .VAR19(VAR124), .VAR172(VAR52), .VAR65(VAR197), .VAR80(VAR77), .VAR170(VAR108), .VAR102(VAR74), .VAR134(VAR28), .VAR186(VAR149), .VAR101(VAR106), .VAR173(VAR183), .VAR60(VAR130), .VAR87(VAR105), .VAR6(VAR11), .VAR45(VAR51) ); endmodule
mit
racerxdl/SuperINT
Slave Codes/FPGA/SerialRX.v
3,321
module MODULE1(clk, VAR11, VAR6, VAR2, VAR13, VAR1); input clk, VAR11; output VAR6; output [7:0] VAR2; parameter VAR16 = 16000000; parameter VAR3 = 115200; output VAR13; output VAR1; parameter VAR18 = VAR3*8; parameter VAR7 = 16; wire [VAR7:0] VAR14 = ((VAR18<<(VAR7-7))+(VAR16>>8))/(VAR16>>7); reg [VAR7:0] VAR10; always @(posedge clk) VAR10 <= VAR10[VAR7-1:0] + VAR14; wire VAR4 = VAR10[VAR7]; reg [1:0] VAR8; always @(posedge clk) if(VAR4) VAR8 <= {VAR8[0], ~VAR11}; reg [1:0] VAR17; reg VAR5; always @(posedge clk) if(VAR4) begin if( VAR8[1] && VAR17!=2'b11) VAR17 <= VAR17 + 2'h1; end else if(~VAR8[1] && VAR17!=2'b00) VAR17 <= VAR17 - 2'h1; if(VAR17==2'b00) VAR5 <= 1'b0; else if(VAR17==2'b11) VAR5 <= 1'b1; end reg [3:0] state; reg [3:0] VAR9; wire VAR12 = (VAR9==4'd10); always @(posedge clk) if(state==0) VAR9 <= 4'b0000; else if(VAR4) VAR9 <= {VAR9[2:0] + 4'b0001} | {VAR9[3], 3'b000}; always @(posedge clk) if(VAR4) case(state) 4'b0000: if(VAR5) state <= 4'b1000; 4'b1000: if(VAR12) state <= 4'b1001; 4'b1001: if(VAR12) state <= 4'b1010; 4'b1010: if(VAR12) state <= 4'b1011; 4'b1011: if(VAR12) state <= 4'b1100; 4'b1100: if(VAR12) state <= 4'b1101; 4'b1101: if(VAR12) state <= 4'b1110; 4'b1110: if(VAR12) state <= 4'b1111; 4'b1111: if(VAR12) state <= 4'b0001; 4'b0001: if(VAR12) state <= 4'b0000; default: state <= 4'b0000; endcase reg [7:0] VAR2; always @(posedge clk) if(VAR4 && VAR12 && state[3]) VAR2 <= {~VAR5, VAR2[7:1]}; reg VAR6; always @(posedge clk) begin VAR6 <= (VAR4 && VAR12 && state==4'b0001 && ~VAR5); end reg [4:0] VAR15; always @(posedge clk) if (state!=0) VAR15<=5'h00; else if(VAR4 & ~VAR15[4]) VAR15 <= VAR15 + 5'h01; assign VAR1 = VAR15[4]; reg VAR13; always @(posedge clk) VAR13 <= VAR4 & (VAR15==5'h0F); endmodule
gpl-2.0
Cosmos-OpenSSD/Cosmos-OpenSSD-plus
project/Predefined/2Ch8Way-1.0.0/OpenSSD2_2Ch8Way-1.0.0/OpenSSD2.srcs/sources_1/bd/OpenSSD2/ip/OpenSSD2_NVMeHostController_0_0/src/pcie_7x_0_core_top/source/pcie_7x_0_core_top_pipe_rate.v
46,139
module MODULE1 # ( parameter VAR126 = "VAR116", parameter VAR49 = "VAR98", parameter VAR88 = "3.0", parameter VAR102 = "VAR91", parameter VAR78 = "VAR51", parameter VAR69 = "VAR116", parameter VAR92 = "VAR116", parameter VAR32 = "VAR51", parameter VAR39 = 4'd15 ) ( input VAR19, input VAR128, input VAR2, input VAR54, input [ 1:0] VAR132, input VAR101, input VAR95, input VAR28, input VAR118, input VAR62, input VAR22, input VAR16, input VAR59, input VAR96, input VAR48, input VAR87, input VAR135, input VAR127, output VAR130, output VAR4, output VAR131, output VAR107, output VAR42, output VAR63, output VAR50, output [ 1:0] VAR43, output VAR112, output VAR27, output VAR36, output VAR33, output [ 2:0] VAR133, output VAR55, output VAR79, output VAR5, output VAR45, output VAR106, output VAR80, output [ 4:0] VAR77 ); reg VAR53; reg [ 1:0] VAR58; reg VAR64; reg VAR125; reg VAR100; reg VAR56; reg VAR12; reg VAR61; reg VAR34; reg VAR82; reg VAR76; reg VAR8; reg VAR75; reg VAR111; reg VAR114; reg VAR17; reg [ 1:0] VAR121; reg VAR60; reg VAR6; reg VAR7; reg VAR47; reg VAR90; reg VAR93; reg VAR70; reg VAR104; reg VAR57; reg VAR81; reg VAR3; reg VAR20; reg VAR83; wire VAR124; wire [ 2:0] VAR122; reg [ 3:0] VAR46 = 4'd0; reg VAR72 = 1'd0; reg VAR65 = 1'd0; reg VAR11 = 1'd0; reg VAR21 = 1'd0; reg VAR25 = 1'd0; reg VAR15 = 1'd0; reg VAR89 = 1'd0; reg VAR97 = 1'd0; reg VAR9 = 1'd0; reg VAR134 = 1'd0; reg VAR108 = 1'd0; reg [ 1:0] VAR113 = (VAR102 == "VAR18") ? 2'd1 : 2'd0; reg VAR66 = 1'd0; reg VAR37 = 1'd0; reg [ 2:0] VAR23 = 3'd0; reg VAR71 = 1'd0; reg VAR85 = 1'd0; reg VAR13 = 1'd0; reg [4:0] fsm = 0; localparam VAR109 = 0; localparam VAR120 = 1; localparam VAR84 = 2; localparam VAR29 = 3; localparam VAR52 = 4; localparam VAR26 = 5; localparam VAR73 = 6; localparam VAR38 = 7; localparam VAR123 = 8; localparam VAR115 = 9; localparam VAR117 = 10; localparam VAR105 = 11; localparam VAR44 = 12; localparam VAR94 = 13; localparam VAR99 = 14; localparam VAR41 = 15; localparam VAR129 = 16; localparam VAR86 = 17; localparam VAR40 = 18; localparam VAR119 = 19; localparam VAR31 = 20; localparam VAR24 = 21; localparam VAR35 = 22; localparam VAR74 = 23; localparam VAR103 = 24; localparam VAR30 = 25; localparam VAR1 = 26; localparam VAR68 = 27; localparam VAR10 = 28; localparam VAR110 = 29; localparam VAR67 = 30; always @ (posedge VAR19) begin if (!VAR128) begin VAR53 <= 1'd0; VAR58 <= 2'd0; VAR64 <= 1'd0; VAR125 <= 1'd0; VAR100 <= 1'd0; VAR56 <= 1'd0; VAR12 <= 1'd0; VAR61 <= 1'd0; VAR34 <= 1'd0; VAR82 <= 1'd0; VAR76 <= 1'd0; VAR8 <= 1'd0; VAR75 <= 1'd0; VAR111 <= 1'd0; VAR114 <= 1'd0; VAR17 <= 1'd0; VAR121 <= 2'd0; VAR60 <= 1'd0; VAR6 <= 1'd0; VAR7 <= 1'd0; VAR47 <= 1'd0; VAR90 <= 1'd0; VAR93 <= 1'd0; VAR70 <= 1'd0; VAR104 <= 1'd0; VAR57 <= 1'd0; VAR81 <= 1'd0; VAR3 <= 1'd0; VAR20 <= 1'd0; VAR83 <= 1'd0; end else begin VAR53 <= VAR2; VAR58 <= VAR132; VAR64 <= VAR101; VAR125 <= VAR95; VAR100 <= VAR28; VAR56 <= VAR118; VAR12 <= VAR62; VAR61 <= VAR22; VAR34 <= VAR16; VAR82 <= VAR59; VAR76 <= VAR96; VAR8 <= VAR48; VAR75 <= VAR87; VAR111 <= VAR135; VAR114 <= VAR127; VAR17 <= VAR53; VAR121 <= VAR58; VAR60 <= VAR64; VAR6 <= VAR125; VAR7 <= VAR100; VAR47 <= VAR56; VAR90 <= VAR12; VAR93 <= VAR61; VAR70 <= VAR34; VAR104 <= VAR82; VAR57 <= VAR76; VAR81 <= VAR8; VAR3 <= VAR75; VAR20 <= VAR111; VAR83 <= VAR114; end end assign VAR124 = (VAR121 == 2'd2) || (VAR102 == "VAR18") ? VAR6 : VAR60; assign VAR122 = (VAR121 == 2'd1) && (VAR102 == "VAR18") ? 3'd2 : (VAR121 == 2'd1) && (VAR102 == "VAR91") ? 3'd1 : 3'd0; always @ (posedge VAR19) begin if (!VAR128) VAR46 <= 4'd0; end else if ((fsm == VAR94) && (VAR46 < VAR39)) VAR46 <= VAR46 + 4'd1; else if ((fsm == VAR94) && (VAR46 == VAR39)) VAR46 <= VAR46; else VAR46 <= 4'd0; end always @ (posedge VAR19) begin if (!VAR128) begin VAR72 <= 1'd0; VAR65 <= 1'd0; VAR11 <= 1'd0; VAR21 <= 1'd0; end else begin if (fsm == VAR24) begin if (VAR104) VAR72 <= 1'd1; end else VAR72 <= VAR72; if (VAR57) VAR65 <= 1'd1; end else VAR65 <= VAR65; if (VAR81) VAR11 <= 1'd1; end else VAR11 <= VAR11; if (VAR65 && VAR72 && VAR11) VAR21 <= 1'd1; else VAR21 <= VAR21; end else begin VAR72 <= 1'd0; VAR65 <= 1'd0; VAR11 <= 1'd0; VAR21 <= 1'd0; end end end always @ (posedge VAR19) begin if (!VAR128) begin fsm <= VAR29; VAR25 <= 1'd0; VAR15 <= 1'd0; VAR89 <= 1'd0; VAR97 <= 1'd0; VAR9 <= 1'd0; VAR134 <= 1'd0; VAR108 <= 1'd0; VAR113 <= (VAR102 == "VAR18") ? 2'd1 : 2'd0; VAR37 <= 1'd0; VAR66 <= 1'd0; VAR23 <= 3'd0; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end else begin case (fsm) VAR109 : begin if (VAR121 != VAR58) begin fsm <= ((VAR121 == 2'd2) || (VAR58 == 2'd2)) ? VAR120 : VAR94; VAR25 <= (VAR121 == 2'd2); VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end else begin fsm <= VAR109; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end end VAR120 : begin fsm <= VAR84; VAR25 <= VAR25; VAR15 <= (VAR102 == "VAR18"); VAR89 <= 1'd0; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR84 : begin fsm <= VAR29; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= (VAR102 == "VAR18"); VAR9 <= 1'd0; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR29 : begin fsm <= (VAR124 ? ((!VAR17 || (VAR121 == 2'd1)) ? VAR73 : VAR52) : VAR29); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR52 : begin fsm <= (!VAR47) ? VAR26 : VAR52; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd1; VAR85 <= 1'd1; VAR13 <= 1'd1; end VAR26 : begin fsm <= VAR47 ? VAR73 : VAR26; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd1; VAR13 <= 1'd1; end VAR73 : begin fsm <= VAR38; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= ((VAR121 == 2'd2) || VAR25); VAR108 <= ((VAR121 == 2'd2) || VAR25); VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR38 : begin fsm <= VAR123; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= ((VAR121 == 2'd2) || (VAR102 == "VAR18")) ? 2'd1 : 2'd0; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR123 : begin fsm <= (VAR7 && !VAR90 ? VAR115 : VAR123); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR115: begin fsm <= (!VAR47 ? VAR117 : VAR115); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= ((VAR121 == 2'd1) || (VAR121 == 2'd2)); VAR66 <= (VAR121 == 2'd2); VAR23 <= (((VAR121 == 2'd2) || VAR25) ? VAR122 : VAR23); VAR71 <= 1'd1; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR117 : begin fsm <= ((VAR47 && VAR124) ? (VAR17 ? VAR105 : VAR109): VAR117); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR105 : begin fsm <= VAR44; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= 1'd0; VAR108 <= 1'd0; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR44 : begin fsm <= (((VAR70 && VAR93 && !VAR81) || !VAR54) ? VAR94 : VAR44); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR94 : begin fsm <= (VAR46 == VAR39) ? VAR99 : VAR94; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR99 : begin fsm <= ((VAR49 == "VAR14") && ((VAR121 == 2'd1) || ((!VAR25) && (VAR121 == 2'd0)))) ? VAR41 : VAR86; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= ((VAR121 == 2'd1) || (VAR121 == 2'd2)); VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR41 : begin fsm <= (!VAR47) ? VAR129 : VAR41; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd1; VAR85 <= 1'd1; VAR13 <= 1'd1; end VAR129 : begin fsm <= VAR47 ? VAR86 : VAR129; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd1; VAR13 <= 1'd1; end VAR86 : begin fsm <= ((VAR49 == "VAR14") && ((VAR121 == 2'd1) || ((!VAR25) && (VAR121 == 2'd0)))) ? VAR40 : VAR24; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR122; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR40 : begin fsm <= (!VAR90) ? VAR119 : VAR40; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR119 : begin fsm <= (!VAR47) ? VAR31 : VAR119; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd1; VAR85 <= 1'd1; VAR13 <= 1'd0; end VAR31 : begin fsm <= VAR47 ? VAR24 : VAR31; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd1; VAR13 <= 1'd0; end VAR24 : begin if (VAR21 || (VAR121 == 2'd2) || (VAR25) || !VAR54) if ((VAR88 == "1.0") && (VAR121 != 2'd2) && (!VAR25)) fsm <= VAR35; end else fsm <= VAR103; end else fsm <= VAR24; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR35: begin fsm <= (!VAR3 ? VAR74 : VAR35); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR74 : begin fsm <= (VAR3 ? VAR103 : VAR74); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR103 : begin fsm <= VAR30; VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= (VAR102 == "VAR18") ? 1'd1 : (VAR121 == 2'd2); VAR9 <= (VAR102 == "VAR18") ? 1'd0 : (VAR121 != 2'd2); VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR30 : begin fsm <= (((VAR121 == 2'd2) || (VAR92 == "VAR116")) ? VAR1 : VAR10); VAR25 <= VAR25; VAR15 <= (VAR102 == "VAR18") ? 1'd1 : (VAR121 == 2'd2); VAR89 <= (VAR102 == "VAR18") ? 1'd0 : (VAR121 != 2'd2); VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR1: begin fsm <= (!VAR20 ? VAR68 : VAR1); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR68: begin fsm <= (VAR20 ? VAR10 : VAR68); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR10 : begin fsm <= (((VAR121 == 2'd2) && (VAR32 == "VAR116") && (VAR69 == "VAR51")) ? VAR110 : VAR109); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR110: begin fsm <= (!VAR83 ? VAR67 : VAR110); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end VAR67: begin fsm <= (VAR83 ? VAR109 : VAR67); VAR25 <= VAR25; VAR15 <= VAR15; VAR89 <= VAR89; VAR97 <= VAR97; VAR9 <= VAR9; VAR134 <= VAR134; VAR108 <= VAR108; VAR113 <= VAR113; VAR37 <= VAR37; VAR66 <= VAR66; VAR23 <= VAR23; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end default : begin fsm <= VAR109; VAR25 <= 1'd0; VAR15 <= 1'd0; VAR89 <= 1'd0; VAR97 <= 1'd0; VAR9 <= 1'd0; VAR134 <= 1'd0; VAR108 <= 1'd0; VAR113 <= (VAR102 == "VAR18") ? 2'd1 : 2'd0; VAR37 <= 1'd0; VAR66 <= 1'd0; VAR23 <= 3'd0; VAR71 <= 1'd0; VAR85 <= 1'd0; VAR13 <= 1'd0; end endcase end end assign VAR130 = ((VAR78 == "VAR116") ? 1'd0 : VAR15); assign VAR4 = ((VAR78 == "VAR116") ? 1'd0 : VAR89); assign VAR131 = ((VAR78 == "VAR116") ? 1'd0 : VAR97); assign VAR107 = ((VAR78 == "VAR116") ? 1'd0 : VAR9); assign VAR42 = VAR134; assign VAR63 = VAR108; assign VAR43 = VAR113; assign VAR50 = VAR71; assign VAR36 = VAR85; assign VAR33 = VAR13; assign VAR112 = VAR37; assign VAR27 = VAR66; assign VAR133 = VAR23; assign VAR55 = (fsm == VAR35); assign VAR79 = (fsm == VAR1); assign VAR5 = (fsm == VAR10); assign VAR45 = (fsm == VAR110); assign VAR106 = ((fsm == VAR110) || (fsm == VAR67)); assign VAR80 = (fsm == VAR109); assign VAR77 = fsm; endmodule
gpl-3.0
trivoldus28/pulsarch-verilog
design/sys/iop/srams/rtl/bw_r_rf32x80.v
15,313
module MODULE2 ( dout, VAR32, VAR14, VAR19, VAR10, VAR8, VAR45, din, VAR3, VAR38, VAR31, VAR11, VAR37, VAR28); parameter VAR35 = 6 ; parameter VAR1 = 32 ; input [4:0] VAR19; input VAR14; input VAR10; input [19:0] VAR8; input [4:0] VAR45; input [79:0] din; input VAR11; input VAR28; input VAR37; input VAR31; input VAR3; input VAR38; output [79:0] dout ; output VAR32 ; wire [79:0] dout; wire clk; wire VAR16, VAR27; reg [79:0] VAR49; reg [79:0] VAR30; integer VAR7,VAR40; wire [79:0] VAR36; wire [79:0] VAR47; wire [4:0] VAR21; wire VAR29; wire VAR6; wire [19:0] VAR4; wire [4:0] VAR18; wire [79:0] VAR46; reg [4:0] VAR22; wire VAR12; wire VAR34; wire [19:0] VAR20; reg [4:0] VAR43; wire [79:0] VAR15; assign clk=VAR11; VAR17 #(1) VAR39 ( .VAR9 ({VAR14}), .VAR25 ({VAR12}), .sel (VAR31), .dout ({VAR29}) ); VAR13 #(1) VAR26( .din ({VAR29}), .VAR41 ({VAR12}), .clk (clk), .VAR38 (VAR38), .VAR3 (), .VAR32 () ); VAR17 #(21) VAR23 ( .VAR9 ({VAR8[19:0], VAR10}), .VAR25 ({VAR20[19:0], VAR34}), .sel (VAR31), .dout ({VAR4[19:0], VAR6}) ); VAR13 #(21) VAR44( .din ({VAR4[19:0], VAR6}), .VAR41 ({VAR20[19:0], VAR34}), .clk (clk), .VAR38 (VAR38), .VAR3 (), .VAR32 () ); VAR17 #(80) VAR48 ( .VAR9 (din[79:0]), .VAR25 (VAR15[79:0]), .sel (VAR31), .dout (VAR46[79:0]) ); VAR13 #(80) VAR5( .din (VAR46[79:0]), .VAR41 (VAR15[79:0]), .clk (clk), .VAR38 (VAR38), .VAR3 (), .VAR32 () ); assign VAR16 = VAR6 & ~VAR37; assign VAR27 = VAR34 & ~VAR37; assign VAR36[79:0] = {{4{VAR4[19]}}, {4{VAR4[18]}}, {4{VAR4[17]}}, {4{VAR4[16]}}, {4{VAR4[15]}}, {4{VAR4[14]}}, {4{VAR4[13]}}, {4{VAR4[12]}}, {4{VAR4[11]}}, {4{VAR4[10]}}, {4{VAR4[9]}}, {4{VAR4[8]}}, {4{VAR4[7]}}, {4{VAR4[6]}}, {4{VAR4[5]}}, {4{VAR4[4]}}, {4{VAR4[3]}}, {4{VAR4[2]}}, {4{VAR4[1]}}, {4{VAR4[0]}} }; assign VAR47[79:0] = {{4{VAR20[19]}}, {4{VAR20[18]}}, {4{VAR20[17]}}, {4{VAR20[16]}}, {4{VAR20[15]}}, {4{VAR20[14]}}, {4{VAR20[13]}}, {4{VAR20[12]}}, {4{VAR20[11]}}, {4{VAR20[10]}}, {4{VAR20[9]}}, {4{VAR20[8]}}, {4{VAR20[7]}}, {4{VAR20[6]}}, {4{VAR20[5]}}, {4{VAR20[4]}}, {4{VAR20[3]}}, {4{VAR20[2]}}, {4{VAR20[1]}}, {4{VAR20[0]}} }; reg [79:0] VAR42 [VAR1-1:0] ; reg [79:0] VAR33; wire [79:0] VAR24; always @(posedge clk) begin VAR22 <= VAR21; VAR43 <= VAR18; end assign VAR18 = VAR31 ? VAR43 : VAR45; assign VAR21 = VAR31 ? VAR22 : VAR19; assign VAR24 = VAR42[VAR21[4:0]]; always @(posedge clk) if(~VAR28) VAR30[79:0] <= 80'b0; else if (VAR29) VAR30[79:0] <= VAR24; always @ ( posedge clk) begin VAR33[79:0] = VAR42[VAR18]; if (VAR16 & VAR28) begin VAR42[VAR18] = (VAR33[79:0] & ~VAR36[79:0]) | (VAR46[79:0] & VAR36[79:0]) ; end end assign dout[79:0] = VAR30; endmodule module MODULE2 ( dout, VAR32, VAR14, VAR19, VAR10, VAR8, VAR45, din, VAR3, VAR38, VAR31, VAR11, VAR37, VAR28); parameter VAR35 = 6 ; parameter VAR1 = 32 ; input [4:0] VAR19; input VAR14; input VAR10; input [19:0] VAR8; input [4:0] VAR45; input [79:0] din; input VAR11; input VAR28; input VAR37; input VAR31; input VAR3; input VAR38; output [79:0] dout ; output VAR32 ; wire [79:0] dout; wire clk; wire VAR16, VAR27; reg [79:0] VAR42 [VAR1-1:0]; reg [79:0] VAR49; reg [79:0] VAR30; reg [79:0] VAR33; integer VAR7,VAR40; wire [79:0] VAR36; wire [79:0] VAR47; wire [4:0] VAR21; wire VAR29; wire VAR6; wire [19:0] VAR4; wire [4:0] VAR18; wire [79:0] VAR46; wire [4:0] VAR22; wire VAR12; wire VAR34; wire [19:0] VAR20; wire [4:0] VAR43; wire [79:0] VAR15; assign clk=VAR11; VAR17 #(6) VAR39 ( .VAR9 ({VAR19[4:0], VAR14}), .VAR25 ({VAR22[4:0], VAR12}), .sel (VAR31), .dout ({VAR21[4:0],VAR29}) ); VAR13 #(6) VAR26( .din ({VAR21[4:0], VAR29}), .VAR41 ({VAR22[4:0], VAR12}), .clk (clk), .VAR38 (VAR38), .VAR3 (), .VAR32 () ); VAR17 #(26) VAR23 ( .VAR9 ({VAR8[19:0], VAR45[4:0], VAR10}), .VAR25 ({VAR20[19:0], VAR43[4:0], VAR34}), .sel (VAR31), .dout ({VAR4[19:0], VAR18[4:0],VAR6}) ); VAR13 #(26) VAR44( .din ({VAR4[19:0], VAR18[4:0], VAR6}), .VAR41 ({VAR20[19:0], VAR43[4:0], VAR34}), .clk (clk), .VAR38 (VAR38), .VAR3 (), .VAR32 () ); VAR17 #(80) VAR48 ( .VAR9 (din[79:0]), .VAR25 (VAR15[79:0]), .sel (VAR31), .dout (VAR46[79:0]) ); VAR13 #(80) VAR5( .din (VAR46[79:0]), .VAR41 (VAR15[79:0]), .clk (clk), .VAR38 (VAR38), .VAR3 (), .VAR32 () ); assign VAR16 = VAR6 & ~VAR37; assign VAR27 = VAR34 & ~VAR37; assign VAR36[79:0] = {{4{VAR4[19]}}, {4{VAR4[18]}}, {4{VAR4[17]}}, {4{VAR4[16]}}, {4{VAR4[15]}}, {4{VAR4[14]}}, {4{VAR4[13]}}, {4{VAR4[12]}}, {4{VAR4[11]}}, {4{VAR4[10]}}, {4{VAR4[9]}}, {4{VAR4[8]}}, {4{VAR4[7]}}, {4{VAR4[6]}}, {4{VAR4[5]}}, {4{VAR4[4]}}, {4{VAR4[3]}}, {4{VAR4[2]}}, {4{VAR4[1]}}, {4{VAR4[0]}} }; assign VAR47[79:0] = {{4{VAR20[19]}}, {4{VAR20[18]}}, {4{VAR20[17]}}, {4{VAR20[16]}}, {4{VAR20[15]}}, {4{VAR20[14]}}, {4{VAR20[13]}}, {4{VAR20[12]}}, {4{VAR20[11]}}, {4{VAR20[10]}}, {4{VAR20[9]}}, {4{VAR20[8]}}, {4{VAR20[7]}}, {4{VAR20[6]}}, {4{VAR20[5]}}, {4{VAR20[4]}}, {4{VAR20[3]}}, {4{VAR20[2]}}, {4{VAR20[1]}}, {4{VAR20[0]}} }; always @ ( negedge VAR28) begin VAR30[79:0] <= 80'h0; end always @ ( posedge VAR28) begin if (VAR12 & clk) begin if (VAR27 & (VAR43[4:0] == VAR22[4:0]) ) VAR30[79:0] <= 80'VAR2; end else for (VAR40=0;VAR40<VAR1;VAR40=VAR40+1) begin if (VAR22[4:0] == VAR40) VAR30[79:0] <= VAR42[VAR40] ; end end end always @ ( posedge VAR28) begin if (VAR27 & clk) for (VAR7=0;VAR7<VAR1;VAR7=VAR7+1) begin if (VAR43[4:0] == VAR7) begin VAR33[79:0] = VAR42[VAR7]; VAR42[VAR7] = (VAR33[79:0] & ~VAR47[79:0]) | (VAR15[79:0] & VAR47[79:0]) ; end end end always @ ( posedge clk) begin if (VAR16 & VAR28) for (VAR7=0;VAR7<VAR1;VAR7=VAR7+1) begin if (VAR18[4:0] == VAR7) begin VAR33[79:0] = VAR42[VAR7]; VAR42[VAR7] = (VAR33[79:0] & ~VAR36[79:0]) | (VAR46[79:0] & VAR36[79:0]) ; end end end always @ ( posedge clk ) begin begin if (VAR29 & VAR28) begin if (VAR16 & (VAR18[4:0] == VAR21[4:0]) ) VAR30[79:0] <= 80'VAR2; end else for (VAR40=0;VAR40<VAR1;VAR40=VAR40+1) begin if (VAR21[4:0] == VAR40) VAR30[79:0] <= VAR42[VAR40] ; end end end end assign dout[79:0] = VAR30[79:0]; endmodule
gpl-2.0
UGent-HES/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/adder_trees/verilog/adder_tree_2L_010bits.v
1,917
module MODULE1 ( clk, VAR17, VAR16, VAR6, VAR7, VAR33, VAR19, VAR14, VAR4, sum, ); input clk; input [VAR13+0-1:0] VAR17, VAR16, VAR6, VAR7, VAR33, VAR19, VAR14, VAR4; output [VAR13 :0] sum; reg [VAR13 :0] sum; wire [VAR13+3-1:0] VAR9; wire [VAR13+2-1:0] VAR12, VAR22; wire [VAR13+1-1:0] VAR11, VAR3, VAR21, VAR29; reg [VAR13+0-1:0] VAR30, VAR24, VAR31, VAR34, VAR15, VAR2, VAR20, VAR27; MODULE2 VAR5(VAR12, VAR22, VAR9 ); MODULE2 VAR8(VAR11, VAR3, VAR12 ); MODULE2 VAR26(VAR21, VAR29, VAR22 ); MODULE2 VAR1(VAR30, VAR24, VAR11); MODULE2 VAR18(VAR31, VAR34, VAR3); MODULE2 VAR25(VAR15, VAR2, VAR21); MODULE2 VAR28(VAR20, VAR27, VAR29); always @(posedge clk) begin VAR30 <= VAR17; VAR24 <= VAR16; VAR31 <= VAR6; VAR34 <= VAR7; VAR15 <= VAR33; VAR2 <= VAR19; VAR20 <= VAR14; VAR27 <= VAR4; sum <= VAR9; sum <= VAR12; end endmodule module MODULE2(VAR10,VAR23,sum); parameter VAR32 = 0; input [VAR13+VAR32-1:0] VAR10; input [VAR13+VAR32-1:0] VAR23; output [VAR13+VAR32:0] sum; assign sum = VAR10 + VAR23; endmodule
mit
mamijaz/RISC-V
src/riscv_pipeline/execute/ALU.v
7,785
module MODULE1 #( parameter VAR31 = 32 , parameter VAR12 = 1'b1 , parameter VAR1 = 1'b0 , parameter VAR22 = 5'b00000 , parameter VAR16 = 5'b00001 , parameter VAR32 = 5'b00010 , parameter VAR9 = 5'b00011 , parameter VAR15 = 5'b00100 , parameter VAR19 = 5'b00101 , parameter VAR23 = 5'b00110 , parameter VAR27 = 5'b00111 , parameter VAR25 = 5'b01000 , parameter VAR11 = 5'b01001 , parameter VAR7 = 5'b01010 , parameter VAR4 = 5'b01011 , parameter VAR33 = 5'b01100 , parameter VAR18 = 5'b01101 , parameter VAR2 = 5'b01110 , parameter VAR21 = 5'b01111 , parameter VAR26 = 5'b10000 , parameter VAR28 = 5'b10001 , parameter VAR10 = 5'b10010 , parameter VAR13 = 5'b10011 , parameter VAR17 = 5'b10100 , parameter VAR24 = 5'b10101 ) ( input [VAR31 - 1 : 0] VAR29 , input [VAR31 - 1 : 0] VAR8 , input [VAR31 - 1 : 0] VAR3 , input [4 : 0] VAR30 , output [VAR31 - 1 : 0] VAR14 , output VAR20 ); reg [VAR31 - 1 : 0] VAR6 ; reg VAR5 ; always@(*) begin case(VAR30) VAR22: begin VAR6 = 32'b0; VAR5 = VAR1; end VAR16: begin VAR6 = (VAR29) + (VAR8); VAR5 = VAR1; end VAR32: begin VAR6 = (VAR29) - (VAR8); VAR5 = VAR1; end VAR9: begin VAR6 = VAR29 << VAR8; VAR5 = VAR1; end VAR15: begin if(VAR29 < VAR8) begin VAR6 = 32'b1; end else begin VAR6 = 32'b0; end VAR5 = VAR1; end VAR19: begin if((VAR29) < (VAR8)) begin VAR6 = 32'b1; end else begin VAR6 = 32'b0; end VAR5 = VAR1; end VAR23: begin VAR6 = VAR29 ^ VAR8; VAR5 = VAR1; end VAR27: begin VAR6 = VAR29 >> VAR8; VAR5 = VAR1; end VAR25: begin VAR6 = VAR29 >>> VAR8; VAR5 = VAR1; end VAR11: begin VAR6 = VAR29 | VAR8; VAR5 = VAR1; end VAR7: begin VAR6 = VAR29 & VAR8; VAR5 = VAR1; end VAR4: begin VAR6 = VAR29 << VAR8[4:0]; VAR5 = VAR1; end VAR33: begin VAR6 = VAR29 >> VAR8[4:0]; VAR5 = VAR1; end VAR18: begin VAR6 = VAR29 >>> VAR8[4:0]; VAR5 = VAR1; end VAR2: begin VAR6 = VAR29 + 4; VAR5 = VAR1; end VAR21: begin VAR6 = VAR3 + 4; VAR5 = VAR1; end VAR26: begin VAR6 = 32'b0; if(VAR29 == VAR8) begin VAR5 = VAR12; end else begin VAR5 = VAR1; end end VAR28: begin VAR6 = 32'b0; if(VAR29 != VAR8) begin VAR5 = VAR12; end else begin VAR5 = VAR1; end end VAR10: begin VAR6 = 32'b0; if((VAR29) < (VAR8)) begin VAR5 = VAR12; end else begin VAR5 = VAR1; end end VAR13: begin VAR6 = 32'b0; if((VAR29) >= (VAR8)) begin VAR5 = VAR12; end else begin VAR5 = VAR1; end end VAR17: begin VAR6 = 32'b0; if(VAR29 == VAR8) begin VAR5 = VAR12; end else begin VAR5 = VAR1; end end VAR24: begin VAR6 = 32'b0; if(VAR29 >= VAR8) begin VAR5 = VAR12; end else begin VAR5 = VAR1; end end default: begin VAR6 = 32'b0; VAR5 = VAR1; end endcase end assign VAR14 = VAR6 ; assign VAR20 = VAR5 ; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/or2/sky130_fd_sc_hs__or2_4.v
1,948
module MODULE1 ( VAR7 , VAR2 , VAR4 , VAR1, VAR5 ); output VAR7 ; input VAR2 ; input VAR4 ; input VAR1; input VAR5; VAR6 VAR3 ( .VAR7(VAR7), .VAR2(VAR2), .VAR4(VAR4), .VAR1(VAR1), .VAR5(VAR5) ); endmodule module MODULE1 ( VAR7, VAR2, VAR4 ); output VAR7; input VAR2; input VAR4; supply1 VAR1; supply0 VAR5; VAR6 VAR3 ( .VAR7(VAR7), .VAR2(VAR2), .VAR4(VAR4) ); endmodule
apache-2.0
CospanDesign/nysa
nysa/data/template/wishbone/rtl/USER_SLAVE.v
5,535
module MODULE1( module {VAR11} ( input clk, input rst, input VAR4, input VAR3, input [3:0] VAR2, input [31:0] VAR10, input VAR5, output reg VAR12, output reg [31:0] VAR13, input [31:0] VAR1, output reg VAR7 ); localparam VAR8 = 32'h00000000; localparam VAR9 = 32'h00000001; localparam VAR6 = 32'h00000002; always @ (posedge clk) begin if (rst) begin VAR13 <= 32'h0; VAR12 <= 0; VAR7 <= 0; end else begin if (VAR12 && ~VAR5)begin VAR12 <= 0; end if (VAR5 && VAR3) begin if (!VAR12) begin if (VAR4) begin case (VAR1) VAR8: begin end VAR9: begin end VAR6: begin end default: begin end endcase end else begin case (VAR1) VAR8: begin VAR13 <= VAR8; end VAR9: begin VAR13 <= VAR9; end VAR6: begin VAR13 <= VAR6; end default: begin end endcase end VAR12 <= 1; end end end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a2111o/sky130_fd_sc_hd__a2111o.functional.v
1,489
module MODULE1 ( VAR8 , VAR11, VAR1, VAR10, VAR6, VAR5 ); output VAR8 ; input VAR11; input VAR1; input VAR10; input VAR6; input VAR5; wire VAR2 ; wire VAR3; and VAR4 (VAR2 , VAR11, VAR1 ); or VAR9 (VAR3, VAR6, VAR10, VAR2, VAR5); buf VAR7 (VAR8 , VAR3 ); endmodule
apache-2.0
Darkin47/Zynq-TX-UTT
Vivado_HLS/image_contrast_adj/solution1/impl/ip/hdl/verilog/doHistStretch_sitofp_32s_32_6.v
1,572
module MODULE1 VAR4 = 3, VAR6 = 6, VAR3 = 32, VAR8 = 32 )( input wire clk, input wire reset, input wire VAR2, input wire [VAR3-1:0] VAR19, output wire [VAR8-1:0] dout ); wire VAR17; wire VAR5; wire VAR11; wire [31:0] VAR13; wire VAR7; wire [31:0] VAR15; reg [VAR3-1:0] VAR12; VAR1 VAR9 ( .VAR17 ( VAR17 ), .VAR5 ( VAR5 ), .VAR14 ( VAR11 ), .VAR18 ( VAR13 ), .VAR16 ( VAR7 ), .VAR10 ( VAR15 ) ); assign VAR17 = clk; assign VAR5 = VAR2; assign VAR11 = 1'b1; assign VAR13 = VAR12; assign dout = VAR15; always @(posedge clk) begin if (VAR2) begin VAR12 <= VAR19; end end endmodule
gpl-3.0
EliasVansteenkiste/ConnectionRouter
vtr_flow/benchmarks/arithmetic/generated_circuits/FIR_filters/verilog/fir_pipe_20.v
16,458
module MODULE4 ( clk, reset, VAR5, VAR110, VAR96, VAR95, VAR65 ); parameter VAR94 = 18; parameter VAR84 = 20; parameter VAR26 = 10; localparam VAR35 = 26; input clk; input reset; input VAR5; input VAR110; input [VAR94-1:0] VAR96; output VAR95; output [VAR94-1:0] VAR65; localparam VAR33 = 18; localparam VAR22 = 36; localparam VAR113 = 17; localparam VAR43 = 20; reg [VAR94-1:0] VAR76; reg [VAR94-1:0] VAR41; reg [VAR94-1:0] VAR86; reg [VAR94-1:0] VAR81; reg [VAR94-1:0] VAR73; reg [VAR94-1:0] VAR48; reg [VAR94-1:0] VAR25; reg [VAR94-1:0] VAR124; reg [VAR94-1:0] VAR2; reg [VAR94-1:0] VAR9; always@(posedge clk) begin VAR76 <= 18'd88; VAR41 <= 18'd0; VAR86 <= -18'd97; VAR81 <= -18'd197; VAR73 <= -18'd294; VAR48 <= -18'd380; VAR25 <= -18'd447; VAR124 <= -18'd490; VAR2 <= -18'd504; VAR9 <= -18'd481; end reg [VAR35-1:0] VAR51; always@(posedge clk or posedge reset) begin if(reset) begin VAR51 <= 0; end else begin if(VAR5) begin VAR51 <= {VAR51[VAR35-2:0], VAR110}; end else begin VAR51 <= VAR51; end end end wire [VAR94-1:0] VAR80; wire [VAR94-1:0] VAR30; wire [VAR94-1:0] VAR117; wire [VAR94-1:0] VAR59; wire [VAR94-1:0] VAR107; wire [VAR94-1:0] VAR120; wire [VAR94-1:0] VAR47; wire [VAR94-1:0] VAR40; wire [VAR94-1:0] VAR130; wire [VAR94-1:0] VAR99; wire [VAR94-1:0] VAR32; wire [VAR94-1:0] VAR127; wire [VAR94-1:0] VAR118; wire [VAR94-1:0] VAR63; wire [VAR94-1:0] VAR60; wire [VAR94-1:0] VAR36; wire [VAR94-1:0] VAR78; wire [VAR94-1:0] VAR57; wire [VAR94-1:0] VAR119; wire [VAR94-1:0] VAR83; MODULE1 MODULE4( .clk(clk), .VAR5(VAR5), .VAR17(VAR96), .VAR10(VAR80), .VAR111(VAR30), .VAR8(VAR117), .VAR49(VAR59), .VAR42(VAR107), .VAR14(VAR120), .VAR89(VAR47), .VAR18(VAR40), .VAR123(VAR130), .VAR6(VAR99), .VAR24(VAR32), .VAR38(VAR127), .VAR72(VAR118), .VAR62(VAR63), .VAR79(VAR60), .VAR21(VAR36), .VAR112(VAR78), .VAR55(VAR57), .VAR131(VAR119), .VAR16(VAR83), .reset(reset) ); wire [VAR94-1:0] VAR46; wire [VAR94-1:0] VAR15; wire [VAR94-1:0] VAR74; wire [VAR94-1:0] VAR106; wire [VAR94-1:0] VAR114; wire [VAR94-1:0] VAR92; wire [VAR94-1:0] VAR29; wire [VAR94-1:0] VAR45; wire [VAR94-1:0] VAR70; wire [VAR94-1:0] VAR53; MODULE5 VAR104( .clk(clk), .VAR5(VAR5), .VAR11 (VAR80), .VAR64 (VAR83), .VAR122(VAR46) ); MODULE5 VAR101( .clk(clk), .VAR5(VAR5), .VAR11 (VAR30), .VAR64 (VAR119), .VAR122(VAR15) ); MODULE5 VAR126( .clk(clk), .VAR5(VAR5), .VAR11 (VAR117), .VAR64 (VAR57), .VAR122(VAR74) ); MODULE5 VAR7( .clk(clk), .VAR5(VAR5), .VAR11 (VAR59), .VAR64 (VAR78), .VAR122(VAR106) ); MODULE5 VAR125( .clk(clk), .VAR5(VAR5), .VAR11 (VAR107), .VAR64 (VAR36), .VAR122(VAR114) ); MODULE5 VAR34( .clk(clk), .VAR5(VAR5), .VAR11 (VAR120), .VAR64 (VAR60), .VAR122(VAR92) ); MODULE5 VAR108( .clk(clk), .VAR5(VAR5), .VAR11 (VAR47), .VAR64 (VAR63), .VAR122(VAR29) ); MODULE5 VAR31( .clk(clk), .VAR5(VAR5), .VAR11 (VAR40), .VAR64 (VAR118), .VAR122(VAR45) ); MODULE5 VAR68( .clk(clk), .VAR5(VAR5), .VAR11 (VAR130), .VAR64 (VAR127), .VAR122(VAR70) ); MODULE5 VAR91( .clk(clk), .VAR5(VAR5), .VAR11 (VAR99), .VAR64 (VAR32), .VAR122(VAR53) ); wire [VAR94-1:0] VAR50; wire [VAR94-1:0] VAR85; wire [VAR94-1:0] VAR37; wire [VAR94-1:0] VAR39; wire [VAR94-1:0] VAR66; wire [VAR94-1:0] VAR56; wire [VAR94-1:0] VAR105; wire [VAR94-1:0] VAR93; wire [VAR94-1:0] VAR103; wire [VAR94-1:0] VAR23; MODULE2 VAR90( .clk(clk), .VAR5(VAR5), .VAR11 (VAR46), .VAR64 (VAR76), .VAR122(VAR50) ); MODULE2 VAR132( .clk(clk), .VAR5(VAR5), .VAR11 (VAR15), .VAR64 (VAR41), .VAR122(VAR85) ); MODULE2 VAR12( .clk(clk), .VAR5(VAR5), .VAR11 (VAR74), .VAR64 (VAR86), .VAR122(VAR37) ); MODULE2 VAR128( .clk(clk), .VAR5(VAR5), .VAR11 (VAR106), .VAR64 (VAR81), .VAR122(VAR39) ); MODULE2 VAR52( .clk(clk), .VAR5(VAR5), .VAR11 (VAR114), .VAR64 (VAR73), .VAR122(VAR66) ); MODULE2 VAR71( .clk(clk), .VAR5(VAR5), .VAR11 (VAR92), .VAR64 (VAR48), .VAR122(VAR56) ); MODULE2 VAR82( .clk(clk), .VAR5(VAR5), .VAR11 (VAR29), .VAR64 (VAR25), .VAR122(VAR105) ); MODULE2 VAR98( .clk(clk), .VAR5(VAR5), .VAR11 (VAR45), .VAR64 (VAR124), .VAR122(VAR93) ); MODULE2 VAR129( .clk(clk), .VAR5(VAR5), .VAR11 (VAR70), .VAR64 (VAR2), .VAR122(VAR103) ); MODULE2 VAR67( .clk(clk), .VAR5(VAR5), .VAR11 (VAR53), .VAR64 (VAR9), .VAR122(VAR23) ); wire [VAR94-1:0] VAR28; wire [VAR94-1:0] VAR116; wire [VAR94-1:0] VAR115; wire [VAR94-1:0] VAR44; wire [VAR94-1:0] VAR75; MODULE5 VAR87( .clk(clk), .VAR5(VAR5), .VAR11 (VAR50), .VAR64 (VAR85), .VAR122(VAR28) ); MODULE5 VAR100( .clk(clk), .VAR5(VAR5), .VAR11 (VAR37), .VAR64 (VAR39), .VAR122(VAR116) ); MODULE5 VAR27( .clk(clk), .VAR5(VAR5), .VAR11 (VAR66), .VAR64 (VAR56), .VAR122(VAR115) ); MODULE5 VAR20( .clk(clk), .VAR5(VAR5), .VAR11 (VAR105), .VAR64 (VAR93), .VAR122(VAR44) ); MODULE5 VAR4( .clk(clk), .VAR5(VAR5), .VAR11 (VAR103), .VAR64 (VAR23), .VAR122(VAR75) ); wire [VAR94-1:0] VAR54; wire [VAR94-1:0] VAR3; wire [VAR94-1:0] VAR102; MODULE5 VAR1( .clk(clk), .VAR5(VAR5), .VAR11 (VAR28), .VAR64 (VAR116), .VAR122(VAR54) ); MODULE5 VAR77( .clk(clk), .VAR5(VAR5), .VAR11 (VAR115), .VAR64 (VAR44), .VAR122(VAR3) ); MODULE3 VAR121( .clk(clk), .VAR5(VAR5), .VAR11 (VAR75), .VAR122(VAR102) ); wire [VAR94-1:0] VAR109; wire [VAR94-1:0] VAR19; MODULE5 VAR88( .clk(clk), .VAR5(VAR5), .VAR11 (VAR54), .VAR64 (VAR3), .VAR122(VAR109) ); MODULE3 VAR13( .clk(clk), .VAR5(VAR5), .VAR11 (VAR102), .VAR122(VAR19) ); wire [VAR94-1:0] VAR61; MODULE5 VAR97( .clk(clk), .VAR5(VAR5), .VAR11 (VAR109), .VAR64 (VAR19), .VAR122(VAR61) ); assign VAR65 = VAR61; assign VAR95 = VAR51[VAR35-1]; endmodule module MODULE1 ( clk, VAR5, VAR17, VAR10, VAR111, VAR8, VAR49, VAR42, VAR14, VAR89, VAR18, VAR123, VAR6, VAR24, VAR38, VAR72, VAR62, VAR79, VAR21, VAR112, VAR55, VAR131, VAR16, reset); parameter VAR58 = 1; input clk; input VAR5; input [VAR58-1:0] VAR17; output [VAR58-1:0] VAR10; output [VAR58-1:0] VAR111; output [VAR58-1:0] VAR8; output [VAR58-1:0] VAR49; output [VAR58-1:0] VAR42; output [VAR58-1:0] VAR14; output [VAR58-1:0] VAR89; output [VAR58-1:0] VAR18; output [VAR58-1:0] VAR123; output [VAR58-1:0] VAR6; output [VAR58-1:0] VAR24; output [VAR58-1:0] VAR38; output [VAR58-1:0] VAR72; output [VAR58-1:0] VAR62; output [VAR58-1:0] VAR79; output [VAR58-1:0] VAR21; output [VAR58-1:0] VAR112; output [VAR58-1:0] VAR55; output [VAR58-1:0] VAR131; output [VAR58-1:0] VAR16; reg [VAR58-1:0] VAR10; reg [VAR58-1:0] VAR111; reg [VAR58-1:0] VAR8; reg [VAR58-1:0] VAR49; reg [VAR58-1:0] VAR42; reg [VAR58-1:0] VAR14; reg [VAR58-1:0] VAR89; reg [VAR58-1:0] VAR18; reg [VAR58-1:0] VAR123; reg [VAR58-1:0] VAR6; reg [VAR58-1:0] VAR24; reg [VAR58-1:0] VAR38; reg [VAR58-1:0] VAR72; reg [VAR58-1:0] VAR62; reg [VAR58-1:0] VAR79; reg [VAR58-1:0] VAR21; reg [VAR58-1:0] VAR112; reg [VAR58-1:0] VAR55; reg [VAR58-1:0] VAR131; reg [VAR58-1:0] VAR16; input reset; always@(posedge clk or posedge reset) begin if(reset) begin VAR10 <= 0; VAR111 <= 0; VAR8 <= 0; VAR49 <= 0; VAR42 <= 0; VAR14 <= 0; VAR89 <= 0; VAR18 <= 0; VAR123 <= 0; VAR6 <= 0; VAR24 <= 0; VAR38 <= 0; VAR72 <= 0; VAR62 <= 0; VAR79 <= 0; VAR21 <= 0; VAR112 <= 0; VAR55 <= 0; VAR131 <= 0; VAR16 <= 0; end else begin if(VAR5) begin VAR10 <= VAR17; VAR111 <= VAR10; VAR8 <= VAR111; VAR49 <= VAR8; VAR42 <= VAR49; VAR14 <= VAR42; VAR89 <= VAR14; VAR18 <= VAR89; VAR123 <= VAR18; VAR6 <= VAR123; VAR24 <= VAR6; VAR38 <= VAR24; VAR72 <= VAR38; VAR62 <= VAR72; VAR79 <= VAR62; VAR21 <= VAR79; VAR112 <= VAR21; VAR55 <= VAR112; VAR131 <= VAR55; VAR16 <= VAR131; end end end endmodule module MODULE5 ( clk, VAR5, VAR11, VAR64, VAR122); input clk; input VAR5; input [17:0] VAR11; input [17:0] VAR64; output [17:0] VAR122; reg [17:0] VAR122; always @(posedge clk) begin if(VAR5) begin VAR122 <= VAR11 + VAR64; end end endmodule module MODULE2 ( clk, VAR5, VAR11, VAR64, VAR122); input clk; input VAR5; input [17:0] VAR11; input [17:0] VAR64; output [17:0] VAR122; reg [17:0] VAR122; always @(posedge clk) begin if(VAR5) begin VAR122 <= VAR11 * VAR64; end end endmodule module MODULE3 ( clk, VAR5, VAR11, VAR122); input clk; input VAR5; input [17:0] VAR11; output [17:0] VAR122; reg [17:0] VAR122; always @(posedge clk) begin if(VAR5) begin VAR122 <= VAR11; end end endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlxbn/sky130_fd_sc_hd__dlxbn.behavioral.v
2,107
module MODULE1 ( VAR13 , VAR8 , VAR4 , VAR15 ); output VAR13 ; output VAR8 ; input VAR4 ; input VAR15; supply1 VAR19; supply0 VAR16; supply1 VAR5 ; supply0 VAR12 ; wire VAR18 ; wire VAR10 ; wire VAR1; wire VAR3 ; reg VAR9 ; wire VAR7 ; wire 1 ; not VAR17 (VAR18 , VAR1 ); VAR6 VAR11 (VAR10 , VAR3, VAR18, VAR9, VAR19, VAR16); assign VAR7 = ( VAR19 === 1 ); buf VAR14 (VAR13 , VAR10 ); not VAR2 (VAR8 , VAR10 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/sdfstp/sky130_fd_sc_lp__sdfstp_4.v
2,511
module MODULE1 ( VAR9 , VAR1 , VAR11 , VAR3 , VAR6 , VAR5, VAR12 , VAR10 , VAR7 , VAR2 ); output VAR9 ; input VAR1 ; input VAR11 ; input VAR3 ; input VAR6 ; input VAR5; input VAR12 ; input VAR10 ; input VAR7 ; input VAR2 ; VAR8 VAR4 ( .VAR9(VAR9), .VAR1(VAR1), .VAR11(VAR11), .VAR3(VAR3), .VAR6(VAR6), .VAR5(VAR5), .VAR12(VAR12), .VAR10(VAR10), .VAR7(VAR7), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR9 , VAR1 , VAR11 , VAR3 , VAR6 , VAR5 ); output VAR9 ; input VAR1 ; input VAR11 ; input VAR3 ; input VAR6 ; input VAR5; supply1 VAR12; supply0 VAR10; supply1 VAR7 ; supply0 VAR2 ; VAR8 VAR4 ( .VAR9(VAR9), .VAR1(VAR1), .VAR11(VAR11), .VAR3(VAR3), .VAR6(VAR6), .VAR5(VAR5) ); endmodule
apache-2.0
vipinkmenon/scas
hw/fpga/source/enet_if/tx_client_fifo_8.v
54,914
module MODULE1 # ( parameter VAR181 = 0 ) ( input VAR115, input VAR60, input [7:0] VAR27, input VAR96, input VAR152, output VAR4, input VAR132, input VAR12, output [7:0] VAR9, output reg VAR155, output reg VAR107, input VAR78, output reg VAR135, output VAR57, output [3:0] VAR112, input VAR51, input VAR157 ); wire VAR84; wire VAR108; wire [8:0] VAR41; parameter VAR74 = 4'b0000; parameter VAR97 = 4'b0001; parameter VAR22 = 4'b0010; parameter VAR165 = 4'b0011; parameter VAR123 = 4'b0100; parameter VAR47 = 4'b0101; parameter VAR98 = 4'b0110; parameter VAR17 = 4'b0111; parameter VAR101 = 4'b1000; parameter VAR109 = 4'b1001; parameter VAR128 = 4'b1010; parameter VAR159 = 4'b1011; parameter VAR73 = 4'b1100; reg [3:0] VAR147; reg [3:0] VAR92; parameter VAR113 = 2'b00; parameter VAR72 = 2'b01; parameter VAR172 = 2'b10; parameter VAR75 = 2'b11; reg [1:0] VAR118; reg [1:0] VAR34; wire [8:0] VAR116; reg [7:0] VAR8; reg [7:0] VAR160[0:1]; reg VAR105[0:1]; reg VAR177[0:1]; reg VAR80[0:1]; reg VAR168; wire VAR62; reg [0:0] VAR142; reg VAR10; reg [11:0] VAR59; wire VAR23; wire VAR151; wire VAR91; reg [11:0] VAR7; reg VAR63; wire VAR43; wire VAR66; wire [0:0] VAR121; wire VAR127; wire [0:0] VAR139; reg VAR13; wire VAR3; wire VAR19; reg VAR153; reg VAR163; reg VAR36; reg [11:0] VAR15; wire VAR154; wire VAR1; wire [8:0] VAR40; wire [8:0] VAR111; wire [8:0] VAR106; wire [8:0] VAR110; wire [7:0] VAR174; wire [7:0] VAR161; reg [7:0] VAR104; reg [7:0] VAR68; reg [7:0] VAR65; wire [0:0] VAR31; wire [0:0] VAR124; wire VAR52; reg VAR45; reg VAR125; reg VAR170 = 1'b0; wire VAR55; reg VAR166 = 1'b0; reg VAR61 = 1'b0; wire VAR83; reg VAR54 = 1'b0; wire VAR117; reg VAR137; reg VAR46; reg [8:0] VAR179; reg VAR145; reg [3:0] VAR48; wire VAR32; reg [11:0] VAR53; reg VAR178 = 1'b0; wire VAR21; reg VAR100 = 1'b0; wire VAR39; reg [11:0] VAR67; reg [11:0] VAR171; reg [3:0] VAR138; reg VAR24; reg VAR44; reg [11:0] VAR35; wire VAR50; wire VAR134; reg [11:0] VAR29; wire VAR85; wire VAR156; reg VAR94; reg VAR141[0:1]; reg VAR102[0:1]; wire VAR71; reg VAR162; wire VAR173; reg [9:0] VAR149; reg VAR79; wire VAR175; wire [7:0] VAR93; wire [7:0] VAR14; reg [7:0] VAR164; wire VAR180; wire VAR16; wire VAR89; wire VAR143; wire VAR2; wire VAR11; wire VAR176; wire VAR18; wire VAR146; wire VAR144; wire VAR77; assign VAR144 = !VAR60; assign VAR77 = !VAR12; assign VAR84 = 1'b0; assign VAR108 = 1'b1; assign VAR41 = 9'b0; always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR118 <= VAR113; end else begin VAR118 <= VAR34; end end always @(VAR118, VAR105[1], VAR177[0], VAR177[1], VAR142[0], VAR173) begin case (VAR118) VAR113 : begin if (VAR105[1] == 1'b1 && VAR177[1] == 1'b0) begin VAR34 <= VAR72; end else begin VAR34 <= VAR113; end end VAR72 : begin if (VAR173 == 1'b1 && VAR177[0] == 1'b0 && VAR177[1] == 1'b0) begin VAR34 <= VAR75; end else if (VAR177[1] == 1'b1) begin VAR34 <= VAR172; end else begin VAR34 <= VAR72; end end VAR172 : begin if (VAR105[1] == 1'b1 && VAR177[1] == 1'b0) begin VAR34 <= VAR72; end else if (VAR142[0] == 1'b1) begin VAR34 <= VAR113; end else begin VAR34 <= VAR172; end end VAR75 : begin if (VAR142[0] == 1'b1) begin VAR34 <= VAR113; end else begin VAR34 <= VAR75; end end default : begin VAR34 <= VAR113; end endcase end assign VAR43 = (VAR118 == VAR75) ? 1'b0 : VAR168; assign VAR127 = VAR43 & !VAR59[11]; assign VAR66 = VAR43 & VAR59[11]; assign VAR139[0] = VAR127; assign VAR121[0] = VAR66; assign VAR23 = VAR43; assign VAR91 = (VAR118 == VAR75) ? 1'b1 : 1'b0; assign VAR151 = (VAR118 == VAR172 && VAR34 == VAR113) ? 1'b1 : (VAR118 == VAR172 && VAR34 == VAR72) ? 1'b1 : 1'b0; assign VAR3 = (VAR118 == VAR75) ? VAR13 : VAR63; assign VAR4 = !VAR3; assign VAR57 = (VAR118 == VAR75) ? 1'b1 : 1'b0; always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR13 <= 1'b0; end else begin if (VAR173 == 1'b1 && VAR118 == VAR72) begin VAR13 <= 1'b0; end else if (VAR96 == 1'b1 && VAR152 == 1'b1) begin VAR13 <= 1'b1; end end end assign VAR71 = (VAR118 == VAR172) ? 1'b1 : 1'b0; always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR162 <= 1'b0; end else begin VAR162 <= VAR71; end end always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR147 <= VAR74; end else begin VAR147 <= VAR92; end end generate if (VAR181 == 1) begin : VAR86 always @(VAR147, VAR19, VAR153, VAR163, VAR78) begin case (VAR147) VAR74 : begin if (VAR19 == 1'b1) begin VAR92 <= VAR97; end else begin VAR92 <= VAR74; end end VAR97 : begin VAR92 <= VAR22; end VAR22 : begin VAR92 <= VAR165; end VAR165 : begin VAR92 <= VAR123; end VAR123 : begin VAR92 <= VAR47; end VAR47 : begin if (VAR78 == 1'b1) begin VAR92 <= VAR98; end else begin VAR92 <= VAR47; end end VAR98 : begin if (VAR78 == 1'b0) begin VAR92 <= VAR17; end else if (VAR153 == 1'b1) begin VAR92 <= VAR101; end else begin VAR92 <= VAR98; end end VAR17 : begin if (VAR78 == 1'b1 && VAR163 == 1'b1) begin VAR92 <= VAR101; end else if (VAR78 == 1'b1 && VAR163 == 1'b0) begin VAR92 <= VAR98; end else begin VAR92 <= VAR17; end end VAR101 : begin if (VAR78 == 1'b1) begin VAR92 <= VAR74; end else begin VAR92 <= VAR101; end end default : begin VAR92 <= VAR74; end endcase end end endgenerate generate if (VAR181 != 1) begin : VAR64 always @(VAR147, VAR19, VAR163, VAR78, VAR24, VAR44) begin case (VAR147) VAR74 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else if (VAR19 == 1'b1) begin VAR92 <= VAR97; end else begin VAR92 <= VAR74; end end VAR97 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else begin VAR92 <= VAR22; end end VAR22 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else begin VAR92 <= VAR165; end end VAR165 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else begin VAR92 <= VAR123; end end VAR123 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else begin VAR92 <= VAR47; end end VAR47 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else if (VAR78 == 1'b1) begin VAR92 <= VAR98; end else begin VAR92 <= VAR47; end end VAR98 : begin if (VAR24 == 1'b1) begin VAR92 <= VAR109; end else if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else if (VAR78 == 1'b0) begin VAR92 <= VAR17; end else if (VAR163 == 1'b1) begin VAR92 <= VAR101; end else begin VAR92 <= VAR98; end end VAR17 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end else if (VAR78 == 1'b1 && VAR163 == 1'b1) begin VAR92 <= VAR101; end else if (VAR78 == 1'b1 && VAR163 == 1'b0) begin VAR92 <= VAR98; end else begin VAR92 <= VAR17; end end VAR101 : begin if (VAR44 == 1'b1) begin VAR92 <= VAR159; end if (VAR78 == 1'b1) begin VAR92 <= VAR74; end else begin VAR92 <= VAR101; end end VAR109 : begin if (VAR78 == 1'b1) begin VAR92 <= VAR128; end else begin VAR92 <= VAR109; end end VAR128 : begin if (VAR163 == 1'b1) begin VAR92 <= VAR74; end else begin VAR92 <= VAR128; end end VAR159 : begin if (VAR78 == 1'b1) begin VAR92 <= VAR73; end else begin VAR92 <= VAR159; end end VAR73 : begin VAR92 <= VAR97; end default : begin VAR92 <= VAR74; end endcase end end endgenerate assign VAR93 = (VAR92 == VAR17) ? VAR164 : VAR65; assign VAR14 = (VAR92 == VAR101) ? VAR65 : VAR164; assign VAR9 = VAR164; always @(posedge VAR132) begin if (VAR92 == VAR98) VAR164 <= VAR65; end else if (VAR92 == VAR159 || VAR92 == VAR109) VAR164 <= VAR164; else begin case (VAR147) VAR123 : VAR164 <= VAR65; VAR98 : VAR164 <= VAR93; VAR17 : VAR164 <= VAR14; default : VAR164 <= VAR164; endcase end end assign VAR180 = (VAR92 == VAR74) ? 1'b0 : 1'b1; assign VAR16 = (VAR92 == VAR128) ? 1'b0 : 1'b1; assign VAR89 = (VAR92 == VAR73) ? 1'b0 : 1'b1; always @(posedge VAR132) begin if (VAR92 == VAR98) VAR155 <= 1'b1; end else if (VAR92 == VAR159 || VAR92 == VAR109) VAR155 <= 1'b1; else begin case (VAR147) VAR123 : VAR155 <= 1'b1; VAR47 : VAR155 <= 1'b1; VAR98 : VAR155 <= 1'b1; VAR17 : VAR155 <= 1'b1; VAR101 : VAR155 <= VAR180; VAR109 : VAR155 <= VAR16; VAR159 : VAR155 <= VAR89; default : VAR155 <= 1'b0; endcase end end assign VAR143 = (VAR92 == VAR101) ? VAR163 : 1'b0; assign VAR2 = (VAR92 == VAR74) ? 1'b0 : VAR163; assign VAR11 = (VAR92 == VAR128) ? 1'b0 : 1'b1; assign VAR176 = (VAR92 == VAR73) ? 1'b0 : 1'b1; always @(posedge VAR132) begin if (VAR92 == VAR98) VAR107 <= VAR153; end else if (VAR92 == VAR159 || VAR92 == VAR109) VAR107 <= 1'b1; else begin case (VAR147) VAR47 : VAR107 <= VAR153; VAR98 : VAR107 <= VAR143; VAR17 : VAR107 <= VAR143; VAR101 : VAR107 <= VAR2; VAR109 : VAR107 <= VAR11; VAR159 : VAR107 <= VAR176; default : VAR107 <= 1'b0; endcase end end assign VAR18 = (VAR92 == VAR128) ? 1'b0 : 1'b1; assign VAR146 = (VAR92 == VAR73) ? 1'b0 : 1'b1; always @(posedge VAR132) begin if (VAR92 == VAR159 || VAR92 == VAR109) VAR135 <= 1'b1; end else begin case (VAR147) VAR109 : VAR135 <= VAR18; VAR159 : VAR135 <= VAR146; default : VAR135 <= 1'b0; endcase end end generate if (VAR181 == 1) begin : VAR158 assign VAR52 = (VAR147 == VAR74) ? 1'b0 : (VAR92 == VAR98) ? 1'b1 : (VAR147 == VAR98 && VAR92 == VAR17) ? 1'b0 : (VAR92 == VAR17) ? 1'b0 : (VAR147 == VAR101) ? 1'b0 : (VAR147 == VAR47) ? 1'b0 : 1'b1; assign VAR154 = VAR52; assign VAR1 = (VAR147 != VAR101 && VAR92 == VAR101) ? 1'b1 : 1'b0; assign VAR85 = (VAR147 == VAR47 && VAR92 == VAR98) ? 1'b1 : 1'b0; assign VAR134 = 1'b0; assign VAR50 = 1'b0; assign VAR156 = 1'b0; end endgenerate generate if (VAR181 != 1) begin : VAR20 assign VAR52 = (VAR147 == VAR74) ? 1'b0 : (VAR92 == VAR109) ? 1'b0 : (VAR92 == VAR128 && VAR153 == 1'b1) ? 1'b0 : (VAR92 == VAR98) ? 1'b1 : (VAR147 == VAR98 && VAR92 == VAR17) ? 1'b0 : (VAR92 == VAR17) ? 1'b0 : (VAR147 == VAR101) ? 1'b0 : (VAR147 == VAR159) ? 1'b0 : (VAR147 == VAR73) ? 1'b0 : (VAR147 == VAR47) ? 1'b0 : 1'b1; assign VAR154 = VAR52; assign VAR1 = (VAR147 != VAR101 && VAR92 == VAR101) ? 1'b1 : (VAR147 == VAR128 && VAR92 == VAR74) ? 1'b1 : 1'b0; assign VAR134 = (VAR147 == VAR73) ? 1'b1 : 1'b0; assign VAR50 = (VAR147== VAR47 && VAR92 == VAR98) ? 1'b1 : (VAR94 == 1'b1) ? 1'b1 : 1'b0; assign VAR85 = (VAR147 == VAR47 && VAR92 == VAR98) ? 1'b1 : 1'b0; assign VAR156 = (VAR147 == VAR73) ? 1'b1 : 1'b0; end endgenerate assign VAR117 = (VAR118 == VAR172 && VAR34 != VAR172) ? 1'b1 : 1'b0; always @(posedge VAR132) begin if (VAR85 == 1'b1) begin VAR170 <= !VAR170; end end VAR88 VAR140 ( .clk (VAR115), .VAR69 (VAR170), .VAR120 (VAR55) ); always @(posedge VAR115) begin VAR166 <= VAR55; end always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR137 <= 1'b0; end else begin if ((VAR166 ^ VAR55) == 1'b1) begin VAR137 <= 1'b1; end else begin VAR137 <= 1'b0; end end end generate if (VAR181 == 1) begin : VAR103 always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR179 <= 9'b0; end else begin if ((VAR117 & !VAR137) == 1'b1) begin VAR179 <= VAR179 + 9'b1; end else if ((!VAR117 & VAR137) == 1'b1) begin VAR179 <= VAR179 - 9'b1; end end end end endgenerate generate if (VAR181 != 1) begin : VAR150 always @(posedge VAR132) begin if (VAR156 == 1'b1) begin VAR61 <= !VAR61; end end VAR88 VAR140 ( .clk (VAR115), .VAR69 (VAR61), .VAR120 (VAR83) ); always @(posedge VAR115) begin VAR54 <= VAR83; end always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR46 <= 1'b0; end else begin if ((VAR54 ^ VAR83) == 1'b1) begin VAR46 <= 1'b1; end else begin VAR46 <= 1'b0; end end end always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR179 <= 9'd0; end else begin if ((VAR117 & VAR46) == 1'b1) begin VAR179 <= VAR179 + 9'd2; end else if (((VAR117 | VAR46) & !VAR137) == 1'b1) begin VAR179 <= VAR179 + 9'd1; end else if (VAR137 == 1'b1 & !VAR117) begin VAR179 <= VAR179 - 9'd1; end end end end endgenerate always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR145 <= 1'b0; end else begin if (VAR179 != 9'b0) begin VAR145 <= 1'b1; end else begin VAR145 <= 1'b0; end end end VAR88 VAR126 ( .clk (VAR132), .VAR69 (VAR145), .VAR120 (VAR19) ); always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR59 <= 12'b0; end else if (VAR91 == 1'b1) begin VAR59 <= VAR7; end else if (VAR23 == 1'b1) begin VAR59 <= VAR59 + 12'b1; end end always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR7 <= 12'b0; end else if (VAR151 == 1'b1) begin VAR7 <= VAR59 + 12'b1; end end generate if (VAR181 == 1) begin : VAR49 always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR15 <= 12'b0; end else begin if (VAR1 == 1'b1) begin VAR15 <= VAR29; end else if (VAR154 == 1'b1) begin VAR15 <= VAR15 + 12'b1; end end end always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR35 <= 12'b0; end else begin VAR35 <= VAR15; end end end endgenerate generate if (VAR181 != 1) begin : VAR37 always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR15 <= 12'b0; end else begin if (VAR1 == 1'b1) begin VAR15 <= VAR29; end else if (VAR134 == 1'b1) begin VAR15 <= VAR35; end else if (VAR154 == 1'b1) begin VAR15 <= VAR15 + 12'b1; end end end always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR35 <= 12'd0; end else begin if (VAR50 == 1'b1) begin VAR35 <= VAR15 - 12'd4; end end end always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR94 <= 1'b0; end else begin if (VAR85 == 1'b1) begin VAR94 <= 1'b0; end else if (VAR149[9:8] == 2'b11) begin VAR94 <= 1'b1; end end end assign VAR175 = (VAR147 == VAR74) ? 1'b1 : 1'b0; always @(posedge VAR132) begin VAR141[0] <= VAR94 & VAR175; if (VAR32 == 1'b1) begin VAR141[1] <= VAR141[0]; end end always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR149 <= 10'b0; end else begin if (VAR85 == 1'b1) begin VAR149 <= 10'b0; end else if (VAR149 != 10'b1111111111) begin VAR149 <= VAR149 + 10'b1; end end end end endgenerate always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR29 <= 12'b0; end else begin if (VAR154 == 1'b1) begin VAR29 <= VAR15 - 12'b1; end end end always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR45 <= 1'b0; VAR125 <= 1'b0; end else begin if (VAR154 == 1'b1) begin VAR45 <= VAR15[11]; VAR125 <= VAR45; end end end always @(posedge VAR115) begin VAR160[0] <= VAR27; if (VAR80[0] == 1'b1) begin VAR160[1] <= VAR160[0]; end if (VAR80[1] == 1'b1) begin VAR8 <= VAR160[1]; end end assign VAR62 = VAR96 & VAR10; always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR10 <= 1'b1; end else begin if (VAR96 == 1'b1 & VAR3 == 1'b0) begin VAR10 <= VAR152; end end end always @(posedge VAR115) begin VAR105[0] <= VAR62; if (VAR80[0] == 1'b1) begin VAR105[1] <= VAR105[0]; end end always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR80[0] <= 1'b0; VAR80[1] <= 1'b0; VAR168 <= 1'b0; end else begin VAR80[0] <= VAR96 & !VAR3; VAR80[1] <= VAR80[0]; VAR168 <= VAR80[1]; end end always @(posedge VAR115) begin VAR177[0] <= VAR96 & VAR152; if (VAR80[0] == 1'b1) begin VAR177[1] <= VAR177[0]; end if (VAR80[1] == 1'b1) begin VAR142[0] <= VAR177[1]; end end always @(posedge VAR132) begin if (VAR52 == 1'b1) begin VAR104 <= VAR174; VAR68 <= VAR161; if (VAR125 == 1'b1) begin VAR65 <= VAR104; end else begin VAR65 <= VAR68; end end end always @(posedge VAR132) begin if (VAR52 == 1'b1) begin if (VAR45 == 1'b1) begin VAR36 <= VAR31[0]; end else begin VAR36 <= VAR124[0]; end VAR153 <= VAR36; VAR163 <= VAR153 | VAR36; end end generate if (VAR181 != 1) begin : VAR38 always @(posedge VAR132) begin VAR24 <= VAR51 & !VAR157; end always @(posedge VAR132) begin VAR44 <= VAR51 & VAR157; end end endgenerate always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR48 <= 4'b0; end else begin VAR48 <= VAR48 + 4'b1; end end assign VAR32 = (VAR48 == 4'b1111) ? 1'b1 : 1'b0; always @(posedge VAR132) begin if (VAR77 == 1'b1) begin VAR53 <= 12'b0; end else begin if (VAR32 == 1'b1) begin VAR53 <= VAR35; end end end always @(posedge VAR132) begin if (VAR32 == 1'b1) begin VAR178 <= !VAR178; end end VAR88 VAR33 ( .clk (VAR115), .VAR69 (VAR178), .VAR120 (VAR21) ); always @(posedge VAR115) begin VAR100 <= VAR21; end assign VAR39 = VAR100 ^ VAR21; always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR67 <= 12'b0; end else if (VAR39 == 1'b1) begin VAR67 <= VAR53; end end always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR171 <= 12'b0; end else begin VAR171 <= VAR67 - VAR59; end end always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR63 <= 1'b0; end else begin if (VAR171[11:4] == 8'b0 && VAR171[3:2] != 2'b0) begin VAR63 <= 1'b1; end else begin VAR63 <= 1'b0; end end end generate if (VAR181 == 1) begin : VAR167 assign VAR173 = (VAR63 == 1'b1 && VAR145 == 1'b0 && VAR71 == 1'b0 && VAR162 == 1'b0) ? 1'b1 : 1'b0; end endgenerate generate if (VAR181 != 1) begin : VAR131 assign VAR173 = (VAR63 == 1'b1 && VAR145 == 1'b0 && VAR71 == 1'b0 && VAR162 == 1'b0 && VAR79 == 1'b1) ? 1'b1 : 1'b0; always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR102[0] <= 1'b0; VAR102[1] <= 1'b0; VAR79 <= 1'b0; end else begin if (VAR39 == 1'b1) begin VAR102[0] <= VAR141[1]; end VAR102[1] <= VAR102[0]; VAR79 <= VAR102[1]; end end end endgenerate always @(posedge VAR115) begin if (VAR144 == 1'b1) begin VAR138 <= 4'b0; end else begin if (VAR171 == 12'b0) begin VAR138 <= 4'b0; end else begin VAR138[3] <= !VAR171[11]; VAR138[2] <= !VAR171[10]; VAR138[1] <= !VAR171[9]; VAR138[0] <= !VAR171[8]; end end end assign VAR112 = VAR138; assign VAR116[8] = VAR142[0]; assign VAR116[7:0] = VAR8; assign VAR124[0] = VAR110[8]; assign VAR161 = VAR110[7:0]; VAR133 # ( .VAR122 ("VAR82"), .VAR99 ("18Kb"), .VAR26 (9), .VAR90 (9), .VAR136 (9), .VAR76 (9) ) VAR119 ( .VAR42 (VAR111), .VAR81 (VAR110), .VAR30 (VAR59[10:0]), .VAR70 (VAR15[10:0]), .VAR130 (VAR115), .VAR6 (VAR132), .VAR169 (VAR116), .VAR87 (VAR41[8:0]), .VAR56 (VAR108), .VAR28 (VAR52), .VAR25 (VAR108), .VAR58 (VAR108), .VAR95 (VAR144), .VAR148 (VAR77), .VAR5 (VAR139), .VAR114 (VAR84) ); assign VAR31[0] = VAR106[8]; assign VAR174 = VAR106[7:0]; VAR133 # ( .VAR122 ("VAR82"), .VAR99 ("18Kb"), .VAR26 (9), .VAR90 (9), .VAR136 (9), .VAR76 (9) ) VAR129 ( .VAR42 (VAR40), .VAR81 (VAR106), .VAR30 (VAR59[10:0]), .VAR70 (VAR15[10:0]), .VAR130 (VAR115), .VAR6 (VAR132), .VAR169 (VAR116), .VAR87 (VAR41[8:0]), .VAR56 (VAR108), .VAR28 (VAR52), .VAR25 (VAR108), .VAR58 (VAR108), .VAR95 (VAR144), .VAR148 (VAR77), .VAR5 (VAR121), .VAR114 (VAR84) ); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_hdll
models/udp_mux_2to1_n/sky130_fd_sc_hdll__udp_mux_2to1_n.symbol.v
1,297
module MODULE1 ( input VAR4, input VAR1, output VAR3 , input VAR2 ); endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/inv/gf180mcu_fd_sc_mcu7t5v0__inv_16.behavioral.v
1,106
module MODULE1( VAR5, VAR4 ); input VAR5; output VAR4; VAR3 VAR1(.VAR5(VAR5),.VAR4(VAR4)); VAR3 VAR2(.VAR5(VAR5),.VAR4(VAR4));
apache-2.0
ejk43/rfnoc-neuralnet
rfnoc/fpga-src/noc_block_exmodrec.v
8,935
module MODULE1 #( parameter VAR82 = 64'hABCD080D2EC00000, parameter VAR45 = 11) ( input VAR35, input VAR89, input VAR85, input VAR90, input [63:0] VAR93, input VAR59, input VAR37, output VAR41, output [63:0] VAR24, output VAR12, output VAR11, input VAR6, output [63:0] VAR44 ); wire [31:0] VAR50; wire [7:0] VAR10; wire VAR26; reg [63:0] VAR25; wire [7:0] VAR27; wire [63:0] VAR60, VAR64; wire VAR38, VAR91, VAR76, VAR32, VAR75, VAR29; wire [63:0] VAR23, VAR47; wire VAR34, VAR57, VAR14, VAR8, VAR79, VAR46; wire [15:0] VAR80; wire [15:0] VAR69, VAR94; wire [15:0] VAR28; wire VAR63; VAR9 #( .VAR82(VAR82), .VAR45(VAR45)) VAR9 ( .VAR35(VAR35), .VAR89(VAR89), .VAR93(VAR93), .VAR59(VAR59), .VAR37(VAR37), .VAR41(VAR41), .VAR24(VAR24), .VAR12(VAR12), .VAR11(VAR11), .VAR6(VAR6), .clk(VAR85), .reset(VAR90), .VAR50(VAR50), .VAR10(VAR10), .VAR26(VAR26), .VAR53(1'b1), .VAR25(VAR25), .VAR27(VAR27), .VAR60(VAR60), .VAR38(VAR38), .VAR91(VAR91), .VAR76(VAR76), .VAR64(VAR64), .VAR32(VAR32), .VAR75(VAR75), .VAR29(VAR29), .VAR23(VAR23), .VAR34(VAR34), .VAR57(VAR57), .VAR14(VAR14), .VAR47(VAR47), .VAR8(VAR8), .VAR79(VAR79), .VAR46(VAR46), .VAR80(VAR80), .VAR69(VAR69), .VAR28(VAR28), .VAR94(VAR94), .VAR86('d0), .VAR63(VAR63), .VAR44(VAR44)); wire [31:0] VAR20; wire [127:0] VAR36; wire VAR66; wire VAR4; wire VAR88; wire [31:0] VAR15; wire [127:0] VAR78; wire VAR33; wire VAR30; wire VAR68; VAR17 #( .VAR52(0)) VAR17 ( .clk(VAR85), .reset(VAR90), .VAR63(VAR63), .VAR3(VAR69), .VAR26(VAR26), .VAR10(VAR10), .VAR50(VAR50), .VAR93(VAR23), .VAR59(VAR34), .VAR37(VAR57), .VAR41(VAR14), .VAR24(VAR47), .VAR12(VAR8), .VAR11(VAR79), .VAR6(VAR46), .VAR20(VAR20), .VAR66(VAR66), .VAR4(VAR4), .VAR88(VAR88), .VAR36(VAR36), .VAR15(VAR15), .VAR33(VAR33), .VAR30(VAR30), .VAR68(VAR68), .VAR78(VAR78), .VAR55(), .VAR51(), .VAR54(), .VAR42(), .VAR40(), .VAR31(), .VAR16()); localparam VAR71 = 128; assign VAR60 = 64'd0; assign VAR38 = 1'b0; assign VAR91 = 1'b0; assign VAR29 = 1'b1; localparam VAR19 = 129; localparam VAR65 = 130; wire [15:0] VAR13, VAR92; always @(posedge VAR85) begin case(VAR27) VAR19 : VAR25 <= {48'd0, VAR13}; VAR65 : VAR25 <= {48'd0, VAR92}; default : VAR25 <= 64'h0BADC0DE0BADC0DE; endcase end wire [31:0] VAR21, VAR48; wire VAR74, VAR87; wire VAR83, VAR62; wire VAR22, VAR1; VAR72 VAR73 ( .clk(VAR85), .reset(VAR90), .VAR18(VAR63), .VAR69(VAR69), .VAR84(VAR13), .VAR5(VAR92), .VAR93(VAR20), .VAR59(VAR66), .VAR37(VAR4), .VAR41(VAR88), .VAR81(VAR36), .VAR24(VAR15), .VAR12(VAR33), .VAR11(VAR30), .VAR6(VAR68), .VAR58(VAR78), .VAR20(VAR21), .VAR66(VAR74), .VAR4(VAR83), .VAR88(VAR22), .VAR15(VAR48), .VAR33(VAR87), .VAR30(VAR62), .VAR68(VAR1)); assign VAR48[31:16] = 0; assign VAR87 = 1'b0; VAR39 VAR70 ( .VAR67(VAR85), .VAR49(~VAR90), .VAR13(VAR13), .VAR92(VAR92), .VAR43(VAR21), .VAR61(VAR83), .VAR2(VAR22), .VAR77(VAR48), .VAR56(VAR62), .VAR7(VAR1)); endmodule
gpl-3.0
rkrajnc/minimig-mist
rtl/minimig/minimig_syscontrol.v
1,523
module MODULE1 ( input clk, input VAR6, input VAR5, input VAR4, output reset ); reg VAR1, VAR2; reg [2:0] VAR3 = 0; wire rst; always @(posedge clk) begin if (VAR6) begin VAR1 <= VAR4; VAR2 <= VAR1; end end always @(posedge clk) begin if (VAR6) begin if (VAR2) VAR3 <= 3'd0; end else if (!rst && VAR5) VAR3 <= VAR3 + 3'd1; end end assign rst = VAR3[2]; assign reset = ~rst; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/decap/sky130_fd_sc_hd__decap.behavioral.pp.v
1,172
module MODULE1 ( VAR3, VAR4, VAR2 , VAR1 ); input VAR3; input VAR4; input VAR2 ; input VAR1 ; endmodule
apache-2.0
Elphel/x353
general/macros353.v
3,702
module MODULE2 (VAR12, VAR10, VAR18, VAR7); output VAR12; input [3:0] VAR10; input VAR18, VAR7; MODULE5 #(.VAR1(1'b0)) VAR4 (.VAR12(VAR12), .VAR21(VAR10[0]), .VAR17(VAR10[1]), .VAR15(VAR10[2]), .VAR22(VAR10[3]), .VAR18(VAR18), .VAR7(VAR7)); VAR11 VAR4 (.VAR12(VAR12), .VAR21(VAR10[0]), .VAR17(VAR10[1]), .VAR15(VAR10[2]), .VAR22(VAR10[3]), .VAR18(VAR18), .VAR7(VAR7)); endmodule module MODULE4 (VAR12, VAR10, VAR18, VAR7); output VAR12; input [3:0] VAR10; input VAR18, VAR7; MODULE5 #(.VAR1(1'b1)) VAR4 (.VAR12(VAR12), .VAR21(VAR10[0]), .VAR17(VAR10[1]), .VAR15(VAR10[2]), .VAR22(VAR10[3]), .VAR18(VAR18), .VAR7(VAR7)); VAR8 VAR4 (.VAR12(VAR12), .VAR21(VAR10[0]), .VAR17(VAR10[1]), .VAR15(VAR10[2]), .VAR22(VAR10[3]), .VAR18(VAR18), .VAR7(VAR7)); endmodule module MODULE3(VAR7,VAR9,clk,VAR5,VAR14,VAR19,VAR13); parameter VAR2=16; parameter VAR20=4; parameter VAR16=(1<<VAR20)-1; input [VAR2-1:0] VAR7; input VAR9,clk; input [VAR20-1:0] VAR5; input [VAR20-1:0] VAR14; output [VAR2-1:0] VAR19; output [VAR2-1:0] VAR13; reg [VAR2-1:0] VAR6 [0:VAR16]; always @ (posedge clk) if (VAR9) VAR6[VAR5] <= VAR7; assign VAR19= VAR6[VAR5]; assign VAR13= VAR6[VAR14]; endmodule module MODULE1(VAR7,VAR9,clk,VAR5,VAR14,VAR19,VAR13); parameter VAR2=16; parameter VAR20=4; parameter VAR16=(1<<VAR20)-1; input [VAR2-1:0] VAR7; input VAR9,clk; input [VAR20-1:0] VAR5; input [VAR20-1:0] VAR14; output [VAR2-1:0] VAR19; output [VAR2-1:0] VAR13; reg [VAR2-1:0] VAR6 [0:VAR16]; always @ (negedge clk) if (VAR9) VAR6[VAR5] <= VAR7; assign VAR19= VAR6[VAR5]; assign VAR13= VAR6[VAR14]; endmodule module MODULE5 #( parameter VAR23 = 16'h0000, parameter VAR1 = 0 ) ( output VAR12, input VAR21, input VAR17, input VAR15, input VAR22, input VAR18, input VAR7); reg [15:0] VAR24; wire clk; wire [3:0] VAR3 = {VAR22, VAR15, VAR17, VAR21}; assign VAR12 = (|VAR24) ? ((&VAR24) ? 1'b1 : VAR24[VAR3]) : 1'b0 ; assign clk = VAR1? (~VAR18) : VAR18; begin begin
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/a221oi/sky130_fd_sc_hd__a221oi.symbol.v
1,402
module MODULE1 ( input VAR6, input VAR7, input VAR2, input VAR3, input VAR8, output VAR9 ); supply1 VAR4; supply0 VAR1; supply1 VAR10 ; supply0 VAR5 ; endmodule
apache-2.0
OpticalMeasurementsSystems/2DImageProcessing
2d_image_processing.srcs/sources_1/bd/image_processing_2d_design/ip/image_processing_2d_design_not_1bit_0_0/synth/image_processing_2d_design_not_1bit_0_0.v
2,901
module MODULE1 ( VAR1, VAR3 ); input wire VAR1; output wire VAR3; VAR2 VAR4 ( .VAR1(VAR1), .VAR3(VAR3) ); endmodule
gpl-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v
4,693
module MODULE1 , parameter VAR34 = "VAR13" , parameter VAR59 = VAR2(VAR24,1) ) (input VAR27 , input VAR35 , input [VAR59-1:0] VAR37 , input VAR11 , input [VAR59-1:0] VAR49 , output [VAR59-1:0] VAR60 ); if (VAR24 == 0) begin : VAR8 assign VAR60 = 1'b0; end else if (VAR24 == 1) begin : VAR14 assign VAR60 = 1'b1; end else if (VAR34 == "VAR13") begin : VAR13 localparam VAR39 = VAR22(VAR24); wire VAR54 = |VAR37; wire VAR28 = VAR54 | VAR11; logic [VAR24-2:0] VAR45, VAR56; VAR58 VAR41 (.VAR27(VAR27) ,.VAR35(VAR35) ,.VAR30(VAR28) ,.VAR51(VAR45) ,.VAR33(VAR56) ); logic [VAR39-1:0] VAR20; VAR32 VAR12 (.VAR16(VAR56) ,.VAR19(VAR20) ); logic [VAR39-1:0] VAR17; logic VAR42; VAR52 VAR53 (.VAR61(VAR49) ,.VAR40(VAR17) ,.VAR57(VAR42) ); wire [VAR39-1:0] VAR55 = VAR42 ? VAR17 : VAR20; VAR36 VAR3 (.VAR61(VAR55) ,.VAR46(VAR60) ); logic [VAR39-1:0] VAR1; VAR25 VAR7 (.VAR61(VAR37) ,.VAR40(VAR1) ,.VAR57() ); logic [VAR24-2:0] VAR18, VAR10; VAR62 VAR50 (.VAR26(VAR1) ,.VAR33(VAR18) ,.VAR21(VAR10) ); logic [VAR24-2:0] VAR47; wire [VAR24-2:0] VAR9 = VAR10 & {(VAR24-1){VAR54}}; VAR6 VAR15 (.VAR23(VAR56) ,.VAR38(VAR18) ,.VAR4(VAR9) ,.VAR33(VAR47) ); logic [VAR24-2:0] VAR5, VAR44; VAR62 VAR48 (.VAR26(VAR55) ,.VAR33(VAR5) ,.VAR21(VAR44) ); logic [VAR24-2:0] VAR29; wire [VAR24-2:0] VAR31 = VAR44 & {(VAR24-1){VAR11}}; VAR6 VAR43 (.VAR23(VAR47) ,.VAR38(VAR5) ,.VAR4(VAR31) ,.VAR33(VAR29) ); assign VAR45 = VAR29; end begin end
bsd-3-clause
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_auto_pc_1/synth/tutorial_auto_pc_1.v
13,148
module MODULE1 ( VAR62, VAR3, VAR26, VAR95, VAR65, VAR18, VAR67, VAR79, VAR1, VAR9, VAR83, VAR75, VAR104, VAR24, VAR81, VAR114, VAR47, VAR69, VAR2, VAR6, VAR74, VAR84, VAR53, VAR46, VAR85, VAR90, VAR56, VAR105, VAR64, VAR51, VAR11, VAR8, VAR109, VAR13, VAR98, VAR55, VAR50, VAR63, VAR10, VAR110, VAR82, VAR61, VAR107, VAR16, VAR30, VAR31, VAR80, VAR42, VAR4, VAR28, VAR59, VAR25, VAR45, VAR108, VAR21, VAR77, VAR48, VAR34, VAR20 ); input wire VAR62; input wire VAR3; input wire [11 : 0] VAR26; input wire [31 : 0] VAR95; input wire [3 : 0] VAR65; input wire [2 : 0] VAR18; input wire [1 : 0] VAR67; input wire [1 : 0] VAR79; input wire [3 : 0] VAR1; input wire [2 : 0] VAR9; input wire [3 : 0] VAR83; input wire VAR75; output wire VAR104; input wire [11 : 0] VAR24; input wire [31 : 0] VAR81; input wire [3 : 0] VAR114; input wire VAR47; input wire VAR69; output wire VAR2; output wire [11 : 0] VAR6; output wire [1 : 0] VAR74; output wire VAR84; input wire VAR53; input wire [11 : 0] VAR46; input wire [31 : 0] VAR85; input wire [3 : 0] VAR90; input wire [2 : 0] VAR56; input wire [1 : 0] VAR105; input wire [1 : 0] VAR64; input wire [3 : 0] VAR51; input wire [2 : 0] VAR11; input wire [3 : 0] VAR8; input wire VAR109; output wire VAR13; output wire [11 : 0] VAR98; output wire [31 : 0] VAR55; output wire [1 : 0] VAR50; output wire VAR63; output wire VAR10; input wire VAR110; output wire [31 : 0] VAR82; output wire [2 : 0] VAR61; output wire VAR107; input wire VAR16; output wire [31 : 0] VAR30; output wire [3 : 0] VAR31; output wire VAR80; input wire VAR42; input wire [1 : 0] VAR4; input wire VAR28; output wire VAR59; output wire [31 : 0] VAR25; output wire [2 : 0] VAR45; output wire VAR108; input wire VAR21; input wire [31 : 0] VAR77; input wire [1 : 0] VAR48; input wire VAR34; output wire VAR20; VAR39 #( .VAR22("VAR70"), .VAR33(2), .VAR60(1), .VAR7(0), .VAR112(12), .VAR73(32), .VAR14(32), .VAR99(1), .VAR68(1), .VAR58(0), .VAR36(1), .VAR37(1), .VAR57(1), .VAR38(1), .VAR72(1), .VAR86(2) ) VAR66 ( .VAR62(VAR62), .VAR3(VAR3), .VAR26(VAR26), .VAR95(VAR95), .VAR65(VAR65), .VAR18(VAR18), .VAR67(VAR67), .VAR79(VAR79), .VAR1(VAR1), .VAR9(VAR9), .VAR29(4'VAR17), .VAR83(VAR83), .VAR97(1'VAR17), .VAR75(VAR75), .VAR104(VAR104), .VAR24(VAR24), .VAR81(VAR81), .VAR114(VAR114), .VAR47(VAR47), .VAR101(1'VAR17), .VAR69(VAR69), .VAR2(VAR2), .VAR6(VAR6), .VAR74(VAR74), .VAR106(), .VAR84(VAR84), .VAR53(VAR53), .VAR46(VAR46), .VAR85(VAR85), .VAR90(VAR90), .VAR56(VAR56), .VAR105(VAR105), .VAR64(VAR64), .VAR51(VAR51), .VAR11(VAR11), .VAR87(4'VAR17), .VAR8(VAR8), .VAR111(1'VAR17), .VAR109(VAR109), .VAR13(VAR13), .VAR98(VAR98), .VAR55(VAR55), .VAR50(VAR50), .VAR63(VAR63), .VAR92(), .VAR10(VAR10), .VAR110(VAR110), .VAR43(), .VAR82(VAR82), .VAR93(), .VAR44(), .VAR78(), .VAR35(), .VAR88(), .VAR61(VAR61), .VAR76(), .VAR103(), .VAR96(), .VAR107(VAR107), .VAR16(VAR16), .VAR32(), .VAR30(VAR30), .VAR31(VAR31), .VAR27(), .VAR23(), .VAR80(VAR80), .VAR42(VAR42), .VAR40(12'VAR15), .VAR4(VAR4), .VAR89(1'VAR17), .VAR28(VAR28), .VAR59(VAR59), .VAR100(), .VAR25(VAR25), .VAR52(), .VAR12(), .VAR41(), .VAR102(), .VAR91(), .VAR45(VAR45), .VAR94(), .VAR49(), .VAR19(), .VAR108(VAR108), .VAR21(VAR21), .VAR113(12'VAR15), .VAR77(VAR77), .VAR48(VAR48), .VAR5(1'VAR71), .VAR54(1'VAR17), .VAR34(VAR34), .VAR20(VAR20) ); endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/mux2/sky130_fd_sc_ls__mux2_1.v
2,187
module MODULE1 ( VAR6 , VAR5 , VAR1 , VAR9 , VAR4, VAR10, VAR3 , VAR2 ); output VAR6 ; input VAR5 ; input VAR1 ; input VAR9 ; input VAR4; input VAR10; input VAR3 ; input VAR2 ; VAR7 VAR8 ( .VAR6(VAR6), .VAR5(VAR5), .VAR1(VAR1), .VAR9(VAR9), .VAR4(VAR4), .VAR10(VAR10), .VAR3(VAR3), .VAR2(VAR2) ); endmodule module MODULE1 ( VAR6 , VAR5, VAR1, VAR9 ); output VAR6 ; input VAR5; input VAR1; input VAR9 ; supply1 VAR4; supply0 VAR10; supply1 VAR3 ; supply0 VAR2 ; VAR7 VAR8 ( .VAR6(VAR6), .VAR5(VAR5), .VAR1(VAR1), .VAR9(VAR9) ); endmodule
apache-2.0
P3Stor/P3Stor
pcie/core/trn_tx_128.v
18,825
module MODULE1 #( parameter VAR68 = 100 ) ( input VAR23, input VAR78, input VAR25, input VAR28, input [1:0] VAR42, output [5:0] VAR67, output VAR86, output VAR74, input VAR77, input [127:0] VAR66, input VAR47, input [1:0] VAR96, input VAR31, input VAR13, input VAR12, input VAR34, input VAR6, input [5:0] VAR49, input VAR21, input VAR39, input VAR5, output VAR30, output [63:0] VAR4, output VAR92, output VAR52, output VAR15, output VAR3, output VAR63, output VAR8, output VAR81, output VAR56 ); parameter [1:0] VAR104 = 0; parameter [1:0] VAR16 = 1; wire [63:0] VAR79; wire VAR73; wire VAR89; wire VAR51; wire VAR26; wire VAR46; wire VAR36; wire VAR62; wire VAR7; wire VAR93; wire [63:0] VAR53; wire VAR64; wire VAR75; wire VAR1; wire VAR76; wire VAR55; wire VAR40; wire VAR80; wire VAR9; wire VAR85; reg [1:0] VAR32; wire [1:0] state; wire VAR50; reg VAR98; reg VAR43; wire [(64+9-1):0] VAR94; wire [(64+9-1):0] VAR19; reg VAR60; reg VAR99; wire VAR103; wire VAR72; reg VAR38; reg VAR14; reg VAR91; reg VAR95; reg VAR24; reg VAR101; reg VAR29; reg [127:0] VAR17; reg VAR48; reg VAR97; reg VAR71; reg VAR69; reg [1:0] VAR90; reg VAR27; reg VAR11 = 1; reg VAR37; reg [127:0] VAR65; reg VAR41; reg VAR18; reg VAR102; reg VAR20; reg [1:0] VAR22; reg VAR87; reg VAR45; reg VAR59; reg VAR54; reg VAR100; reg VAR88; reg VAR83; reg VAR58; reg VAR10; reg [5:0] VAR2; reg VAR35; reg VAR57; reg VAR82; reg VAR44; reg VAR70; integer VAR61; reg [(64+9-1):0] VAR84 [(VAR33-1):0]; assign VAR30 = VAR93; assign VAR92 = VAR64; assign VAR52 = VAR75; assign VAR4 = VAR53; assign VAR3 = VAR76; assign VAR63 = VAR55; assign VAR8 = VAR40 | VAR55; assign VAR81 = VAR80; assign VAR15 = VAR1; assign VAR56 = VAR9; assign VAR67 = VAR2; assign VAR85 = VAR82 & VAR5; assign VAR74 = VAR44; always @(posedge VAR23) begin if (~VAR25) begin end else begin if (~VAR86) begin end else begin end end end always @(posedge VAR78) begin if(~VAR28) begin end else begin end end always @(posedge VAR23) begin if (~VAR25) begin end else begin VAR43 <= ((VAR98) & VAR21 & ~VAR39 & (VAR42 == 2'd0) ); end end always @(posedge VAR23) begin if (~VAR25) begin end else begin if ( ~VAR31 & ~VAR34 & ~VAR86) begin if (~VAR13) begin end else begin end end else if (~VAR13 & ~VAR34 & ~VAR86) begin end else if (VAR24) begin end end end assign VAR103 = VAR60 | VAR95 | ~VAR31 & ~VAR34 & ~VAR88; assign VAR72 = VAR60 | (VAR90[1] ? VAR14 : VAR91); always @(posedge VAR23) begin if (~VAR25) begin VAR32 = 0; end else begin case (state) VAR104: begin if (VAR103) begin if (!VAR35 && !VAR13 && !VAR34) VAR32 = VAR16; end else if (!VAR50 && !VAR13 && !VAR34) VAR32 = VAR104; end else VAR32 = VAR104; end else begin if (!VAR35) VAR32 = VAR16; end else VAR32 = VAR104; end end VAR16: begin if (VAR35) VAR32 = VAR104; end else VAR32 = VAR16; end endcase end end always @(posedge VAR23) begin if (~VAR25) begin end else begin case (state) VAR104: begin if (VAR103) begin if (!VAR35 && !VAR13 && !VAR34) end else if ((!VAR50 && !VAR13 && !VAR34) || (!VAR50 && VAR88)) end else end else begin if (!VAR35) end else if (!VAR50) end else end end VAR16: begin if (~VAR70) begin end else begin end end endcase end end assign VAR86 = VAR88 | (~VAR43 & ~VAR103); always @(posedge VAR78) begin if (~VAR28) begin end else begin if (VAR72) end else end end assign VAR79 = VAR29 ? VAR65[127:64] : VAR65[63:0]; assign VAR26 = VAR29 ? VAR41 : 1'b1; assign VAR46 = (VAR29 ^~ VAR22[1]) ? VAR18 : 1'b1; assign VAR62 = ~VAR99 | VAR54 | VAR20; assign VAR36 = VAR102; assign VAR51 = VAR22[0]; assign VAR7 = VAR87; assign VAR73 = VAR45; assign VAR89 = VAR59; VAR10, VAR79, VAR26, VAR46, VAR36, VAR62, VAR51, VAR7, VAR73, VAR89}; generate always @(posedge VAR78) begin for (VAR61=(VAR33-1); VAR61>0; VAR61=VAR61-1) end endgenerate assign VAR19 = VAR84[(VAR33-1)]; assign VAR93 = VAR10; assign VAR53 = VAR19[71:8]; assign VAR76 = VAR19[7]; assign VAR55 = VAR19[6]; assign VAR40 = VAR19[5]; assign VAR80 = VAR19[4]; assign VAR1 = VAR19[3]; assign VAR9 = VAR19[2]; assign VAR64 = VAR19[1]; assign VAR75 = VAR19[0]; endmodule
gpl-2.0
sergev/vak-opensource
hardware/verilator/t_clk.v
3,009
module MODULE1 ( VAR2, VAR14, clk, VAR23 ); input VAR14; input clk; input VAR23; output VAR2; reg VAR2; VAR8 VAR2 = 0; reg VAR1; VAR8 VAR1=0; reg [7:0] VAR24; VAR8 VAR24 = 0; wire [7:0] VAR26; wire [7:0] VAR6; wire [7:0] VAR19; wire [7:0] VAR27; reg [7:0] VAR17; VAR8 VAR17 = 0; reg [7:0] VAR3; reg VAR11; VAR8 VAR11 = 0; reg VAR20; always @ (posedge clk) begin if (!VAR23) begin VAR24 <= 0; VAR17 <= 0; VAR11 <= 1'b1; VAR20 <= 0; end else begin VAR11 <= ~VAR11; if (!VAR1) begin VAR1 <= 1; ("[%0t] MODULE1: VAR18\VAR7",); VAR20 <= 1; end end end reg [7:0] VAR25; always @ (posedge clk or negedge VAR23) begin if (!VAR23) begin VAR25 <= 0; end else begin VAR25 <= VAR25 + 1; end end always @ (posedge clk) begin if (!VAR23) begin VAR24 <= 0; end else begin VAR24 <= VAR24 + 8'd1; if (VAR24 == 4) begin if (VAR25 !== 4) if (VAR26 !== 3) if (VAR17 !== 2) if (VAR3 !== 2) if (VAR26 !== VAR19) if (VAR6 !== VAR27) VAR2 <= 1'b1; ("[%0t] MODULE1: VAR28\VAR7",); end end end reg [7:0] VAR15; always @ (posedge clk or negedge VAR20) begin if (!VAR20) begin VAR15 <= 0; end else begin VAR15 <= VAR15 + 8'd1; end end always @ (VAR17) begin VAR3 = VAR17; end always @ (negedge VAR11) begin VAR17 <= VAR17 + 8'd1; end VAR5 VAR10 (.clk(clk), .VAR13(VAR14), .VAR12(VAR24), .VAR4(VAR26), .VAR21(VAR6)); VAR5 VAR22 (.clk(clk), .VAR13(VAR14), .VAR12(VAR24), .VAR4(VAR19), .VAR21(VAR27)); VAR9 VAR16 ( .VAR14 (VAR14), .VAR23 (VAR23)); endmodule
apache-2.0
jmacneal/Design-Project
Display/Audio_Controller/Altera_UP_Audio_In_Deserializer.v
6,336
module MODULE1 ( clk, reset, VAR29, VAR24, VAR28, VAR3, VAR19, VAR18, VAR22, VAR26, VAR37, VAR5, VAR27, VAR6 ); parameter VAR1 = 32; parameter VAR13 = 5'd31; input clk; input reset; input VAR29; input VAR24; input VAR28; input VAR3; input VAR19; input VAR18; input VAR22; input VAR26; output reg [7:0] VAR37; output reg [7:0] VAR5; output [VAR1:1] VAR27; output [VAR1:1] VAR6; wire VAR10; wire VAR23; wire VAR8; wire VAR17; wire VAR31; wire [6:0] VAR14; wire [6:0] VAR11; reg [VAR1:1] VAR32; always @(posedge clk) begin if (reset == 1'b1) VAR37 <= 8'h00; end else begin VAR37[7] <= VAR17; VAR37[6:0] <= VAR14; end end always @(posedge clk) begin if (reset == 1'b1) VAR5 <= 8'h00; end else begin VAR5[7] <= VAR31; VAR5[6:0] <= VAR11; end end always @(posedge clk) begin if (reset == 1'b1) VAR32 <= {VAR1{1'b0}}; end else if (VAR29 & VAR10) VAR32 <= {VAR32[(VAR1 - 1):1], VAR18}; end VAR7 VAR4 ( .clk (clk), .reset (reset), .VAR29 (VAR29), .VAR24 (VAR24), .VAR28 (VAR28), .VAR3 (VAR3), .VAR16 (VAR10) ); VAR4.VAR13 = VAR13; VAR2 VAR38( .clk (clk), .reset (reset), .VAR30 (VAR3 & ~VAR17 & VAR19), .VAR9 (VAR32), .VAR25 (VAR22 & ~VAR23), .VAR20 (VAR23), .VAR34 (VAR17), .VAR36 (VAR14), .VAR21 (VAR27) ); VAR38.VAR35 = VAR1, VAR38.VAR15 = 128, VAR38.VAR12 = 7; VAR2 VAR33( .clk (clk), .reset (reset), .VAR30 (VAR28 & ~VAR31 & VAR19), .VAR9 (VAR32), .VAR25 (VAR26 & ~VAR8), .VAR20 (VAR8), .VAR34 (VAR31), .VAR36 (VAR11), .VAR21 (VAR6) ); VAR33.VAR35 = VAR1, VAR33.VAR15 = 128, VAR33.VAR12 = 7; endmodule
gpl-3.0
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/bd/system/ip/system_auto_pc_1/synth/system_auto_pc_1.v
16,126
module MODULE1 ( VAR105, VAR112, VAR38, VAR29, VAR32, VAR35, VAR30, VAR45, VAR72, VAR89, VAR81, VAR74, VAR62, VAR109, VAR69, VAR98, VAR83, VAR59, VAR36, VAR101, VAR56, VAR43, VAR87, VAR9, VAR67, VAR94, VAR3, VAR41, VAR63, VAR49, VAR53, VAR24, VAR21, VAR34, VAR61, VAR44, VAR50, VAR15, VAR97, VAR19, VAR13, VAR2, VAR25, VAR102, VAR92, VAR79, VAR73, VAR100, VAR77, VAR57, VAR20, VAR103, VAR39, VAR27, VAR70, VAR93, VAR71, VAR5, VAR47, VAR16, VAR95, VAR11, VAR64, VAR90, VAR68, VAR54, VAR12, VAR84, VAR78, VAR108, VAR14, VAR28, VAR88, VAR55, VAR99, VAR6, VAR26, VAR58, VAR17 ); input wire VAR105; input wire VAR112; input wire [0 : 0] VAR38; input wire [31 : 0] VAR29; input wire [7 : 0] VAR32; input wire [2 : 0] VAR35; input wire [1 : 0] VAR30; input wire [0 : 0] VAR45; input wire [3 : 0] VAR72; input wire [2 : 0] VAR89; input wire [3 : 0] VAR81; input wire [3 : 0] VAR74; input wire VAR62; output wire VAR109; input wire [63 : 0] VAR69; input wire [7 : 0] VAR98; input wire VAR83; input wire VAR59; output wire VAR36; output wire [0 : 0] VAR101; output wire [1 : 0] VAR56; output wire VAR43; input wire VAR87; input wire [0 : 0] VAR9; input wire [31 : 0] VAR67; input wire [7 : 0] VAR94; input wire [2 : 0] VAR3; input wire [1 : 0] VAR41; input wire [0 : 0] VAR63; input wire [3 : 0] VAR49; input wire [2 : 0] VAR53; input wire [3 : 0] VAR24; input wire [3 : 0] VAR21; input wire VAR34; output wire VAR61; output wire [0 : 0] VAR44; output wire [63 : 0] VAR50; output wire [1 : 0] VAR15; output wire VAR97; output wire VAR19; input wire VAR13; output wire [0 : 0] VAR2; output wire [31 : 0] VAR25; output wire [3 : 0] VAR102; output wire [2 : 0] VAR92; output wire [1 : 0] VAR79; output wire [1 : 0] VAR73; output wire [3 : 0] VAR100; output wire [2 : 0] VAR77; output wire [3 : 0] VAR57; output wire VAR20; input wire VAR103; output wire [0 : 0] VAR39; output wire [63 : 0] VAR27; output wire [7 : 0] VAR70; output wire VAR93; output wire VAR71; input wire VAR5; input wire [0 : 0] VAR47; input wire [1 : 0] VAR16; input wire VAR95; output wire VAR11; output wire [0 : 0] VAR64; output wire [31 : 0] VAR90; output wire [3 : 0] VAR68; output wire [2 : 0] VAR54; output wire [1 : 0] VAR12; output wire [1 : 0] VAR84; output wire [3 : 0] VAR78; output wire [2 : 0] VAR108; output wire [3 : 0] VAR14; output wire VAR28; input wire VAR88; input wire [0 : 0] VAR55; input wire [63 : 0] VAR99; input wire [1 : 0] VAR6; input wire VAR26; input wire VAR58; output wire VAR17; VAR52 #( .VAR96("VAR33"), .VAR86(1), .VAR104(0), .VAR22(0), .VAR31(1), .VAR18(32), .VAR10(64), .VAR85(1), .VAR51(1), .VAR46(0), .VAR66(1), .VAR91(1), .VAR40(1), .VAR4(1), .VAR1(1), .VAR65(2) ) VAR107 ( .VAR105(VAR105), .VAR112(VAR112), .VAR38(VAR38), .VAR29(VAR29), .VAR32(VAR32), .VAR35(VAR35), .VAR30(VAR30), .VAR45(VAR45), .VAR72(VAR72), .VAR89(VAR89), .VAR81(VAR81), .VAR74(VAR74), .VAR111(1'VAR110), .VAR62(VAR62), .VAR109(VAR109), .VAR76(1'VAR110), .VAR69(VAR69), .VAR98(VAR98), .VAR83(VAR83), .VAR48(1'VAR110), .VAR59(VAR59), .VAR36(VAR36), .VAR101(VAR101), .VAR56(VAR56), .VAR75(), .VAR43(VAR43), .VAR87(VAR87), .VAR9(VAR9), .VAR67(VAR67), .VAR94(VAR94), .VAR3(VAR3), .VAR41(VAR41), .VAR63(VAR63), .VAR49(VAR49), .VAR53(VAR53), .VAR24(VAR24), .VAR21(VAR21), .VAR7(1'VAR110), .VAR34(VAR34), .VAR61(VAR61), .VAR44(VAR44), .VAR50(VAR50), .VAR15(VAR15), .VAR97(VAR97), .VAR60(), .VAR19(VAR19), .VAR13(VAR13), .VAR2(VAR2), .VAR25(VAR25), .VAR102(VAR102), .VAR92(VAR92), .VAR79(VAR79), .VAR73(VAR73), .VAR100(VAR100), .VAR77(VAR77), .VAR42(), .VAR57(VAR57), .VAR23(), .VAR20(VAR20), .VAR103(VAR103), .VAR39(VAR39), .VAR27(VAR27), .VAR70(VAR70), .VAR93(VAR93), .VAR37(), .VAR71(VAR71), .VAR5(VAR5), .VAR47(VAR47), .VAR16(VAR16), .VAR82(1'VAR110), .VAR95(VAR95), .VAR11(VAR11), .VAR64(VAR64), .VAR90(VAR90), .VAR68(VAR68), .VAR54(VAR54), .VAR12(VAR12), .VAR84(VAR84), .VAR78(VAR78), .VAR108(VAR108), .VAR8(), .VAR14(VAR14), .VAR106(), .VAR28(VAR28), .VAR88(VAR88), .VAR55(VAR55), .VAR99(VAR99), .VAR6(VAR6), .VAR26(VAR26), .VAR80(1'VAR110), .VAR58(VAR58), .VAR17(VAR17) ); endmodule
mit
mosass/HexapodRobot
VIVADO/hexapod/hexapod.srcs/sources_1/bd/design_1/ip/design_1_rst_ps7_0_100M_0/design_1_rst_ps7_0_100M_0_stub.v
1,795
module MODULE1(VAR10, VAR6, VAR9, VAR4, VAR8, VAR7, VAR5, VAR3, VAR1, VAR2) ; input VAR10; input VAR6; input VAR9; input VAR4; input VAR8; output VAR7; output [0:0]VAR5; output [0:0]VAR3; output [0:0]VAR1; output [0:0]VAR2; endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_lp
cells/o221ai/sky130_fd_sc_lp__o221ai_1.v
2,457
module MODULE2 ( VAR9 , VAR1 , VAR6 , VAR7 , VAR12 , VAR2 , VAR4, VAR10, VAR8 , VAR3 ); output VAR9 ; input VAR1 ; input VAR6 ; input VAR7 ; input VAR12 ; input VAR2 ; input VAR4; input VAR10; input VAR8 ; input VAR3 ; VAR5 VAR11 ( .VAR9(VAR9), .VAR1(VAR1), .VAR6(VAR6), .VAR7(VAR7), .VAR12(VAR12), .VAR2(VAR2), .VAR4(VAR4), .VAR10(VAR10), .VAR8(VAR8), .VAR3(VAR3) ); endmodule module MODULE2 ( VAR9 , VAR1, VAR6, VAR7, VAR12, VAR2 ); output VAR9 ; input VAR1; input VAR6; input VAR7; input VAR12; input VAR2; supply1 VAR4; supply0 VAR10; supply1 VAR8 ; supply0 VAR3 ; VAR5 VAR11 ( .VAR9(VAR9), .VAR1(VAR1), .VAR6(VAR6), .VAR7(VAR7), .VAR12(VAR12), .VAR2(VAR2) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/clkinvlp/sky130_fd_sc_hd__clkinvlp.symbol.v
1,286
module MODULE1 ( input VAR6, output VAR5 ); supply1 VAR3; supply0 VAR2; supply1 VAR4 ; supply0 VAR1 ; endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nor2/sky130_fd_sc_ls__nor2.functional.pp.v
1,783
module MODULE1 ( VAR5 , VAR10 , VAR4 , VAR11, VAR13, VAR7 , VAR9 ); output VAR5 ; input VAR10 ; input VAR4 ; input VAR11; input VAR13; input VAR7 ; input VAR9 ; wire VAR2 ; wire VAR8; nor VAR6 (VAR2 , VAR10, VAR4 ); VAR1 VAR12 (VAR8, VAR2, VAR11, VAR13); buf VAR3 (VAR5 , VAR8 ); endmodule
apache-2.0
hhuang25/uwaterloo_ece224
Lab1Good/timer_1.v
6,610
module MODULE1 ( address, VAR28, clk, VAR15, VAR3, VAR5, irq, VAR9 ) ; output irq; output [ 15: 0] VAR9; input [ 2: 0] address; input VAR28; input clk; input VAR15; input VAR3; input [ 15: 0] VAR5; wire VAR26; wire VAR31; wire VAR19; reg [ 3: 0] VAR25; wire VAR6; reg VAR20; wire VAR22; wire [ 31: 0] VAR16; reg [ 31: 0] VAR11; reg VAR10; wire VAR13; wire VAR33; reg VAR29; reg [ 31: 0] VAR23; wire irq; reg [ 15: 0] VAR21; wire VAR8; reg [ 15: 0] VAR7; wire VAR17; wire [ 15: 0] VAR27; reg [ 15: 0] VAR9; wire VAR12; wire VAR24; wire [ 31: 0] VAR14; wire VAR30; wire VAR32; wire VAR18; wire VAR1; wire VAR4; reg VAR2; assign VAR26 = 1; always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR23 <= 32'h1F3; end else if (VAR20 || VAR29) if (VAR22 || VAR29) VAR23 <= VAR16; else VAR23 <= VAR23 - 1; end assign VAR22 = VAR23 == 0; assign VAR16 = {VAR21, VAR7}; always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR29 <= 0; end else if (VAR26) VAR29 <= VAR8 || VAR17; end assign VAR13 = VAR32; assign VAR33 = (VAR1 ) || (VAR29 ) || (VAR22 && ~VAR31 ); always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR20 <= 1'b0; end else if (VAR26) if (VAR13) VAR20 <= -1; else if (VAR33) VAR20 <= 0; end always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR10 <= 0; end else if (VAR26) VAR10 <= VAR22; end assign VAR4 = (VAR22) & ~(VAR10); always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR2 <= 0; end else if (VAR26) if (VAR18) VAR2 <= 0; else if (VAR4) VAR2 <= -1; end assign irq = VAR2 && VAR19; assign VAR27 = ({16 {(address == 2)}} & VAR7) | ({16 {(address == 3)}} & VAR21) | ({16 {(address == 4)}} & VAR14[15 : 0]) | ({16 {(address == 5)}} & VAR14[31 : 16]) | ({16 {(address == 1)}} & VAR25) | ({16 {(address == 0)}} & {VAR20, VAR2}); always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR9 <= 0; end else if (VAR26) VAR9 <= VAR27; end assign VAR17 = VAR28 && ~VAR3 && (address == 2); assign VAR8 = VAR28 && ~VAR3 && (address == 3); always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR7 <= 499; end else if (VAR17) VAR7 <= VAR5; end always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR21 <= 0; end else if (VAR8) VAR21 <= VAR5; end assign VAR24 = VAR28 && ~VAR3 && (address == 4); assign VAR12 = VAR28 && ~VAR3 && (address == 5); assign VAR30 = VAR24 || VAR12; always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR11 <= 0; end else if (VAR30) VAR11 <= VAR23; end assign VAR14 = VAR11; assign VAR6 = VAR28 && ~VAR3 && (address == 1); always @(posedge clk or negedge VAR15) begin if (VAR15 == 0) VAR25 <= 0; end else if (VAR6) VAR25 <= VAR5[3 : 0]; end assign VAR1 = VAR5[3] && VAR6; assign VAR32 = VAR5[2] && VAR6; assign VAR31 = VAR25[1]; assign VAR19 = VAR25; assign VAR18 = VAR28 && ~VAR3 && (address == 0); endmodule
mit
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/controllerperipheralhdladi_pcore/velocityControlHdl_Control_Current1.v
5,513
module MODULE1 ( VAR1, reset, VAR12, VAR44, VAR7, VAR49, VAR35, VAR33 ); input VAR1; input reset; input VAR12; input VAR44; input signed [17:0] VAR7; input signed [17:0] VAR49; input signed [17:0] VAR35; output signed [17:0] VAR33; wire signed [35:0] VAR40; wire signed [35:0] VAR3; wire signed [17:0] VAR9; wire signed [35:0] VAR25; wire signed [17:0] VAR18; wire signed [35:0] VAR27; wire signed [35:0] VAR16; wire signed [35:0] VAR13; wire VAR50; wire VAR36; wire VAR10; wire signed [35:0] VAR24; wire signed [31:0] VAR19; wire signed [36:0] VAR48; wire signed [36:0] VAR43; wire signed [36:0] VAR38; wire signed [31:0] VAR47; wire signed [39:0] VAR26; wire signed [39:0] VAR2; wire signed [39:0] VAR51; wire signed [35:0] VAR14; wire signed [17:0] VAR37; assign VAR40 = VAR49 * VAR7; VAR29 VAR31 (.VAR34(VAR40), .VAR11(VAR3) ); assign VAR9 = 18'VAR22; assign VAR25 = VAR35 * VAR9; VAR41 VAR32 (.VAR34(VAR25), .VAR11(VAR18) ); assign VAR27 = VAR7 * VAR18; assign VAR16 = 36'VAR5; VAR8 VAR42 (.VAR21(VAR27), .VAR17(VAR13), .VAR46(VAR50), .VAR20(VAR36) ); assign VAR10 = (VAR36 > 1'b0 ? 1'b1 : 1'b0); assign VAR24 = (VAR10 == 1'b0 ? VAR27 : VAR16); assign VAR48 = VAR24; assign VAR43 = {{2{VAR19[31]}}, {VAR19, 3'b000}}; assign VAR38 = VAR48 + VAR43; assign VAR47 = ((VAR38[36] == 1'b0) && (VAR38[35:34] != 2'b00) ? 32'VAR6 : ((VAR38[36] == 1'b1) && (VAR38[35:34] != 2'b11) ? 32'VAR45 : (VAR38[34:3]))); VAR23 VAR15 (.VAR1(VAR1), .reset(reset), .VAR12(VAR12), .VAR30(VAR44), .VAR28(VAR47), .VAR33(VAR19) ); assign VAR26 = {VAR3[35], {VAR3, 3'b000}}; assign VAR2 = VAR19; assign VAR51 = VAR26 + VAR2; assign VAR13 = VAR51[38:3]; VAR4 VAR39 (.VAR34(VAR13), .VAR11(VAR14), .VAR46(VAR50) ); assign VAR37 = VAR14[28:11]; assign VAR33 = VAR37; endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/dfxtp/sky130_fd_sc_ls__dfxtp.pp.blackbox.v
1,279
module MODULE1 ( VAR6 , VAR3 , VAR1 , VAR4, VAR5, VAR7 , VAR2 ); output VAR6 ; input VAR3 ; input VAR1 ; input VAR4; input VAR5; input VAR7 ; input VAR2 ; endmodule
apache-2.0
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu9t5v0
cells/dffq/gf180mcu_fd_sc_mcu9t5v0__dffq_2.behavioral.pp.v
2,179
module MODULE1( VAR2, VAR10, VAR3, VAR7, VAR13 ); input VAR2, VAR10; inout VAR7, VAR13; output VAR3; reg VAR4; VAR9 VAR6(.VAR2(VAR2),.VAR10(VAR10),.VAR3(VAR3),.VAR7(VAR7),.VAR13(VAR13),.VAR4(VAR4)); VAR9 VAR8(.VAR2(VAR2),.VAR10(VAR10),.VAR3(VAR3),.VAR7(VAR7),.VAR13(VAR13),.VAR4(VAR4)); not VAR1(VAR12,VAR10); buf VAR5(VAR11,VAR10);
apache-2.0
SeanZarzycki/openSPARC-FPU
project/src/fpu_out_ctl.v
5,614
module MODULE1 ( VAR31, VAR29, VAR11, VAR32, VAR7, VAR8, VAR24, VAR12, VAR17, VAR28, VAR10, VAR16, VAR9, VAR26, VAR38, VAR21, VAR19, VAR35 ); input VAR31; input VAR29; input VAR11; input [9:0] VAR32; input [9:0] VAR7; input [9:0] VAR8; input VAR24; input VAR12; input VAR17; output [7:0] VAR28; output [1:0] VAR10; output [2:0] VAR16; output VAR9; output VAR26; output VAR38; input VAR21; input VAR19; output VAR35; wire reset; wire VAR33; wire VAR18; wire VAR15; wire VAR27; wire VAR36; wire VAR22; wire [9:0] VAR5; wire [7:0] VAR28; wire [1:0] VAR10; wire [2:0] VAR30; wire [2:0] VAR16; wire VAR9; wire VAR26; wire VAR38; VAR34 #(1) VAR14 ( .din (VAR12), .clk (VAR17), .VAR40(VAR24), .VAR4 (VAR37), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); assign reset= (!VAR37); assign VAR33= (!VAR15); assign VAR18= VAR22 || VAR36; VAR1 #(1) VAR39 ( .din (VAR33), .en (VAR18), .rst (reset), .clk (VAR17), .VAR4 (VAR15), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); assign VAR27= VAR31; assign VAR36= VAR29 && ((!VAR15) || (!VAR11)) && (!VAR27); assign VAR22= VAR11 && (VAR15 || (!VAR29)) && (!VAR27); assign VAR5[9:0]= ({10{VAR27}} & VAR32[9:0]) | ({10{VAR36}} & VAR7[9:0]) | ({10{VAR22}} & VAR8[9:0]); VAR2 #(8) VAR6 ( .din (VAR5[9:2]), .clk (VAR17), .VAR4 (VAR28[7:0]), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); VAR2 #(2) VAR13 ( .din (VAR5[1:0]), .clk (VAR17), .VAR4 (VAR10[1:0]), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); assign VAR30[2:0]= {VAR27, VAR36, VAR22}; VAR2 #(3) VAR3 ( .din (VAR30[2:0]), .clk (VAR17), .VAR4 (VAR16[2:0]), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); VAR2 VAR23 ( .din (VAR22), .clk (VAR17), .VAR4 (VAR9), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); VAR2 VAR25 ( .din (VAR36), .clk (VAR17), .VAR4 (VAR26), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); VAR2 VAR20 ( .din (VAR27), .clk (VAR17), .VAR4 (VAR38), .VAR21 (VAR21), .VAR19 (), .VAR35 () ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/einvn/sky130_fd_sc_hd__einvn_4.v
2,150
module MODULE1 ( VAR4 , VAR8 , VAR5, VAR2, VAR7, VAR6 , VAR9 ); output VAR4 ; input VAR8 ; input VAR5; input VAR2; input VAR7; input VAR6 ; input VAR9 ; VAR1 VAR3 ( .VAR4(VAR4), .VAR8(VAR8), .VAR5(VAR5), .VAR2(VAR2), .VAR7(VAR7), .VAR6(VAR6), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR4 , VAR8 , VAR5 ); output VAR4 ; input VAR8 ; input VAR5; supply1 VAR2; supply0 VAR7; supply1 VAR6 ; supply0 VAR9 ; VAR1 VAR3 ( .VAR4(VAR4), .VAR8(VAR8), .VAR5(VAR5) ); endmodule
apache-2.0
ShepardSiegel/ocpi
coregen/ddr3_s4_amphy/alt_mem_ddrx_dataid_manager.v
36,806
module MODULE1 parameter VAR90 = 8, VAR38 = 1, VAR101 = 1, VAR12 = 6, VAR87 = 1, VAR54 = 4, VAR7 = 7, VAR21 = 5, VAR53 = 2 ) ( VAR42, VAR107, VAR61, VAR15, VAR37, VAR88, VAR8, VAR80, VAR71, VAR69, VAR23, VAR55, VAR35, VAR83, VAR22, VAR45, VAR75, VAR109, VAR108, VAR24, VAR49, VAR40, VAR26, VAR86, VAR98, VAR29, VAR67, VAR36, VAR115, VAR74, VAR58, VAR91, VAR113, VAR59, VAR100, VAR73, VAR39, VAR72 ); localparam integer VAR3 = (2**VAR90); input VAR42; input VAR107; input [VAR21 - 1 : 0] VAR61; input VAR15; input VAR37; input VAR88; output VAR8; input VAR80; input [VAR90-1:0] VAR71; input [VAR87-1:0] VAR69; input [VAR54-1:0] VAR23; input VAR55; input [VAR90-1:0] VAR35; input [VAR3-1:0] VAR83; input [VAR7-1:0] VAR22; input [VAR7-1:0] VAR45; output VAR75; output [VAR87-1:0] VAR109; output [VAR54-1:0] VAR108; output VAR24; output VAR49; input VAR40; output VAR26; output [VAR12-1:0] VAR86; input VAR98; input [VAR38-1:0] VAR29; input [VAR38*VAR90-1:0] VAR67; input [VAR38*VAR3-1:0] VAR36; input VAR115; input [VAR90-1:0] VAR74; input [VAR3-1:0] VAR58; input [VAR38-1:0] VAR91; input VAR113; input [VAR90-1:0] VAR59; input [VAR3-1:0] VAR100; output [VAR38*VAR12-1:0] VAR73; output [VAR38-1:0] VAR39; output VAR72; wire VAR42; wire VAR107; wire [VAR21 - 1 : 0] VAR61; wire VAR15; wire VAR37; wire VAR88; reg VAR8; wire VAR80; wire [VAR90-1:0] VAR71; wire [VAR87-1:0] VAR69; wire [VAR54-1:0] VAR23; reg [VAR12-1:0] VAR105; wire VAR55; wire [VAR90-1:0] VAR35; wire [VAR3-1:0] VAR83; wire [VAR7-1:0] VAR22; wire [VAR7-1:0] VAR45; wire VAR75; wire [VAR87-1:0] VAR109; reg [VAR3-1:0] VAR57; reg [VAR87-1:0] VAR16 [VAR3-1:0]; reg [VAR3-1:0] VAR93; reg [VAR3-1:0] VAR95; reg [VAR12-1:0] VAR96 [VAR3-1:0]; reg [VAR87-1:0] VAR66 [VAR3-1:0]; reg [VAR54-1:0] VAR112 [VAR3-1:0]; reg [VAR3-1:0] VAR103; wire [VAR54-1:0] VAR108; reg VAR24; reg [VAR54-1:0] VAR102 [VAR3-1:0]; reg [VAR54-1:0] VAR64; reg VAR49; wire VAR40; wire VAR26; reg [VAR12-1:0] VAR86; reg [VAR12-1:0] VAR50; wire VAR98; wire [VAR38-1:0] VAR29; wire [VAR38*VAR90-1:0] VAR67; wire [VAR38*VAR3-1:0] VAR36; reg [VAR38*VAR12-1:0] VAR73; reg [VAR38-1:0] VAR39; wire [VAR87-1:0] VAR81; reg [VAR12-1:0] VAR9 [VAR3-1:0]; reg [VAR87-1:0] VAR13 [VAR3-1:0]; wire VAR72; reg VAR104; reg VAR68; reg [VAR21 - 1 : 0] VAR94; reg [VAR21 - 1 : 0] VAR2; wire VAR63; wire VAR97; wire [VAR12-1:0] VAR34; reg [VAR12-1:0] VAR18; reg VAR89; reg [VAR7-1:0] VAR77; reg [VAR7-1:0] VAR1; reg [VAR3-1:0] VAR10; reg [VAR3-1:0] VAR47; reg VAR78; reg VAR76; reg [VAR12-1:0] VAR11; reg [VAR12-1:0] VAR62; reg VAR114; reg VAR19; reg VAR84; reg VAR79; reg VAR82; wire [VAR3-1:0] VAR99; wire [VAR3-1:0] VAR44; wire VAR4; wire VAR110; wire [VAR87 - 1 : 0] VAR14; wire VAR25; wire VAR92; wire [VAR87 - 1 : 0] VAR17; reg VAR52; reg VAR30; integer VAR60; always @ (posedge VAR42 or negedge VAR107) begin if (!VAR107) begin VAR68 <= 1'b0; end else begin VAR68 <= VAR15 | VAR37 | VAR88; end end always @ (posedge VAR42 or negedge VAR107) begin if (!VAR107) begin VAR94 <= 0; VAR2 <= 0; end else begin VAR94 <= VAR61 / VAR53; VAR2 <= 2 * VAR94; end end assign VAR92 = VAR63; assign VAR17 = {{(VAR3 - VAR87){1'b0}}, VAR69}; assign VAR110 = VAR75; VAR31 .VAR6 (VAR87), .VAR41 (VAR3), .VAR43 ("VAR20"), .VAR106 ("VAR56") ) VAR33 ( .VAR42 (VAR42), .VAR107 (VAR107), .VAR5 (VAR25), .VAR70 (VAR110), .VAR85 (VAR14), .VAR28 (), .VAR111 (VAR92), .VAR65 (), .VAR48 (VAR17) ); always @ begin if (VAR99[VAR27]) begin VAR57 [VAR27] = 1'b1; end else begin VAR57 [VAR27] = 1'b0; end end end endgenerate assign VAR75 = VAR52; assign VAR109= VAR14; assign VAR81 = VAR13 [VAR3-1]; assign VAR72 = |VAR103; assign VAR97= |VAR47; generate if (VAR38 == 1) begin always @ begin if (VAR91 [VAR27]) begin VAR73 [(VAR27 + 1) * VAR12 - 1 : VAR27 * VAR12] = VAR32; end else begin VAR73 [(VAR27 + 1) * VAR12 - 1 : VAR27 * VAR12] = VAR46; end end end end endgenerate always @ (posedge VAR42 or negedge VAR107) begin if (~VAR107) begin VAR104 <= 0; end else begin VAR104 <= |VAR10; end end always @ begin VAR102 [VAR51] = VAR102 [VAR51-1] | ( (VAR57 [VAR51]) ? VAR112 [VAR51] : {VAR54{1'b0}} ); VAR16 [VAR51] = VAR16 [VAR51-1] | ( (VAR57 [VAR51]) ? VAR66 [VAR51] : 0 ); VAR9 [VAR51] = VAR9 [VAR51-1] | ( (VAR58 [VAR51]) ? VAR96 [VAR51] : 0 ); VAR13 [VAR51] = VAR13 [VAR51-1] | ( (VAR58 [VAR51]) ? VAR66 [VAR51] : 0 ); VAR10 [VAR51] = (VAR95[VAR51] & ( (VAR96[VAR51] == VAR50) | (VAR96[VAR51] == VAR86) ) ); if (VAR89) begin VAR47 [VAR51] = (VAR93[VAR51] & ~( (VAR96[VAR51] < VAR105) & (VAR96[VAR51] > VAR18) )); end else begin VAR47 [VAR51] = (VAR93[VAR51] & ( (VAR96[VAR51] >= VAR105) & (VAR96[VAR51] <= VAR18) )); end end end endgenerate assign VAR108 = VAR102 [VAR3-1]; assign VAR63 = VAR8 & VAR80; assign VAR34 = VAR105 + VAR69; always @ (posedge VAR42 or negedge VAR107) begin if (~VAR107) begin VAR105 <= 0; VAR18 <= 0; VAR89 <= 1'b0; VAR86 <= 0; VAR50 <= 0; end else begin if (VAR63) begin VAR105 <= VAR34; VAR18 <= VAR34 + VAR2; if (VAR34 > (VAR34 + VAR2)) begin VAR89 <= 1'b1; end else begin VAR89 <= 1'b0; end end if (VAR26) begin VAR86 <= VAR86 + 1; VAR50 <= VAR86 + 2; end else begin VAR50 <= VAR86 + 1; end end end always @ begin if (VAR104) begin VAR49 = 1'b0; end else begin VAR49 = ~VAR114 & ~VAR82; end end always @ (posedge VAR42 or negedge VAR107) begin if (~VAR107) begin VAR39 <= 0; end else begin VAR39 <= VAR29; end end always @ (posedge VAR42 or negedge VAR107) begin if (~VAR107) begin VAR11 <= 0; VAR114 <= 1'b0; VAR19 <= 0; end else begin if (VAR26 & VAR113) begin VAR11 <= VAR11; VAR114 <= VAR114; end else if (VAR26) begin {VAR19, VAR11} <= VAR11 + 1; if (VAR11 == {{(VAR12 - 1){1'b1}}, 1'b0}) begin VAR114 <= 1'b1; end else begin VAR114 <= 1'b0; end end else if (VAR113) begin VAR11 <= VAR11 - 1; VAR114 <= 1'b0; end else begin VAR11 <= VAR11; VAR114 <= VAR114; end end end always @ (*) begin if (VAR82) begin VAR24 = VAR55 & (|VAR44); end else begin VAR24 = VAR79; end end assign VAR4 = ~VAR55 | (VAR22 >= VAR77); always @ (posedge VAR42 or negedge VAR107) begin if (~VAR107) begin VAR82 <= 1'b0; VAR79 <= 1'b0; end else begin if (VAR68) begin if (VAR82) begin if (VAR55 & ~VAR75) begin VAR82 <= 1'b0; end else if (VAR55 & VAR75) begin if (|VAR44) begin VAR82 <= 1'b0; VAR79 <= VAR26 & VAR98; end else begin end end end else if (VAR79 & ~VAR75) begin VAR79 <= VAR79; end else begin VAR82 <= VAR26 & VAR98 & VAR4; VAR79 <= VAR26 & VAR98; end end else begin VAR82 <= 1'b0; VAR79 <= 1'b0; end end end endmodule
lgpl-3.0
shkkgs/DE4-multicore-network-processor-with-multiple-hardware-monitors-
DE4_network_processor_4cores_6monitors_release/projects/DE4_Reference_Router_with_DMA/src/cpci/cnet_reprogram.v
9,917
module MODULE1( input [VAR5-1:0] VAR25, input VAR39, input VAR38, output VAR3, output VAR35, output reg VAR23, output reg VAR12, output reg VAR34, output reg VAR37, output reg VAR29, input VAR21, output VAR45, output VAR14, output VAR42, output reg [7:0] VAR33, input VAR11, input reset, input clk ); reg [4:0] VAR15, VAR4; reg [1:0] VAR19, VAR13; reg [2:0] VAR2, VAR7; wire [VAR5 - 1:0] VAR20; reg VAR40; reg VAR36, VAR9; reg VAR18; reg VAR30, VAR10; wire VAR32; always @(posedge clk) VAR12 <= VAR32; VAR27 VAR28( .din (VAR25), .VAR43 (VAR39), .VAR41 (VAR36), .dout (VAR20), .VAR16 (VAR22), .VAR12 (VAR32), .reset (reset | VAR35 | VAR23 | VAR38), .clk (clk) ); reg [2:0] VAR31, VAR8; always @(posedge clk) begin VAR31 <= VAR8; VAR15 <= VAR4; VAR29 <= VAR40; VAR19 <= VAR13; VAR2 <= VAR7; VAR36 <= VAR9; VAR23 <= VAR18; end always @* begin VAR8 = VAR31; VAR4 = VAR15; VAR40 = VAR29; VAR13 = VAR19; VAR7 = VAR2; VAR9 = 1'b0; VAR18 = 1'b0; if (reset) begin VAR8 = VAR6; VAR4 = 'h0; VAR40 = 1'b1; VAR13 = 2'b0; VAR7 = 'h0; end else case (VAR31) if (VAR38) begin VAR8 = VAR44; VAR4 = 'h0; VAR40 = 1'b0; end end if (VAR15 == (VAR1 - 1)) begin VAR8 = VAR26; VAR40 = 1'b1; end else VAR4 = VAR15 + 1; end if (!VAR34) begin VAR8 = VAR24; VAR13 = 2'b0; end end if (VAR38) begin VAR8 = VAR44; VAR4 = 'h0; VAR40 = 1'b0; end else if (VAR37) begin VAR8 = VAR17; VAR7 = 'h0; end else if (VAR34) begin VAR8 = VAR44; VAR4 = 'h0; VAR40 = 1'b0; VAR18 = 1'b1; end else if (!VAR32) begin if (VAR19 == 2'h2) begin VAR9 = 1'b1; end VAR13 = VAR19 + 'h1; end end if (VAR38) begin VAR8 = VAR44; VAR4 = 'h0; VAR40 = 1'b0; end else if (VAR2 == 'h7) VAR8 = VAR6; VAR7 = VAR2 + 'h1; end default : begin VAR8 = VAR6; end endcase end always @(negedge clk) begin VAR30 <= ~VAR21; VAR10 <= VAR11; end always @(posedge clk) begin VAR34 <= VAR30; VAR37 <= VAR10; end assign VAR42 = 0; assign VAR14 = !(!VAR32 && VAR31 == VAR24); always @* begin case (VAR19) 2'b00 : VAR33 <= {VAR20[0], VAR20[1], VAR20[2], VAR20[3], VAR20[4], VAR20[5], VAR20[6], VAR20[7]}; 2'b01 : VAR33 <= {VAR20[8], VAR20[9], VAR20[10], VAR20[11], VAR20[12], VAR20[13], VAR20[14], VAR20[15]}; 2'b10 : VAR33 <= {VAR20[16], VAR20[17], VAR20[18], VAR20[19], VAR20[20], VAR20[21], VAR20[22], VAR20[23]}; 2'b11 : VAR33 <= {VAR20[24], VAR20[25], VAR20[26], VAR20[27], VAR20[28], VAR20[29], VAR20[30], VAR20[31]}; endcase end assign VAR45 = ~clk; assign VAR3 = VAR31 != VAR6; assign VAR35 = VAR22 & VAR39 & ~VAR36; endmodule
mit
archlabo/Frix
common/to_sdram.v
5,380
module MODULE1 ( input wire VAR9, input wire rst, input wire VAR20, input wire [31:0] VAR3, input wire [3:0] VAR42, input wire VAR7, output wire [31:0] VAR14, input wire VAR4, input wire [31:0] VAR25, output wire VAR11, output wire VAR13, input wire [2:0] VAR10, input wire [31:0] VAR39, input wire VAR28, output wire [31:0] VAR19, input wire VAR29, input wire [31:0] VAR33, output wire VAR34, output wire VAR8, output wire [24:0] VAR26, output wire [3:0] VAR50, output wire VAR17, input wire [31:0] VAR49, output wire VAR22, output wire [31:0] VAR43, input wire VAR40, input wire VAR37, input wire VAR27 ); wire [31:0] VAR23; wire VAR54; wire [31:0] VAR46; wire VAR18; wire [31:0] VAR41; wire VAR51; wire [3:0] VAR35; wire VAR53; VAR15 #(.VAR16(32), .VAR24(27)) VAR15 ( .VAR9 (VAR9), .rst (rst), .VAR12 (VAR3), .VAR31 (VAR4), .VAR55 (VAR25), .VAR45 (VAR7), .VAR6 (VAR14), .VAR30 (VAR13), .VAR1 (VAR42), .VAR44 (VAR10), .VAR21 (VAR11), .VAR38 (VAR23), .VAR48 (VAR54), .VAR36 (VAR46), .VAR32 (VAR18), .VAR47 (VAR41), .VAR5 (VAR51), .VAR52 (VAR35), .VAR2 (VAR53) ); assign VAR26 = (~VAR20) ? VAR23[26:2] : VAR39[26:2]; assign VAR50 = (~VAR20) ? VAR35 : 4'b1111; assign VAR17 = (~VAR20) ? VAR18 : (VAR28 && VAR39[27]); assign VAR22 = (~VAR20) ? VAR54 : (VAR29 && VAR39[27]); assign VAR43 = (~VAR20) ? VAR46 : VAR33; assign VAR41 = (~VAR20) ? VAR49 : 0; assign VAR51 = (~VAR20) ? VAR37 : 0; assign VAR53 = (~VAR20) ? VAR40 : 0; assign VAR19 = (VAR20) ? VAR49 : 0; assign VAR8 = (VAR20) ? VAR37 : 0; assign VAR34 = (VAR20) ? VAR40 : 0; endmodule
bsd-2-clause
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/nand2/sky130_fd_sc_hd__nand2.pp.symbol.v
1,269
module MODULE1 ( input VAR4 , input VAR7 , output VAR1 , input VAR5 , input VAR6, input VAR2, input VAR3 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/mux2/sky130_fd_sc_ms__mux2_4.v
2,187
module MODULE2 ( VAR3 , VAR5 , VAR4 , VAR9 , VAR7, VAR8, VAR6 , VAR1 ); output VAR3 ; input VAR5 ; input VAR4 ; input VAR9 ; input VAR7; input VAR8; input VAR6 ; input VAR1 ; VAR2 VAR10 ( .VAR3(VAR3), .VAR5(VAR5), .VAR4(VAR4), .VAR9(VAR9), .VAR7(VAR7), .VAR8(VAR8), .VAR6(VAR6), .VAR1(VAR1) ); endmodule module MODULE2 ( VAR3 , VAR5, VAR4, VAR9 ); output VAR3 ; input VAR5; input VAR4; input VAR9 ; supply1 VAR7; supply0 VAR8; supply1 VAR6 ; supply0 VAR1 ; VAR2 VAR10 ( .VAR3(VAR3), .VAR5(VAR5), .VAR4(VAR4), .VAR9(VAR9) ); endmodule
apache-2.0
aj-michael/Digital-Systems
Pong/Phase4/PongWithSound.v
1,137
module MODULE1( input VAR18, VAR4, VAR14, VAR20, VAR5, VAR7, VAR6, VAR8, output [2:0] VAR16, output [2:0] VAR11, output [1:0] VAR22, output VAR24, VAR23, output VAR21 ); wire [9:0] VAR12; wire [9:0] VAR19; parameter [9:0] VAR17=10'd640, VAR9=10'd480; parameter [9:0] VAR2=10'd100, VAR15=10'd25; VAR10 VAR1(VAR17, VAR9, VAR2, VAR15, VAR24, VAR23, VAR12, VAR19, VAR4, VAR18); VAR13 VAR3(VAR18, VAR4, VAR12, VAR19, VAR14, VAR20, VAR5, VAR7, VAR6, VAR8, VAR16, VAR11, VAR22, VAR21); endmodule
mit
borti4938/sd2snes
verilog/sd2snes_obc1/obc_upper.v
10,650
module MODULE1 ( VAR32, VAR65, VAR53, VAR17, VAR3, VAR29, VAR54, VAR50, VAR24); input [7:0] VAR32; input [5:0] VAR65; input VAR53; input [1:0] VAR17; input [7:0] VAR3; input VAR29; input VAR54; output [1:0] VAR50; output [7:0] VAR24; tri1 VAR53; tri0 VAR29; tri0 VAR54; wire [1:0] VAR23; wire [7:0] VAR44; wire [1:0] VAR50 = VAR23[1:0]; wire [7:0] VAR24 = VAR44[7:0]; VAR37 VAR10 ( .VAR32 (VAR32), .VAR65 (VAR65), .VAR19 (VAR53), .VAR17 (VAR17), .VAR3 (VAR3), .VAR29 (VAR29), .VAR54 (VAR54), .VAR50 (VAR23), .VAR24 (VAR44), .VAR31 (1'b0), .VAR62 (1'b0), .VAR36 (1'b0), .VAR34 (1'b0), .VAR11 (1'b1), .VAR9 (1'b1), .VAR55 (1'b1), .VAR57 (1'b1), .VAR61 (1'b1), .VAR15 (1'b1), .VAR63 (1'b1), .VAR27 (), .VAR12 (1'b1), .VAR25 (1'b1)); VAR10.VAR5 = "VAR1", VAR10.VAR21 = "VAR56", VAR10.VAR52 = "VAR56", VAR10.VAR18 = "VAR56", VAR10.VAR43 = "VAR56", VAR10.VAR28 = "VAR1", VAR10.VAR42 = "VAR33 VAR26 VAR22", VAR10.VAR38 = "VAR37", VAR10.VAR16 = 256, VAR10.VAR58 = 64, VAR10.VAR6 = "VAR14", VAR10.VAR45 = "VAR8", VAR10.VAR13 = "VAR8", VAR10.VAR48 = "VAR41", VAR10.VAR47 = "VAR41", VAR10.VAR20 = "VAR40", VAR10.VAR30 = "VAR35", VAR10.VAR51 = "VAR4", VAR10.VAR64 = "VAR4", VAR10.VAR59 = 8, VAR10.VAR49 = 6, VAR10.VAR46 = 2, VAR10.VAR2 = 8, VAR10.VAR60 = 1, VAR10.VAR7 = 1, VAR10.VAR39 = "VAR1"; endmodule
gpl-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/a41oi/sky130_fd_sc_ms__a41oi_1.v
2,439
module MODULE1 ( VAR12 , VAR6 , VAR2 , VAR11 , VAR1 , VAR7 , VAR9, VAR3, VAR10 , VAR4 ); output VAR12 ; input VAR6 ; input VAR2 ; input VAR11 ; input VAR1 ; input VAR7 ; input VAR9; input VAR3; input VAR10 ; input VAR4 ; VAR5 VAR8 ( .VAR12(VAR12), .VAR6(VAR6), .VAR2(VAR2), .VAR11(VAR11), .VAR1(VAR1), .VAR7(VAR7), .VAR9(VAR9), .VAR3(VAR3), .VAR10(VAR10), .VAR4(VAR4) ); endmodule module MODULE1 ( VAR12 , VAR6, VAR2, VAR11, VAR1, VAR7 ); output VAR12 ; input VAR6; input VAR2; input VAR11; input VAR1; input VAR7; supply1 VAR9; supply0 VAR3; supply1 VAR10 ; supply0 VAR4 ; VAR5 VAR8 ( .VAR12(VAR12), .VAR6(VAR6), .VAR2(VAR2), .VAR11(VAR11), .VAR1(VAR1), .VAR7(VAR7) ); endmodule
apache-2.0
ipburbank/Raster-Laser-Projector
src/Video_In/synthesis/submodules/altera_up_video_clipper_counters.v
6,438
module MODULE1 ( clk, reset, VAR5, VAR7, VAR8, VAR15, VAR12, VAR2 ); parameter VAR6 = 640; parameter VAR17 = 480; parameter VAR4 = 9; parameter VAR16 = 8; parameter VAR18 = 0; parameter VAR13 = 0; parameter VAR3 = 0; parameter VAR10 = 0; input clk; input reset; input VAR5; output VAR7; output VAR8; output VAR15; output VAR12; output VAR2; reg [VAR4: 0] VAR14; reg [VAR16: 0] VAR1; reg VAR9; reg VAR11; always @(posedge clk) begin if (reset) VAR14 <= 'h0; end else if (VAR5 & (VAR14 == (VAR6 - 1))) VAR14 <= 'h0; else if (VAR5) VAR14 <= VAR14 + 1; end always @(posedge clk) begin if (reset) VAR1 <= 'h0; end else if (VAR5 & (VAR14 == (VAR6 - 1))) begin if (VAR1 == (VAR17 - 1)) VAR1 <= 'h0; end else VAR1 <= VAR1 + 1; end end always @(posedge clk) begin if (reset) VAR9 <= (VAR18 == 0); end else if (VAR5) begin if (VAR14 == (VAR6 - 1)) VAR9 <= (VAR18 == 0); end else if (VAR14 == (VAR6 - VAR13 - 1)) VAR9 <= 1'b0; else if (VAR14 == (VAR18 - 1)) VAR9 <= 1'b1; end end always @(posedge clk) begin if (reset) VAR11 <= (VAR3 == 0); end else if (VAR5 & (VAR14 == (VAR6 - 1))) begin if (VAR1 == (VAR17 - 1)) VAR11 <= (VAR3 == 0); end else if (VAR1 == (VAR17 - VAR10 - 1)) VAR11 <= 1'b0; else if (VAR1 == (VAR3 - 1)) VAR11 <= 1'b1; end end assign VAR7 = (VAR14 == 'h0) & (VAR1 == 'h0); assign VAR8 = (VAR14 == (VAR6 - 1)) & (VAR1 == (VAR17 - 1)); assign VAR15 = (VAR14 == VAR18) & (VAR1 == VAR3); assign VAR12 = (VAR14 == (VAR6 - VAR13 - 1)) & (VAR1 == (VAR17 - VAR10 - 1)); assign VAR2 = VAR9 & VAR11; endmodule
gpl-3.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/system/synthesis/submodules/acl_staging_reg.v
1,972
module MODULE1 ( clk, reset, VAR10, VAR2, VAR8, VAR5, VAR9, VAR1 ); parameter VAR7=32; input clk; input reset; input [VAR7-1:0] VAR10; input VAR2; output VAR8; output [VAR7-1:0] VAR5; output VAR9; input VAR1; reg [VAR7-1:0] VAR4; reg VAR3; assign VAR8 = VAR3; assign VAR5 = (VAR3) ? VAR4 : VAR10; assign VAR9 = (VAR3) ? VAR3 : VAR2; always@(posedge clk or posedge reset) begin if(reset == 1'b1) begin VAR3 <= 1'b0; VAR4 <= 'VAR6; end else begin if (~VAR3) VAR4 <= VAR10; VAR3 <= VAR1 && (VAR3 || VAR2); end end endmodule
mit
sh-chris110/chris
FPGA/atlas_linux_ghrd/soc_system/synthesis/submodules/soc_system_hps_0.v
30,511
module MODULE1 #( parameter VAR66 = 3, parameter VAR149 = 2 ) ( output wire VAR87, input wire VAR185, input wire VAR80, input wire VAR169, input wire [27:0] VAR19, input wire VAR69, input wire [7:0] VAR72, input wire [31:0] VAR98, input wire [3:0] VAR124, input wire [2:0] VAR103, input wire [1:0] VAR150, input wire [1:0] VAR85, input wire [3:0] VAR141, input wire [2:0] VAR106, input wire VAR104, output wire VAR131, input wire [4:0] VAR166, input wire [7:0] VAR27, input wire [127:0] VAR183, input wire [15:0] VAR172, input wire VAR31, input wire VAR115, output wire VAR28, output wire [7:0] VAR1, output wire [1:0] VAR46, output wire VAR11, input wire VAR164, input wire [7:0] VAR126, input wire [31:0] VAR23, input wire [3:0] VAR184, input wire [2:0] VAR89, input wire [1:0] VAR8, input wire [1:0] VAR138, input wire [3:0] VAR26, input wire [2:0] VAR168, input wire VAR81, output wire VAR170, input wire [4:0] VAR139, output wire [7:0] VAR157, output wire [127:0] VAR79, output wire [1:0] VAR160, output wire VAR73, output wire VAR174, input wire VAR121, input wire VAR118, output wire [11:0] VAR113, output wire [20:0] VAR10, output wire [3:0] VAR20, output wire [2:0] VAR96, output wire [1:0] VAR116, output wire [1:0] VAR128, output wire [3:0] VAR43, output wire [2:0] VAR171, output wire VAR155, input wire VAR181, output wire [11:0] VAR153, output wire [31:0] VAR41, output wire [3:0] VAR97, output wire VAR95, output wire VAR56, input wire VAR74, input wire [11:0] VAR12, input wire [1:0] VAR42, input wire VAR34, output wire VAR30, output wire [11:0] VAR105, output wire [20:0] VAR15, output wire [3:0] VAR14, output wire [2:0] VAR67, output wire [1:0] VAR91, output wire [1:0] VAR24, output wire [3:0] VAR84, output wire [2:0] VAR162, output wire VAR161, input wire VAR40, input wire [11:0] VAR25, input wire [31:0] VAR5, input wire [1:0] VAR148, input wire VAR37, input wire VAR18, output wire VAR21, input wire VAR114, output wire [11:0] VAR130, output wire [29:0] VAR102, output wire [3:0] VAR59, output wire [2:0] VAR142, output wire [1:0] VAR101, output wire [1:0] VAR159, output wire [3:0] VAR107, output wire [2:0] VAR151, output wire VAR132, input wire VAR117, output wire [11:0] VAR173, output wire [63:0] VAR140, output wire [7:0] VAR49, output wire VAR9, output wire VAR50, input wire VAR144, input wire [11:0] VAR47, input wire [1:0] VAR108, input wire VAR55, output wire VAR52, output wire [11:0] VAR38, output wire [29:0] VAR136, output wire [3:0] VAR129, output wire [2:0] VAR163, output wire [1:0] VAR62, output wire [1:0] VAR145, output wire [3:0] VAR90, output wire [2:0] VAR33, output wire VAR100, input wire VAR120, input wire [11:0] VAR152, input wire [63:0] VAR82, input wire [1:0] VAR45, input wire VAR36, input wire VAR78, output wire VAR156, input wire [31:0] VAR123, input wire [31:0] VAR158, output wire [14:0] VAR83, output wire [2:0] VAR175, output wire VAR147, output wire VAR111, output wire VAR58, output wire VAR57, output wire VAR177, output wire VAR39, output wire VAR93, output wire VAR17, inout wire [31:0] VAR65, inout wire [3:0] VAR70, inout wire [3:0] VAR143, output wire VAR7, output wire [3:0] VAR76, input wire VAR68, output wire VAR71, output wire VAR109, output wire VAR2, output wire VAR122, output wire VAR29, input wire VAR94, inout wire VAR86, output wire VAR179, input wire VAR88, output wire VAR133, input wire VAR134, input wire VAR60, input wire VAR127, input wire VAR4, inout wire VAR35, inout wire VAR167, inout wire VAR154, output wire VAR176, inout wire VAR75, inout wire VAR112, inout wire VAR99, inout wire VAR6, inout wire VAR119, inout wire VAR135, inout wire VAR137, inout wire VAR16, inout wire VAR165, inout wire VAR110, input wire VAR186, output wire VAR48, input wire VAR182, input wire VAR22, output wire VAR77, output wire VAR178, input wire VAR180, output wire VAR32, input wire VAR64, output wire VAR54, inout wire VAR3, inout wire VAR61, inout wire VAR53, inout wire VAR63, inout wire VAR44, inout wire VAR146, inout wire VAR51, inout wire VAR13, inout wire VAR92, inout wire VAR125 ); generate if (VAR66 != 3) begin begin
gpl-2.0
markusC64/1541ultimate2
fpga/altera/megafunctions/update/synthesis/submodules/update_remote_update_0.v
1,369
module MODULE1 ( output wire VAR2, output wire [28:0] VAR4, input wire [2:0] VAR6, input wire VAR3, input wire VAR7, input wire VAR8, input wire [1:0] VAR10, input wire VAR11, input wire reset ); VAR9 VAR1 ( .VAR3 (VAR3), .VAR6 (VAR6), .VAR7 (VAR7), .VAR8 (VAR8), .VAR11 (VAR11), .reset (reset), .VAR2 (VAR2), .VAR4 (VAR4), .VAR10 (VAR10), .VAR5 (1'b0) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/inputiso0n/sky130_fd_sc_hdll__inputiso0n_1.v
2,321
module MODULE1 ( VAR6 , VAR4 , VAR5, VAR8 , VAR1 , VAR7 , VAR3 ); output VAR6 ; input VAR4 ; input VAR5; input VAR8 ; input VAR1 ; input VAR7 ; input VAR3 ; VAR2 VAR9 ( .VAR6(VAR6), .VAR4(VAR4), .VAR5(VAR5), .VAR8(VAR8), .VAR1(VAR1), .VAR7(VAR7), .VAR3(VAR3) ); endmodule module MODULE1 ( VAR6 , VAR4 , VAR5 ); output VAR6 ; input VAR4 ; input VAR5; supply1 VAR8; supply0 VAR1; supply1 VAR7 ; supply0 VAR3 ; VAR2 VAR9 ( .VAR6(VAR6), .VAR4(VAR4), .VAR5(VAR5) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o2bb2ai/sky130_fd_sc_hdll__o2bb2ai.blackbox.v
1,405
module MODULE1 ( VAR5 , VAR1, VAR2, VAR8 , VAR4 ); output VAR5 ; input VAR1; input VAR2; input VAR8 ; input VAR4 ; supply1 VAR3; supply0 VAR9; supply1 VAR6 ; supply0 VAR7 ; endmodule
apache-2.0
litex-hub/pythondata-cpu-blackparrot
pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v
6,575
module MODULE2 ,parameter VAR6 = 2 ) ( input VAR11 , input VAR17 , output VAR38 , output VAR23 , output [VAR14-1:0] VAR29 , input VAR39 , input VAR32 , input [VAR14-1:0] VAR28 , output VAR8 , input VAR10 , input VAR27 , input VAR7 , input VAR41 , input [VAR14-1:0] VAR34 , output VAR22 , output VAR19 , output [VAR14-1:0] VAR2 , input VAR21 ); localparam VAR44 = VAR13( VAR6 ); wire VAR9 ; assign VAR22 = ~VAR9 ; VAR20 #(.VAR4 ( VAR44 ) ,.VAR18 ( VAR14 ) )VAR33 ( .VAR12 ( VAR10 ) ,.VAR25 ( VAR27 ) ,.VAR30 ( (~VAR9) & VAR41 ) ,.VAR15 ( VAR34 ) ,.VAR36 ( VAR9 ) ,.VAR43 ( VAR11 ) ,.VAR42 ( VAR17 ) ,.VAR5 ( VAR23 & VAR39 ) ,.VAR26 ( VAR29 ) ,.VAR24 ( VAR23 ) ); wire VAR37 ; assign VAR8 = (~VAR37) & VAR32 ; VAR20 #(.VAR4 ( VAR44 ) ,.VAR18 ( VAR14 ) )VAR16 ( .VAR12 ( VAR11 ) ,.VAR25 ( VAR17 ) ,.VAR30 ( VAR8 ) ,.VAR15 ( VAR28 ) ,.VAR36 ( VAR37) ,.VAR43 ( VAR10 ) ,.VAR42 ( VAR27 ) ,.VAR5 ( VAR21 ) ,.VAR26 ( VAR2 ) ,.VAR24 ( VAR19 ) ); VAR1 #(.VAR18(1)) VAR31 ( .VAR35 ( VAR11 ) , .VAR45 ( VAR7 ) , .VAR40 ( VAR38 ) ); endmodule module MODULE2 import VAR3::*; ,parameter VAR6 = 2 ) ( input VAR11 , input VAR17 , output VAR38 , output VAR23 , output [VAR14-1:0] VAR29 , input VAR39 , input VAR32 , input [VAR14-1:0] VAR28 , output VAR8 , input VAR10 , input VAR27 , input VAR7 , input VAR41 , input [VAR14-1:0] VAR34 , output VAR22 , output VAR19 , output [VAR14-1:0] VAR2 , input VAR21 ); localparam VAR44 = VAR13( VAR6 ); wire VAR9 ; assign VAR22 = ~VAR9 ; VAR20 #(.VAR4 ( VAR44 ) ,.VAR18 ( VAR14 ) )VAR33 ( .VAR12 ( VAR10 ) ,.VAR25 ( VAR27 ) ,.VAR30 ( (~VAR9) & VAR41 ) ,.VAR15 ( VAR34 ) ,.VAR36 ( VAR9 ) ,.VAR43 ( VAR11 ) ,.VAR42 ( VAR17 ) ,.VAR5 ( VAR23 & VAR39 ) ,.VAR26 ( VAR29 ) ,.VAR24 ( VAR23 ) ); wire VAR37 ; assign VAR8 = (~VAR37) & VAR32 ; VAR20 #(.VAR4 ( VAR44 ) ,.VAR18 ( VAR14 ) )VAR16 ( .VAR12 ( VAR11 ) ,.VAR25 ( VAR17 ) ,.VAR30 ( VAR8 ) ,.VAR15 ( VAR28 ) ,.VAR36 ( VAR37) ,.VAR43 ( VAR10 ) ,.VAR42 ( VAR27 ) ,.VAR5 ( VAR21 ) ,.VAR26 ( VAR2 ) ,.VAR24 ( VAR19 ) ); VAR1 #(.VAR18(1)) VAR31 ( .VAR35 ( VAR11 ) , .VAR45 ( VAR7 ) , .VAR40 ( VAR38 ) ); endmodule
bsd-3-clause
Elphel/x353
compressor/focus_sharp.v
15,465
module MODULE1(clk, en, VAR116, VAR6, VAR141, VAR103, VAR32, VAR84, VAR61, VAR59, VAR57, VAR73, VAR135, VAR17, VAR55, VAR94, do, VAR80, VAR139 ); input clk; input en; input VAR116; input VAR6; input [ 9:0] VAR141; input [15:0] VAR103; input [ 1:0] VAR32; input VAR84; input VAR61; input [ 2:0] VAR59; input VAR57; input VAR73; input [12:0] VAR135; input VAR17; input [12:0] VAR55; input [15:0] VAR94; output[12:0] do; output VAR80; output [31:0] VAR139; wire [15:0] VAR87; reg [ 5:0] VAR43; reg [11:0] VAR44; reg VAR54; reg [ 2:0] VAR53; reg [ 8:0] VAR42; reg [ 8:0] VAR3; reg [ 8:0] VAR119; reg [ 8:0] VAR46; reg [ 8:1] VAR115; reg [ 3:0] VAR92; reg [ 3:0] VAR82; reg VAR114; reg [ 2:0] VAR8; reg [ 2:0] VAR89; wire VAR51,VAR98; wire [ 2:0] VAR50; reg [31:0] VAR139; reg [39:0] VAR27; reg [12:0] do; reg [12:0] VAR137; reg VAR72; reg VAR95; reg [11:0] VAR106; reg VAR80; reg VAR132; reg [ 2:0] VAR49; wire VAR22; wire VAR4; wire [12:0] VAR36; wire [12:0] VAR108; wire [12:0] VAR74; reg [11:0] VAR126; reg [11:0] VAR86; reg [8:0] VAR129; reg [7:0] VAR77; reg [5:0] VAR15; reg [23:0] VAR76; reg [22:0] VAR13; reg VAR69; wire VAR79=VAR129[8]; wire VAR124=VAR15[4]; wire VAR120=VAR15[5]; wire VAR24=VAR77[6]; wire [17:0] VAR30; wire [17:0] VAR62; wire [35:0] VAR112; reg [17:0] VAR14; reg VAR58; reg VAR5; reg VAR110; reg VAR117; reg VAR16; reg VAR40; reg VAR118; assign VAR74[12:0]= {1'b0,VAR106[11:0]}-{1'b0,VAR94[15:5]}; assign VAR36[12:0]=VAR114?({VAR55[12:0]}+{1'b0,VAR106[11:0]}): ({VAR55[12],VAR55[12:1]}+ {VAR74[12],VAR74[12:1]}); assign VAR108[12:0] = (VAR32[1:0]==2'h1)?(VAR117?VAR74[12:0]:13'h0): ((VAR32[1] && VAR117 )? VAR36[12:0]: {VAR55[12:0]} ); always @ (posedge clk) begin if (!en) VAR8[2:0] <= 3'b0; end else if (VAR57) VAR8[2:0] <= VAR8[2:0]+1; if (!en) VAR89[2:0] <= 3'b0; else if (VAR73) VAR89[2:0] <= VAR89[2:0]+1; end always @ (negedge VAR116) begin if (VAR6) begin VAR44[11:0] <= VAR103[11:0] ; VAR53 <= VAR141[2:0]; end VAR54 <= VAR6 && (VAR141[9:3]==7'h78) ; if (VAR54) begin case (VAR53[2:0]) 3'h0: VAR42[8:0] <= VAR44[11:3] ; 3'h1: VAR3[8:0] <= VAR44[11:3] ; 3'h2: VAR119[8:0] <= VAR44[11:3] ; 3'h3: VAR46[8:0] <= VAR44[11:3] ; 3'h4: VAR115[8:1] <= VAR44[11:4] ; 3'h5: VAR92[3:0] <= VAR44[3:0] ; 3'h6: VAR114 <= VAR44[0] ; endcase end end reg [ 7:0] VAR48; reg [ 7:0] VAR52; wire VAR31= (VAR51 || (VAR48[7:0] == VAR115[8:1])); wire VAR41= (VAR50[2:0]==3'h0); reg VAR71; always @(posedge clk) begin if (VAR41 && VAR73) VAR48[7:0] <= VAR31? 8'h0:(VAR48[7:0]+1); if (VAR41 && VAR73 && VAR31) VAR52[7:0] <= VAR51? 8'h0:(VAR52[7:0]+1); VAR132 <= VAR73; VAR49[2:0] <= VAR50[2:0]; if (VAR132) VAR71 <= !VAR49[2] && ({VAR48 [7:0],VAR49[0]} >= VAR42[8:0]) && ({VAR48 [7:0],VAR49[0]} <= VAR3[8:0]) && ({VAR52[7:0],VAR49[1]} >= VAR119[8:0]) && ({VAR52[7:0],VAR49[1]} <= VAR46[8:0]); end wire VAR47; VAR90 VAR99(.VAR12(clk), .VAR91(!VAR47), .VAR101(VAR47)); reg [2:0] VAR1; wire VAR19=VAR1[2]; always @ (posedge VAR116) begin VAR1[2:0] <= {(VAR1[1]==VAR1[0]),VAR1[0],VAR47}; end always @ (posedge clk) begin if (VAR135[11]==VAR135[12]) VAR126[11:0] <=VAR135[11:0]; end else VAR126[11:0] <= {~VAR135[11],{11{VAR135[11]}}}; end assign VAR30[17:0] = VAR5 ? {1'b0,VAR87[15:0],1'b0}: VAR14[17:0]; assign VAR62[17:0] = VAR5 ? {VAR86[10:0],{7{VAR86[0]}}}: VAR14[17:0]; always @ (posedge VAR116) begin VAR82[3:0] <= VAR92[3:0]; if (VAR1[2]) VAR86[11:0]<=VAR126[11:0]; VAR129[8:0] <= {VAR129[7:0], VAR73 && VAR19}; VAR77[7:0]<= {VAR77[6:0],VAR5 && !VAR58}; if (!en || VAR129[0]) VAR43[5:0] <= 6'h0; end else if (!VAR19 && (VAR43[5:0] != 6'h3f)) VAR43[5:0] <= VAR43[5:0] + 1; VAR14[17:0] <= (&VAR112[35:31] || !(&VAR112[35:31]))?VAR112[31:14]:18'h1ffff; VAR58 <= en && (VAR129[3] || (VAR58 && ((VAR43[5:0] != 6'h3f) || VAR19 ))); VAR5 <= VAR58 && !VAR19; VAR15[5:0]<={VAR15[4:0],VAR5}; VAR69 <= !(|VAR129[7:6]); if (VAR79 || (VAR120 && VAR76[23])) VAR76[23:0] <= {1'b0,{23{VAR69}}}; else if (VAR124) VAR76[23:0] <= VAR76[23:0]+VAR112[31:8]; if (VAR24) VAR106[11:0] <= (|VAR76[23:20])?12'hfff:VAR76[19:8]; if (VAR24) VAR13[22:0] <= VAR76[22:0]; end VAR140 VAR37 (.VAR101(VAR22), .VAR67(1'b1), .VAR64(1'b1), .VAR127(1'b1), .VAR100(1'b1), .VAR96(clk), .VAR91(VAR110)); VAR140 VAR121 (.VAR101(VAR4), .VAR67(1'b1), .VAR64(1'b1), .VAR127(1'b1), .VAR100(1'b1), .VAR96(clk), .VAR91(VAR71)); always @ (posedge clk) begin if (VAR73) VAR110 <= !VAR50[2]; VAR117 <= VAR17 && VAR22 && ((VAR32[1:0]!=3) || VAR4); VAR16 <= VAR17 && VAR22 && VAR4; VAR40 <= en && VAR98 && VAR22; VAR118 <= VAR40 && !VAR22; if (VAR51 && VAR41) VAR27[39:0] <= 40'h0; end else if (VAR16) VAR27[39:0] <= VAR27[39:0] + VAR13[22:0]; if (VAR118) VAR139[31:0] <= VAR27[39:8]; VAR72 <= VAR17; VAR80 <= VAR72; VAR137[12:0] <= VAR108[12:0]; VAR95 <=VAR117 && (VAR32[1:0]!=2'h0); do[12:0] <= (VAR95 && !VAR137[12] && (VAR137[11] || (VAR137[10:0]>VAR94[15:5])) )? {2'b0,VAR94[15:5]} : VAR137[12:0]; end VAR63 #( .VAR20(1), .VAR2(1), .VAR9("VAR70"), .VAR104(1) ) VAR33 ( .VAR131(), .VAR25(VAR112), .VAR68(VAR30), .VAR65(VAR62), .VAR28(18'h0), .VAR122(en), .VAR113(en), .VAR130(en), .VAR96(VAR116), .VAR85(1'b0), .VAR97(1'b0), .VAR138(1'b0) ); VAR38 VAR10 (.VAR91(VAR59[0]),.VAR7(VAR50[0]),.VAR67(VAR8[0]),.VAR64(VAR8[1]),.VAR127(1'b0),.VAR100(1'b0),.VAR109(VAR89[0]),.VAR21(VAR89[1]),.VAR66(1'b0),.VAR45(1'b0),.VAR34(clk),.VAR35(VAR57),.VAR56()); VAR38 VAR111 (.VAR91(VAR59[1]),.VAR7(VAR50[1]),.VAR67(VAR8[0]),.VAR64(VAR8[1]),.VAR127(1'b0),.VAR100(1'b0),.VAR109(VAR89[0]),.VAR21(VAR89[1]),.VAR66(1'b0),.VAR45(1'b0),.VAR34(clk),.VAR35(VAR57),.VAR56()); VAR38 VAR81 (.VAR91(VAR59[2]),.VAR7(VAR50[2]),.VAR67(VAR8[0]),.VAR64(VAR8[1]),.VAR127(1'b0),.VAR100(1'b0),.VAR109(VAR89[0]),.VAR21(VAR89[1]),.VAR66(1'b0),.VAR45(1'b0),.VAR34(clk),.VAR35(VAR57),.VAR56()); VAR38 VAR136 (.VAR91(VAR84),.VAR7(VAR51),.VAR67(VAR8[0]),.VAR64(VAR8[1]),.VAR127(1'b0),.VAR100(1'b0),.VAR109(VAR89[0]),.VAR21(VAR89[1]),.VAR66(1'b0),.VAR45(1'b0),.VAR34(clk),.VAR35(VAR57),.VAR56()); VAR38 VAR23 (.VAR91(VAR61), .VAR7(VAR98), .VAR67(VAR8[0]),.VAR64(VAR8[1]),.VAR127(1'b0),.VAR100(1'b0),.VAR109(VAR89[0]),.VAR21(VAR89[1]),.VAR66(1'b0),.VAR45(1'b0),.VAR34(clk),.VAR35(VAR57),.VAR56()); VAR123 VAR93 ( .VAR105(VAR87[15:0]), .VAR107(), .VAR75({VAR82[3:0],VAR43[2:0],VAR43[5:3]}), .VAR128(VAR116), .VAR11(16'b0), .VAR29(2'b0), .VAR102(1'b1), .VAR26(1'b0), .VAR88(1'b0), .VAR142(), .VAR83(), .VAR133({VAR141[9:0]}), .VAR78(!VAR116), .VAR134(VAR103[15:0]), .VAR125(2'b0), .VAR39(1'b1), .VAR60(1'b0), .VAR18(VAR6) ); endmodule
gpl-3.0
lerwys/bpm-sw-old-backup
hdl/modules/dbe_wishbone/wb_ethmac/eth_random.v
5,718
module MODULE1 (VAR4, VAR1, VAR10, VAR7, VAR2, VAR3, VAR14, VAR9, VAR11); parameter VAR12 = 1; input VAR4; input VAR1; input VAR10; input VAR7; input [3:0] VAR2; input [15:0] VAR3; input [9:0] VAR14; output VAR9; output VAR11; wire VAR13; reg [9:0] VAR6; wire [9:0] VAR5; reg [9:0] VAR8; always @ (posedge VAR4 or posedge VAR1) begin if(VAR1) VAR6[9:0] <= 0; end else VAR6[9:0] <= {VAR6[8:0], VAR13}; end assign VAR13 = ~(VAR6[2] ^ VAR6[9]); assign VAR5 [0] = VAR6[0]; assign VAR5 [1] = (VAR2 > 1) ? VAR6[1] : 1'b0; assign VAR5 [2] = (VAR2 > 2) ? VAR6[2] : 1'b0; assign VAR5 [3] = (VAR2 > 3) ? VAR6[3] : 1'b0; assign VAR5 [4] = (VAR2 > 4) ? VAR6[4] : 1'b0; assign VAR5 [5] = (VAR2 > 5) ? VAR6[5] : 1'b0; assign VAR5 [6] = (VAR2 > 6) ? VAR6[6] : 1'b0; assign VAR5 [7] = (VAR2 > 7) ? VAR6[7] : 1'b0; assign VAR5 [8] = (VAR2 > 8) ? VAR6[8] : 1'b0; assign VAR5 [9] = (VAR2 > 9) ? VAR6[9] : 1'b0; always @ (posedge VAR4 or posedge VAR1) begin if(VAR1) VAR8 <= 10'h000; end else begin if(VAR10 & VAR7) VAR8 <= VAR5; end end assign VAR9 = VAR8 == 10'h0; assign VAR11 = VAR14[9:0] == VAR8 & (&VAR3[6:0]); endmodule
lgpl-3.0
Given-Jiang/Gaussian_Filter_Altera_OpenCL_DE1-SoC
bin_Gaussian_Filter/ip/Gaussian_Filter/acl_fp_ln1px_s5.v
1,313
module MODULE1 ( enable, VAR9, VAR6, VAR2, VAR7); input enable, VAR9; input VAR6; input [31:0] VAR2; output [31:0] VAR7; wire [31:0] VAR3; wire [31:0] VAR7 = VAR3[31:0]; VAR10 VAR4 ( .clk(VAR6), .VAR5(1'b0), .en(enable), .VAR8(VAR2), .VAR1(VAR3)); endmodule
mit
1995parham/AlteraDE2-RS232
src/async-reciever.v
3,990
module MODULE1( input clk, input VAR12, output reg VAR10 = 0, output reg [7:0] VAR21 = 0, output VAR22, output reg VAR27 = 0 ); parameter VAR5 = 25000000; parameter VAR19 = 115200; parameter VAR30 = 8; generate if(VAR5<VAR19*VAR30) VAR33 VAR2("VAR14 VAR6 VAR11 for VAR29 VAR19 VAR13 and VAR31"); if(VAR30<8 || ((VAR30 & (VAR30-1))!=0)) VAR33 VAR2("VAR17 VAR31 VAR15"); endgenerate reg [3:0] VAR16 = 0; wire VAR26 = VAR12; wire VAR8 = 1'b1; wire VAR23; VAR35 #(VAR5, VAR19, VAR30) VAR24(.clk(clk), .enable(1'b1), .VAR3(VAR23)); reg [1:0] VAR34 = 2'b11; always @(posedge clk) if(VAR23) VAR34 <= {VAR34[0], VAR12}; reg [1:0] VAR20 = 2'b11; reg VAR26 = 1'b1; always @(posedge clk) if(VAR23) begin if(VAR34[1]==1'b1 && VAR20!=2'b11) VAR20 <= VAR20 + 1'd1; end else if(VAR34[1]==1'b0 && VAR20!=2'b00) VAR20 <= VAR20 - 1'd1; if(VAR20==2'b11) VAR26 <= 1'b1; else if(VAR20==2'b00) VAR26 <= 1'b0; end function integer VAR1(input integer VAR18); begin VAR1=0; while(VAR18>>VAR1) VAR1=VAR1+1; end endfunction localparam VAR4 = VAR1(VAR30); reg [VAR4-2:0] VAR7 = 0; always @(posedge clk) if(VAR23) VAR7 <= (VAR16==0) ? 1'd0 : VAR7 + 1'd1; wire VAR8 = VAR23 && (VAR7==VAR30/2-1); always @(posedge clk) case(VAR16) end 4'b0000: if(~VAR26) VAR16 <= VAR9 VAR25 4'b1000 else 4'b0001 VAR32; 4'b0001: if(VAR8) VAR16 <= 4'b1000; 4'b1000: if(VAR8) VAR16 <= 4'b1001; 4'b1001: if(VAR8) VAR16 <= 4'b1010; 4'b1010: if(VAR8) VAR16 <= 4'b1011; 4'b1011: if(VAR8) VAR16 <= 4'b1100; 4'b1100: if(VAR8) VAR16 <= 4'b1101; 4'b1101: if(VAR8) VAR16 <= 4'b1110; 4'b1110: if(VAR8) VAR16 <= 4'b1111; 4'b1111: if(VAR8) VAR16 <= 4'b0010; 4'b0010: if(VAR8) VAR16 <= 4'b0000; default: VAR16 <= 4'b0000; endcase always @(posedge clk) if(VAR8 && VAR16[3]) VAR21 <= {VAR26, VAR21[7:1]}; always @(posedge clk) begin VAR10 <= (VAR8 && VAR16==4'b0010 && VAR26); end reg [VAR4+1:0] VAR28 = 0; always @(posedge clk) if (VAR16!=0) VAR28<=0; else if(VAR23 & ~VAR28[VAR1(VAR30)+1]) VAR28 <= VAR28 + 1'h1; assign VAR22 = VAR28[VAR4+1]; always @(posedge clk) VAR27 <= VAR23 & ~VAR28[VAR4+1] & &VAR28[VAR4:0]; endmodule
gpl-2.0
patrick-samy/ace
data/register-file/register-file.v
1,502
module MODULE1(input[1:0] VAR11, input[1:0] VAR13, input[1:0] VAR3, input[31:0] VAR7, input VAR2, output[31:0] VAR19, output[31:0] VAR1); wire[31:0] VAR9; wire[31:0] VAR8; wire[31:0] VAR15; wire[31:0] VAR5; wire[3:0] VAR17; wire[3:0] VAR21; VAR4 VAR22(VAR3, VAR17); and (VAR21[0], VAR2, VAR17[0]); and (VAR21[1], VAR2, VAR17[1]); and (VAR21[2], VAR2, VAR17[2]); and (VAR21[3], VAR2, VAR17[3]); register VAR16(VAR7, VAR21[0], VAR9); register VAR18(VAR7, VAR21[1], VAR8); register VAR12(VAR7, VAR21[2], VAR15); register VAR6(VAR7, VAR21[3], VAR5); VAR20 VAR14(VAR11, VAR9, VAR8, VAR15, VAR5, VAR19); VAR20 VAR10(VAR13, VAR9, VAR8, VAR15, VAR5, VAR1); endmodule
mit
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/o211a/sky130_fd_sc_ls__o211a.symbol.v
1,367
module MODULE1 ( input VAR8, input VAR2, input VAR4, input VAR5, output VAR1 ); supply1 VAR9; supply0 VAR6; supply1 VAR3 ; supply0 VAR7 ; endmodule
apache-2.0
mammenx/synesthesia_moksha
wxp/dgn/rtl/altera/lpddr2_cntrlr/lpddr2_cntrlr/lpddr2_cntrlr_s0.v
41,592
module MODULE1 ( input wire VAR277, input wire VAR59, output wire [15:0] VAR258, output wire VAR222, input wire [31:0] VAR4, output wire VAR250, output wire [31:0] VAR90, input wire VAR243, output wire [0:0] VAR238, output wire [3:0] VAR154, output wire [3:0] VAR193, output wire [31:0] VAR56, output wire [3:0] VAR190, input wire [3:0] VAR110, output wire [0:0] VAR69, input wire VAR57, input wire VAR121, input wire VAR15, input wire VAR84, output wire [0:0] VAR230, input wire [0:0] VAR240, input wire [0:0] VAR61 ); wire VAR71; wire VAR197; wire [31:0] VAR111; wire VAR231; wire [19:0] VAR274; wire [3:0] VAR92; wire VAR252; wire VAR29; wire [31:0] VAR234; wire [31:0] VAR86; wire VAR12; wire [19:0] VAR83; wire VAR126; wire VAR206; wire [31:0] VAR198; wire [31:0] VAR248; wire VAR269; wire [16:0] VAR162; wire VAR64; wire [31:0] VAR189; wire VAR52; wire VAR226; wire [15:0] VAR10; wire VAR283; wire [3:0] VAR46; wire VAR272; wire VAR153; wire [31:0] VAR50; wire [0:0] VAR117; wire [31:0] VAR179; wire VAR151; wire [15:0] VAR156; wire VAR228; wire VAR39; wire [31:0] VAR225; wire VAR164; wire [31:0] VAR82; wire [11:0] VAR218; wire [3:0] VAR166; wire VAR119; wire [31:0] VAR202; wire [31:0] VAR237; wire VAR70; wire [5:0] VAR275; wire VAR282; wire VAR76; wire [31:0] VAR123; wire VAR89; wire [31:0] VAR152; wire VAR147; wire [15:0] VAR262; wire VAR219; wire [3:0] VAR93; wire VAR3; wire [31:0] VAR30; wire VAR188; wire [0:0] VAR109; wire [31:0] VAR281; wire VAR134; wire [12:0] VAR40; wire VAR133; wire VAR114; wire [31:0] VAR139; wire [31:0] VAR191; wire VAR137; wire [3:0] VAR11; wire VAR43; wire [3:0] VAR265; wire VAR163; wire [31:0] VAR196; wire [31:0] VAR54; VAR273 #( .VAR255 (10), .VAR182 (0) ) VAR62 ( .clk (VAR277), .rst (VAR59), .VAR45 (VAR71), .VAR23 (VAR197) ); VAR245 #( .VAR105 ("VAR159") ) VAR66 ( .clk (VAR277), .VAR78 (~VAR71), .VAR34 (VAR274), .VAR1 (VAR92), .VAR220 (VAR252), .VAR36 (VAR111), .VAR135 (VAR231), .VAR224 (VAR29), .VAR266 (VAR234), .VAR80 (VAR162), .VAR239 (VAR64), .VAR145 (VAR248), .VAR122 (VAR269), .VAR192 (VAR54), .VAR20 () ); VAR73 #( .VAR116 (32), .VAR74 (13), .VAR125 (4), .VAR138 (4), .VAR276 (32), .VAR22 (4), .VAR33 (1), .VAR169 (8), .VAR263 ("VAR159"), .VAR99 ("false"), .VAR118 (0), .VAR260 (1), .VAR223 (0), .VAR103 (1), .VAR67 (0) ) VAR16 ( .VAR277 (VAR277), .VAR59 (~VAR71), .VAR258 (VAR40), .VAR250 (VAR114), .VAR90 (VAR139), .VAR222 (VAR133), .VAR4 (VAR281), .VAR243 (VAR134), .VAR15 (VAR15), .VAR77 (VAR84), .VAR238 (VAR238), .VAR154 (VAR154), .VAR193 (VAR193), .VAR56 (VAR56), .VAR190 (VAR190), .VAR110 (VAR110), .VAR69 (VAR69), .VAR57 (VAR57), .VAR121 (VAR121), .VAR183 (), .VAR112 (), .VAR113 () ); VAR213 #( .VAR116 (32), .VAR74 (4), .VAR170 (4), .VAR268 (8), .VAR31 (0), .VAR195 (16) ) VAR280 ( .VAR277 (VAR277), .VAR59 (~VAR71), .VAR258 (VAR11), .VAR250 (VAR163), .VAR90 (VAR196), .VAR222 (VAR43), .VAR4 (VAR191), .VAR243 (VAR137), .VAR270 (VAR265) ); VAR227 #( .VAR102 (32), .VAR199 (8), .VAR155 (16), .VAR13 (1), .VAR185 (0), .VAR144 (0) ) VAR27 ( .clk (VAR277), .reset (VAR71), .VAR158 (VAR52), .VAR25 (VAR189), .VAR41 (VAR272), .VAR42 (VAR117), .VAR149 (VAR50), .VAR142 (VAR10), .VAR201 (VAR153), .VAR204 (VAR283), .VAR7 (VAR46), .VAR65 (VAR226), .VAR148 (VAR89), .VAR279 (VAR152), .VAR2 (VAR3), .VAR174 (VAR109), .VAR108 (VAR30), .VAR18 (VAR262), .VAR161 (VAR188), .VAR267 (VAR219), .VAR271 (VAR93), .VAR168 (VAR147), .VAR251 (), .VAR181 (2'b00) ); VAR37 #( .VAR102 (32), .VAR259 (32), .VAR187 (32), .VAR199 (8), .VAR63 (16), .VAR100 (10), .VAR253 (10), .VAR13 (3), .VAR165 (1) ) VAR233 ( .clk (VAR277), .VAR78 (~VAR71), .VAR142 (VAR156), .VAR204 (VAR228), .VAR25 (VAR179), .VAR201 (VAR39), .VAR149 (VAR225), .VAR158 (VAR151), .VAR18 (VAR258), .VAR267 (VAR222), .VAR279 (VAR4), .VAR161 (VAR250), .VAR108 (VAR90), .VAR148 (VAR243), .VAR24 (), .VAR175 (1'b0), .VAR42 (3'b000), .VAR7 (4'b1111), .VAR41 (), .VAR211 (), .VAR174 (), .VAR271 (), .VAR2 (1'b0) ); VAR150 #( .VAR200 (1), .VAR33 (1), .VAR116 (32), .VAR55 (20), .VAR74 (6), .VAR173 ("VAR143"), .VAR14 (4), .VAR209 (3), .VAR184 (16), .VAR58 (3), .VAR129 (2), .VAR8 (557056), .VAR136 (589824), .VAR208 (98304), .VAR229 (1), .VAR128 (1), .VAR28 (0), .VAR67 (0) ) VAR107 ( .VAR277 (VAR277), .VAR59 (~VAR71), .VAR242 (VAR83), .VAR96 (VAR206), .VAR87 (VAR198), .VAR215 (VAR126), .VAR141 (VAR86), .VAR51 (VAR12), .VAR94 (VAR275), .VAR172 (VAR76), .VAR106 (VAR123), .VAR146 (VAR282), .VAR186 (VAR237), .VAR207 (VAR70), .VAR230 (VAR230), .VAR240 (VAR240), .VAR61 (VAR61) ); VAR95 #( .VAR116 (32), .VAR74 (12), .VAR170 (4), .VAR268 (8), .VAR236 (14336), .VAR157 ("VAR212.VAR221"), .VAR120 ("VAR214") ) VAR85 ( .VAR97 (VAR277), .VAR35 (VAR71), .VAR49 (VAR197), .VAR140 (VAR218), .VAR131 (VAR119), .VAR247 (VAR202), .VAR205 (VAR82), .VAR178 (VAR166), .VAR127 (VAR164) ); VAR264 VAR32 ( .VAR91 (VAR277), .VAR104 (VAR71), .VAR81 (VAR71), .VAR274 (VAR274), .VAR231 (VAR231), .VAR92 (VAR92), .VAR252 (VAR252), .VAR111 (VAR111), .VAR29 (VAR29), .VAR234 (VAR234), .VAR162 (VAR162), .VAR269 (VAR269), .VAR64 (VAR64), .VAR248 (VAR248), .VAR83 (VAR83), .VAR12 (VAR12), .VAR126 (VAR126), .VAR86 (VAR86), .VAR206 (VAR206), .VAR198 (VAR198), .VAR98 (VAR156), .VAR167 (VAR39), .VAR210 (VAR228), .VAR48 (VAR179), .VAR246 (VAR225), .VAR132 (VAR151), .VAR160 (VAR218), .VAR244 (VAR119), .VAR9 (VAR82), .VAR249 (VAR202), .VAR26 (VAR166), .VAR177 (VAR164), .VAR284 (VAR275), .VAR171 (VAR76), .VAR217 (VAR282), .VAR216 (VAR237), .VAR130 (VAR123), .VAR194 (VAR70), .VAR88 (VAR10), .VAR68 (VAR153), .VAR180 (VAR283), .VAR44 (VAR189), .VAR53 (VAR50), .VAR254 (VAR117), .VAR75 (VAR46), .VAR203 (VAR272), .VAR101 (VAR52), .VAR6 (VAR226) ); VAR19 VAR79 ( .VAR91 (VAR277), .VAR60 (VAR71), .VAR262 (VAR262), .VAR89 (VAR89), .VAR109 (VAR109), .VAR93 (VAR93), .VAR219 (VAR219), .VAR152 (VAR152), .VAR3 (VAR3), .VAR188 (VAR188), .VAR30 (VAR30), .VAR147 (VAR147), .VAR256 (VAR11), .VAR241 (VAR163), .VAR235 (VAR43), .VAR21 (VAR191), .VAR176 (VAR196), .VAR72 (VAR265), .VAR261 (VAR137), .VAR232 (VAR40), .VAR17 (VAR114), .VAR5 (VAR133), .VAR124 (VAR281), .VAR47 (VAR139), .VAR278 (VAR134) ); VAR38 VAR257 ( .clk (VAR277), .reset (VAR71), .VAR115 (VAR54) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/a211o/sky130_fd_sc_ls__a211o.symbol.v
1,367
module MODULE1 ( input VAR4, input VAR3, input VAR5, input VAR1, output VAR2 ); supply1 VAR9; supply0 VAR6; supply1 VAR8 ; supply0 VAR7 ; endmodule
apache-2.0
eda-globetrotter/PicenoDecoders
zhiyang_and_andrew/commschannel.v
11,759
module MODULE1(); wire VAR4; wire [1:0] VAR36; wire [1:0] VAR21; wire VAR28; wire [1:0] VAR17; reg VAR8[0:255]; reg VAR19; reg [7:0] VAR3; reg VAR18; reg VAR24; reg [7:0] VAR20; reg [1:0] VAR16; reg [7:0] VAR29; wire [1:0] VAR1; wire [1:0] VAR25; reg VAR10; reg [7:0] VAR34; reg [1:0] VAR6; reg [1:0] VAR23; reg VAR30; reg VAR7; integer VAR5; parameter VAR33 = 9'd256; VAR15 VAR2 ( VAR4,VAR23,VAR18,VAR7); VAR14 VAR12 ( VAR17,VAR24,VAR18,VAR7); VAR22 VAR31 ( VAR29,VAR1,VAR34); VAR32 VAR27 ( VAR16,VAR1,VAR25); VAR13 VAR9 ( VAR6,VAR36,VAR18,VAR7); VAR13 VAR11 ( VAR23,VAR21,VAR18,VAR7); VAR26 VAR35 ( VAR30,VAR28,VAR18,VAR7); always begin VAR18 = 0; VAR18 = 1; end always@(posedge VAR18) begin if(VAR7) begin VAR24<=0; VAR20<=0; end else begin VAR20<=VAR3; VAR24<=VAR19; end end always@(posedge VAR18) begin if(VAR7) begin VAR16<=0; VAR29<=0; VAR10<=0; end else begin VAR16<=VAR17; VAR29<=VAR20; VAR10<=VAR24; end end always@(posedge VAR18) begin if(VAR7) begin VAR6<=0; VAR23<=0; VAR30<=0; end else begin VAR6<=VAR16; VAR23<=VAR25; VAR30<=VAR10; end end begin begin begin begin end begin
mit
Franderg/CE-4301-Arqui1
Processor/unsaved/synthesis/unsaved.v
1,398
module MODULE1 ( input wire VAR17, input wire [4:0] VAR9, input wire VAR2, input wire VAR11, input wire VAR1, input wire VAR7, output wire [31:0] VAR18, input wire [31:0] VAR20, input wire [3:0] VAR16, input wire VAR3, input wire VAR6 ); VAR14 VAR10 ( .clk (VAR17), .address (VAR9), .VAR15 (VAR2), .VAR21 (VAR11), .VAR4 (VAR1), .write (VAR7), .VAR13 (VAR18), .VAR8 (VAR20), .VAR5 (VAR16), .reset (VAR3), .VAR12 (VAR6), .VAR19 (1'b0) ); endmodule
gpl-3.0
google/skywater-pdk-libs-sky130_fd_sc_hdll
cells/o221ai/sky130_fd_sc_hdll__o221ai_2.v
2,473
module MODULE2 ( VAR8 , VAR4 , VAR2 , VAR12 , VAR6 , VAR9 , VAR10, VAR7, VAR3 , VAR11 ); output VAR8 ; input VAR4 ; input VAR2 ; input VAR12 ; input VAR6 ; input VAR9 ; input VAR10; input VAR7; input VAR3 ; input VAR11 ; VAR5 VAR1 ( .VAR8(VAR8), .VAR4(VAR4), .VAR2(VAR2), .VAR12(VAR12), .VAR6(VAR6), .VAR9(VAR9), .VAR10(VAR10), .VAR7(VAR7), .VAR3(VAR3), .VAR11(VAR11) ); endmodule module MODULE2 ( VAR8 , VAR4, VAR2, VAR12, VAR6, VAR9 ); output VAR8 ; input VAR4; input VAR2; input VAR12; input VAR6; input VAR9; supply1 VAR10; supply0 VAR7; supply1 VAR3 ; supply0 VAR11 ; VAR5 VAR1 ( .VAR8(VAR8), .VAR4(VAR4), .VAR2(VAR2), .VAR12(VAR12), .VAR6(VAR6), .VAR9(VAR9) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hvl
cells/inv/sky130_fd_sc_hvl__inv.behavioral.pp.v
1,757
module MODULE1 ( VAR5 , VAR4 , VAR10, VAR1, VAR9 , VAR6 ); output VAR5 ; input VAR4 ; input VAR10; input VAR1; input VAR9 ; input VAR6 ; wire VAR11 ; wire VAR12; not VAR8 (VAR11 , VAR4 ); VAR3 VAR7 (VAR12, VAR11, VAR10, VAR1); buf VAR2 (VAR5 , VAR12 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/dlymetal6s4s/sky130_fd_sc_hd__dlymetal6s4s.behavioral.pp.v
1,868
module MODULE1 ( VAR4 , VAR3 , VAR12, VAR1, VAR5 , VAR8 ); output VAR4 ; input VAR3 ; input VAR12; input VAR1; input VAR5 ; input VAR8 ; wire VAR6 ; wire VAR10; buf VAR7 (VAR6 , VAR3 ); VAR11 VAR2 (VAR10, VAR6, VAR12, VAR1); buf VAR9 (VAR4 , VAR10 ); endmodule
apache-2.0
yht1995/Digital-WeighingScale
FPGA/Uart.v
1,172
module MODULE1( output wire VAR13, output reg VAR5, input VAR6, input [7:0]VAR8, input VAR3, input VAR10 ); reg [3:0] VAR9; reg [8:0] VAR1; assign VAR13 = |VAR9[3:1]; assign VAR11 = |VAR9; reg [28:0] VAR7; wire [28:0] VAR4 = VAR7[28] ? (115200) : (115200 - 50000000); wire [28:0] VAR2 = VAR7 + VAR4; always @(posedge VAR3) begin VAR7 = VAR2; end wire VAR12 = ~VAR7[28]; always @(posedge VAR3) begin if (VAR10) begin VAR5 <= 1; VAR9 <= 0; VAR1 <= 0; end else begin if (VAR6 & ~VAR13) begin VAR1 <= { VAR8[7:0], 1'h0 }; VAR9 <= (1 + 8 + 2); end if (VAR11 & VAR12) begin { VAR1, VAR5 } <= { 1'h1, VAR1 }; VAR9 <= VAR9 - 1; end end end endmodule
gpl-3.0
golfit/QcmMasterController
counter_n.v
7,820
module MODULE1 (clk,VAR1,VAR2); input clk,VAR1; output reg [13:0] VAR2; reg [13:0] VAR3; reg [13:0] VAR6; reg [6:0] VAR9; reg VAR5; reg reset; parameter VAR8=40000; parameter VAR7=50; parameter VAR10=VAR4'b10;
mit
google/skywater-pdk-libs-sky130_fd_sc_hd
cells/xor3/sky130_fd_sc_hd__xor3_4.v
2,199
module MODULE1 ( VAR4 , VAR1 , VAR8 , VAR10 , VAR3, VAR2, VAR6 , VAR9 ); output VAR4 ; input VAR1 ; input VAR8 ; input VAR10 ; input VAR3; input VAR2; input VAR6 ; input VAR9 ; VAR7 VAR5 ( .VAR4(VAR4), .VAR1(VAR1), .VAR8(VAR8), .VAR10(VAR10), .VAR3(VAR3), .VAR2(VAR2), .VAR6(VAR6), .VAR9(VAR9) ); endmodule module MODULE1 ( VAR4, VAR1, VAR8, VAR10 ); output VAR4; input VAR1; input VAR8; input VAR10; supply1 VAR3; supply0 VAR2; supply1 VAR6 ; supply0 VAR9 ; VAR7 VAR5 ( .VAR4(VAR4), .VAR1(VAR1), .VAR8(VAR8), .VAR10(VAR10) ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/sdfxtp/sky130_fd_sc_hs__sdfxtp.behavioral.pp.v
2,258
module MODULE1 ( VAR8, VAR1, VAR21 , VAR7 , VAR5 , VAR4 , VAR15 ); input VAR8; input VAR1; output VAR21 ; input VAR7 ; input VAR5 ; input VAR4 ; input VAR15 ; wire VAR23 ; wire VAR14 ; reg VAR9 ; wire VAR17 ; wire VAR13; wire VAR3; wire VAR19; wire VAR6 ; wire VAR11 ; wire VAR20 ; wire VAR2 ; VAR10 VAR22 (VAR14, VAR17, VAR13, VAR3 ); VAR16 VAR18 (VAR23 , VAR14, VAR19, VAR9, VAR8, VAR1); assign VAR6 = ( VAR8 === 1'b1 ); assign VAR11 = ( ( VAR3 === 1'b0 ) && VAR6 ); assign VAR20 = ( ( VAR3 === 1'b1 ) && VAR6 ); assign VAR2 = ( ( VAR17 !== VAR13 ) && VAR6 ); buf VAR12 (VAR21 , VAR23 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/xnor2/sky130_fd_sc_ls__xnor2_1.v
2,132
module MODULE2 ( VAR5 , VAR1 , VAR7 , VAR4, VAR8, VAR3 , VAR6 ); output VAR5 ; input VAR1 ; input VAR7 ; input VAR4; input VAR8; input VAR3 ; input VAR6 ; VAR9 VAR2 ( .VAR5(VAR5), .VAR1(VAR1), .VAR7(VAR7), .VAR4(VAR4), .VAR8(VAR8), .VAR3(VAR3), .VAR6(VAR6) ); endmodule module MODULE2 ( VAR5, VAR1, VAR7 ); output VAR5; input VAR1; input VAR7; supply1 VAR4; supply0 VAR8; supply1 VAR3 ; supply0 VAR6 ; VAR9 VAR2 ( .VAR5(VAR5), .VAR1(VAR1), .VAR7(VAR7) ); endmodule
apache-2.0
FAST-Switch/fast
lib/hardware/platform/NetMagic08/triple_speed_ethernet-library/altera_tse_gxb_aligned_rxsync.v
11,745
module MODULE1 ( input clk, input reset, input [7:0] VAR8, input VAR29, input VAR23, input VAR33, input VAR4, input VAR9, input VAR30, input VAR26, input VAR27, input VAR32, output reg [7:0] VAR2, output VAR12, output reg VAR5, output reg VAR3, output reg VAR34, output reg VAR10, output reg VAR16, output reg VAR19) ; parameter VAR18 = "VAR6"; reg [7:0] VAR22; reg VAR17; reg VAR11; reg VAR15; reg VAR20; reg VAR28; reg VAR35; reg VAR13; reg VAR36; reg VAR21; reg VAR31; always @(posedge reset or posedge clk) begin if (reset == 1'b1) begin VAR22 <= 8'h0; VAR17 <= 1'b0; VAR15 <= 1'b0; VAR20 <= 1'b0; VAR28 <= 1'b0; VAR35 <= 1'b0; VAR13 <= 1'b0; VAR36 <= 1'b0; VAR21 <= 1'b0; end else begin VAR22 <= VAR8; VAR17 <= VAR29; VAR15 <= VAR23; VAR20 <= VAR33; VAR28 <= VAR4; VAR35 <= VAR9; VAR13 <= VAR30; VAR36 <= VAR27; VAR21 <= VAR32; end end generate if ( VAR18 == "VAR24" || VAR18 == "VAR6") begin always @ (posedge reset or posedge clk) begin if (reset == 1'b1) begin VAR2 <= 8'h0; VAR5 <= 1'b1; VAR3 <= 1'b0; VAR34 <= 1'b1; VAR10 <= 1'b0; VAR16 <= 1'b0; end else begin if (VAR29 == 1'b1 ) begin VAR2 <= VAR22; VAR5 <= VAR15; VAR3 <= VAR20; VAR34 <= VAR28; VAR10 <= VAR35; VAR16 <= VAR13; end else begin VAR2 <= 8'h0; VAR5 <= 1'b1; VAR3 <= 1'b0; VAR34 <= 1'b1; VAR10 <= 1'b0; VAR16 <= 1'b0; end end end assign VAR12 = VAR17; end else if ( VAR18 == "VAR7" || VAR18 == "VAR14" || VAR18 == "VAR25" || VAR18 == "VAR1") begin always @ (posedge reset or posedge clk) begin if (reset == 1'b1) begin VAR2 <= 8'h0; VAR5 <= 1'b1; VAR3 <= 1'b0; VAR34 <= 1'b1; VAR10 <= 1'b0; VAR16 <= 1'b0; VAR11 <= 1'b0; end else begin VAR2 <= VAR22; VAR5 <= VAR15; VAR3 <= VAR20; VAR34 <= VAR28; VAR10 <= VAR35; VAR16 <= VAR13; VAR11 <= VAR17 ; end end assign VAR12 = VAR11; end endgenerate always @ (posedge reset or posedge clk) begin if (reset == 1'b1) begin VAR31 <= 1'b0; end else begin if ((VAR19 == 1'b0) | (VAR29 == 1'b0)) begin VAR31 <= 1'b0; end else begin if ((VAR26 == 1'b1) & (VAR29 == 1'b1)) begin VAR31 <= 1'b1; end end end end always @ (posedge reset or posedge clk) begin if (reset == 1'b1) begin VAR19 <= 1'b1; end else begin if ( (VAR17 == 1'b1 & VAR22 == 8'h1C & VAR20 == 1'b1 & VAR28 == 1'b1 & VAR15 ==1'b1 & VAR36 == 1'b1 & VAR31 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'hFC & VAR20 == 1'b1 & VAR36 == 1'b1 ) | (VAR17 == 1'b1 & VAR22 == 8'h9C & VAR20 == 1'b1 & VAR36 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'hBC & VAR20 == 1'b0 & VAR36 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'hAC & VAR20 == 1'b0 & VAR36 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'hB4 & VAR20 == 1'b0 & VAR36 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'hA7 & VAR20 == 1'b0 & VAR36 == 1'b0 & VAR21 == 1'b1 ) | (VAR17 == 1'b1 & VAR22 == 8'hA1 & VAR20 == 1'b0 & VAR36 == 1'b0 & VAR21 == 1'b1 & VAR31 == 1'b1 ) | (VAR17 == 1'b1 & VAR22 == 8'hA2 & VAR20 == 1'b0 & VAR36 == 1'b0 & VAR21 == 1'b1 & ((VAR32 == 1'b1 & VAR28 == 1'b1 & VAR15 == 1'b1)| (VAR32 == 1'b0 & VAR28 == 1'b1 & VAR15 == 1'b0 )) ) | (VAR17 == 1'b1 & VAR22 == 8'h43 & VAR20 == 1'b0 & VAR36 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'h53 & VAR20 == 1'b0 & VAR36 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'h4B & VAR20 == 1'b0 & VAR36 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'h47 & VAR20 == 1'b0 & VAR36 == 1'b0 & VAR21 == 1'b0 ) | (VAR17 == 1'b1 & VAR22 == 8'h41 & VAR20 == 1'b0 & VAR36 == 1'b0 & VAR21 == 1'b0 & VAR31 == 1'b1 & ((VAR32 == 1'b1 & VAR28 == 1'b1 & VAR15 == 1'b0)| (VAR32 == 1'b0 & VAR28 == 1'b1 & VAR15 == 1'b1 )) ) | (VAR17 == 1'b1 & VAR22 == 8'h42 & VAR20 == 1'b0 & VAR36 == 1'b0 & VAR21 == 1'b0 & ((VAR32 == 1'b1 & VAR28 == 1'b1 & VAR15 == 1'b0)| (VAR32 == 1'b0 & VAR28 == 1'b1 & VAR15 == 1'b1)) ) ) begin VAR19 <= 1'b0; end else begin VAR19 <= 1'b1; end end end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_hs
cells/xnor2/sky130_fd_sc_hs__xnor2.functional.v
1,716
module MODULE1 ( VAR1, VAR7, VAR4 , VAR2 , VAR8 ); input VAR1; input VAR7; output VAR4 ; input VAR2 ; input VAR8 ; wire VAR3 ; wire VAR9; xnor VAR6 (VAR3 , VAR2, VAR8 ); VAR5 VAR11 (VAR9, VAR3, VAR1, VAR7); buf VAR10 (VAR4 , VAR9 ); endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ls
cells/nand3/sky130_fd_sc_ls__nand3.symbol.v
1,280
module MODULE1 ( input VAR4, input VAR6, input VAR7, output VAR3 ); supply1 VAR2; supply0 VAR8; supply1 VAR1 ; supply0 VAR5 ; endmodule
apache-2.0
LSaldyt/qnp
output/vs/opt_var15_multi.v
19,671
module MODULE1(VAR14, VAR4, VAR6, VAR8, VAR5, VAR9, VAR1, VAR3, VAR11, VAR2, VAR10, VAR16, VAR7, VAR12, VAR13, valid); wire 000; wire 001; wire 002; wire 003; wire 004; wire 005; wire 006; wire 007; wire 008; wire 009; wire 010; wire 011; wire 012; wire 013; wire 014; wire 015; wire 016; wire 017; wire 018; wire 019; wire 020; wire 021; wire 022; wire 023; wire 024; wire 025; wire 026; wire 027; wire 028; wire 029; wire 030; wire 031; wire 032; wire 033; wire 034; wire 035; wire 036; wire 037; wire 038; wire 039; wire 040; wire 041; wire 042; wire 043; wire 044; wire 045; wire 046; wire 047; wire 048; wire 049; wire 050; wire 051; wire 052; wire 053; wire 054; wire 055; wire 056; wire 057; wire 058; wire 059; wire 060; wire 061; wire 062; wire 063; wire 064; wire 065; wire 066; wire 067; wire 068; wire 069; wire 070; wire 071; wire 072; wire 073; wire 074; wire 075; wire 076; wire 077; wire 078; wire 079; wire 080; wire 081; wire 082; wire 083; wire 084; wire 085; wire 086; wire 087; wire 088; wire 089; wire 090; wire 091; wire 092; wire 093; wire 094; wire 095; wire 096; wire 097; wire 098; wire 099; wire 100; wire 101; wire 102; wire 103; wire 104; wire 105; wire 106; wire 107; wire 108; wire 109; wire 110; wire 111; wire 112; wire 113; wire 114; wire 115; wire 116; wire 117; wire 118; wire 119; wire 120; wire 121; wire 122; wire 123; wire 124; wire 125; wire 126; wire 127; wire 128; wire 129; wire 130; wire 131; wire 132; wire 133; wire 134; wire 135; wire 136; wire 137; wire 138; wire 139; wire 140; wire 141; wire 142; wire 143; wire 144; wire 145; wire 146; wire 147; wire 148; wire 149; wire 150; wire 151; wire 152; wire 153; wire 154; wire 155; wire 156; wire 157; wire 158; wire 159; wire 160; wire 161; wire 162; wire 163; wire 164; wire 165; wire 166; wire 167; wire 168; wire 169; wire 170; wire 171; wire 172; wire 173; wire 174; wire 175; wire 176; wire 177; wire 178; wire 179; wire 180; wire 181; wire 182; wire 183; wire 184; wire 185; wire 186; wire 187; wire 188; wire 189; wire 190; wire 191; wire 192; wire 193; wire 194; wire 195; wire 196; wire 197; wire 198; wire 199; wire 200; wire 201; wire 202; wire 203; wire 204; wire 205; wire 206; wire 207; wire 208; wire 209; wire 210; wire 211; wire 212; wire 213; wire 214; wire 215; wire 216; wire 217; wire 218; wire 219; wire 220; wire 221; wire 222; wire 223; wire 224; wire 225; wire 226; wire 227; wire 228; wire 229; wire 230; wire 231; wire 232; wire 233; wire 234; wire 235; wire 236; wire 237; wire 238; wire 239; wire 240; wire 241; wire 242; wire 243; wire 244; wire 245; wire 246; wire 247; wire 248; wire 249; wire 250; wire 251; wire 252; wire 253; wire 254; wire 255; wire 256; wire 257; wire 258; wire 259; wire 260; wire 261; wire 262; wire 263; wire 264; wire 265; wire 266; wire 267; wire 268; wire 269; wire 270; wire 271; wire 272; wire 273; wire 274; wire 275; wire 276; wire 277; wire 278; wire 279; wire 280; wire 281; wire 282; wire 283; wire 284; wire 285; wire 286; wire 287; wire 288; wire 289; wire 290; wire 291; wire 292; wire 293; wire 294; wire 295; wire 296; wire 297; wire 298; wire 299; wire 300; wire 301; wire 302; wire 303; wire 304; wire 305; wire 306; wire 307; wire 308; wire 309; wire 310; wire 311; wire 312; wire 313; wire 314; wire 315; wire 316; wire 317; wire 318; wire 319; wire 320; wire 321; wire 322; wire 323; wire 324; wire 325; wire 326; wire 327; wire 328; wire 329; wire 330; wire 331; wire 332; wire 333; wire 334; wire 335; wire 336; wire 337; wire 338; wire 339; wire 340; wire 341; wire 342; wire 343; wire 344; wire 345; wire 346; wire 347; wire 348; wire 349; wire 350; wire 351; wire 352; wire 353; wire 354; wire 355; wire 356; wire 357; wire 358; wire 359; wire 360; wire 361; wire 362; wire 363; wire 364; wire 365; wire 366; wire 367; wire 368; wire 369; wire 370; wire 371; wire 372; wire 373; wire 374; wire 375; wire 376; wire 377; wire 378; wire 379; wire 380; wire 381; wire 382; wire 383; wire 384; wire 385; wire 386; wire 387; wire 388; wire 389; wire 390; wire 391; wire 392; input VAR14; input VAR4; input VAR6; input VAR8; input VAR5; input VAR9; input VAR1; input VAR3; input VAR11; input VAR2; input VAR10; input VAR16; input VAR7; input VAR12; input VAR13; wire [7:0] VAR15; output valid; assign 070 = ~VAR10; assign 081 = VAR1 ^ VAR5; assign 092 = 081 ^ VAR3; assign 103 = ~(VAR1 & VAR5); assign 114 = ~VAR9; assign 125 = VAR14 ^ VAR8; assign 136 = 125 ^ 114; assign 147 = 136 ^ 103; assign 158 = ~((147 | 092) & VAR11); assign 169 = ~147; assign 180 = ~VAR3; assign 191 = ~(081 | 180); assign 202 = 191 & 169; assign 223 = ~(136 | 103); assign 224 = 125 | 114; assign 235 = ~VAR5; assign 246 = ~VAR4; assign 257 = ~(VAR14 & VAR8); assign 268 = 257 ^ 246; assign 279 = 268 ^ 235; assign 290 = 279 ^ 224; assign 301 = ~(290 ^ 223); assign 312 = 301 ^ 202; assign 323 = ~(312 | 158); assign 334 = 081 & VAR3; assign 335 = ~((147 & VAR3) | (136 & 334)); assign 336 = ~((301 | 180) & 335); assign 337 = 290 & 223; assign 338 = ~(268 & VAR5); assign 339 = VAR5 & VAR8; assign 340 = VAR4 ^ VAR14; assign 341 = 340 & 339; assign 342 = ~VAR8; assign 343 = VAR4 & VAR14; assign 344 = 343 | 342; assign 345 = ~((344 & 338) | 341); assign 346 = ~125; assign 347 = ~((279 & 346) | 114); assign 348 = 347 ^ 345; assign 349 = 348 ^ VAR1; assign 350 = 349 ^ 337; assign 351 = 350 ^ 336; assign 352 = 351 ^ 323; assign 353 = ~VAR2; assign 354 = ~(312 ^ 158); assign 355 = ~(191 ^ 147); assign 356 = ~VAR11; assign 357 = 092 ^ 356; assign 358 = 357 & 355; assign 359 = ~((358 & 354) | 353); assign 360 = ~(359 ^ 352); assign 361 = 357 ^ VAR2; assign 362 = ~(092 | 356); assign 363 = 357 & VAR2; assign 364 = ~(363 | 362); assign 365 = ~(364 ^ 355); assign 366 = 365 & 361; assign 367 = 366 & 354; assign 368 = ~((367 & 360) | 070); assign 369 = 350 & 336; assign 370 = 348 & VAR1; assign 371 = ~((349 & 337) | 370); assign 372 = 343 & VAR8; assign 373 = 341 | 372; assign 374 = ~((347 & 345) | 373); assign 375 = 374 ^ 371; assign 376 = ~(375 ^ 369); assign 377 = 351 & 323; assign 378 = ~((359 & 352) | 377); assign 379 = 378 ^ 376; assign 380 = ~(379 ^ 368); assign 381 = ~VAR16; assign 382 = 361 & VAR10; assign 383 = 382 & 365; assign 384 = 363 & 355; assign 385 = 384 ^ 354; assign 386 = 385 ^ 383; assign 387 = 386 | 381; assign 388 = 385 & 383; assign 389 = 388 ^ 360; assign 390 = 389 ^ 387; assign 391 = ~(390 & VAR7); assign 392 = ~(391 | 380); assign 000 = 389 | 387; assign 001 = ~(000 | 380); assign 002 = 379 & 368; assign 003 = ~(374 | 371); assign 004 = ~((375 & 369) | 003); assign 005 = ~((378 | 376) & 004); assign 006 = 005 ^ 002; assign 007 = 006 | 001; assign 008 = ~(007 & 392); assign 009 = ~((001 | 002) & 005); assign 010 = 009 & 008; assign 011 = ~VAR13; assign 012 = 382 ^ 365; assign 013 = ~012; assign 014 = ~VAR12; assign 015 = 361 ^ VAR10; assign 016 = ~(015 | 014); assign 017 = 016 | 011; assign 018 = ~((015 & 014) | 017); assign 019 = 016 & 013; assign 020 = 386 ^ 381; assign 021 = ~(020 & 019); assign 022 = 020 | 019; assign 023 = ~((022 & 021) | (018 & 013)); assign 024 = ~(023 & 011); assign 025 = ~(391 & 000); assign 026 = 025 ^ 380; assign 027 = 390 ^ VAR7; assign 028 = ~(027 | VAR12); assign 029 = ~(027 & VAR12); assign 030 = ~((029 | 021) & (028 | 026)); assign 031 = 030 & 024; assign 032 = 029 & 021; assign 033 = 032 | 026; assign 034 = 032 & 026; assign 035 = 023 | 011; assign 036 = ~((035 | 034) & 033); assign 037 = ~((036 | 031) & (007 | 392)); assign 038 = ~(VAR4 ^ VAR14); assign 039 = 038 ^ VAR2; assign 040 = 039 | 070; assign 041 = ~(VAR4 | VAR14); assign 042 = VAR2 ? 343 : 041; assign 043 = 042 ^ 040; assign 044 = ~(043 & VAR16); assign 045 = ~VAR6; assign 046 = 343 ^ 045; assign 047 = 046 ^ 342; assign 048 = 047 ^ VAR1; assign 049 = 048 ^ VAR3; assign 050 = 049 ^ 356; assign 051 = 041 & VAR2; assign 052 = 051 ^ 050; assign 053 = 052 ^ VAR10; assign 054 = ~(053 | 044); assign 055 = 042 | 040; assign 056 = ~((052 | 070) & 055); assign 057 = ~(051 & 050); assign 058 = 049 | 356; assign 059 = 046 | 342; assign 060 = VAR8 & VAR6; assign 061 = 060 & 340; assign 062 = ~(343 & VAR6); assign 063 = 062 & 038; assign 064 = ~((063 & 059) | 061); assign 065 = 064 ^ 114; assign 066 = ~(047 & VAR1); assign 067 = ~(048 & VAR3); assign 068 = 067 & 066; assign 069 = 068 ^ 065; assign 071 = 069 ^ 058; assign 072 = 071 ^ 057; assign 073 = 072 ^ 056; assign 074 = 073 ^ 054; assign 075 = ~(074 & VAR7); assign 076 = 039 ^ 070; assign 077 = 076 & VAR7; assign 078 = 043 ^ VAR16; assign 079 = 078 & 077; assign 080 = 044 & 055; assign 082 = 080 ^ 053; assign 083 = ~(082 & 079); assign 084 = ~VAR7; assign 085 = 074 ^ 084; assign 086 = ~((085 | 083) & 075); assign 087 = 073 & 054; assign 088 = 072 & 056; assign 089 = 065 | 067; assign 090 = 065 | 066; assign 091 = 064 | 114; assign 093 = ~(060 | 041); assign 094 = 093 | 343; assign 095 = 094 ^ 091; assign 096 = 095 ^ 090; assign 097 = 096 ^ 180; assign 098 = 097 ^ 089; assign 099 = 069 | 049; assign 100 = 099 & VAR11; assign 101 = 100 ^ 098; assign 102 = ~041; assign 104 = ~(069 | 102); assign 105 = ~((104 & 050) | 353); assign 106 = 105 ^ 101; assign 107 = 106 ^ 088; assign 108 = 107 ^ 087; assign 109 = ~(108 ^ 086); assign 110 = ~(085 ^ 083); assign 111 = 082 ^ 079; assign 112 = ~111; assign 113 = 112 & 110; assign 115 = 113 & 109; assign 116 = 115 | 014; assign 117 = ~(108 & 086); assign 118 = 105 & 101; assign 119 = 100 & 098; assign 120 = ~(096 & VAR3); assign 121 = ~((097 | 089) & 120); assign 122 = ~(095 | 090); assign 123 = 061 | 343; assign 124 = 094 | 064; assign 126 = 124 & VAR9; assign 127 = 126 ^ 123; assign 128 = 127 ^ 122; assign 129 = 128 ^ 121; assign 130 = 129 ^ 119; assign 131 = ~(130 ^ 118); assign 132 = ~(106 & 088); assign 133 = ~(107 & 087); assign 134 = ~(133 & 132); assign 135 = 134 ^ 131; assign 137 = 135 & 117; assign 138 = ~(111 | 014); assign 139 = ~(138 & 110); assign 140 = 139 ^ 109; assign 141 = ~(138 ^ 110); assign 142 = 111 ^ VAR12; assign 143 = 078 ^ 077; assign 144 = 076 ^ VAR7; assign 145 = ~((144 | 143) & 142); assign 146 = 138 ^ 110; assign 148 = ~((146 | 145) & 011); assign 149 = 143 | 142; assign 150 = 149 & VAR13; assign 151 = ~((150 & 141) | (148 & 140)); assign 152 = ~((137 & 116) | 151); assign 153 = ~(131 | 133); assign 154 = VAR5 ^ VAR8; assign 155 = VAR6 ? 342 : 154; assign 156 = 155 ^ VAR1; assign 157 = VAR5 ^ VAR6; assign 159 = 157 ^ VAR3; assign 160 = 159 & VAR10; assign 161 = 157 & VAR3; assign 162 = 161 & 156; assign 163 = ~VAR1; assign 164 = ~(155 | 163); assign 165 = 060 ^ VAR14; assign 166 = ~((342 & 045) | 235); assign 167 = 166 ^ 165; assign 168 = 167 ^ VAR9; assign 170 = 168 ^ 164; assign 171 = 170 ^ 162; assign 172 = 171 ^ VAR11; assign 173 = ~((172 & VAR10) | (160 & 156)); assign 174 = ~((168 | 155) & VAR1); assign 175 = 167 | 114; assign 176 = ~(166 & 165); assign 177 = 257 & VAR6; assign 178 = 177 ^ 038; assign 179 = 178 ^ VAR5; assign 181 = 179 ^ 176; assign 182 = 181 ^ 175; assign 183 = 182 ^ 174; assign 184 = 170 & 162; assign 185 = ~((171 & VAR11) | 184); assign 186 = 185 ^ 183; assign 187 = 186 ^ 173; assign 188 = 187 ^ 381; assign 189 = 159 ^ VAR10; assign 190 = 189 & VAR16; assign 192 = ~(160 | 161); assign 193 = ~(192 ^ 156); assign 194 = 193 & 190; assign 195 = ~194; assign 196 = ~((159 & 156) | 070); assign 197 = 196 ^ 172; assign 198 = 197 | 195; assign 199 = ~((197 | 187) & VAR16); assign 200 = ~((198 | 188) & 199); assign 201 = ~(186 | 173); assign 203 = 183 & 184; assign 204 = ~(182 | 174); assign 205 = 181 | 175; assign 206 = 178 | 235; assign 207 = ~((179 | 176) & 206); assign 208 = 246 | VAR14; assign 209 = ~VAR14; assign 210 = ~((VAR4 | 209) & 045); assign 211 = ~((210 & 208) | VAR8); assign 212 = VAR4 | 209; assign 213 = ~((246 | VAR14) & VAR6); assign 214 = ~((213 & 212) | 342); assign 215 = ~((214 & 062) | 211); assign 216 = 215 ^ VAR5; assign 217 = ~(216 ^ 207); assign 218 = 217 ^ 205; assign 219 = 218 ^ 204; assign 220 = ~(219 ^ 203); assign 221 = ~(183 & 171); assign 222 = ~(221 & VAR11); assign 225 = 222 ^ 220; assign 226 = 225 ^ 201; assign 227 = 226 & 200; assign 228 = 225 & 201; assign 229 = ~((221 & 220) | 356); assign 230 = 167 & VAR9; assign 231 = ~((181 & VAR9) | (179 & 230)); assign 232 = ~((217 | 114) & 231); assign 233 = 166 & 165; assign 234 = ~(215 & VAR5); assign 236 = 060 & VAR14; assign 237 = ~236; assign 238 = 343 | VAR6; assign 239 = 238 & 102; assign 240 = ~((239 | 214) & 237); assign 241 = 240 & 206; assign 242 = ~((241 & 234) | 233); assign 243 = ~(242 ^ 232); assign 244 = 218 & 204; assign 245 = ~((219 & 203) | 244); assign 247 = 245 ^ 243; assign 248 = 247 ^ 229; assign 249 = 248 ^ 228; assign 250 = 249 & 227; assign 251 = ~(248 & 228); assign 252 = ~(247 & 229); assign 253 = ~(218 & 204); assign 254 = 243 | 253; assign 255 = 242 & 232; assign 256 = ~(255 & 236); assign 258 = 233 | 236; assign 259 = 255 | 258; assign 260 = ~((259 & 256) | (219 & 203)); assign 261 = 260 & 254; assign 262 = 261 ^ 252; assign 263 = ~(262 ^ 251); assign 264 = ~((263 & 250) | 153); assign 265 = ~((135 | 117) & 264); assign 266 = ~((197 & VAR16) | 194); assign 267 = ~(266 ^ 188); assign 269 = ~(267 | 084); assign 270 = 226 ^ 200; assign 271 = 270 & 269; assign 272 = 249 ^ 227; assign 273 = 272 & 271; assign 274 = 263 ^ 250; assign 275 = 274 | 273; assign 276 = 261 | 252; assign 277 = 276 & 256; assign 278 = ~((261 | 251) & 277); assign 280 = 126 & 123; assign 281 = 127 & 122; assign 282 = 281 | 280; assign 283 = ~((128 & 121) | 282); assign 284 = 129 & 119; assign 285 = ~((130 & 118) | 284); assign 286 = 285 ^ 283; assign 287 = ~(130 & 118); assign 288 = ~((283 | 287) & (131 | 132)); assign 289 = 288 | 286; assign 291 = 289 | 278; assign 292 = 291 | 275; assign 293 = 292 | 265; assign 294 = 293 | 152; assign 295 = 270 ^ 269; assign 296 = 267 ^ 084; assign 297 = 193 ^ 190; assign 298 = ~(194 | 381); assign 299 = 298 ^ 197; assign 300 = ~(299 | 297); assign 302 = ~(300 | 014); assign 303 = 296 ? VAR12 : 302; assign 304 = 303 & 295; assign 305 = 272 ^ 271; assign 306 = ~(305 | 304); assign 307 = 297 | 014; assign 308 = ~(307 | 299); assign 309 = 308 ^ 296; assign 310 = 297 ^ VAR12; assign 311 = 310 | 299; assign 313 = 311 & VAR13; assign 314 = 313 | 309; assign 315 = 310 | 011; assign 316 = 315 & 307; assign 317 = 316 ^ 299; assign 318 = ~(189 | VAR16); assign 319 = ~(318 | 190); assign 320 = ~((310 & 011) | 319); assign 321 = ~((320 & 315) | 317); assign 322 = 321 & 314; assign 324 = 303 ^ 295; assign 325 = 324 | VAR13; assign 326 = 311 & 309; assign 327 = 324 | 326; assign 328 = ~((327 & VAR13) | (325 & 322)); assign 329 = ~(328 | 306); assign 330 = ~(305 & 304); assign 331 = ~((137 | 116) & 330); assign 332 = 331 | 329; assign 333 = 332 | 294; assign valid = ~((037 & 010) | 333); assign VAR15[0] = VAR2; endmodule
mit
bluespec/Flute
builds/RV64ACDFIMSU_Flute_iverilog/Verilog_RTL/mkNear_Mem.v
50,919
module MODULE1(VAR311, VAR59, VAR26, VAR156, VAR101, VAR90, VAR153, VAR375, VAR269, VAR125, VAR12, VAR6, VAR367, VAR120, VAR310, VAR245, VAR278, VAR335, VAR136, VAR113, VAR117, VAR328, VAR33, VAR192, VAR417, VAR172, VAR61, VAR358, VAR362, VAR410, VAR326, VAR189, VAR376, VAR183, VAR100, VAR169, VAR41, VAR255, VAR368, VAR421, VAR293, VAR236, VAR382, VAR129, VAR104, VAR395, VAR7, VAR221, VAR399, VAR389, VAR114, VAR272, VAR123, VAR188, VAR271, VAR296, VAR405, VAR83, VAR228, VAR18, VAR225, VAR386, VAR203, VAR390, VAR300, VAR141, VAR369, VAR131, VAR62, VAR403, VAR283, VAR170, VAR320, VAR340, VAR251, VAR46, VAR39, VAR212, VAR388, VAR194, VAR299, VAR133, VAR297, VAR122, VAR112, VAR418, VAR336, VAR204, VAR322, VAR266, VAR348, VAR419, VAR77, VAR146, VAR218, VAR313, VAR24, VAR37, VAR289, VAR264, VAR213, VAR163, VAR40, VAR99, VAR181, VAR208, VAR145, VAR422, VAR232, VAR185, VAR167, VAR191, VAR374, VAR324, VAR135, VAR103, VAR359, VAR357, VAR265, VAR387, VAR394, VAR401, VAR92, VAR147); input VAR311; input VAR59; input VAR26; output VAR156; input VAR101; output VAR90; input [2 : 0] VAR153; input [63 : 0] VAR375; input [1 : 0] VAR269; input VAR125; input VAR12; input [63 : 0] VAR6; input VAR367; output VAR120; output VAR310; output [63 : 0] VAR245; output [31 : 0] VAR278; output VAR335; output [3 : 0] VAR136; output [63 : 0] VAR113; output VAR117; output [3 : 0] VAR328; output [63 : 0] VAR33; output [7 : 0] VAR192; output [2 : 0] VAR417; output [1 : 0] VAR172; output VAR61; output [3 : 0] VAR358; output [2 : 0] VAR362; output [3 : 0] VAR410; output [3 : 0] VAR326; input VAR189; output VAR376; output [63 : 0] VAR183; output [7 : 0] VAR100; output VAR169; input VAR41; input VAR255; input [3 : 0] VAR368; input [1 : 0] VAR421; output VAR293; output VAR236; output [3 : 0] VAR382; output [63 : 0] VAR129; output [7 : 0] VAR104; output [2 : 0] VAR395; output [1 : 0] VAR7; output VAR221; output [3 : 0] VAR399; output [2 : 0] VAR389; output [3 : 0] VAR114; output [3 : 0] VAR272; input VAR123; input VAR188; input [3 : 0] VAR271; input [63 : 0] VAR296; input [1 : 0] VAR405; input VAR83; output VAR228; input [1 : 0] VAR18; input [2 : 0] VAR225; input [6 : 0] VAR386; input [63 : 0] VAR203; input [63 : 0] VAR390; input [1 : 0] VAR300; input VAR141; input VAR369; input [63 : 0] VAR131; input VAR62; output VAR403; output [63 : 0] VAR283; output [63 : 0] VAR170; output VAR320; output [3 : 0] VAR340; output VAR251; output [3 : 0] VAR46; output [63 : 0] VAR39; output [7 : 0] VAR212; output [2 : 0] VAR388; output [1 : 0] VAR194; output VAR299; output [3 : 0] VAR133; output [2 : 0] VAR297; output [3 : 0] VAR122; output [3 : 0] VAR112; input VAR418; output VAR336; output [63 : 0] VAR204; output [7 : 0] VAR322; output VAR266; input VAR348; input VAR419; input [3 : 0] VAR77; input [1 : 0] VAR146; output VAR218; output VAR313; output [3 : 0] VAR24; output [63 : 0] VAR37; output [7 : 0] VAR289; output [2 : 0] VAR264; output [1 : 0] VAR213; output VAR163; output [3 : 0] VAR40; output [2 : 0] VAR99; output [3 : 0] VAR181; output [3 : 0] VAR208; input VAR145; input VAR422; input [3 : 0] VAR232; input [63 : 0] VAR185; input [1 : 0] VAR167; input VAR191; output VAR374; input VAR324; output VAR135; input VAR103; output VAR359; input [7 : 0] VAR357; input VAR265; output VAR387; input VAR394; output VAR401; input VAR92; output VAR147; wire [63 : 0] VAR37, VAR39, VAR204, VAR170, VAR283, VAR129, VAR33, VAR183, VAR245, VAR113; wire [31 : 0] VAR278; wire [7 : 0] VAR289, VAR212, VAR322, VAR104, VAR192, VAR100; wire [3 : 0] VAR340, VAR40, VAR24, VAR181, VAR208, VAR133, VAR46, VAR122, VAR112, VAR136, VAR399, VAR382, VAR114, VAR272, VAR358, VAR328, VAR410, VAR326; wire [2 : 0] VAR99, VAR264, VAR297, VAR388, VAR389, VAR395, VAR362, VAR417; wire [1 : 0] VAR213, VAR194, VAR7, VAR172; wire VAR135, VAR359, VAR387, VAR401, VAR156, VAR90, VAR147, VAR320, VAR163, VAR313, VAR299, VAR251, VAR218, VAR374, VAR266, VAR336, VAR403, VAR335, VAR310, VAR221, VAR236, VAR61, VAR117, VAR293, VAR228, VAR169, VAR376, VAR120; reg [3 : 0] VAR142; wire [3 : 0] VAR411; wire VAR144; reg [1 : 0] VAR267; reg [1 : 0] VAR207; wire VAR160; wire [63 : 0] VAR179, VAR249, VAR161, VAR353, VAR11, VAR206, VAR3, VAR43, VAR349; wire [7 : 0] VAR121, VAR239, VAR28; wire [6 : 0] VAR150; wire [3 : 0] VAR230, VAR216, VAR397, VAR229, VAR29, VAR319, VAR398, VAR36, VAR56, VAR295, VAR47, VAR360; wire [2 : 0] VAR168, VAR201, VAR424, VAR414, VAR45; wire [1 : 0] VAR55, VAR246, VAR176, VAR277, VAR68, VAR273; wire VAR366, VAR321, VAR162, VAR406, VAR98, VAR124, VAR139, VAR106, VAR54, VAR412, VAR304, VAR234, VAR52, VAR4, VAR233, VAR416, VAR9, VAR174, VAR38, VAR82, VAR274, VAR307, VAR408, VAR380, VAR149, VAR333, VAR329, VAR235, VAR404; wire VAR173, VAR53, VAR281, VAR78, VAR137; wire [63 : 0] VAR240, VAR254, VAR305, VAR252, VAR315, VAR76, VAR378, VAR152, VAR214; wire [7 : 0] VAR365, VAR338, VAR337; wire [6 : 0] VAR115; wire [3 : 0] VAR74, VAR385, VAR224, VAR105, VAR409, VAR58, VAR286, VAR87, VAR14, VAR164, VAR175, VAR35; wire [2 : 0] VAR250, VAR306, VAR351, VAR317, VAR420; wire [1 : 0] VAR202, VAR25, VAR86, VAR16, VAR400, VAR220; wire VAR148, VAR127, VAR301, VAR298, VAR342, VAR327, VAR205, VAR128, VAR287, VAR81, VAR379, VAR209, VAR73, VAR80, VAR110, VAR383, VAR8, VAR200, VAR48, VAR34, VAR32, VAR107, VAR253, VAR71, VAR237, VAR302, VAR231, VAR186, VAR323; wire [63 : 0] VAR177, VAR69, VAR257; wire VAR50, VAR171, VAR294, VAR165, VAR354, VAR361, VAR345, VAR2, VAR215, VAR63, VAR42, VAR238, VAR331, VAR242, VAR31, VAR166, VAR30, VAR180, VAR119, VAR118, VAR384, VAR84, VAR392, VAR67, VAR312, VAR88, VAR291, VAR219, VAR159, VAR111, VAR196, VAR15, VAR65, VAR256, VAR356, VAR393, VAR197, VAR268, VAR116, VAR93, VAR95, VAR97; wire VAR330, VAR308; reg [31 : 0] VAR275; reg [31 : 0] VAR347; reg [31 : 0] VAR248; reg [31 : 0] VAR258; wire VAR102; assign VAR156 = VAR267 == 2'd2 ; assign VAR119 = VAR267 == 2'd2 ; assign VAR93 = VAR26 ; assign VAR90 = VAR281 ; assign VAR118 = VAR281 ; assign VAR95 = VAR101 ; assign VAR242 = 1'd1 ; assign VAR356 = VAR367 ; assign VAR120 = VAR323 ; assign VAR310 = 1'd1 ; assign VAR245 = VAR240 ; assign VAR278 = VAR214[31:0] ; assign VAR335 = VAR209 ; assign VAR136 = VAR74 ; assign VAR113 = VAR240 ; assign VAR117 = VAR200 ; assign VAR328 = VAR286 ; assign VAR33 = VAR305 ; assign VAR192 = VAR338 ; assign VAR417 = VAR317 ; assign VAR172 = VAR25 ; assign VAR61 = VAR383 ; assign VAR358 = VAR58 ; assign VAR362 = VAR351 ; assign VAR410 = VAR87 ; assign VAR326 = VAR14 ; assign VAR63 = 1'd1 ; assign VAR196 = 1'd1 ; assign VAR376 = VAR302 ; assign VAR183 = VAR315 ; assign VAR100 = VAR337 ; assign VAR169 = VAR71 ; assign VAR331 = 1'd1 ; assign VAR256 = 1'd1 ; assign VAR42 = 1'd1 ; assign VAR15 = 1'd1 ; assign VAR293 = VAR48 ; assign VAR236 = VAR110 ; assign VAR382 = VAR224 ; assign VAR129 = VAR254 ; assign VAR104 = VAR365 ; assign VAR395 = VAR306 ; assign VAR7 = VAR202 ; assign VAR221 = VAR73 ; assign VAR399 = VAR385 ; assign VAR389 = VAR250 ; assign VAR114 = VAR105 ; assign VAR272 = VAR409 ; assign VAR215 = 1'd1 ; assign VAR111 = 1'd1 ; assign VAR238 = 1'd1 ; assign VAR65 = 1'd1 ; assign VAR228 = VAR107 ; assign VAR2 = 1'd1 ; assign VAR159 = VAR62 ; assign VAR403 = VAR404 ; assign VAR283 = VAR349 ; assign VAR170 = VAR43 ; assign VAR320 = VAR234 ; assign VAR340 = VAR230 ; assign VAR251 = VAR174 ; assign VAR46 = VAR398 ; assign VAR39 = VAR249 ; assign VAR212 = VAR239 ; assign VAR388 = VAR414 ; assign VAR194 = VAR246 ; assign VAR299 = VAR416 ; assign VAR133 = VAR319 ; assign VAR297 = VAR424 ; assign VAR122 = VAR36 ; assign VAR112 = VAR56 ; assign VAR165 = 1'd1 ; assign VAR312 = 1'd1 ; assign VAR336 = VAR333 ; assign VAR204 = VAR353 ; assign VAR322 = VAR28 ; assign VAR266 = VAR380 ; assign VAR345 = 1'd1 ; assign VAR219 = 1'd1 ; assign VAR354 = 1'd1 ; assign VAR88 = 1'd1 ; assign VAR218 = VAR38 ; assign VAR313 = VAR233 ; assign VAR24 = VAR397 ; assign VAR37 = VAR179 ; assign VAR289 = VAR121 ; assign VAR264 = VAR201 ; assign VAR213 = VAR55 ; assign VAR163 = VAR52 ; assign VAR40 = VAR216 ; assign VAR99 = VAR168 ; assign VAR181 = VAR229 ; assign VAR208 = VAR29 ; assign VAR294 = 1'd1 ; assign VAR67 = 1'd1 ; assign VAR361 = 1'd1 ; assign VAR291 = 1'd1 ; assign VAR374 = VAR307 ; assign VAR135 = VAR106 && VAR128 ; assign VAR31 = VAR106 && VAR128 ; assign VAR393 = VAR324 ; assign VAR359 = VAR54 && VAR287 ; assign VAR166 = VAR54 && VAR287 ; assign VAR197 = VAR103 ; assign VAR387 = VAR106 ; assign VAR30 = VAR106 ; assign VAR268 = VAR265 ; assign VAR401 = VAR54 ; assign VAR180 = VAR54 ; assign VAR116 = VAR394 ; assign VAR147 = 1'd1 ; assign VAR384 = 1'd1 ; assign VAR97 = VAR92 ; VAR402 #(.VAR108(1'd1)) VAR355(.VAR311(VAR311), .VAR59(VAR59), .VAR193(VAR4), .VAR372(VAR9), .VAR130(VAR295), .VAR363(VAR176), .VAR85(VAR82), .VAR64(VAR161), .VAR199(VAR47), .VAR413(VAR274), .VAR13(VAR277), .VAR157(VAR408), .VAR309(VAR149), .VAR303(VAR11), .VAR339(VAR150), .VAR381(VAR45), .VAR79(VAR329), .VAR227(VAR68), .VAR260(VAR273), .VAR75(VAR206), .VAR151(VAR235), .VAR280(VAR3), .VAR190(VAR360), .VAR285(VAR124), .VAR26(VAR406), .VAR101(VAR98), .VAR20(VAR366), .VAR391(VAR321), .VAR262(VAR162), .VAR341(VAR139), .VAR49(), .VAR156(VAR412), .VAR90(VAR304), .valid(VAR404), .addr(), .VAR5(VAR349), .VAR187(VAR43), .VAR284(VAR234), .VAR343(VAR230), .VAR370(VAR106), .VAR263(VAR54), .VAR211(), .VAR143(VAR174), .VAR279(VAR398), .VAR23(VAR249), .VAR364(VAR239), .VAR51(VAR414), .VAR332(VAR246), .VAR270(VAR416), .VAR244(VAR319), .VAR94(VAR424), .VAR91(VAR36), .VAR423(VAR56), .VAR276(VAR333), .VAR282(VAR353), .VAR89(VAR28), .VAR154(VAR380), .VAR10(VAR38), .VAR126(VAR233), .VAR134(VAR397), .VAR373(VAR179), .VAR396(VAR121), .VAR346(VAR201), .VAR72(VAR55), .VAR195(VAR52), .VAR155(VAR216), .VAR182(VAR168), .VAR96(VAR229), .VAR132(VAR29), .VAR226(VAR307)); VAR407 #(.VAR210(32'd1)) VAR241(.VAR178(VAR59), .VAR311(VAR311), .VAR292(VAR78), .VAR243(VAR53), .VAR27(VAR173), .VAR60(VAR137), .VAR259(VAR281)); VAR402 #(.VAR108(1'd0)) VAR198(.VAR311(VAR311), .VAR59(VAR59), .VAR193(VAR80), .VAR372(VAR8), .VAR130(VAR164), .VAR363(VAR86), .VAR85(VAR34), .VAR64(VAR252), .VAR199(VAR175), .VAR413(VAR32), .VAR13(VAR16), .VAR157(VAR253), .VAR309(VAR237), .VAR303(VAR76), .VAR339(VAR115), .VAR381(VAR420), .VAR79(VAR231), .VAR227(VAR400), .VAR260(VAR220), .VAR75(VAR378), .VAR151(VAR186), .VAR280(VAR152), .VAR190(VAR35), .VAR285(VAR327), .VAR26(VAR298), .VAR101(VAR342), .VAR20(VAR148), .VAR391(VAR127), .VAR262(VAR301), .VAR341(VAR205), .VAR49(), .VAR156(VAR81), .VAR90(VAR379), .valid(VAR323), .addr(VAR240), .VAR5(VAR214), .VAR187(), .VAR284(VAR209), .VAR343(VAR74), .VAR370(VAR128), .VAR263(VAR287), .VAR211(), .VAR143(VAR200), .VAR279(VAR286), .VAR23(VAR305), .VAR364(VAR338), .VAR51(VAR317), .VAR332(VAR25), .VAR270(VAR383), .VAR244(VAR58), .VAR94(VAR351), .VAR91(VAR87), .VAR423(VAR14), .VAR276(VAR302), .VAR282(VAR315), .VAR89(VAR337), .VAR154(VAR71), .VAR10(VAR48), .VAR126(VAR110), .VAR134(VAR224), .VAR373(VAR254), .VAR396(VAR365), .VAR346(VAR306), .VAR72(VAR202), .VAR195(VAR73), .VAR155(VAR385), .VAR182(VAR250), .VAR96(VAR105), .VAR132(VAR409), .VAR226(VAR107)); VAR415 VAR17(.VAR311(VAR311), .VAR59(VAR59), .VAR318(VAR177), .VAR22(VAR69), .VAR288(VAR257), .VAR109(), .VAR57(), .VAR352(), .VAR290(), .VAR377(), .VAR247(), .VAR261(), .VAR140(), .VAR158(), .VAR344(), .VAR44(), .VAR184(), .VAR138(), .VAR222(), .VAR70(), .VAR66(), .VAR334(), .VAR314(), .VAR21(), .VAR217(), .VAR223(), .VAR350(), .VAR316(), .VAR1()); assign VAR50 = VAR412 && VAR81 && VAR267 == 2'd0 ; assign VAR84 = VAR330 ; assign VAR171 = VAR308 ; assign VAR392 = VAR308 ; assign VAR330 = VAR50 && !VAR265 && !VAR324 ; assign VAR308 = VAR304 && VAR379 && VAR137 && VAR267 == 2'd1 ; assign VAR411 = 4'h0 ; assign VAR144 = 1'b0 ; always@(VAR26 or VAR84 or VAR392) begin case (1'b1) VAR26: VAR207 = 2'd0; VAR84: VAR207 = 2'd1; VAR392: VAR207 = 2'd2; default: VAR207 = 2'b10 ; endcase end assign VAR160 = VAR26 || VAR84 || VAR392 ; assign VAR4 = VAR145 ; assign VAR9 = VAR418 ; assign VAR295 = VAR77 ; assign VAR176 = VAR146 ; assign VAR82 = VAR419 ; assign VAR161 = VAR185 ; assign VAR47 = VAR232 ; assign VAR274 = VAR191 ; assign VAR277 = VAR167 ; assign VAR408 = VAR422 ; assign VAR149 = VAR348 ; assign VAR11 = VAR203 ; assign VAR150 = VAR386 ; assign VAR45 = VAR225 ; assign VAR329 = VAR369 ; assign VAR68 = VAR18 ; assign VAR273 = VAR300 ; assign VAR206 = VAR131 ; assign VAR235 = VAR141 ; assign VAR3 = VAR390 ; assign VAR360 = 4'h0 ; assign VAR124 = 1'b0 ; assign VAR406 = VAR330 ; assign VAR98 = VAR308 ; assign VAR366 = VAR62 ; assign VAR321 = VAR324 || VAR265 ; assign VAR162 = VAR103 || VAR394 ; assign VAR139 = VAR92 ; assign VAR78 = VAR308 ; assign VAR53 = VAR101 ; assign VAR173 = 1'b0 ; assign VAR80 = VAR123 ; assign VAR8 = VAR189 ; assign VAR164 = VAR368 ; assign VAR86 = VAR421 ; assign VAR34 = VAR255 ; assign VAR252 = VAR296 ; assign VAR175 = VAR271 ; assign VAR32 = VAR83 ; assign VAR16 = VAR405 ; assign VAR253 = VAR188 ; assign VAR237 = VAR41 ; assign VAR76 = VAR375 ; assign VAR115 = 7'b0101010 ; assign VAR420 = VAR153 ; assign VAR231 = VAR12 ; assign VAR400 = 2'd0 ; assign VAR220 = VAR269 ; assign VAR378 = VAR6 ; assign VAR186 = VAR125 ; assign VAR152 = 64'hAAAAAAAAAAAAAAAA ; assign VAR35 = 4'h0 ; assign VAR327 = 1'b0 ; assign VAR298 = VAR330 ; assign VAR342 = VAR308 ; assign VAR148 = VAR367 ; assign VAR127 = VAR324 ; assign VAR301 = VAR103 ; assign VAR205 = VAR92 ; assign VAR177 = 64'h0 ; assign VAR69 = 64'h0 ; assign VAR257 = 64'h0 ; assign VAR102 = VAR142 > 4'd1 ; always@(posedge VAR311) begin if (VAR59 == VAR325) begin VAR142 <= VAR19 4'd0; VAR267 <= VAR19 2'd2; end else begin if (VAR144) VAR142 <= VAR19 VAR411; if (VAR160) VAR267 <= VAR19 VAR207; end end begin VAR142 = 4'hA; VAR267 = 2'h2; end always@(negedge VAR311) begin if (VAR59 != VAR325) if (VAR84 && VAR102) begin VAR275 = VAR371; end VAR248 = VAR275 / 32'd10; if (VAR59 != VAR325) if (VAR84 && VAR102) if (VAR59 != VAR325) if (VAR392 && VAR102) begin VAR347 = VAR371; end VAR258 = VAR347 / 32'd10; if (VAR59 != VAR325) if (VAR392 && VAR102) end endmodule
apache-2.0
google/skywater-pdk-libs-sky130_fd_sc_ms
cells/dfbbn/sky130_fd_sc_ms__dfbbn.behavioral.pp.v
2,820
module MODULE1 ( VAR13 , VAR2 , VAR8 , VAR26 , VAR5 , VAR14, VAR10 , VAR6 , VAR7 , VAR22 ); output VAR13 ; output VAR2 ; input VAR8 ; input VAR26 ; input VAR5 ; input VAR14; input VAR10 ; input VAR6 ; input VAR7 ; input VAR22 ; wire VAR29 ; wire VAR27 ; wire VAR21 ; wire VAR25 ; wire VAR19 ; wire VAR30; wire VAR1 ; reg VAR18 ; wire VAR11 ; wire VAR23 ; wire VAR24 ; wire VAR3 ; wire VAR9 ; not VAR12 (VAR29 , VAR30 ); not VAR20 (VAR27 , VAR1 ); not VAR15 (VAR21 , VAR19 ); VAR17 VAR16 (VAR25 , VAR27, VAR29, VAR21, VAR11, VAR18, VAR10, VAR6); assign VAR23 = ( VAR10 === 1'b1 ); assign VAR24 = ( VAR23 && ( VAR30 === 1'b1 ) ); assign VAR3 = ( VAR23 && ( VAR1 === 1'b1 ) ); assign VAR9 = ( VAR24 & VAR3 ); buf VAR28 (VAR13 , VAR25 ); not VAR4 (VAR2 , VAR25 ); endmodule
apache-2.0
elegabriel/myzju
junior1/CA/mips_pipeline2/code/top.v
8,403
module MODULE1(VAR52, VAR92, VAR73, VAR141, VAR122, VAR119,VAR19, VAR76, VAR44, VAR137, VAR95 ); input wire VAR52; input wire [3:0] VAR92; input wire VAR73,VAR141,VAR119,VAR122; output wire VAR76, VAR44, VAR137; output wire [3:0] VAR95; output wire [7:0] VAR19; wire VAR59; wire rst; reg VAR64,VAR42; wire VAR47,VAR100; assign VAR19[3:0]=VAR92[3:0]; assign VAR19[4]=VAR59; assign VAR19[5]=rst; assign VAR19[6]=VAR47; assign VAR19[7]=VAR100; reg [7:0] VAR113; wire VAR144 = VAR47 | VAR100 | VAR59 ; always @(posedge VAR144 or posedge rst) begin if(rst) begin VAR64<=0; VAR42<=0; VAR113 <= 0; end else if(VAR47) VAR42 <= ~VAR42; end else if(VAR59) VAR113 <= VAR113+1; else if(VAR100) VAR64 <= ~VAR64; end wire [31:0] VAR94; wire [5:0] VAR88, VAR146; wire [4:0] VAR136,VAR151,rd,VAR110,VAR159; wire [15:0] VAR8; wire [25:0] addr; wire [31:0] VAR109; wire VAR78, VAR117, VAR140, VAR31, VAR106, VAR162, VAR20, VAR72, VAR10, VAR26, VAR99; wire [4:0] VAR93; wire [31:0] VAR60, VAR129, VAR15; wire [4:0] VAR70, VAR9, VAR103, VAR87; wire [31:0] VAR128, VAR98, VAR132, VAR18; wire [31:0] VAR33; wire [31:0] VAR131, VAR51, VAR124, VAR74, VAR48; wire [31:0] VAR160; wire VAR143; wire [31:0] VAR38,VAR53; wire [31:0] VAR24, VAR71; wire [4:0] VAR83; wire VAR28; wire [31:0] VAR14, VAR68, VAR116,VAR105; wire [4:0] VAR57; wire [4:0] VAR134; wire VAR86, VAR152, VAR12; wire [31:0] VAR54, VAR161,VAR65,VAR130; wire [4:0] VAR69; wire VAR1, VAR11, VAR50; wire [31:0] VAR112; wire [4:0] VAR2; wire VAR40; wire VAR29; wire [31:0] VAR139; wire [7:0] VAR4; wire [7:0] VAR79,VAR58,VAR126,VAR66; VAR45 VAR127(VAR59, rst, VAR94, VAR79); VAR45 VAR67(VAR59, rst, VAR71, VAR58); VAR45 VAR35(VAR59, rst, VAR105, VAR126); VAR45 VAR55(VAR59, rst, VAR65, VAR66); assign VAR139=(VAR42)?VAR94:{VAR79,VAR58,VAR126,VAR66}; assign VAR4=(VAR42)?{8'b00100001}:{3'b000,VAR103}; assign VAR9=VAR151; assign VAR103={VAR64,VAR92}; assign VAR59=VAR73; assign rst = VAR141; assign VAR47 = VAR119; assign VAR100 = VAR122; assign VAR51=(VAR117|VAR140|VAR78|VAR99)?(VAR38+4):(VAR38); assign VAR124=(VAR117|VAR140|VAR78|VAR99)?(VAR38):(VAR53); VAR17 VAR17( .clk(VAR59), .rst(rst), .VAR29(VAR29), .VAR154(VAR51), .VAR75(VAR53) ); VAR131 VAR131( .VAR154(VAR124), .VAR75(VAR131) ); VAR81 VAR51( .VAR5(VAR48), .VAR25(VAR128), .VAR155(VAR74), .VAR46(VAR24), .VAR97(VAR117 | VAR140), .VAR80(VAR78), .VAR153(VAR99), .out(VAR38) ); VAR39 VAR39( .VAR104(VAR59),.VAR30(VAR124[11:2]),.VAR145(VAR94[31:0]) ); VAR149 VAR149( .clk(VAR59), .rst(rst), .VAR29(VAR29), .VAR99(VAR117|VAR140|VAR78|VAR99), .VAR41(VAR131), .VAR90(VAR94), .VAR24(VAR24), .VAR71(VAR71) ); assign VAR88=VAR71[31:26]; assign VAR136=VAR71[25:21]; assign VAR151=VAR71[20:16]; assign rd=VAR71[15:11]; assign VAR110=VAR71[10:6]; assign VAR146=VAR71[5:0]; assign VAR8=VAR71[15:0]; assign addr=VAR71[25:0]; assign VAR109={27'b0,VAR110}; VAR107 VAR107( .VAR88(VAR88), .VAR146(VAR146), .VAR143(VAR143), .VAR78(VAR78), .VAR117(VAR117), .VAR140(VAR140), .VAR31(VAR31), .VAR106(VAR106), .VAR162(VAR162),. VAR20(VAR20), .VAR72(VAR72), .VAR10(VAR10), .VAR26(VAR26), .VAR99(VAR99), .VAR93(VAR93) ); assign VAR28=VAR140 & VAR106; assign VAR159=(VAR20)?rd:VAR151; wire [31:0] VAR125, VAR16, VAR108, VAR142, VAR121, VAR148; assign VAR128 = (VAR57==VAR70 & |VAR70)? VAR15 : VAR125; assign VAR125 = (VAR69==VAR70 & |VAR70)? VAR130 : VAR16; assign VAR16 = (VAR2==VAR70 & |VAR70)? VAR112 : VAR121; assign VAR98 = (VAR57==VAR9 & |VAR9)? VAR15 : VAR108; assign VAR108 = (VAR69==VAR9 & |VAR9)? VAR130 : VAR142; assign VAR142 = (VAR2==VAR9 & |VAR9)? VAR112 : VAR148; VAR77 VAR77( .clk(VAR59), .rst(rst), .VAR101(VAR28 | VAR40), .VAR70(VAR70), .VAR9(VAR9), .VAR103(VAR103), .VAR87(VAR87), .VAR18(VAR18), .VAR96(VAR121), .VAR63(VAR148), .VAR36(VAR132) ); VAR74 VAR74( .VAR8(VAR8), .VAR17(VAR24), .out(VAR74) ); VAR48 VAR48( .addr(addr), .VAR17(VAR24), .out(VAR48) ); VAR135 VAR135( .VAR5(VAR128), .VAR25(VAR98), .VAR21(VAR143) ); VAR43 VAR43( .in(VAR8), .VAR72(VAR72), .out(VAR33) ); VAR138 VAR85( .VAR5(VAR109), .VAR25(VAR128), .VAR89(VAR10), .out(VAR60) ); VAR138 VAR56( .VAR5(VAR33), .VAR25(VAR98), .VAR89(VAR26), .out(VAR129) ); VAR114 VAR13( .VAR5(5'b11111), .VAR25(VAR136), .VAR89(VAR78), .out(VAR70) ); VAR114 VAR61( .VAR5(VAR2), .VAR25(5'b11111), .VAR89(VAR40), .out(VAR87) ); VAR138 VAR22( .VAR5(VAR112), .VAR25(VAR24), .VAR89(VAR40), .out(VAR18) ); VAR133 VAR133( .clk(VAR59), .rst(rst), .VAR99(VAR117|VAR140|VAR78|VAR99), .VAR82(VAR60), .VAR157(VAR129), .VAR83(VAR159), .VAR27(VAR98), .VAR62(VAR93), .VAR37(VAR106 & ~VAR31 & |VAR159), .VAR102(VAR162), .VAR158(VAR31 & |VAR159),.VAR71(VAR71), .VAR14(VAR14), .VAR68(VAR68), .VAR57(VAR57), .VAR116(VAR116), .VAR134(VAR134), .VAR86(VAR86), .VAR152(VAR152), .VAR12(VAR12),.VAR105(VAR105) ); alu alu( .VAR60(VAR14), .VAR129(VAR68), .VAR49(VAR134), .VAR21(VAR15) ); VAR91 VAR91( .clk(VAR59), .rst(rst), .VAR118(VAR15), .VAR57(VAR57), .VAR116(VAR116), .VAR86(VAR86 ), .VAR152(VAR152), .VAR12(VAR12 ),.VAR105(VAR105), .VAR54(VAR54), .VAR69(VAR69), .VAR161(VAR161), .VAR1(VAR1), .VAR11(VAR11), .VAR50(VAR50),.VAR65(VAR65) ); VAR7 VAR7( .VAR104(VAR59),.VAR101(VAR11),.VAR30(VAR15[11:2]),.VAR34(VAR161),.VAR145(VAR160) ); assign VAR130=(VAR1)?VAR54:VAR160; VAR123 VAR123( .clk(VAR59), .rst(rst), .VAR130(VAR130), .VAR69(VAR69), .VAR1(VAR1 | VAR50), .VAR112(VAR112), .VAR2(VAR2), .VAR40(VAR40) ); VAR23 VAR6( .clk(VAR52),.rst(rst),.VAR94(VAR94),.VAR156(VAR132),.VAR120({VAR79,VAR58,VAR126,VAR66}),.VAR113(VAR113),.VAR111({3'b0,VAR103}), .VAR147(VAR44), .VAR3(VAR137), .VAR84(VAR76), .VAR150(VAR95) ); VAR115 VAR32( .VAR136(VAR94[25:21]),.VAR151(VAR94[20:16]),.VAR88(VAR94[31:26]), .VAR146(VAR94[5:0]), .VAR83(VAR159), .VAR158(VAR31 & |VAR159), .VAR29(VAR29) ); endmodule
gpl-2.0
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
bin_Sobel_Filter/system/synthesis/submodules/altera_avalon_dc_fifo.v
25,360
module MODULE1( VAR41, VAR91, VAR42, VAR53, VAR2, VAR57, VAR45, VAR73, VAR65, VAR71, VAR10, VAR22, VAR12, VAR26, VAR104, VAR44, VAR105, VAR62, VAR31, VAR56, VAR109, VAR38, VAR100, VAR54, VAR47, VAR40, VAR24, VAR72, VAR88, VAR14, VAR63, VAR8, VAR5, VAR69, VAR110 ); parameter VAR94 = 1; parameter VAR86 = 8; parameter VAR60 = 16; parameter VAR83 = 0; parameter VAR4 = 0; parameter VAR98 = 0; parameter VAR33 = 0; parameter VAR39 = 0; parameter VAR46 = 2; parameter VAR76 = 2; parameter VAR34 = 0; parameter VAR51 = 0; parameter VAR101 = 0; parameter VAR1 = 0; parameter VAR107 = 0; parameter VAR16 = 0; localparam VAR18 = VAR85(VAR60); localparam VAR102 = 2 ** VAR18; localparam VAR106 = VAR94 * VAR86; localparam VAR95 = VAR85(VAR94); localparam VAR30 = 2 + VAR95; localparam VAR11 = (VAR98 == 1) ? 2 + VAR95 + VAR106 + VAR4 + VAR83: VAR106 + VAR4 + VAR83; input VAR41; input VAR91; input VAR42; input VAR53; input [VAR106 - 1 : 0] VAR2; input VAR57; input VAR73; input VAR65; input [((VAR95 > 0) ? VAR95 - 1 : 0) : 0] VAR71; input [((VAR4 > 0) ? VAR4 - 1 : 0) : 0] VAR10; input [((VAR83 > 0) ? VAR83 - 1 : 0) : 0] VAR22; output VAR45; output [VAR106 - 1 : 0] VAR12; output reg VAR26; output VAR44; output VAR105; output [((VAR95 > 0) ? VAR95 - 1 : 0) : 0] VAR62; output [((VAR4 > 0) ? VAR4 - 1 : 0) : 0] VAR31; output [((VAR83 > 0) ? VAR83 - 1 : 0) : 0] VAR56; input VAR104; input VAR109; input VAR100; input VAR38; input [31 : 0] VAR47; output reg [31 : 0] VAR54; input VAR40; input VAR72; input VAR24; input [31 : 0] VAR14; output reg [31 : 0] VAR88; output reg VAR63; output reg VAR8; output reg VAR5; output reg VAR69; output [VAR18 : 0] VAR110; reg [VAR11 - 1 : 0] VAR103 [VAR102 - 1 : 0]; wire [VAR18 - 1 : 0] VAR35; wire [VAR18 - 1 : 0] VAR58; reg [VAR18 : 0] VAR66; reg [VAR18 : 0] VAR49; reg [VAR18 : 0] VAR9; reg [VAR18 : 0] VAR99; wire [VAR18 : 0] VAR89; wire [VAR18 : 0] VAR6; wire [VAR18 : 0] VAR59; wire [VAR18 : 0] VAR23; reg [VAR18 : 0] VAR21 ; wire [VAR18 : 0] VAR13; reg [VAR18 : 0] VAR67 ; wire [VAR18 : 0] VAR80; reg [VAR18 : 0] VAR90; reg [VAR18 : 0] VAR52; reg VAR27; reg VAR20; wire [VAR11 - 1 : 0] VAR36; reg [VAR11 - 1 : 0] VAR37; reg [VAR11 - 1 : 0] VAR55; wire [VAR30 - 1 : 0] VAR93; wire [VAR30 - 1 : 0] VAR82; wire VAR79; wire VAR97; wire [VAR18 : 0] VAR28; reg [VAR18 : 0] VAR81; reg [VAR18 : 0] VAR77; reg [VAR18 : 0] VAR64; reg [23 : 0] VAR15; reg [23 : 0] VAR75; reg VAR50; generate if (VAR95 > 0) begin assign VAR93 = {VAR73, VAR65, VAR71}; assign {VAR44, VAR105, VAR62} = VAR82; end else begin assign VAR93 = {VAR73, VAR65}; assign {VAR44, VAR105} = VAR82; end endgenerate generate if (VAR98) begin if (VAR4 > 0) begin if (VAR83 > 0) begin assign VAR36 = {VAR93, VAR2, VAR10, VAR22}; assign {VAR82, VAR12, VAR31, VAR56} = VAR37; end else begin assign VAR36 = {VAR93, VAR2, VAR10}; assign {VAR82, VAR12, VAR31} = VAR37; end end else begin if (VAR83 > 0) begin assign VAR36 = {VAR93, VAR2, VAR22}; assign {VAR82, VAR12, VAR56} = VAR37; end else begin assign VAR36 = {VAR93, VAR2}; assign {VAR82, VAR12} = VAR37; end end end else begin if (VAR4 > 0) begin if (VAR83 > 0) begin assign VAR36 = {VAR2, VAR10, VAR22}; assign {VAR12, VAR31, VAR56} = VAR37; end else begin assign VAR36 = {VAR2, VAR10}; assign {VAR12, VAR31} = VAR37; end end else begin if (VAR83 > 0) begin assign VAR36 = {VAR2, VAR22}; assign {VAR12, VAR56} = VAR37; end else begin assign VAR36 = VAR2; assign VAR12 = VAR37; end end assign VAR82 = 'b0; end endgenerate always @(posedge VAR41) begin if (VAR57 && VAR45) VAR103[VAR35] <= VAR36; end always @(posedge VAR42) begin VAR55 <= VAR103[VAR58]; end assign VAR58 = VAR59; assign VAR35 = VAR66; always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) begin VAR66 <= 0; VAR49 <= 1; end else begin VAR66 <= VAR6; VAR49 <= (VAR57 && VAR45) ? VAR49 + 1'b1 : VAR49; end end always @(posedge VAR42 or negedge VAR53) begin if (!VAR53) begin VAR9 <= 0; VAR99 <= 1; end else begin VAR9 <= VAR59; VAR99 <= (VAR97 && VAR79) ? VAR99 + 1'b1 : VAR99; end end generate if (VAR1) begin : VAR84 assign VAR6 = (VAR45 && VAR57) ? VAR49 : VAR66; assign VAR59 = (VAR79 && VAR97) ? VAR99 : VAR9; end else begin : VAR3 assign VAR6 = (VAR45 && VAR57) ? VAR66 + 1'b1 : VAR66; assign VAR59 = (VAR79 && VAR97) ? VAR9 + 1'b1 : VAR9; end endgenerate always @(posedge VAR42 or negedge VAR53) begin if(!VAR53) VAR20 <= 1; end else VAR20 <= (VAR59 == VAR89); end always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) begin VAR27 <= 0; VAR50 <= 1'b1; end else begin VAR27 <= (VAR23[VAR18 - 1 : 0] == VAR6[VAR18 - 1 : 0]) && (VAR23[VAR18] != VAR6[VAR18]); VAR50 <= 1'b0; end end always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) VAR21 <= 0; end else VAR21 <= VAR70(VAR66); end VAR48 #(.VAR17(VAR18+1), .VAR102(VAR46)) VAR87 ( .clk(VAR42), .VAR32(VAR53), .din(VAR21), .dout(VAR13) ); generate if (VAR107) begin : VAR7 always @(posedge VAR42 or negedge VAR53) begin if (!VAR53) VAR90 <= 0; end else VAR90 <= VAR96(VAR13); end assign VAR89 = VAR90; end else begin : VAR61 assign VAR89 = VAR96(VAR13); end endgenerate always @(posedge VAR42 or negedge VAR53) begin if (!VAR53) VAR67 <= 0; end else VAR67 <= VAR70(VAR9); end VAR48 #(.VAR17(VAR18+1), .VAR102(VAR76)) VAR25 ( .clk(VAR41), .VAR32(VAR91), .din(VAR67), .dout(VAR80) ); generate if (VAR107) begin : VAR43 always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) VAR52 <= 0; end else VAR52 <= VAR96(VAR80); end assign VAR23 = VAR52; end else begin : VAR68 assign VAR23 = VAR96(VAR80); end endgenerate assign VAR45 = VAR101 ? !(VAR27 || VAR50) : !VAR27; assign VAR97 = !VAR20; assign VAR79 = VAR104 || !VAR26; always @(posedge VAR42 or negedge VAR53) begin if (!VAR53) begin VAR26 <= 0; VAR37 <= 0; end else begin if (VAR79) begin VAR26 <= VAR97; VAR37 <= VAR55; end end end generate if (VAR39 || VAR51) begin always @(posedge VAR42 or negedge VAR53) begin if (!VAR53) begin VAR81 <= 0; end else begin VAR81 <= VAR89 - VAR59; end end assign VAR28 = VAR81 + {{VAR18{1'b0}}, VAR26}; end endgenerate generate if (VAR39 || VAR51) begin always @(posedge VAR42 or negedge VAR53) begin if (!VAR53) begin VAR88 <= 0; if (VAR51) VAR15 <= 0; end else begin if (VAR24) begin if (VAR51 && (VAR40 == 1)) VAR15 <= VAR14[23 : 0]; end else if (VAR72) begin VAR88 <= 0; if (VAR40 == 0) VAR88[23 : 0] <= VAR28; end else if (VAR51 && (VAR40 == 1)) VAR88[23 : 0] <= VAR15; end end end end if (VAR51) begin always @(posedge VAR42 or negedge VAR53) begin if (!VAR53) begin VAR5 <= 0; VAR69 <= 0; end else begin VAR5 <= 1'b1; VAR69 <= (VAR28 <= VAR15); end end end endgenerate generate if (VAR33 || VAR34) begin always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) begin VAR77 <= 0; end else begin VAR77 <= VAR6 - VAR23; end end end endgenerate generate if (VAR16) begin always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) begin VAR64 <= VAR60; end else begin VAR64 <= {~VAR23[VAR18], VAR23[VAR18-1:0]} - VAR6; end end assign VAR110 = VAR64; end else begin : VAR29 assign VAR110 = 'b0; end endgenerate generate if (VAR33 || VAR34) begin always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) begin VAR54 <= 0; if (VAR34) VAR75 <= 0; end else begin if (VAR38) begin if (VAR34 && (VAR109 == 1)) VAR75 <= VAR47[23 : 0]; end else if (VAR100) begin VAR54 <= 0; if (VAR109 == 0) VAR54[23 : 0] <= VAR77; end else if (VAR34 && (VAR109 == 1)) VAR54[23 : 0] <= VAR75; end end end end if (VAR34) begin always @(posedge VAR41 or negedge VAR91) begin if (!VAR91) begin VAR63 <= 0; VAR8 <= 0; end else begin VAR63 <= 1'b1; VAR8 <= (VAR77 >= VAR75); end end end endgenerate function [VAR18 : 0] VAR70; input [VAR18 : 0] VAR74; integer VAR108; for (VAR108 = 0; VAR108 <= VAR18; VAR108 = VAR108 + 1) begin if (VAR108 == VAR18) VAR70[VAR108] = VAR74[VAR108]; end else VAR70[VAR108] = VAR74[VAR108+1] ^ VAR74[VAR108]; end endfunction function [VAR18 : 0] VAR96; input [VAR18 : 0] VAR92; integer VAR108; integer VAR19; for (VAR108 = 0; VAR108 <= VAR18; VAR108 = VAR108 + 1) begin VAR96[VAR108] = VAR92[VAR108]; for (VAR19 = VAR18; VAR19 > VAR108; VAR19 = VAR19 - 1) begin VAR96[VAR108] = VAR96[VAR108] ^ VAR92[VAR19]; end end endfunction function integer VAR85; input integer VAR78; integer VAR108; begin VAR108 = 1; VAR85 = 0; while (VAR108 < VAR78) begin VAR85 = VAR85 + 1; VAR108 = VAR108 << 1; end end endfunction endmodule
mit
google/globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0
cells/inv/gf180mcu_fd_sc_mcu7t5v0__inv_12.behavioral.v
1,106
module MODULE1( VAR5, VAR1 ); input VAR5; output VAR1; VAR4 VAR2(.VAR5(VAR5),.VAR1(VAR1)); VAR4 VAR3(.VAR5(VAR5),.VAR1(VAR1));
apache-2.0